Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[RTL] Convert APB interfaces to AXI and add DMA #590

Open
wants to merge 65 commits into
base: main
Choose a base branch
from
Open
Show file tree
Hide file tree
Changes from all commits
Commits
Show all changes
65 commits
Select commit Hold shift + click to select a range
11f64d7
Initial pass at AXI sub -- read channel only
calebofearth May 16, 2024
2d6f897
Compile for AXI sub
calebofearth May 16, 2024
fd49981
AXI sub -- write channel + exclusive access fixups
calebofearth May 24, 2024
13209a9
Exclusive access support OFF by default
calebofearth May 28, 2024
9088063
Only latch err from component when the access is allowed (i.e. not an…
calebofearth May 28, 2024
e0f2d07
Add AXI sub wrapper and simplex arbiter
calebofearth May 28, 2024
67dbd4c
Syntax fix
calebofearth May 30, 2024
43e36bf
Add ID signal to component interface
calebofearth May 30, 2024
f0a28b3
Add component inf 'write' signal
calebofearth May 30, 2024
e9a5b3f
New handshake assertions; i/f X checks conditional upon valid signals
calebofearth Jun 1, 2024
0fb8a5d
Swap APB for AXI, replace all 'user' references with 'AXI ID'
calebofearth Jun 1, 2024
1c0beeb
axi_sub_wr: Fix rp valid/ready access
wkkuna Jun 4, 2024
43101ad
axi_sub_arb: Fix arbiter read grant condition
wkkuna Jun 4, 2024
02c056a
Remove LENB override; fix resp-pipe part-select in axi_sub_wr
calebofearth Jun 4, 2024
36aa982
AXI sub tb integration -- compiles and passes smoke_test_hw_config
calebofearth Jun 12, 2024
32ce0c9
Fixups to get sims working VCS + Verilator
calebofearth Jun 19, 2024
4e6739f
Initial AXI DMA reg file
calebofearth Jun 21, 2024
d38211d
Format
calebofearth Jul 12, 2024
583aa85
First pass - AXI DMA
calebofearth Jul 12, 2024
5a7beac
Caliptra AXI SRAM
calebofearth Jul 18, 2024
0588c8f
Defaults: AW=32, DW=64
calebofearth Jul 18, 2024
83d54a3
Mv skidbuffer to libs; add r/w error sigs in axi_sub to work with C_L…
calebofearth Jul 18, 2024
6d98d31
Syntax fix
calebofearth Jul 18, 2024
539eae2
Add all module inst/connections; syntax fixes for compilation
calebofearth Jul 19, 2024
c7212be
Include DMA reg set in docs
calebofearth Jul 19, 2024
2afa800
Inst. DMA; connect SRAM through MBOX dir mode mux; add DMA regs in ar…
calebofearth Jul 19, 2024
937c042
Updates for AXI DMA connections
calebofearth Jul 19, 2024
55b3581
AW should be derived from SRAM depth
calebofearth Jul 19, 2024
479ddee
Add new reg fields; syntax cleanup to simulate; now passes smoke test
calebofearth Jul 19, 2024
e6da341
Fix reset if-else syntax on ctx FF blocks
calebofearth Jul 22, 2024
1e615aa
Default assignment fix
calebofearth Jul 23, 2024
c8b3a12
TB updates to support all prev. functionality; smoke_test_dma passes;…
calebofearth Jul 25, 2024
845bdf9
Update all caliptra_isr with new DMA interrupts; fw updates so all sm…
calebofearth Jul 25, 2024
ac74ba1
Update HDL file lists
calebofearth Jul 25, 2024
a2983e4
Different init syntax for Verilator to compile vs VCS
calebofearth Jul 25, 2024
fd430ad
Update smoke_test_kv_hmac_multiblock_flow w/ DMA intr to pass compila…
calebofearth Jul 29, 2024
59c1cdd
Add mailbox payload operations
calebofearth Jul 31, 2024
47157e5
Default return of 0 for dma operations
calebofearth Jul 31, 2024
31e125c
Reorder fail var assignment
calebofearth Jul 31, 2024
29f7eca
Fixes for DMA write and hold signaling to mailbox sram
calebofearth Jul 31, 2024
8a808c3
Fixes for credits and bytes requested calculations
calebofearth Jul 31, 2024
312d807
Move SoC BFM functionality to a sub-module in TB for reuse
calebofearth Aug 14, 2024
8831b42
Add 1MiB xfer cap to cmd decode logic
calebofearth Aug 28, 2024
82451e3
Rename s_axi_if to m_axi_bfm_if for clarity (in TB)
calebofearth Aug 30, 2024
f1cbc59
Move caliptra_top_tb support files to separate compile pkg to avoid d…
calebofearth Aug 30, 2024
e1845b2
Enable BFM bringup to be skipped for SS testing
calebofearth Sep 5, 2024
00198bf
Default AXI ID width is 8
calebofearth Sep 5, 2024
6608629
Support for path overrides by higher-level (SS) entity
calebofearth Sep 5, 2024
807de2b
Add an enum for bootfsm states
calebofearth Sep 5, 2024
25dfc56
License header fixups
calebofearth Sep 11, 2024
fe226c9
Merge remote-tracking branch 'chips/main' into cwhitehead-msft-gen2-a…
calebofearth Sep 17, 2024
263564b
Derive soc_ifc AXI ID widths from the global Caliptra macro
calebofearth Sep 19, 2024
8d807df
Gen2 status disclaimer
calebofearth Sep 20, 2024
2b08b1a
README timestamp
calebofearth Sep 20, 2024
a9f300f
No bold
calebofearth Sep 20, 2024
75ddaa8
Use bash instead of sh in Makefile
calebofearth Sep 20, 2024
f14f17d
Regenerate file lists
calebofearth Sep 21, 2024
0d73bd2
Add DMA interrupts
calebofearth Sep 21, 2024
9f6ed17
Add DMA interrupts
calebofearth Sep 21, 2024
8c656bc
Synth pragmas to ignore sim-only tasks
calebofearth Sep 21, 2024
bfe7ab6
caliptra_prim is an axi_dma dependency
calebofearth Sep 21, 2024
513f7e3
Include assertion header where asserts are used
calebofearth Sep 21, 2024
051d9ff
Reorder a print message that is used as a trigger in OpenOCD tests
calebofearth Sep 21, 2024
d0ce6c7
Merge remote-tracking branch 'chips/main' into cwhitehead-msft-gen2-a…
calebofearth Sep 21, 2024
b82315b
File list updates after modifying dependencies
calebofearth Sep 21, 2024
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
6 changes: 5 additions & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -14,8 +14,12 @@ See the License for the specific language governing permissions and<BR>
limitations under the License.*_<BR>

# **Caliptra Hands-On Guide** #
_*Last Update: 2024/07/02*_
_*Last Update: 2024/09/20*_

:warning:**$${\textsf{\color{red}DISCLAIMER:\ This\ repository\ is\ under\ active\ development\ towards\ a\ Gen2\ release\ on\ branch\ main.}}$$**<br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;**$${\textsf{\color{red}Functionality\ or\ quality\ is\ not\ guaranteed.}}$$**<br>
&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;**$${\textsf{\color{red}Do\ not\ integrate\ this\ into\ a\ production\ design!}}$$**<br>
Prior official releases are available at: https://github.com/chipsalliance/caliptra-rtl/releases

## **Tools Used** ##

Expand Down
47 changes: 47 additions & 0 deletions src/axi/config/axi_dma.vf
Original file line number Diff line number Diff line change
@@ -1,6 +1,9 @@
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
+incdir+${CALIPTRA_ROOT}/src/lc_ctrl/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
${CALIPTRA_ROOT}/src/integration/rtl/caliptra_reg_defines.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sva.svh
Expand All @@ -14,9 +17,53 @@ ${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_mubi_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_cipher_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_state_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_flop_en.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_flop_2sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_lfsr.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_mubi4_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_diff_decode.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_buf.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_slicer.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_count.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_dom_and_2share.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_reg_we_check.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_packer_fifo.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_intr_hw.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_mubi8_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_fifo_sync_cnt.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_buf.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_lc_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_receiver.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_sender.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_edge_detector.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_req_if.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg.sv
Expand Down
1 change: 1 addition & 0 deletions src/axi/config/compile.yml
Original file line number Diff line number Diff line change
Expand Up @@ -45,6 +45,7 @@ provides: [axi_dma]
schema_version: 2.4.0
requires:
- libs
- caliptra_prim
- axi_pkg
targets:
rtl:
Expand Down
1 change: 1 addition & 0 deletions src/axi/rtl/axi_dma_ctrl.sv
Original file line number Diff line number Diff line change
Expand Up @@ -74,6 +74,7 @@ import soc_ifc_pkg::*;
// Imports //
// --------------------------------------- //
import axi_dma_reg_pkg::*;
`include "caliptra_prim_assert.sv"


// --------------------------------------- //
Expand Down
4 changes: 4 additions & 0 deletions src/axi/rtl/axi_if.sv
Original file line number Diff line number Diff line change
Expand Up @@ -155,6 +155,8 @@ interface axi_if #(parameter integer AW = 32, parameter integer DW = 32, paramet
input bready
);

// synthesis translate_off

// Tasks
`ifdef VERILATOR
`define EQ__ =
Expand Down Expand Up @@ -361,4 +363,6 @@ interface axi_if #(parameter integer AW = 32, parameter integer DW = 32, paramet
`undef EQ__
`undef TIME_ALGN

// synthesis translate_on

endinterface
1 change: 1 addition & 0 deletions src/axi/rtl/axi_mgr_rd.sv
Original file line number Diff line number Diff line change
Expand Up @@ -45,6 +45,7 @@ module axi_mgr_rd import axi_pkg::*; #(
// --------------------------------------- //
// Imports //
// --------------------------------------- //
`include "caliptra_prim_assert.sv"


// --------------------------------------- //
Expand Down
1 change: 1 addition & 0 deletions src/axi/rtl/axi_mgr_wr.sv
Original file line number Diff line number Diff line change
Expand Up @@ -45,6 +45,7 @@ module axi_mgr_wr import axi_pkg::*; #(
// --------------------------------------- //
// Imports //
// --------------------------------------- //
`include "caliptra_prim_assert.sv"


// --------------------------------------- //
Expand Down
2 changes: 2 additions & 0 deletions src/axi/rtl/axi_sub_arb.sv
Original file line number Diff line number Diff line change
Expand Up @@ -78,6 +78,8 @@ module axi_sub_arb import axi_pkg::*; #(
input logic [DW-1:0] rdata // Requires: Component dwidth == AXI dwidth
);

`include "caliptra_prim_assert.sv"

logic r_pri; // Priority to reads
logic r_win;

Expand Down
5 changes: 5 additions & 0 deletions src/axi/rtl/axi_sub_rd.sv
Original file line number Diff line number Diff line change
Expand Up @@ -66,6 +66,11 @@ module axi_sub_rd import axi_pkg::*; #(
input logic [DW-1:0] rdata // Requires: Component dwidth == AXI dwidth
);

// --------------------------------------- //
// Imports //
// --------------------------------------- //
`include "caliptra_prim_assert.sv"

// --------------------------------------- //
// Localparams/Typedefs //
// --------------------------------------- //
Expand Down
5 changes: 5 additions & 0 deletions src/axi/rtl/axi_sub_wr.sv
Original file line number Diff line number Diff line change
Expand Up @@ -63,6 +63,11 @@ module axi_sub_wr import axi_pkg::*; #(

);

// --------------------------------------- //
// Imports //
// --------------------------------------- //
`include "caliptra_prim_assert.sv"

// --------------------------------------- //
// Localparams/Typedefs //
// --------------------------------------- //
Expand Down
14 changes: 9 additions & 5 deletions src/integration/asserts/caliptra_top_sva.sv
Original file line number Diff line number Diff line change
Expand Up @@ -19,12 +19,16 @@
`include "config_defines.svh"
//`include "kv_defines_pkg.sv"
//`include "doe_defines_pkg.sv"
`ifdef UVMF_CALIPTRA_TOP
`define CPTRA_TB_TOP_NAME hdl_top
`else
`define CPTRA_TB_TOP_NAME caliptra_top_tb
`ifndef CPTRA_TB_TOP_NAME
`ifdef UVMF_CALIPTRA_TOP
`define CPTRA_TB_TOP_NAME hdl_top
`else
`define CPTRA_TB_TOP_NAME caliptra_top_tb
`endif
`endif
`ifndef CPTRA_TOP_PATH
`define CPTRA_TOP_PATH `CPTRA_TB_TOP_NAME.caliptra_top_dut
`endif
`define CPTRA_TOP_PATH `CPTRA_TB_TOP_NAME.caliptra_top_dut
`define KEYVAULT_PATH `CPTRA_TOP_PATH.key_vault1
`define KEYVAULT_REG_PATH `KEYVAULT_PATH.kv_reg1
`define PCRVAULT_PATH `CPTRA_TOP_PATH.pcr_vault1
Expand Down
55 changes: 35 additions & 20 deletions src/integration/config/caliptra_top.vf
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,10 @@
+incdir+${CALIPTRA_ROOT}/src/soc_ifc/rtl
+incdir+${CALIPTRA_ROOT}/src/riscv_core/veer_el2/rtl
+incdir+${CALIPTRA_ROOT}/src/doe/rtl
+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
+incdir+${CALIPTRA_ROOT}/src/lc_ctrl/rtl
+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/entropy_src/tb
+incdir+${CALIPTRA_ROOT}/src/csrng/rtl
+incdir+${CALIPTRA_ROOT}/src/spi_host/rtl
Expand All @@ -20,8 +21,8 @@
+incdir+${CALIPTRA_ROOT}/src/hmac/rtl
+incdir+${CALIPTRA_ROOT}/src/hmac_drbg/rtl
+incdir+${CALIPTRA_ROOT}/src/ecc/rtl
+incdir+${CALIPTRA_ROOT}/src/kmac/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl
+incdir+${CALIPTRA_ROOT}/src/kmac/rtl
+incdir+${CALIPTRA_ROOT}/src/edn/rtl
+incdir+${CALIPTRA_ROOT}/src/aes/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
Expand Down Expand Up @@ -49,10 +50,8 @@ ${CALIPTRA_ROOT}/src/riscv_core/veer_el2/rtl/el2_pdef.vh
${CALIPTRA_ROOT}/src/riscv_core/veer_el2/rtl/include/el2_def.sv
${CALIPTRA_ROOT}/src/riscv_core/veer_el2/rtl/common_defines.sv
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_reg_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand All @@ -63,6 +62,10 @@ ${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_state_pkg.sv
${CALIPTRA_ROOT}/src/lc_ctrl/rtl/lc_ctrl_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_reg_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/tb/physical_rng.sv
${CALIPTRA_ROOT}/src/csrng/rtl/csrng_reg_pkg.sv
${CALIPTRA_ROOT}/src/csrng/rtl/csrng_pkg.sv
Expand Down Expand Up @@ -194,20 +197,11 @@ ${CALIPTRA_ROOT}/src/ecc/rtl/ecc_adder.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg_pkg.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_boot_fsm.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_arb.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_reg.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/mbox.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/mbox_csr.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/sha512_acc_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/sha512_acc_csr.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/wdt.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3_pkg.sv
${CALIPTRA_ROOT}/src/kmac/rtl/keccak_round.sv
${CALIPTRA_ROOT}/src/kmac/rtl/keccak_2share.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3pad.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_addr.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_arb.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv
Expand Down Expand Up @@ -242,6 +236,27 @@ ${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_edge_detector.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_req_if.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_ctrl.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_boot_fsm.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_arb.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_reg.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/mbox.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/mbox_csr.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/sha512_acc_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/sha512_acc_csr.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/wdt.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3_pkg.sv
${CALIPTRA_ROOT}/src/kmac/rtl/keccak_round.sv
${CALIPTRA_ROOT}/src/kmac/rtl/keccak_2share.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3pad.sv
${CALIPTRA_ROOT}/src/kmac/rtl/sha3.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_reg_top.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_bucket_ht.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_cntr_reg.sv
Expand Down
17 changes: 17 additions & 0 deletions src/integration/config/caliptra_top_tb.vf
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,7 @@
+incdir+${CALIPTRA_ROOT}/src/integration/asserts
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
+incdir+${CALIPTRA_ROOT}/src/lc_ctrl/rtl
+incdir+${CALIPTRA_ROOT}/src/spi_host/rtl
Expand Down Expand Up @@ -52,6 +53,8 @@ ${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down Expand Up @@ -102,12 +105,19 @@ ${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_bind.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_pkg.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_veer_sram_export.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_services.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_soc_bfm.sv
${CALIPTRA_ROOT}/src/integration/coverage/caliptra_top_cov_if.sv
${CALIPTRA_ROOT}/src/integration/coverage/caliptra_top_cov_props.sv
${CALIPTRA_ROOT}/src/integration/coverage/caliptra_top_cov_bind.sv
${CALIPTRA_ROOT}/src/integration/test_suites/libs/jtagdpi/jtagdpi.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_addr.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_arb.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub.sv
${CALIPTRA_ROOT}/src/axi/rtl/caliptra_axi_sram.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv
Expand Down Expand Up @@ -275,6 +285,13 @@ ${CALIPTRA_ROOT}/src/ecc/rtl/ecc_adder.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg_pkg.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_req_if.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_ctrl.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_top.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_boot_fsm.sv
${CALIPTRA_ROOT}/src/soc_ifc/rtl/soc_ifc_arb.sv
Expand Down
Loading
Loading