Dissable parameter checks. #25
reviewdog [verible-verilog-lint] report
reported by reviewdog 🐶
Findings (250)
rtl/redmule_ctrl.sv|22 col 25| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
rtl/redmule_ctrl.sv|23 col 25| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
rtl/redmule_ctrl.sv|23 col 52| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|65 col 101| Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
rtl/redmule_ctrl.sv|65 col 134| Enum names must use lower_snake_case naming convention and end with t or e. [Style: enumerations] [enum-name-style]
rtl/redmule_ctrl.sv|112 col 17| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|124 col 17| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|131 col 53| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|198 col 30| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|222 col 32| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|252 col 62| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|281 col 7| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_ctrl.sv|293 col 13| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|296 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|310 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|319 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|321 col 11| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_ctrl.sv|346 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|361 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|364 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|380 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ctrl.sv|402 col 26| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_ce.sv|76 col 52| All generate block labels must start with g or gen [Style: generate-constructs] [generate-label-prefix]
rtl/redmule_complex.sv|18 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
rtl/redmule_complex.sv|21 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
rtl/redmule_complex.sv|34 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
rtl/redmule_complex.sv|37 col 101| Line length exceeds max: 100; is: 117 [Style: line-length] [line-length]
rtl/redmule_complex.sv|39 col 101| Line length exceeds max: 100; is: 109 [Style: line-length] [line-length]
rtl/redmule_complex.sv|65 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
rtl/redmule_complex.sv|125 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|180 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|186 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|191 col 101| Line length exceeds max: 100; is: 101 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|192 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|193 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|194 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|195 col 101| Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|201 col 101| Line length exceeds max: 100; is: 101 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|202 col 101| Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|204 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|205 col 101| Line length exceeds max: 100; is: 105 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|206 col 75| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|207 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|214 col 101| Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|215 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|217 col 101| Line length exceeds max: 100; is: 104 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|229 col 56| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|240 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|251 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|262 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|273 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|284 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|308 col 47| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|456 col 51| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|462 col 9| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|471 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|497 col 31| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|605 col 101| Line length exceeds max: 100; is: 170 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|742 col 51| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|793 col 101| Line length exceeds max: 100; is: 107 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|795 col 101| Line length exceeds max: 100; is: 128 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|837 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|838 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|839 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|840 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|845 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|846 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|847 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|848 col 101| Line length exceeds max: 100; is: 110 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|856 col 101| Line length exceeds max: 100; is: 155 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|857 col 101| Line length exceeds max: 100; is: 168 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|858 col 101| Line length exceeds max: 100; is: 187 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|859 col 101| Line length exceeds max: 100; is: 191 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|860 col 101| Line length exceeds max: 100; is: 179 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|861 col 101| Line length exceeds max: 100; is: 192 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|862 col 101| Line length exceeds max: 100; is: 138 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|864 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|865 col 78| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|919 col 25| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1000 col 5| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_scheduler.sv|1010 col 61| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1010 col 101| Line length exceeds max: 100; is: 112 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1025 col 10| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1060 col 45| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1078 col 9| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_scheduler.sv|1079 col 101| Line length exceeds max: 100; is: 111 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1085 col 17| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1092 col 10| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1137 col 101| Line length exceeds max: 100; is: 144 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1185 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1207 col 101| Line length exceeds max: 100; is: 168 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1208 col 101| Line length exceeds max: 100; is: 250 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1208 col 112| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1208 col 163| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1209 col 101| Line length exceeds max: 100; is: 168 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1217 col 101| Line length exceeds max: 100; is: 114 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1230 col 61| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1230 col 101| Line length exceeds max: 100; is: 141 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1234 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1260 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1270 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1289 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1302 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1305 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1338 col 12| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1339 col 10| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1364 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1387 col 101| Line length exceeds max: 100; is: 158 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1391 col 65| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1406 col 101| Line length exceeds max: 100; is: 186 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1406 col 179| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1410 col 72| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1444 col 101| Line length exceeds max: 100; is: 121 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1445 col 63| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1455 col 101| Line length exceeds max: 100; is: 133 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1489 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1494 col 101| Line length exceeds max: 100; is: 124 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1494 col 124| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1505 col 61| Binary literal 16'b1 has less digits than expected for 16 bits. [Style: number-literals] [undersized-binary-literal]
rtl/redmule_scheduler.sv|1505 col 101| Line length exceeds max: 100; is: 141 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1509 col 101| Line length exceeds max: 100; is: 111 [Style: line-length] [line-length]
rtl/redmule_scheduler.sv|1515 col 74| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1517 col 62| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1518 col 61| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_scheduler.sv|1561 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|16 col 101| Line length exceeds max: 100; is: 140 [Style: line-length] [line-length]
rtl/redmule_x_buffer.sv|16 col 115| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|20 col 34| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
rtl/redmule_x_buffer.sv|21 col 34| Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
rtl/redmule_x_buffer.sv|25 col 73| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|46 col 5| Use spaces, not tabs. [Style: tabs] [no-tabs]
rtl/redmule_x_buffer.sv|47 col 5| Use spaces, not tabs. [Style: tabs] [no-tabs]
rtl/redmule_x_buffer.sv|65 col 8| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|70 col 5| Use spaces, not tabs. [Style: tabs] [no-tabs]
rtl/redmule_x_buffer.sv|72 col 5| Use spaces, not tabs. [Style: tabs] [no-tabs]
rtl/redmule_x_buffer.sv|97 col 30| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|176 col 32| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_x_buffer.sv|187 col 34| All generate block statements must have a label [Style: generate-statements] [generate-label]
rtl/redmule_x_buffer.sv|188 col 36| All generate block statements must have a label [Style: generate-statements] [generate-label]
rtl/redmule_inst_decoder.sv|8 col 28| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_inst_decoder.sv|49 col 52| Enum names must use lower_snake_case naming convention and end with _t or _e. [Style: enumerations] [enum-name-style]
rtl/redmule_inst_decoder.sv|84 col 7| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_inst_decoder.sv|92 col 101| Line length exceeds max: 100; is: 108 [Style: line-length] [line-length]
rtl/redmule_inst_decoder.sv|173 col 5| Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
rtl/redmule_inst_decoder.sv|177 col 1| Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
rtl/redmule_wrap.sv|21 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
rtl/redmule_wrap.sv|23 col 101| Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
rtl/redmule_wrap.sv|26 col 101| Line length exceeds max: 100; is: 117 [Style: line-length] [line-length]
rtl/redmule_wrap.sv|28 col 101| Line length exceeds max: 100; is: 106 [Style: line-length] [line-length]
rtl/redmule_wrap.sv|61 col 101| Line length exceeds max: 100; is: 125 [Style: line-length] [line-length]
... (Too many findings. Dropped some findings)
Filtered Findings (0)
Annotations
Check warning on line 22 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L22
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:22 column:25}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 23 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L23
Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]
Raw output
message:"Non-type localparam names must be styled with CamelCase [Style: constants] [parameter-name-style]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:23 column:25}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:23 column:25} end:{line:24}} text:"localparam int unsigned LEFT_PARAMS = LEFT_PARAMS\n"}
Check warning on line 23 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L23
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:23 column:52}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 65 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L65
Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 152 [Style: line-length] [line-length]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:65 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 65 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L65
Enum names must use lower_snake_case naming convention and end with _t or _e. [Style: enumerations] [enum-name-style]
Raw output
message:"Enum names must use lower_snake_case naming convention and end with _t or _e. [Style: enumerations] [enum-name-style]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:65 column:134}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 112 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L112
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:112 column:17}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:112 column:17} end:{line:113}} text:" if (clear)\n"}
Check warning on line 124 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L124
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:124 column:17}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:124 column:17} end:{line:125}} text:" if (clear)\n"}
Check warning on line 131 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L131
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:131 column:53}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:131 column:53} end:{line:132}} text:" always_ff @(posedge clk_i or negedge rst_ni) begin\n"}
Check warning on line 198 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L198
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:198 column:30}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:198 column:30} end:{line:199}} text:" else if (last_w_row_en)\n"}
Check warning on line 222 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L222
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:222 column:32}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:222 column:32} end:{line:223}} text:" if (clear || storing_rst)\n"}
Check warning on line 252 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L252
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:252 column:62}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:252 column:62} end:{line:253}} text:" // This is a local FSM who's only work is to make the first\n"}
Check warning on line 281 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L281
Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
Raw output
message:"Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:281 column:7}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 293 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L293
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:293 column:13}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:293 column:13} end:{line:295}} text:" else\n\n"}
Check warning on line 296 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L296
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:296 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 310 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L310
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:310 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:310 column:1} end:{line:311}} text:"\n"}
Check warning on line 319 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L319
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:319 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:319 column:1} end:{line:320}} text:"\n"}
Check warning on line 321 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L321
Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]
Raw output
message:"Explicitly define a default case for every case statement. [Style: case-statements] [case-missing-default]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:321 column:11}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 346 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L346
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:346 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:346 column:1} end:{line:347}} text:"\n"}
Check warning on line 361 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L361
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:361 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:361 column:1} end:{line:363}} text:"\n\n"}
Check warning on line 364 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L364
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:364 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 380 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L380
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:380 column:1}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:380 column:1} end:{line:381}} text:"\n"}
Check warning on line 402 in rtl/redmule_ctrl.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ctrl.sv#L402
Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]
Raw output
message:"Remove trailing spaces. [Style: trailing-spaces] [no-trailing-spaces]" location:{path:"rtl/redmule_ctrl.sv" range:{start:{line:402 column:26}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"} suggestions:{range:{start:{line:402 column:26} end:{line:403}} text:" assign clear_o = clear;\n"}
Check warning on line 76 in rtl/redmule_ce.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_ce.sv#L76
All generate block labels must start with g_ or gen_ [Style: generate-constructs] [generate-label-prefix]
Raw output
message:"All generate block labels must start with g_ or gen_ [Style: generate-constructs] [generate-label-prefix]" location:{path:"rtl/redmule_ce.sv" range:{start:{line:76 column:52}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 18 in rtl/redmule_complex.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_complex.sv#L18
Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 102 [Style: line-length] [line-length]" location:{path:"rtl/redmule_complex.sv" range:{start:{line:18 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}
Check warning on line 21 in rtl/redmule_complex.sv
github-actions / verible-verilog-lint
[verible-verilog-lint] rtl/redmule_complex.sv#L21
Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]
Raw output
message:"Line length exceeds max: 100; is: 103 [Style: line-length] [line-length]" location:{path:"rtl/redmule_complex.sv" range:{start:{line:21 column:101}}} severity:WARNING source:{name:"verible-verilog-lint" url:"https://github.com/chipsalliance/verible"}