Skip to content

Commit

Permalink
formatter_test: Changed test styling to break newlines in strings
Browse files Browse the repository at this point in the history
Signed-off-by: Jan Bylicki <[email protected]>
  • Loading branch information
jbylicki committed Jun 27, 2023
1 parent 47af18c commit ea4656f
Showing 1 changed file with 7 additions and 2 deletions.
9 changes: 7 additions & 2 deletions verilog/formatting/formatter_test.cc
Original file line number Diff line number Diff line change
Expand Up @@ -15452,11 +15452,16 @@ TEST(FormatterEndToEndTest, AlwaysWrapModuleInstantiation) {
{"module foo; bar #(.N(N)) bq (.bus(bus));endmodule\n",
// instance parameter and port fits on line
"module foo;\n"
" bar #(\n .N(N)\n ) bq (\n .bus(bus)\n );\n"
" bar #(\n"
" .N(N)\n"
" ) bq (\n"
" .bus(bus)\n"
" );\n"
"endmodule\n"},
{"module foo; bar bq (.bus(bus));endmodule\n",
"module foo;\n"
" bar bq (\n .bus(bus)\n );\n"
" bar bq (\n"
" .bus(bus)\n );\n"
"endmodule\n"},
};
FormatStyle style;
Expand Down

0 comments on commit ea4656f

Please sign in to comment.