Skip to content

Commit

Permalink
Merge pull request #1959 from hzeller/20230710-add-missing-package
Browse files Browse the repository at this point in the history
Add some missing package() annotations in BUILD files.
  • Loading branch information
hzeller committed Jul 10, 2023
2 parents bb48c4c + 67bd580 commit 9637aaa
Show file tree
Hide file tree
Showing 11 changed files with 45 additions and 5 deletions.
2 changes: 1 addition & 1 deletion common/lsp/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -12,7 +12,7 @@ load("//common/tools:jcxxgen.bzl", "jcxxgen")
package(
default_applicable_licenses = ["//:license"],
default_visibility = [
"//:__subpackages__",
"//verilog/tools/ls:__subpackages__",
],
)

Expand Down
2 changes: 1 addition & 1 deletion third_party/portable_endian/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -3,5 +3,5 @@ licenses(["unencumbered"])
cc_library(
name = "portable_endian",
hdrs = ["portable_endian.h"],
visibility = ["//visibility:public"],
visibility = ["//common/util:__pkg__"],
)
4 changes: 2 additions & 2 deletions verilog/analysis/checkers/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -29,11 +29,11 @@ cc_library(
":explicit-task-lifetime-rule",
":forbid-consecutive-null-statements-rule",
":forbid-defparam-rule",
":forbid-negative-array-dim",
":forbidden-anonymous-enums-rule",
":forbidden-anonymous-structs-unions-rule",
":forbidden-macro-rule",
":forbidden-symbol-rule",
":forbid-negative-array-dim",
":generate-label-prefix-rule",
":generate-label-rule",
":interface-name-style-rule",
Expand Down Expand Up @@ -1067,8 +1067,8 @@ cc_library(
"//common/analysis/matcher:bound-symbol-manager",
"//common/text:symbol",
"//common/text:syntax-tree-context",
"//verilog/CST:verilog-matchers",
"//verilog/CST:statement",
"//verilog/CST:verilog-matchers",
"//verilog/analysis:descriptions",
"//verilog/analysis:lint-rule-registry",
"@com_google_absl//absl/strings",
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/diff/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-diff",
srcs = ["verilog_diff.cc"],
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/formatter/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-format",
srcs = ["verilog_format.cc"],
Expand Down
7 changes: 6 additions & 1 deletion verilog/tools/lint/BUILD
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
# This package only contains end-to-end tests for the style linter.
# This package contains style linter binary and end-to-end tests it

load(
":verilog_style_lint.bzl",
Expand All @@ -8,6 +8,11 @@ load(
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

# Integration tests for different flags and configurations
# These tests help confirm that rules' cc_libraries are properly alwayslink-ed.
# TODO(fangism): re-organize this into structs instead of tuples,
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/obfuscator/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-obfuscate",
srcs = ["verilog_obfuscate.cc"],
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/preprocessor/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-preprocessor",
srcs = ["verilog_preprocessor.cc"],
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/project/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-project",
srcs = ["project_tool.cc"],
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/syntax/BUILD
Original file line number Diff line number Diff line change
Expand Up @@ -3,6 +3,11 @@
load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib")
load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:private"],
)

cc_binary(
name = "verible-verilog-syntax",
srcs = ["verilog_syntax.cc"],
Expand Down
5 changes: 5 additions & 0 deletions verilog/tools/syntax/export_json_examples/BUILD
Original file line number Diff line number Diff line change
@@ -1,5 +1,10 @@
load("@rules_python//python:defs.bzl", "py_binary", "py_library", "py_test")

package(
default_applicable_licenses = ["//:license"],
default_visibility = ["//visibility:public"], # public examples
)

py_library(
name = "verible-verilog-syntax-py",
srcs = ["verible_verilog_syntax.py"],
Expand Down

0 comments on commit 9637aaa

Please sign in to comment.