Skip to content

Commit

Permalink
Merge pull request #236 from chipsalliance/dev-msft
Browse files Browse the repository at this point in the history
Merge dev-msft -> dev-integrate
  • Loading branch information
calebofearth authored Oct 3, 2023
2 parents a333142 + 779dcee commit 4fb29d2
Show file tree
Hide file tree
Showing 102 changed files with 924 additions and 185 deletions.
1 change: 1 addition & 0 deletions src/aes/config/aes.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
Expand Down
1 change: 1 addition & 0 deletions src/aes/config/aes_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
Expand Down
1 change: 1 addition & 0 deletions src/ahb_lite_bus/config/ahb_lite_bus.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/ahb_lite_bus/rtl
Expand Down
2 changes: 1 addition & 1 deletion src/ahb_lite_bus/rtl/ahb_lite_bus.sv
Original file line number Diff line number Diff line change
Expand Up @@ -36,7 +36,7 @@ module ahb_lite_bus #(
// --------------------------------------
// Responder Interface Port
// --------------------------------------
CALIPTRA_AHB_LITE_BUS_INF.Responder_Interface_Ports ahb_lite_responders[NUM_RESPONDERS-1:0],
CALIPTRA_AHB_LITE_BUS_INF.Responder_Interface_Ports ahb_lite_responders[0:NUM_RESPONDERS-1],

// ----------------------------------------------
// Respnder Disable
Expand Down
1 change: 1 addition & 0 deletions src/caliptra_prim/config/caliptra_prim.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
Expand Down
1 change: 1 addition & 0 deletions src/caliptra_prim/config/caliptra_prim_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/caliptra_prim_generic/config/caliptra_prim_generic.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv
${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv
Expand Down
1 change: 1 addition & 0 deletions src/csrng/config/csrng.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
Expand Down
1 change: 1 addition & 0 deletions src/csrng/config/csrng_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/csrng/rtl
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/csrng/config/csrng_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim/rtl
Expand Down
1 change: 1 addition & 0 deletions src/datavault/config/datavault.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/datavault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/datavault/config/dv_defines_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/datavault/rtl
${CALIPTRA_ROOT}/src/datavault/rtl/dv_defines_pkg.sv
${CALIPTRA_ROOT}/src/datavault/rtl/dv_defines_pkg.sv
1 change: 1 addition & 0 deletions src/datavault/config/dv_uvm_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,2 +1,3 @@

+incdir+${CALIPTRA_ROOT}/src/datavault/rtl
${CALIPTRA_ROOT}/src/datavault/rtl/dv_reg_uvm.sv
1 change: 1 addition & 0 deletions src/doe/config/doe_cbc_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_core_cbc_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_ctrl.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/doe/config/doe_defines_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/doe/rtl
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
${CALIPTRA_ROOT}/src/doe/rtl/doe_defines_pkg.sv
1 change: 1 addition & 0 deletions src/ecc/config/ecc_montgomerymultiplier_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/ecc/config/ecc_top.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/ecc/config/ecc_top_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
3 changes: 3 additions & 0 deletions src/ecc/coverage/ecc_top_cov_if.sv
Original file line number Diff line number Diff line change
Expand Up @@ -34,6 +34,7 @@ interface ecc_top_cov_if
logic error_flag;
logic privkey_input_outofrange;
logic r_output_outofrange;
logic s_output_outofrange;
logic r_input_outofrange;
logic s_input_outofrange;
logic pubkeyx_input_outofrange;
Expand Down Expand Up @@ -93,6 +94,7 @@ interface ecc_top_cov_if
assign error_flag = ecc_top.ecc_dsa_ctrl_i.error_flag;
assign privkey_input_outofrange = ecc_top.ecc_dsa_ctrl_i.privkey_input_outofrange;
assign r_output_outofrange = ecc_top.ecc_dsa_ctrl_i.r_output_outofrange;
assign s_output_outofrange = ecc_top.ecc_dsa_ctrl_i.s_output_outofrange;
assign r_input_outofrange = ecc_top.ecc_dsa_ctrl_i.r_input_outofrange;
assign s_input_outofrange = ecc_top.ecc_dsa_ctrl_i.s_input_outofrange;
assign pubkeyx_input_outofrange = ecc_top.ecc_dsa_ctrl_i.pubkeyx_input_outofrange;
Expand All @@ -118,6 +120,7 @@ interface ecc_top_cov_if
error_flag_cp: coverpoint error_flag;
privkey_input_outofrange_cp: coverpoint privkey_input_outofrange;
r_output_outofrange_cp: coverpoint r_output_outofrange;
s_output_outofrange_cp: coverpoint s_output_outofrange;
r_input_outofrange_cp: coverpoint r_input_outofrange;
s_input_outofrange_cp: coverpoint s_input_outofrange;
pubkeyx_input_outofrange_cp: coverpoint pubkeyx_input_outofrange;
Expand Down
24 changes: 21 additions & 3 deletions src/ecc/rtl/ecc_dsa_ctrl.sv
Original file line number Diff line number Diff line change
Expand Up @@ -129,6 +129,7 @@ module ecc_dsa_ctrl
logic [1 : 0] cmd_reg;
logic [2 : 0] pm_cmd_reg;
logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] msg_reg;
logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] msg_reduced_reg;
logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] privkey_reg;
logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] kv_reg;
logic [REG_NUM_DWORDS-1 : 0][RADIX-1:0] pubkeyx_reg;
Expand Down Expand Up @@ -196,6 +197,7 @@ module ecc_dsa_ctrl

logic privkey_input_outofrange;
logic r_output_outofrange;
logic s_output_outofrange;
logic r_input_outofrange;
logic s_input_outofrange;
logic pubkeyx_input_outofrange;
Expand Down Expand Up @@ -263,7 +265,7 @@ module ecc_dsa_ctrl
.keygen_seed(seed_reg),
.keygen_nonce(nonce_reg),
.privKey(privkey_reg),
.hashed_msg(msg_reg),
.hashed_msg(msg_reduced_reg),
.IV(IV_reg),
.lambda(lambda),
.scalar_rnd(scalar_rnd_reg),
Expand Down Expand Up @@ -435,6 +437,21 @@ module ecc_dsa_ctrl
hwif_in.ECC_IV[dword].IV.hwclr = zeroize_reg;
end
end

//transformed msg into modulo q
always_ff @(posedge clk or negedge reset_n)
begin : reduced_msg
if (!reset_n)
msg_reduced_reg <= '0;
else if (zeroize_reg)
msg_reduced_reg <= '0;
else begin
if (msg_reg >= GROUP_ORDER)
msg_reduced_reg <= msg_reg - GROUP_ORDER;
else
msg_reduced_reg <= msg_reg;
end
end


always_comb hwif_in.ECC_CTRL.CTRL.hwclr = |hwif_out.ECC_CTRL.CTRL.value;
Expand Down Expand Up @@ -564,7 +581,7 @@ module ecc_dsa_ctrl
CONST_G_Y_MONT_ID : write_reg = {zero_pad, G_Y_MONT};
CONST_R2_q_MONT_ID : write_reg = {zero_pad, R2_q_MONT};
CONST_ONE_q_MONT_ID : write_reg = {zero_pad, ONE_q_MONT};
MSG_ID : write_reg = {zero_pad, msg_reg};
MSG_ID : write_reg = {zero_pad, msg_reduced_reg};
PRIVKEY_ID : write_reg = {zero_pad, privkey_reg};
PUBKEYX_ID : write_reg = {zero_pad, pubkeyx_reg};
PUBKEYY_ID : write_reg = {zero_pad, pubkeyy_reg};
Expand Down Expand Up @@ -643,6 +660,7 @@ module ecc_dsa_ctrl

assign privkey_input_outofrange = signing_process & ((privkey_reg == 0) | (privkey_reg >= GROUP_ORDER));
assign r_output_outofrange = signing_process & (hw_r_we & (read_reg == 0));
assign s_output_outofrange = signing_process & (hw_s_we & (read_reg == 0));

assign r_input_outofrange = verifying_process & ((r_reg == 0) | (r_reg >= GROUP_ORDER));
assign s_input_outofrange = verifying_process & ((s_reg == 0) | (s_reg >= GROUP_ORDER));
Expand All @@ -652,7 +670,7 @@ module ecc_dsa_ctrl

assign pcr_sign_input_invalid = ((cmd_reg == KEYGEN) | (cmd_reg == VERIFY)) & pcr_sign_mode;

assign error_flag = privkey_input_outofrange | r_output_outofrange | r_input_outofrange | s_input_outofrange | pubkeyx_input_outofrange | pubkeyy_input_outofrange | pubkey_input_invalid | pcr_sign_input_invalid;
assign error_flag = privkey_input_outofrange | r_output_outofrange | s_output_outofrange | r_input_outofrange | s_input_outofrange | pubkeyx_input_outofrange | pubkeyy_input_outofrange | pubkey_input_invalid | pcr_sign_input_invalid;

//----------------------------------------------------------------
// ECDSA_FSM_flow
Expand Down
9 changes: 7 additions & 2 deletions src/ecc/rtl/ecc_hmac_drbg_interface.sv
Original file line number Diff line number Diff line change
Expand Up @@ -209,16 +209,21 @@ module ecc_hmac_drbg_interface#(
end
else
if (hmac_done_edge) begin
/* verilator lint_off CASEINCOMPLETE */
unique case (state_reg) inside
LFSR_ST: lfsr_seed_reg <= hmac_drbg_result[147 : 0];
LAMBDA_ST: lambda_reg <= hmac_drbg_result;
SCALAR_RND_ST: scalar_rnd_reg <= hmac_drbg_result;
MASKING_RND_ST: masking_rnd_reg <= hmac_drbg_result;
KEYGEN_ST: drbg_reg <= hmac_drbg_result;
SIGN_ST: drbg_reg <= hmac_drbg_result;
default: begin
lambda_reg <= '0;
scalar_rnd_reg <= '0;
masking_rnd_reg <= '0;
drbg_reg <= '0;
lfsr_seed_reg <= LFSR_INIT_SEED;
end
endcase
/* verilator lint_on CASEINCOMPLETE */
end
end //reg_update

Expand Down
18 changes: 9 additions & 9 deletions src/ecc/tb/test_vectors/ecc_drbg_mbedtls.hex
Original file line number Diff line number Diff line change
Expand Up @@ -8,15 +8,15 @@ BB9C3A2F061E8D7014278DD51E66A918A6B6F9F1C1937312D4E7A921B18EF0F41FDD401D9E771850
E548E535A1CC600E133B5591AEBAAD78054006D752D0E1DF94FBFA95D78F0B3F8E81B9119C2BE008BF6D6F4E4185F87D
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
0
C8F518D4F3AA1BD46ED56C1C3C9E16FB800AF504DB98843548C5F623EE115F73D4C62ABC06D303B5D90D9A175087290D
F274F69D163B0C9F1FC3EBF4292AD1C4EB3CEC1C5A7DDE6F80C14292934C2055E087748D0A169C772483ADEE5EE70E17
D79C6D972B34A1DFC916A7B6E0A99B6B5387B34DA2187607C1AD0A4D1A8C2E4172AB5FA5D9AB58FE45E43F56BBB66BA4
5A7363932B06B4F223BEF0B60A6390265112DBBD0AAE67FEF26B465BE935B48E451E68D16F1118F2B32B4C28608749ED
8FA8541C82A392CA74F23ED1DBFD73541C5966391B97EA73D744B0E34B9DF59ED0158063E39C09A5A055371EDF7A5441
1B7EC5E548E8AAA92EC77097CA9551C9783CE682CA18FB1EDBD9F1E50BC382DB8AB39496C8EE423F8CA105CBBA7B6588
871E6EA4DDC5432CDDAA60FD7F055472D3C4DD41A5BFB26709E88C311A97093599A7C8F55B3974C19E4F5A7BFC1DD2AC
3E5552DE6403350EE70AD74E4B854D2DC4126BBF9C153A5D7A07BD4B85D06E45F850920E898FB7D34F80796DAE29365C
3401CEFAE20A737649073AC1A351E32926DB9ED0DB6B1CFFAB0493DAAFB93DDDD83EDEA28A803D0D003B2633B9D0F1BF
FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
7F68A6D896EA5DA62E78DEDB46F6662BC141F2F0B9E641ACC7342663FD51444E380FEA1DABBCA55F18987C0CFC10DF77
787D82654607CD1D5A1B11F25C7DF90541380E497CB0560E1489BF7F71B6596300CC9D19335C4827A9BC6148B342F5EC
A321B7C112EEE7227631066CDB556F0D6C66CA87AE912EB4DC412F2F108B27E2BECCBA94F0D844BD7872106EF25C9F25
FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
F3132CF17D965F02E7BF2FDA8755BF54B31B72053D3C35031AA28AB7689C046AF863DB63D04D4810CD042F3B07A860FC
01A6FDB4EFC82CC544B06FE7741CF9C6E8D8189C14A250FBAFCB3FDC5290F30E5E7092FCD1B1A54E60E4F37750BBFE7D
FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
1
5F1C0F632D10524D84F4BD20C9ADDAD795CDF5DAA60EA1217A00DD7B1ECAD268C23CC6D7F258E3747A5E4299893F8C37
C9DA896F656439AE83BCA037496DC001CB1F8F0FC9AB9C3C1723768352399E4BF5F44A60E84C2567B88C32569342B706
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -120,6 +120,7 @@ import uvmf_base_pkg_hdl::*;
.debugUnlock_or_scan_mode_switch('0)
);

ecc_top_cov_bind i_ecc_top_cov_bind();
// pragma uvmf custom dut_instantiation end

initial begin // tbx vif_binding_block
Expand Down
1 change: 1 addition & 0 deletions src/edn/config/edn_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/edn/rtl
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/entropy_src/config/entropy_src.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
Expand Down
1 change: 1 addition & 0 deletions src/entropy_src/config/entropy_src_pkg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv
${CALIPTRA_ROOT}/src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/entropy_src/config/entropy_src_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/entropy_src/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
Expand Down
1 change: 1 addition & 0 deletions src/hmac/config/hmac_ctrl.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/hmac/config/hmac_ctrl_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -108,6 +108,7 @@ hmac_ctrl #(
.debugUnlock_or_scan_mode_switch('0)
);

hmac_ctrl_cov_bind i_hmac_ctrl_cov_bind();
// pragma uvmf custom dut_instantiation end

initial begin // tbx vif_binding_block
Expand Down
1 change: 1 addition & 0 deletions src/hmac_drbg/config/hmac_drbg.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/hmac_drbg/config/hmac_drbg_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/integration/asserts/config/asserts.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
+incdir+${CALIPTRA_ROOT}/src/doe/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/asserts
Expand Down
1 change: 1 addition & 0 deletions src/integration/config/caliptra_top.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
Expand Down
1 change: 1 addition & 0 deletions src/integration/config/caliptra_top_defines.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/integration/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
${CALIPTRA_ROOT}/src/integration/rtl/caliptra_reg_defines.svh
Expand Down
9 changes: 9 additions & 0 deletions src/integration/config/caliptra_top_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
+incdir+${CALIPTRA_ROOT}/src/doe/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/asserts
Expand All @@ -20,6 +21,8 @@
+incdir+${CALIPTRA_ROOT}/src/hmac/coverage
+incdir+${CALIPTRA_ROOT}/src/ecc/coverage
+incdir+${CALIPTRA_ROOT}/src/soc_ifc/coverage
+incdir+${CALIPTRA_ROOT}/src/pcrvault/coverage
+incdir+${CALIPTRA_ROOT}/src/keyvault/coverage
+incdir+${CALIPTRA_ROOT}/src/integration/tb
+incdir+${CALIPTRA_ROOT}/src/integration/coverage
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl
Expand Down Expand Up @@ -90,6 +93,12 @@ ${CALIPTRA_ROOT}/src/ecc/coverage/ecc_top_cov_if.sv
${CALIPTRA_ROOT}/src/ecc/coverage/ecc_top_cov_bind.sv
${CALIPTRA_ROOT}/src/soc_ifc/coverage/soc_ifc_cov_if.sv
${CALIPTRA_ROOT}/src/soc_ifc/coverage/soc_ifc_cov_bind.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_if.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_props.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_bind.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_if.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_props.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_bind.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_pkg.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_veer_sram_export.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_services.sv
Expand Down
9 changes: 9 additions & 0 deletions src/integration/config/caliptra_top_trng_tb.vf
Original file line number Diff line number Diff line change
@@ -1,3 +1,4 @@

+incdir+${CALIPTRA_ROOT}/src/keyvault/rtl
+incdir+${CALIPTRA_ROOT}/src/doe/rtl
+incdir+${CALIPTRA_ROOT}/src/integration/asserts
Expand All @@ -20,6 +21,8 @@
+incdir+${CALIPTRA_ROOT}/src/hmac/coverage
+incdir+${CALIPTRA_ROOT}/src/ecc/coverage
+incdir+${CALIPTRA_ROOT}/src/soc_ifc/coverage
+incdir+${CALIPTRA_ROOT}/src/pcrvault/coverage
+incdir+${CALIPTRA_ROOT}/src/keyvault/coverage
+incdir+${CALIPTRA_ROOT}/src/integration/tb
+incdir+${CALIPTRA_ROOT}/src/integration/coverage
+incdir+${CALIPTRA_ROOT}/src/caliptra_prim_generic/rtl
Expand Down Expand Up @@ -90,6 +93,12 @@ ${CALIPTRA_ROOT}/src/ecc/coverage/ecc_top_cov_if.sv
${CALIPTRA_ROOT}/src/ecc/coverage/ecc_top_cov_bind.sv
${CALIPTRA_ROOT}/src/soc_ifc/coverage/soc_ifc_cov_if.sv
${CALIPTRA_ROOT}/src/soc_ifc/coverage/soc_ifc_cov_bind.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_if.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_props.sv
${CALIPTRA_ROOT}/src/pcrvault/coverage/pcrvault_cov_bind.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_if.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_props.sv
${CALIPTRA_ROOT}/src/keyvault/coverage/keyvault_cov_bind.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_pkg.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_veer_sram_export.sv
${CALIPTRA_ROOT}/src/integration/tb/caliptra_top_tb_services.sv
Expand Down
2 changes: 2 additions & 0 deletions src/integration/config/compile.yml
Original file line number Diff line number Diff line change
Expand Up @@ -74,6 +74,8 @@ requires:
- hmac_coverage
- ecc_coverage
- soc_ifc_coverage
- pcrvault_cov
- keyvault_cov
targets:
dpi_compile:
directories:
Expand Down
Loading

0 comments on commit 4fb29d2

Please sign in to comment.