Skip to content

Commit

Permalink
[RTL] Add new AXI modules; standalone module checkin with no integrat…
Browse files Browse the repository at this point in the history
…ion (#593)

* Add new AXI modules to support Caliptra interface conversion

* Update all file lists to include lib updates

* Restore default_nettype to 'wire' for the global namespace

* Update license header check to work with ZipCPU code

* Note about file modifications in header

* MICROSOFT AUTOMATED PIPELINE: Stamp 'cwhitehead-msft-axi-modules-only' with updated timestamp and hash after successful run
  • Loading branch information
calebofearth committed Sep 17, 2024
1 parent 0e43b8e commit 376eee1
Show file tree
Hide file tree
Showing 80 changed files with 9,999 additions and 24 deletions.
2 changes: 1 addition & 1 deletion .github/scripts/license_header_check.sh
Original file line number Diff line number Diff line change
Expand Up @@ -79,7 +79,7 @@ exclude_suffix='*.{tcl,txt,js,htm,html,json,vf,yml,woff,rsp,rdl,bashrc,waiver,cf
exclude_regs='*_reg*.{sv,rdl}'
exclude_csr='*_csr*.*'
exclude_file='{sglint_waivers,pr_hash,pr_timestamp,.git-comodules,.gitignore,spyglass_lint.policy,ascent.ctl,clp_mapfile,readme.md,README.md,SECURITY.md,c_sample.c}'
apache_patn='Licensed under the Apache License'
apache_patn='Licensed under the Apache License\|Apache License, Version 2\.0 (the \"License\")'

# Recursive find through repository with some major exclusions
# 'eval' is used to expand exclude vars into a usable glob pattern
Expand Down
2 changes: 1 addition & 1 deletion .github/workflow_metadata/pr_hash
Original file line number Diff line number Diff line change
@@ -1 +1 @@
fab6c87e214d418f246590abc80ce5acbff6ab3211181509da704c57546ef46fccefa05b529bb463d06fbf9fefdeee36
a3f44185739931ea5f9cef8f32616beb1315f4868455862eb0d89029c1594a17dca49b437f7292918b5f41067805e64a
2 changes: 1 addition & 1 deletion .github/workflow_metadata/pr_timestamp
Original file line number Diff line number Diff line change
@@ -1 +1 @@
1725922621
1726529716
3 changes: 2 additions & 1 deletion src/aes/config/aes.vf
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down Expand Up @@ -88,4 +89,4 @@ ${CALIPTRA_ROOT}/src/aes/rtl/aes_shift_rows.sv
${CALIPTRA_ROOT}/src/aes/rtl/aes_mix_single_column.sv
${CALIPTRA_ROOT}/src/aes/rtl/aes_cipher_control.sv
${CALIPTRA_ROOT}/src/aes/rtl/aes_prng_masking.sv
${CALIPTRA_ROOT}/src/aes/rtl/aes_key_expand.sv
${CALIPTRA_ROOT}/src/aes/rtl/aes_key_expand.sv
1 change: 1 addition & 0 deletions src/aes/config/aes_pkg.vf
Original file line number Diff line number Diff line change
Expand Up @@ -22,6 +22,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv
${CALIPTRA_ROOT}/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv
Expand Down
1 change: 1 addition & 0 deletions src/ahb_lite_bus/config/ahb_lite_bus.vf
Original file line number Diff line number Diff line change
Expand Up @@ -13,6 +13,7 @@ ${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/ahb_lite_bus/rtl/ahb_lite_bus_inf.sv
${CALIPTRA_ROOT}/src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv
Expand Down
26 changes: 26 additions & 0 deletions src/axi/config/axi_dma.vf
Original file line number Diff line number Diff line change
@@ -0,0 +1,26 @@
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
${CALIPTRA_ROOT}/src/integration/rtl/caliptra_reg_defines.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sva.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_macros.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sram.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_defines_pkg.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_ahb_srom.sv
${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_req_if.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_reg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_mgr_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_ctrl.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_dma_top.sv
4 changes: 4 additions & 0 deletions src/axi/config/axi_pkg.vf
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
24 changes: 24 additions & 0 deletions src/axi/config/axi_sub.vf
Original file line number Diff line number Diff line change
@@ -0,0 +1,24 @@
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
${CALIPTRA_ROOT}/src/integration/rtl/caliptra_reg_defines.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sva.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_macros.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sram.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_defines_pkg.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_ahb_srom.sv
${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_addr.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_arb.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub.sv
25 changes: 25 additions & 0 deletions src/axi/config/caliptra_axi_sram.vf
Original file line number Diff line number Diff line change
@@ -0,0 +1,25 @@
+incdir+${CALIPTRA_ROOT}/src/integration/rtl
+incdir+${CALIPTRA_ROOT}/src/libs/rtl
+incdir+${CALIPTRA_ROOT}/src/axi/rtl
${CALIPTRA_ROOT}/src/integration/rtl/config_defines.svh
${CALIPTRA_ROOT}/src/integration/rtl/caliptra_reg_defines.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sva.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_macros.svh
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_sram.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_defines_pkg.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_ahb_srom.sv
${CALIPTRA_ROOT}/src/libs/rtl/apb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_slv_sif.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_icg.sv
${CALIPTRA_ROOT}/src/libs/rtl/clk_gate.sv
${CALIPTRA_ROOT}/src/libs/rtl/caliptra_2ff_sync.sv
${CALIPTRA_ROOT}/src/libs/rtl/skidbuffer.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_pkg.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_if.sv
${CALIPTRA_ROOT}/src/libs/rtl/ahb_to_reg_adapter.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_addr.v
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_rd.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_wr.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub_arb.sv
${CALIPTRA_ROOT}/src/axi/rtl/axi_sub.sv
${CALIPTRA_ROOT}/src/axi/rtl/caliptra_axi_sram.sv
60 changes: 60 additions & 0 deletions src/axi/config/compile.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,60 @@
---
provides: [axi_pkg]
schema_version: 2.4.0
targets:
tb:
directories: [$COMPILE_ROOT/rtl]
files:
- $COMPILE_ROOT/rtl/axi_pkg.sv
- $COMPILE_ROOT/rtl/axi_if.sv
rtl:
directories: [$COMPILE_ROOT/rtl]
files:
- $COMPILE_ROOT/rtl/axi_pkg.sv
- $COMPILE_ROOT/rtl/axi_if.sv
---
provides: [axi_sub]
schema_version: 2.4.0
requires:
- libs
- axi_pkg
targets:
rtl:
directories: [$COMPILE_ROOT/rtl]
files:
- $COMPILE_ROOT/rtl/axi_addr.v
- $COMPILE_ROOT/rtl/axi_sub_rd.sv
- $COMPILE_ROOT/rtl/axi_sub_wr.sv
- $COMPILE_ROOT/rtl/axi_sub_arb.sv
- $COMPILE_ROOT/rtl/axi_sub.sv
tops: [axi_sub]
---
provides: [caliptra_axi_sram]
schema_version: 2.4.0
requires:
- libs
- axi_sub
targets:
rtl:
directories: [$COMPILE_ROOT/rtl]
files:
- $COMPILE_ROOT/rtl/caliptra_axi_sram.sv
tops: [caliptra_axi_sram]
---
provides: [axi_dma]
schema_version: 2.4.0
requires:
- libs
- axi_pkg
targets:
rtl:
directories: [$COMPILE_ROOT/rtl]
files:
- $COMPILE_ROOT/rtl/axi_dma_req_if.sv
- $COMPILE_ROOT/rtl/axi_dma_reg_pkg.sv
- $COMPILE_ROOT/rtl/axi_dma_reg.sv
- $COMPILE_ROOT/rtl/axi_mgr_rd.sv
- $COMPILE_ROOT/rtl/axi_mgr_wr.sv
- $COMPILE_ROOT/rtl/axi_dma_ctrl.sv
- $COMPILE_ROOT/rtl/axi_dma_top.sv
tops: [axi_dma_top]
Loading

0 comments on commit 376eee1

Please sign in to comment.