Skip to content
This repository has been archived by the owner on Aug 19, 2024. It is now read-only.

ChiselTest v0.5.1

Compare
Choose a tag to compare
@jackkoenig jackkoenig released this 08 Feb 03:41

Feature

  • Scala type peek poke (#480)
    You can now more easily peek and poke with Scala values, eg. myUInt.poke(100) and myUInt.peekInt()

BugFix

  • formal: test non power of two memories and fix replay bug (#490)