Skip to content

Commit

Permalink
⚠️ [top] remove OCD_DM_LEGACY_MODE generic
Browse files Browse the repository at this point in the history
  • Loading branch information
stnolting committed Oct 12, 2024
1 parent ab0b660 commit 9fa97c9
Show file tree
Hide file tree
Showing 3 changed files with 5 additions and 13 deletions.
1 change: 0 additions & 1 deletion docs/datasheet/soc.adoc
Original file line number Diff line number Diff line change
Expand Up @@ -210,7 +210,6 @@ The generic type "`suv(x:y)`" is an abbreviation for "`std_ulogic_vector(x downt
| `JEDEC_ID` | suv(10:0) | 0b00000000000 | JEDEC ID; continuation codes plus vendor ID (passed to <<_mvendorid>> CSR and to the <<_debug_transport_module_dtm>>).
4+^| **<<_on_chip_debugger_ocd>>**
| `OCD_EN` | boolean | false | Implement the on-chip debugger and the CPU debug mode.
| `OCD_DM_LEGACY_MODE` | boolean | false | Debug module spec. version: `false` = v1.0, `true` = v0.13 (legacy mode).
| `OCD_AUTHENTICATION` | boolean | false | Implement <<_debug_authentication>> module.
4+^| **CPU <<_instruction_sets_and_extensions>>**
| `RISCV_ISA_C` | boolean | false | Enable <<_c_isa_extension>> (compressed instructions).
Expand Down
7 changes: 2 additions & 5 deletions rtl/core/neorv32_package.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -29,7 +29,7 @@ package neorv32_package is

-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100505"; -- hardware version
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100506"; -- hardware version
constant archid_c : natural := 19; -- official RISC-V architecture ID
constant XLEN : natural := 32; -- native data path width

Expand Down Expand Up @@ -123,7 +123,6 @@ package neorv32_package is
-- -------------------------------------------------------------------------------------------
-- bus request --
type bus_req_t is record
-- in-band signals --
addr : std_ulogic_vector(31 downto 0); -- access address
data : std_ulogic_vector(31 downto 0); -- write data
ben : std_ulogic_vector(3 downto 0); -- byte enable
Expand All @@ -132,8 +131,7 @@ package neorv32_package is
src : std_ulogic; -- access source (1=instruction fetch, 0=data access)
priv : std_ulogic; -- set if privileged (machine-mode) access
rvso : std_ulogic; -- set if reservation set operation (atomic LR/SC)
-- out-of-band signals --
fence : std_ulogic; -- set if fence(.i) operation, single-shot
fence : std_ulogic; -- set if fence(.i) operation, single-shot (out-of-band)
end record;

-- bus response --
Expand Down Expand Up @@ -677,7 +675,6 @@ package neorv32_package is
INT_BOOTLOADER_EN : boolean := false;
-- On-Chip Debugger (OCD) --
OCD_EN : boolean := false;
OCD_DM_LEGACY_MODE : boolean := false;
OCD_AUTHENTICATION : boolean := false;
-- RISC-V CPU Extensions --
RISCV_ISA_C : boolean := false;
Expand Down
10 changes: 3 additions & 7 deletions rtl/core/neorv32_top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -30,7 +30,6 @@ entity neorv32_top is

-- On-Chip Debugger (OCD) --
OCD_EN : boolean := false; -- implement on-chip debugger
OCD_DM_LEGACY_MODE : boolean := false; -- debug module spec version: false = v1.0, true = v0.13
OCD_AUTHENTICATION : boolean := false; -- implement on-chip debugger authentication

-- RISC-V CPU Extensions --
Expand Down Expand Up @@ -253,7 +252,6 @@ architecture neorv32_top_rtl of neorv32_top is
constant io_pwm_en_c : boolean := boolean(IO_PWM_NUM_CH > 0);
constant cpu_smpmp_c : boolean := boolean(PMP_NUM_REGIONS > 0);
constant io_sysinfo_en_c : boolean := not IO_DISABLE_SYSINFO;
constant ocd_auth_en_c : boolean := OCD_EN and OCD_AUTHENTICATION;

-- convert JEDEC ID to mvendorid CSR --
constant vendorid_c : std_ulogic_vector(31 downto 0) := x"00000" & "0" & JEDEC_ID;
Expand Down Expand Up @@ -360,8 +358,7 @@ begin
cond_sel_string_f(IO_SLINK_EN, "SLINK ", "") &
cond_sel_string_f(IO_CRC_EN, "CRC ", "") &
cond_sel_string_f(io_sysinfo_en_c, "SYSINFO ", "") &
cond_sel_string_f(OCD_EN, "OCD ", "") &
cond_sel_string_f(ocd_auth_en_c, "OCD-AUTH ", "") &
cond_sel_string_f(OCD_EN, cond_sel_string_f(OCD_AUTHENTICATION, "OCD-AUTH ", "OCD "), "") &
""
severity note;

Expand Down Expand Up @@ -1573,8 +1570,8 @@ begin
XIP_CACHE_EN => XIP_CACHE_EN,
XIP_CACHE_NUM_BLOCKS => XIP_CACHE_NUM_BLOCKS,
XIP_CACHE_BLOCK_SIZE => XIP_CACHE_BLOCK_SIZE,
ON_CHIP_DEBUGGER_EN => OCD_EN,
OCD_AUTHENTICATION => ocd_auth_en_c,
OCD_EN => OCD_EN,
OCD_AUTHENTICATION => OCD_AUTHENTICATION,
IO_GPIO_EN => io_gpio_en_c,
IO_MTIME_EN => IO_MTIME_EN,
IO_UART0_EN => IO_UART0_EN,
Expand Down Expand Up @@ -1641,7 +1638,6 @@ begin
neorv32_debug_dm_inst: entity neorv32.neorv32_debug_dm
generic map (
CPU_BASE_ADDR => base_io_dm_c,
LEGACY_MODE => OCD_DM_LEGACY_MODE,
AUTHENTICATOR => OCD_AUTHENTICATION
)
port map (
Expand Down

0 comments on commit 9fa97c9

Please sign in to comment.