Skip to content
This repository has been archived by the owner on Apr 25, 2024. It is now read-only.

keyboard #1

Open
wants to merge 4 commits into
base: master
Choose a base branch
from
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bin2bcd5_tb.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/dispdrv_tb.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bcd2disp.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bcddec.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bin2bcd.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/dispdrv.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/main-adder-and-display.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sim_1/alu_tb.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sim_1/alu_tb.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../copyright/bijlagen/alu_tb.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sim_1/twoc_tb.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sim_1/twoc_tb.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/twoc_tb.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/abs8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/abs8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/abs8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/add1b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/add1b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add1b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/add8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/add8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/add8bs.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/add8bs.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add8bs.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/alu.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/alu.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/alu.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/bcd2disp.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/bcd2disp.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bcd2disp.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/bcddec.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/bcddec.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bcddec.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/bin2bcd.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/bin2bcd.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bin2bcd.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/binary_to_bcd.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/binary_to_bcd.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../copyright/bijlagen/binary_to_bcd.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../copyright/bijlagen/binary_to_bcd_digit.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/dispdrv.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/dispdrv.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/dispdrv.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/eq8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/eq8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/eq8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/half_add.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/half_add.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/half_add.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/main.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/main.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/main-alu.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/min8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/min8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/min8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/rl8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/rl8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/rl8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/rr8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/rr8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/rr8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/sl8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/sl8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/sl8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/sr8b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/sr8b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/sr8b.vhd
1 change: 0 additions & 1 deletion alu/alu.srcs/sources_1/twoc.vhd

This file was deleted.

1 change: 1 addition & 0 deletions alu/alu.srcs/sources_1/twoc.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/twoc.vhd
1 change: 0 additions & 1 deletion bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bitmap-ball.coe
1 change: 0 additions & 1 deletion bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bounce.vhd
1 change: 0 additions & 1 deletion bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/main-bouncing-ball.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/pixeldata-ball.vhd
1 change: 0 additions & 1 deletion bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/vga.vhd
1 change: 0 additions & 1 deletion bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/bounce.vhd
1 change: 0 additions & 1 deletion bouncing-square/bouncing-square.srcs/sources_1/main.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-square/bouncing-square.srcs/sources_1/main.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/main-bouncing-square.vhd

This file was deleted.

Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/pixeldata-square.vhd
1 change: 0 additions & 1 deletion bouncing-square/bouncing-square.srcs/sources_1/vga.vhd

This file was deleted.

1 change: 1 addition & 0 deletions bouncing-square/bouncing-square.srcs/sources_1/vga.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/vga.vhd
1 change: 0 additions & 1 deletion full-adder/full-adder.srcs/sim_1/add1b_tb.vhd

This file was deleted.

1 change: 1 addition & 0 deletions full-adder/full-adder.srcs/sim_1/add1b_tb.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add1b_tb.vhd
1 change: 0 additions & 1 deletion full-adder/full-adder.srcs/sim_1/add4b_tb.vhd

This file was deleted.

1 change: 1 addition & 0 deletions full-adder/full-adder.srcs/sim_1/add4b_tb.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add4b_tb.vhd
1 change: 0 additions & 1 deletion full-adder/full-adder.srcs/sources_1/add1b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions full-adder/full-adder.srcs/sources_1/add1b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add1b.vhd
1 change: 0 additions & 1 deletion full-adder/full-adder.srcs/sources_1/add4b.vhd

This file was deleted.

1 change: 1 addition & 0 deletions full-adder/full-adder.srcs/sources_1/add4b.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/add4b.vhd
1 change: 0 additions & 1 deletion full-adder/full-adder.srcs/sources_1/half_add.vhd

This file was deleted.

1 change: 1 addition & 0 deletions full-adder/full-adder.srcs/sources_1/half_add.vhd
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
../../../src/half_add.vhd
15 changes: 15 additions & 0 deletions keyboard/vivado.jou
Original file line number Diff line number Diff line change
@@ -0,0 +1,15 @@
#-----------------------------------------------------------
# Vivado v2022.1 (64-bit)
# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022
# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
# Start of session at: Mon Feb 13 22:36:53 2023
# Process ID: 19256
# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21932 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr
# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log
# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou
# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB
#-----------------------------------------------------------
start_gui
open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr
update_compile_order -fileset sources_1
34 changes: 34 additions & 0 deletions keyboard/vivado.log
Original file line number Diff line number Diff line change
@@ -0,0 +1,34 @@
#-----------------------------------------------------------
# Vivado v2022.1 (64-bit)
# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022
# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
# Start of session at: Mon Feb 13 22:36:53 2023
# Process ID: 19256
# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21932 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr
# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log
# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou
# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB
#-----------------------------------------------------------
start_gui
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available
WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr
INFO: [Project 1-313] Project file moved from '/home/loek/docs/repos/progh-huiswerk/keyboard' since last save.
WARNING: [filemgmt 56-2] Board Part Repository Path: Could not find the directory 'D:/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store', nor could it be found using path 'D:/home/loek/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store'.
INFO: [filemgmt 56-2] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.gen/sources_1', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.gen/sources_1'.
CRITICAL WARNING: [Project 1-505] Unrecognized Option Name SimCompileState
WARNING: [filemgmt 56-2] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.ip_user_files', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.ip_user_files'.
Scanning sources...
Finished scanning sources
WARNING: [Project 1-231] Project 'keyboard.xpr' was created with a future version and may or may not perform reliably with this version of Vivado. It has been opened in read-only mode for the protection of unrecognized data. Use 'File | Save Project As...' if you wish to alter a copy of the project.
INFO: [IP_Flow 19-234] Refreshing IP repositories
INFO: [IP_Flow 19-1704] No user IP repositories specified
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'.
update_compile_order -fileset sources_1
exit
INFO: [Common 17-206] Exiting Vivado at Mon Feb 13 22:37:51 2023...
19 changes: 19 additions & 0 deletions keyboard/vivado_10968.backup.jou
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
#-----------------------------------------------------------
# Vivado v2022.1 (64-bit)
# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022
# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022
# Start of session at: Mon Feb 13 09:55:31 2023
# Process ID: 10968
# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18344 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr
# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log
# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou
# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB
#-----------------------------------------------------------
start_gui
open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr
update_compile_order -fileset sources_1
save_project_as keyboard1 D:/GitHub/ProgHLoek/avans-progh/keyboard1 -force
import_files
synth_design -rtl -rtl_skip_mlo -name rtl_1
close_design
Loading