Skip to content

Issues: apl-cornell/sirrtl

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

SIRRTL Workplan bug Something isn't working enhancement New feature or request
#14 opened Nov 7, 2019 by dz333
Improve Workflow and Error Messages documentation Improvements or additions to documentation enhancement New feature or request
#13 opened Nov 7, 2019 by dz333
Add Easy Demo Libraries and Tutorial documentation Improvements or additions to documentation
#12 opened Nov 7, 2019 by dz333
Update To The Newest Firrtl Compiler enhancement New feature or request
#11 opened Nov 7, 2019 by dz333
Refactor to Typecheck Chirrtl Correctly enhancement New feature or request v0.1 Issues that affect version v0.1 of secure-firrtl v0.2 Issues that affect version v0.2 of secure-firrtl
#10 opened Nov 7, 2019 by dz333
Integrity Error Not Captured bug Something isn't working v0.1 Issues that affect version v0.1 of secure-firrtl
#9 opened Nov 7, 2019 by dz333
Better Support for Modular Typechecking enhancement New feature or request
#8 opened Nov 7, 2019 by dz333
Support Better IFC Label Configuration enhancement New feature or request
#7 opened Nov 7, 2019 by dz333
Module Instance Sub Fields not Used in Connection Checking Sometimes bug Something isn't working v0.1 Issues that affect version v0.1 of secure-firrtl
#6 opened Nov 7, 2019 by dz333
Refactor Label Inference and ITE Assignment enhancement New feature or request v0.2 Issues that affect version v0.2 of secure-firrtl
#5 opened Nov 7, 2019 by dz333
Correctly Support Label Checking Memories bug Something isn't working enhancement New feature or request
#4 opened Nov 7, 2019 by dz333
Create Unit Tests For Security enhancement New feature or request
#2 opened Nov 7, 2019 by dz333
Arrays (Vectors) not well-supported in Z3 constraints bug Something isn't working v0.1 Issues that affect version v0.1 of secure-firrtl v0.2 Issues that affect version v0.2 of secure-firrtl
#1 opened Nov 7, 2019 by dz333
ProTip! Find all open issues with in progress development work with linked:pr.