Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[WIP] Add ASIC Flow #8

Closed
wants to merge 17 commits into from
Closed

[WIP] Add ASIC Flow #8

wants to merge 17 commits into from

Conversation

lpawelcz
Copy link

@lpawelcz lpawelcz commented Jul 5, 2023

No description provided.

Signed-off-by: Pawel Czarnecki <[email protected]>
Signed-off-by: Pawel Czarnecki <[email protected]>
Add OpenROAD-flow-scripts dependencies
Add Makefile targets for simple flow test

Signed-off-by: Pawel Czarnecki <[email protected]>
Add build target and simple verification target
for Yosys, Surelog and Yosys Plugins

Signed-off-by: Pawel Czarnecki <[email protected]>
Add config files for OpenROAD-flow-scripts for
implementing physical design in SKY130 and ASAP7
technologies.

Signed-off-by: Pawel Czarnecki <[email protected]>
@lpawelcz lpawelcz force-pushed the pcza/add-asic-env-sv branch 3 times, most recently from 4d15554 to b9eeb9f Compare July 7, 2023 08:47
@lpawelcz lpawelcz force-pushed the pcza/add-asic-env-sv branch 2 times, most recently from 70974e9 to 2ae6571 Compare July 7, 2023 11:44
Comment on lines +1 to +5
module counter (
output reg [10:0] out,
input wire enable,
input wire clk,
input wire reset
Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

[verible-verilog-format] reported by reviewdog 🐶

Suggested change
module counter (
output reg [10:0] out,
input wire enable,
input wire clk,
input wire reset
module counter (
output reg [10:0] out,
input wire enable,
input wire clk,
input wire reset

input wire clk,
input wire reset
);
always_ff @(posedge clk)
Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

[verible-verilog-format] reported by reviewdog 🐶

Suggested change
always_ff @(posedge clk)
always_ff @(posedge clk)

);
always_ff @(posedge clk)
if (reset) begin
out <= 8'b0 ;
Copy link

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

[verible-verilog-format] reported by reviewdog 🐶

Suggested change
out <= 8'b0 ;
out <= 8'b0;

@tmichalak tmichalak closed this May 24, 2024
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants