Skip to content

Commit

Permalink
feat(Build): Trigger Rebuilds in Dual-Core Projects if RISCV Project …
Browse files Browse the repository at this point in the history
…Content Changes (#1172)

Co-authored-by: Woo <[email protected]>
  • Loading branch information
Jake-Carter and sihyung-maxim authored Sep 24, 2024
1 parent 1ce220d commit 9cca762
Show file tree
Hide file tree
Showing 5 changed files with 5 additions and 5 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -107,7 +107,7 @@ PROJ_OBJS = ${RISCV_APP_OBJ}
.PHONY: rvapp
rvapp: $(RISCV_APP_BIN)

$(RISCV_APP_BIN):
$(RISCV_APP_BIN): FORCE
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) RISCV_CORE=1 RISCV_LOAD=0 PROJECT=riscv HOST_PROJECT=$(PROJECT)
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) $(RISCV_APP_BIN) RISCV_CORE=1 RISCV_LOAD=0

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -107,7 +107,7 @@ PROJ_OBJS = ${RISCV_APP_OBJ}
.PHONY: rvapp
rvapp: $(RISCV_APP_BIN)

$(RISCV_APP_BIN):
$(RISCV_APP_BIN): FORCE
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) RISCV_CORE=1 RISCV_LOAD=0 PROJECT=riscv
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) $(RISCV_APP_BIN) RISCV_CORE=1 RISCV_LOAD=0

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -109,7 +109,7 @@ PROJ_OBJS = ${RISCV_APP_OBJ}
.PHONY: rvapp
rvapp: $(RISCV_APP_BIN)

$(RISCV_APP_BIN):
$(RISCV_APP_BIN): FORCE
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) RISCV_CORE=1 RISCV_LOAD=0 PROJECT=riscv
$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) $(RISCV_APP_BIN) RISCV_CORE=1 RISCV_LOAD=0

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -191,7 +191,7 @@ endif
.PHONY: rvapp
rvapp: $(RISCV_APP_BIN)

$(RISCV_APP_BIN): $(RISCV_COMMON_LD)
$(RISCV_APP_BIN): $(RISCV_COMMON_LD) FORCE
# Build the RISC-V project
@$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) RISCV_CORE=1 RISCV_LOAD=0 PROJECT=riscv HOST_PROJECT=$(PROJECT) PROJ_LDFLAGS="$(PROJ_LDFLAGS)"
# Create the binary (should incrementally build off of the first pass for the .elf)
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -188,7 +188,7 @@ endif
.PHONY: rvapp
rvapp: $(RISCV_APP_BIN)

$(RISCV_APP_BIN): $(RISCV_COMMON_LD)
$(RISCV_APP_BIN): $(RISCV_COMMON_LD) FORCE
# Build the RISC-V project
@$(MAKE) -C ${RISCV_APP} BUILD_DIR=$(RISCV_BUILD_DIR) RISCV_CORE=1 RISCV_LOAD=0 PROJECT=riscv HOST_PROJECT=$(PROJECT) PROJ_LDFLAGS="$(PROJ_LDFLAGS)"
# Create the binary (should incrementally build off of the first pass for the .elf)
Expand Down

0 comments on commit 9cca762

Please sign in to comment.