Skip to content

Commit

Permalink
M2k: prepare for v0.33
Browse files Browse the repository at this point in the history
Submodule buildroot f70f4af..e783aad:
  > package/jesd204b_status: Update to v0.1
  > package/ad936x_ref_cal: Update to v0.1
  > package/libad9361-iio: Update to v0.3
  > package/libm2k: Update to libm2k v0.8.0
  > package/libiio: Update to libiio v0.26
  > board: sidekiqz2: post-build.sh: Disable input event deamon

Submodule linux 8ba8cbf...7aa6320:
  > iio: frequency: m2k-dac: fix backport of trigger status
  > iio: frequency: m2k-dac: add support for auto trigger rearm
  > iio: frequency: m2k-dac: fix wrong table in MODULE_DEVICE_TABLE()
  > iio: frequency: m2k-dac: Improve trigger attributes
  > arch: arm: configs: zynq_[pluto|m2k]_defconfig: Enable IPv6 networking
  > iio: adc: ad7124: fix DT configuration parsing
  > iio: adc: ad7173: add append status support
  > iio: adc: ad7124: add append status support
  > iio: adc: ad_sigma_delta: add append status support
  > iio: adc: ad7124: fix config comparison
  > iio: adc: ad7124: fix chip ID mismatch
  > stddef: Introduce struct_group() helper macro
  > iio: frequency: ltc6952: keep unused channels disabled
  > ad9361: fix FORCE_VCO_TUNE_ENABLE typo
  > iio: frequency: adf4371: fix muxout enable
  > iio: adc: adrv9002: fix reporting RX rates
  > iio: adc: adrv9002: fixup tx2 power level
  > firmware: Update firmware for adrv9002
  > iio: adc: adrv9002: Update API to 68.13.7
  > iio:imu: adis16475: Fix sync mode setting
  > iio: adc: adrv9002: Fix setting MCS delays
  > iio:adc:ad7768: Display scale factor more precisely
  > iio:adc:ad7768: Fix the ad7768 label attribute
  > iio:adc:ad7768: Fix the ad7768 scale factor
  > dts: zynqmp-zcu102-rev10-adrv9025: change hdl_project to advr9026
  > iio: frequency: adf4360.c: Fix incorrect bit masked used for power-down
  >  arch: arm: boot: dts: Add AD7768-4 GPIO Controls
  >  iio: adc: ad7768: add AD7768 GPIO controls
  > tty: serial: amba-pl011: fix versal uart support
  > iio: adc: adrv9002: fix tx port selection
  > spi: spi-axi-spi-engine: fix divide by 0 exception
  > spi: spi-axi-spi-engine: setup the timer before IRQ enable
  > Merge tag 'v5.15.36' of https://git.kernel.org/pub/scm/linux/kernel/git/stable/linux.git
  > regulator: core: fix unbalanced of node refcount in regulator_dev_lookup()
  > jesd204: jesd204-core: Export symbol jesd204_get_active_links_num
  > iio: frequency: ad9528: use device managed clk_register function
  > iio: adc: adrv9002: adi_adrv9001_dpd: don't do range checks on bool
  > firmware: Update firmware for adrv9002
  > iio: adc: adrv9002: Update API to 68.10.1
  > ci: run-build: update for release branch
  > azure-pipelines: update for release branch
  > dts: arm: adi-cn0506-*: Update to the corresponding macb_config
  > dts: zynqmp-zcu102-rev10-ad9082-m4-l8: Enable offload fifo by default
  > dts: ad908x and hmc7044 limit PFD1 frequency to improve noise performance
  > iio: adc: adrv9025: add full path for include directories
  > adi_zynqmp_defconfig: remove ADRV9025
  > ci: travis: Add unused files to exceptions list
  > iio: adc: madura: Prevent fallthrough in case
  > drivers: iio: adc: madura: Define SPI debug macro
  > madura: platforms: linux_platform.c: Discard const
  > iio: adc: madura: Fix mixed declarations and code
  > drivers: iio: adc: Define ADI_ENABLE if undefined
  > madura: adrv9025.c: Make adrv9025_remove return int
  > madura: adrv9025.c: Init gain_control_mode enum
  > dts: arria10: ad9081: add axi-pl-fifo-enable
  > arm: dts: Use proper 100MHz clock handle for axi-jesd204-[rx|tx] devices
  > arm64: configs: adi_zynqmp_defconfig: remove adrv9002 init cals
  > iio: kconfig.adi: imply ADRV9025
  > jesd204: jesd204-core: Declare jesd204_device_count_get() with void
  > iio: jesd204: axi_jesd204_[rx|tx]: Fix measured device/link clock
  > dts: zynqmp-zcu102-rev10-adrv9025: Add devicetree
  > drivers: iio: adc: Add ADRV902x support
  > firmware: Add ADRV9025 firmware
  > iio: adc: Add Madura API
  > dt-bindings: adi,ad5791.yaml: Add support for controlling RBUF
  > iio: dac: ad5791: Add support for controlling RBUF via devicetree
  > dts: arm: adi-cn0506-*: Update to the corresponding macb_config
  > iio: adc: ad9081: adi_ad9081_device: Additional writes for AD9177 support
  > iio: jesd204: axi_jesd204_[rx|tx]: Fix measured device/link clock
  > adc: ad9081: Update API to v1.6.0
  > microblaze: dts: adi-daq3: Fix JESD204 link init
  > iio: adc: ad9208: ad9208_jesd_api: Fix AD9680 support
  > iio: adc: ad9208: ad9208_jesd_api: Fix lane rate computation
  > iio: frequency: m2k-dac: Add support for triggered start and stop
  > iio: frequency: m2k-dac: Add support for raw vaules
  > iio: adc: ad_adc: m2k: Add calibration mode for calibscale HDL values
  < iio: adc: ad9081: adi_ad9081_device: Additional writes for AD9177 support
  < iio: jesd204: axi_jesd204_[rx|tx]: Fix measured device/link clock
  < adc: ad9081: Update API to v1.6.0
  < microblaze: dts: adi-daq3: Fix JESD204 link init
  < iio: adc: ad9208: ad9208_jesd_api: Fix AD9680 support
  < iio: adc: ad9208: ad9208_jesd_api: Fix lane rate computation
  < iio: frequency: m2k-dac: Add support for triggered start and stop
  < iio: frequency: m2k-dac: Add support for raw vaules
  < iio: adc: ad_adc: m2k: Add calibration mode for calibscale HDL values


Submodule hdl 1978df2...065c8f1:
  > axi_tdd: Update to version 2.0.b
  > docs: ad9081: Added FM87 information
  > ad9081: fm87: Initial commit
  > common: fm87: Add base design
  > library: jesd: Added Agilex FM87 support
  > adrv9026/zcu102: Update build parameters
  > adrv9026: Add vcu118 support
  > adrv9026: Add vck190 support
  > docs/projects: Migrate documentation for AD9656-FMC (#1459)
  > adi_board.tcl: add bd_intf_pin case for disconnect (#1475)
  > ad57xx: add doc
  > AD57xx: add coraz7s
  > AXI PWM: clean intel warnings
  > AD57xx: add project
  > SPI Engine: add SDO offload streaming
  > axi_dac_interpolate: Fix critical warning
  > adrv904x_vck190: Initial design
  > pluto: Move TDD CH2 to TX DMA dedicated sync port
  > docs/projects: Adding documentation for AD4080 project.
  > projects/ad408x_fmc_evb: Initial commit for ZedBoard
  > docs/library: Adding AXI-AD408X documentation
  > library/axi_ad408x: Added IP interface for Xilinx
  > library/xilinx/common/ad_serdes_in: Add external reset option
  > library/common/ad_pack: Add MSB aligned option
  > pulsar_adc: Update documentation
  > pulsar_adc_fmc: Update build parameters
  > docs/projects/cn0579: Fix general warnings
  > docs/projects/cn0579: Updates after review
  > docs/cn0579: Fixing the make rendering and removing whitespaces
  > docs: ad_gmsl2eth_sl: Remove duplicated info
  > corundum: address_space, clk/rst bus, eth_xcvr_gth_channel
  > ad_gmsl2eth_sl: assign_bd_address, ad_mem_hpc0_interconnect
  > docs/requirements.txt: Fixed missing libraries nedeed for PDF output
  > docs: Cosmetic & functional fixes to comply with PDF generation req.
  > ad9081: vpk180: system_top: Renamed serial connections (#1434)
  > docs/projects: Adding ADAQ8092-FMC HDL project documentation.
  > docs/projects: Adding documentation for CN0579 (#1437)
  > docs/projects: Adding HDL project documentation for AD777x (#1458)
  > docs/projects: Adding documentation for EVAL-AD3552R board (#1441)
  > ad9213_evb: Add github documentation (#1444)
  > docs: remove ad7606 build warning (#1456)
  > regmap: Fix list syntax (#1452)
  > axi_dmac: Fix regmap testbench default value (#1454)
  > axi_jesd204_rx: Fixup write to up_cfg_buffer_delay (#1186)
  > unit_level_tb: Fix testbench runs (#1449)
  > docs: Allow inheriting multiple register maps
  > regmap/adc, dac, jesd_rx, axi_logic_analyzer: Update
  > regmap: Strip adi_regmap_adc leading whitespace
  > regmap: Add adc/dac full regmap templates
  > axi_dac_interpolate, m2k: Fix DAC instrument trigger
  > m2k:axi_ad9963, axi_dac_interpolate fixes
  > m2k, axi_dac_interpolate: Add auto rearm feature
  > common: vcu118 vcu128: Update interconnect name
  > adi_board: Fixup sys_zynq scope and if indent
  > adi_board: add support for other AXI master interfaces
  > docs/user_guide/porting_project: Update code instructions
  > docs: Fixes accross docs
  > docs: Update Architecture and Project doc template
  > New sections for IP's pages
  > Regenerate Makefiles with mistakes
  > projects:ad4110: Update constraints file
  > docs:ad4110: Create documentation
  > SPI Engine: fix off-by-one sleep time (#1429)
  > AD5758 documentation (#1428)
  > docs/projects/ad9467_fmc: Add AD9467-FMC doc
  > projects/adv7511: Removed the wrong link to the EVAL-ADV7842 kit
  > docs: Add ADV7511-related project page
  > docs/projects: Fix list indentation
  > docs/regmap/adi_regmap_axi_ad7616.txt: Fix copy-paste mistake
  > docs/user_guide/ip_cores/axi_adc: Fix typos
  > docs/user_guide/build_hdl.rst: Restructure and add details
  > docs/user_guide/architecture.rst: Add details
  > docs/projects/ad411x_ad717x: Update title to use dash
  > Sysid parameters fixes (#1431)
  > JESD204B support on VCK190 (#1233)
  > docs: Add CN0506-related project page
  > library/scripts/library.mk: Fix .lock cleaning for IPs requiring other IPs
  > axi_ad9361: Fix PN flags when 1 RF Channel is used
  > sysid: Fix mem init file path
  > docs: Add Corundum IP Core (#1420)
  > docs: Add AD-GMSL2ETH-SL-related project page
  > projects: Port AD9265-FMC to ZedBoard & doc.
  > docs: Add MAX96724-related project page
  > docs/projects/cn0577: Migrate documentation
  > docs/projects/ad9739a_fmc: Migrate documentation
  > ad7606: Fix Readme.md
  > ad7606x: Update project
  > axi_ad7606x: Clean up parameters; fix clocking
  > docs: Update ad7606x IP & project doc
  > projects/common: Removed absent system_constr.xdc file
  > projects/ad9265_fmc/zc706: Fix adc_clk freq to 125MHz
  > Remove unused ad4858_fmcz_bd.tcl
  > axi_ad485x/zed: Fix LVDS typo
  > ad9209_fmca_ebz: vck190: Updated system_top to include the reset gpios ad9081_fmca_ebz: common: versal_transceiver: Only connect the needed reset gpios based on the interface ad9081_fmca_ebz: common: ad9081_fmca_ebz_bd: Only connect the needed reset gpios based on the interface
  > ad9213_vcu118: Create generated clock for hmc7044_spi
  > adrv9371_kcu105: Fix timing
  > Fix sysid params (#1394)
  > ad_gmsl2eth_sl: Add missing mfp_*_p2 pins to pwm_gen-based logic
  > common: kc705: Remove file from list
  > fmcomms2: Fix register not packing into IOB
  > ad_gmsl2eth_sl: Add project
  > corundum: Add library
  > adi_project_xilinx: Add k26-SOM in the board's list
  > scritps: Add external depedency check
  > doc: Update generic text in build section
  > Initial version with SPI for AD7124_asdz (#1381)
  > axi_ad9361: Fix TX channel disable (#1058)
  > AD411x/AD717x for de10nano (#1373)
  > adrv9001,jupiter_sdr: Update projects based on library
  > jupiter_sdr: Fix tx clock assignments
  > axi_adrv9001: Add Tx SSI ref clk selection opt
  > ad9081: add Stratix 10 support (#1386)
  > docs/projects/ad9783_ebz: Fix page name
  > docs/library: Restructure "Software support" & "References"
  > docs/projects/ad4134_fmc: Fix library names & links
  > docs/user_guide: Update build_hdl and index pages
  > cn0561: Set DCLK frequency to 50 MHz (#1351)
  > ad4134: Set DCLK frequency to 50 MHz (#1350)
  > ad7134: Multidevice synchronization  (#1349)
  > AD4170 for de10nano and coraz7s (#1358)
  > doc/projects/ad485x_fmcz: Initial commit
  > doc/library/axi_ad485x: Initial commit
  > ad485x_fmcz: Rename ad4858_fmcz to ad485x_fmcz
  > ad485x family support
  > docs: Change :dokuwiki: to :ref: tags for ported IPs
  > SPI Engine: add control for SDO idle state (#1320)
  > adrv9009_zc706: Upgrade DMA
  > ad9081_fmca_ebz: Fix ad9081_fmc.txt
  > docs: projects: ad9081: Added VPK180 information
  > ad9082: vck190: system_bd: Added missing module
  > ad9082: vpk180: Initial commit
  > ad9081: vpk180: Initial commit
  > adrv9026/a10soc: Timing optimization
  > docs/projects/ad9434_fmc: Update doc with warning for Zed limitation
  > projects/ad9434_fmc/zed: Fix timing issue in IP and constraints
  > docs/library/axi_pwm_gen: Updates and corrections
  > Added logging of make params to the sysid memory
  > axi_dmac: Implement generic transfer start sync
  > adrv9009zu11eg/adrv2crr_fmcxmwbr1: Switch default build configuration
  > AD469x: HDL build parameter (#1362)
  > Fix spi engine timing (#1370)
  > ad738x: ALERT/SDOB-SDOD Output (#1352)
  > projects: Replaced 'master' from links with 'main' (#1372)
  > ad9081: vck190: Export reset signals to gpios
  > library:jesd204:jesd204_gt_adapter: Register inputs and outputs
  > axi_ad7606x: Fix DRP locked
  > Add CONTRIBUTING.md in hdl folder
  > docs/user_guide: Add CONTRIBUTING page
  > SPI Engine: fix word_length affecting sleep (#1361)
  > docs: Improve landing page, categorize IP Cores (#1343)
  > ad7606x: Fix serial bug
  > ad469x/zed: fix off by one error in gpio_i range
  > docs: Add adrv904x docs
  > adrv904x: Initial design
  > adrv9026/zcu102: Fix lane mapping and polarity
  > scripts/adi_fmc_constr_generator.tcl: Change the script to support adrv9009zu11eg
  > fmcomms8/common: Change fmc connections file
  > projects/adrv9009zu11eg: Add fmc connections files
  > docs: Minor fixes
  > docs: Add axi_ad9963 IP core
  > docs: Add axi_ad9361 IP core
  > docs: Add axi_ad7616 IP core and regmap
  > spi_engine: scripts: Fix offload clock domain
  > ad7606x: Reorder and fix mistake in name field from the parts' list
  > docs: Add util_pack IP core
  > docs: Add axi_logic_analyzer IP core and regmap
  > docs: Add axi_laser_driver IP core and regmap
  > docs: Add axi_fan_control IP core and regmap
  > docs: Add axi_dac_interpolate IP core and regmap
  > docs: Add axi_adc_trigger IP core and regmap
  > docs: Add axi_adc_decimate IP core and regmap
  > docs: Add axi_clkgen IP core and regmap
  > docs: Added documentation sections for Lattice.
  > projects/common/lfcpnx: Adding base design files for Lattice LFCPNX-EVN board.
  > projects/scripts: Added build scripts for Lattice tools.
  > scripts/adi_env.tcl: Added required version for Lattice tools.
  > adrv9026/a10soc: Initial design
  > projects: Adding support for CN0585+CN0584 on Zedboard  (#1269)
  > docs: Add adrv9026 documentation
  > docs: Add axi_sysid IP core and regmap
  > docs: Add util_axis_fifo IP core
  > docs: Add util_axis_fifo_asym IP core
  > docs: Add util_rfifo IP core
  > docs: Add util_extract IP core
  > docs: Add util_var_fifo IP core
  > docs: Add util_wfifo IP core
  > docs: Add common/ad_dds IP core
  > docs/projects/ad4630: Fix spelling
  > docs/library/axi_adxcvr: Add label to section
  > docs/projects/template: Update links
  > docs/projects/ad9081: Add details about AD998x & hw changes
  > docs/user_guide: Multiple updates to links and text
  > docs: Remove trailing whitespaces from all files
  > docs: Add HDMI IP cores, update regmap (#1336)
  > docs: axi_tdd: Add TDD docs (#1334)
  > docs: data_offload: Add docs (#1333)
  > check_for_guideline_rules.yml: Update version of used repos
  > docs: Add axi_ad9671 IP core
  > docs: Add axi_ad9265 IP core
  > docs: Add axi_ad9467 IP core
  > docs: Add axi_ad9783 IP core
  > docs: Add axi_ad7606x IP core (#1329)
  > docs: Add util_mii_to_rmii IP core (#1328)
  > docs: page for AD3552R IP (#1323)
  > docs: page for AD777x IP (#1324)
  > docs: page for ADAQ8092 IP (#1325)
  > docs: page for AD7768 IP (#1322)
  > docs:  Intermediary for IP Cores import, user guide, regmap (#1321)
  > SPI Engine: create inverted CS mode (#1301)
  > xilinx/common: Set the register to an initial value
  > i3c_controller: Naming convention, corner case fix (#1314)
  > jupiter_sdr: Enable Cache Coherency
  > docs: axi_dmac: Update documentation
  > axi_dmac: Add Cache Coherency support
  > axi_pwm_gen: Fix 100% duty cycle width
  > projects/ad9694_fmc/zcu102: Add reference design for ad9694 eval board (#1059)
  > docs: user_guide: architecture: Added vpk180
  > common: vpk180: Add support for vpk180
  > docs: Add ad7606x documentation
  > ad7606x: Add configurable digital interface support
  > pulsar_adc_pmdz: Port to ZedBoard
  > axi_ad9963: Fix TxQ 1 sample delay compared to TxI
  > axi_logic_analyzer: Improve overwrite control logic
  > axi_pwm_gen: Update constraint file
  > axi_pwm_gen: Add/update github documentation
  > axi_pwm_gen: New features and fixes
  > axi_dac_interpolate: Update license header
  > axi_dac_interpolate: Fix low sampling rate issues
  > CODEOWNERS: Fix misspelled folder names. Add new projects & IPs
  > Add pulsar_lvds project documentation
  > Pulsar_LVDS: Add Project on Zedboard
  > docs: i3c_controller: Add documentation
  > i3c_controller: Add I3C Controller IP
  > common: Add ad_mem_dual
  > spi_engine: Remove nonexistent interface, add dep (#1289)
  > Tell flock to use sh (#1303)
  > util_do_ram: Added keep signal to the FIFO (#1291)
  > ADD adaq42xx (#1209)
  > library/axi_pwm_gen: Replaced blocking assignments in reset.
  > library/axi_clock_monitor: Removed ID offset check, regmap optimized.
  > Change axi_spi_engine to uppercase
  > regmap: Update SPI Engine regmap
  > docs: Add JESD204 documentation (#1280)
  > docs: Add ad7768 documentation (#1283)
  > Add axi_ad7616 regmap
  > gmsl/kv260: Initial commit
  > spi_engine: Revert Offload AXI signals, ctrl fixup (#1288)
  > doc: Update hdl coding guidelines
  > SPI Engine: Add registers for Offload memory and FIFO sizes (#1279)
  > hdl: Zed-AD7768: Wideband fixed bug (#1281)
  > spi_engine: Create interface_ip.tcl (#1251)
  > docs: Use doctools (#1258)
  > docs: Add pulsar_adc project documentation (#1275)
  > docs: Add cn0363_pmdz project documentation (#1278)
  > adrv2crr_fmcxmwbr1: Merge with xmicrowave
  > adi_util_hbm.tcl: Change wrong var name rx_tx_n->tx_rx_n
  > util_hbm_ip.tcl: Fix LENGTH_WIDTH and HBM_SEGMENTS_PER_MASTER errors
  > adi_env.tcl: Update Vivado version to 23.2
  > docs: Add ad463x_fmc project documentation (#1277)
  > s10soc: Fix issue affecting stratix 10 projects (#1221)
  > Update cn0540 spi engine (#1207)
  > lib/axi_pwm_gen: Update pause_cnt logic (#1271)
  > SPI Engine: fix early sdi data clear (#1231)
  > docs/ad9434_fmc: Fix links
  > docs/regmap: Update pwm_gen regmap
  > adrv9026: Initial design
  > docs: Add cn0540 documentation (#1248)
  > docs: Fixups on ad7134_fmc and cn0561 (#1261)
  > docs: Add ad4134_fmc doc (#1247)
  > docs: Add ad7134_fmc doc (#1246)
  > docs: Add cn0561 doc (#1245)
  > docs: Update user guide, remove legacy code (#1242)
  > util_axis_fifo: Update (#1255)
  > scripts:project_intel.mk: Fix make clean-all target
  > Add library .lock files to git ignore
  > scripts:project_xilinx.mk: Fix make clean-all target
  > SPI Engine: Formatting on spi_engine_offload
  > SPI Engine: edge-based trigger
  > Replace other master branch references to main
  > Replace link in license header from master to main
  > library: jesd204: Fixup Vivado exiting with error (#1243)
  > docs: Add ad738x documentation (#1240)
  > spi_engine: Fixup param ranges and CPHA info (#1239)
  > axi_pwm_gen: Update ttcl constraints
  > axi_pwm_gen: Start, Stop fix
  > axi_pwm_gen: Offset mecanism fix
  > axi_pwm_gen: Add support for 16 channels
  > scripts: Parallel build with pattern rules (#1202)
  > docs: Add component diagram generator
  > docs: General improvements
  > axi_dac_interpolate: Improve the ctrl logic
  > m2k: Remove dac last_sample_hold control
  > docs: Add ad5766 documentation (#1227)
  > AD9434: Zed porting and documentation (#1210)
  > arradio: Enable the scatter-gather DMA core
  > fmcomms2: Enable the scatter-gather DMA core
  > docs: Include the DMA SG documentation
  > util_hbm: Add the SG interface in DMA instances
  > axi_dmac: Add support for DMA Scatter-Gather
  > SPI Engine: Add execution delay documentation (#1230)
  > AD719x: Documentation (#1211)
  > docs: Improve consistency (#1229)
  > docs: Add ad469x_fmc doc
  > docs/common: Remove default branch
  > docs: Fix tables consistency
  > adi_env.tcl: Update Quartus Pro version to 23.2.0
  > .github/workflows: Rename branch name for GitHub actions
  > docs: Add adaq7980 documentation
  > docs: flatten images paths, toctree and images guidelines (#1222)
  > docs: Edits on Build an HDL Project section of the user guide documentation (#1204)
  < axi_dac_interpolate: Improve the ctrl logic
  < m2k: Remove dac last_sample_hold control
  < pluto: Enable phaser integration
  > docs/ad7616: Remove duplicated info
  > docs: links, drop part, fixups, codeowners
  < axi_ad7606x: Add the correct IP's name
  > docs/projects/ad7616_sdz: Update block diagrams
  > ad7616_sdz: Add axi_clkgen
  > ad7616_sdz: Remove zc706 support
  > ad7616_sdz: Add fmc pinout
  > ad7616_sdz: Use SPI Engine for serial mode
  > axi_ad7616: Remove serial dependencies
  > axi_ad7606x: Add the correct IP's name
  > projects: Add missing sysid IP (#1172)
  > docs/projects/ad7616_sdz: Add ad7616_sdz project documentation
  > SPI Engine: Fixed delay behaviour on Chip-Select and Sleep instructions (#1200)
  > scripts/adi_board.tcl: use axi_interconnect for HP ports on Zynq-7000 family
  > projects: Update Readme.md for ad9783_ebz & ad9081/ad9082_fmca_ebz
  > docs/projects/ad9783 & images: Add ad9783_ebz project doc
  > docs/projects/ad9081 & images: Add ad9081_fmca_ebz project doc
  > docs/projects/template & common: Create project doc template
  > docs/user_guide: Add user guide documentation
  > docs/library/axi_dmac: Add identifier for page
  > docs: Add color roles. Fix :part: link. Remove extension
  > pulsar_adc_pmdz: Add .txt file for constraints
  > Update cn0363 spi engine (#1183)
  > common: vmk180: Connected missing ss from spi ad9081_fmca_ebz: vck190: system_top: Fixed spi signals indentation
  > Update ad469x spi engine (#1181)
  > Update ad738x spi engine (#1179)
  > V2: Update ad5766 spi engine
  > Update ad5766 spi engine
  > V2: Update adaq7980 spi engine
  > Update adaq7980 spi engine
  > projects/ad7134_fmc: Add FMC pinout description * Added txt description of all FMC pins used/unused * Updated constraint files with FMC pinout location
  > projects/ad4134_fmc: Add FMC pinout descripton * Added txt description of all FMC pins used/unused * Updated constraint files with FMC pinout location
  > projects/cn0561: Add FMC pin descripton for all carriers * Added txt description of all FMC pins used/unused * Updated constraint files with FMC pinout location
  > FMC pinout configurations for AD4630. (#1193)
  > ad9083_evb/a10soc: Overwrite spi frequency
  > docs: Update README, misspelings, and improvements
  > pluto: Enable phaser integration
  > ad4858_fmcz: Initial design
  > axi_ad4858: Initial commit
  > up_dac_channel: Cosmetics - fix indentation
  > projects/ad3552r_evb: Added project for AD3552R-EVB on ZedBoard.
  > library/axi_ad3552r: Added interface IP for Xilinx projects.
  > library/common: Added DAC custom read/write interface in up_dac_common. The DAC common regmap was updated with 3 registers(rd/wr/ctrl) and 1 interface status flag for converters with custom control interface.
  > dc2677a: add initial design
  > axi_ltc235x: Add initial design
  > data_offload: Fix error regarding invalid value for param MEM_TYPE
  > scripts/adi_env.tcl: Update to Vivado 2023.1
  > docs/regmap/adi_regmap_dac.txt : Updated and added some registers
  > jupiter_sdr: USB power delivery always on
  > jupiter_sdr: Change the SD ctrl config to autodir
  > jupiter_sdr: PL sysmon updates
  > axi_adrv9001: Change the DDS sync structure
  > docs: add check for signals/bus
  > README.md: header, docs info; docs: license, fixes
  > docs: move guidelines, porting project main, repos git roles
  > docs: update link roles, .gitignore
  > docs: review fixes
  > docs: add regmap directive
  > docs: automate parameters and interfaces tables
  > docs: Include sphinx documentation
  > up_dac_channel: Cosmetic additions
  > ad9361: Add support for config DDS phase resolution
  > ad_ip_jesd204_tpl_dac: Increase DDS phase DW support
  > DAC DDS: Add support for DDS phase width > 16
  > projects/cn0501: Removed CN0501 project.
  < projects/cn0501: Removed CN0501 project
  < Remove daq3/kcu105 from the current release
  > projects/scripts/project-intel.mk: change 'system_top.v' to '$(wildcard system_top*.v)' (#1169)
  > LICENSE_ADIBSD: Add short identifier
  > Add LICENSE_ADIJESD204. Delete jesd204/README.md
  > library/common/tb/tb_base.v: Update license header
  > Add copyright & license for all files needing ADI JESD specific license
  > project-xilinx: Update the generic dependency list
  > xilinx/ad_data_in.v: Add SDR support
  > ad7606x: Add dynamic configuration for AD7606X operation modes
  > cn0561: Fixed critical warning during make (#1159)
  < cn0561: Fixed critical warning during make (#1165)
  < project_xilinx.tcl: Fix the regex expression for Kria KV260 evaluation board
  < ad_fmclidar1_ebz: Remove support
  > scripts/adi_fmc_constr_generator: Fix intel constr generation
  > ad9213_evb: Add design
  > ad9213_evb: Initial commit
  > .gitignore: Ignore CODEOWNERS and PR template
  < Add git CODEOWNERS and PULL_REQUEST_TEMPLATE files
  > Add CODEOWNERS and PULL_REQUEST_TEMPLATE files
  > Fix error regarding hierarchy that Vivado misses
  > projects: Update incomplete/inaccurate readmes
  > projects: Update readmes all projects initial version
  > projects: Update readmes initial commit
  > adi_xilinx_device_info: Update speed_grade_list
  > Add copyright and license to .tcl, .ttcl files
  > Add copyright and license to .xdc files
  > Add copyright and license to .sdc files
  > project_xilinx.tcl: Fix the regex expression for Kria KV260 evaluation board


Signed-off-by: Michael Hennerich <[email protected]>
  • Loading branch information
mhennerich committed Oct 11, 2024
1 parent 0793820 commit d0b6016
Show file tree
Hide file tree
Showing 4 changed files with 4 additions and 4 deletions.
2 changes: 1 addition & 1 deletion Makefile
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
VIVADO_VERSION ?= 2022.2
VIVADO_VERSION ?= 2023.2

VIVADO_SETTINGS ?= /opt/Xilinx/Vivado/$(VIVADO_VERSION)/settings64.sh
XSDK_SETTINGS ?= ${VIVADO_SETTINGS}
Expand Down
2 changes: 1 addition & 1 deletion hdl
Submodule hdl updated 2267 files
2 changes: 1 addition & 1 deletion linux
Submodule linux updated 5083 files

0 comments on commit d0b6016

Please sign in to comment.