Skip to content
This repository has been archived by the owner on Nov 5, 2024. It is now read-only.

Commit

Permalink
Merge branch 'cabal_q241' into 'main'
Browse files Browse the repository at this point in the history
OFM changes due to compatibility with new Quartus 24.1

See merge request ndk/ofm!414
  • Loading branch information
jakubcabal committed Sep 5, 2024
2 parents bbbae2b + cb89b58 commit d7a1934
Showing 1 changed file with 15 additions and 13 deletions.
28 changes: 15 additions & 13 deletions comp/nic/mac_lite/tx_mac_lite/comp/stat_unit/tx_stat_unit.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -59,14 +59,16 @@ end entity;

architecture FULL of TX_MAC_LITE_STAT_UNIT is

constant REGION_CNT_W : natural := max(log2(MFB_REGIONS+1), 2);

signal frame_len_arr : slv_array_t(MFB_REGIONS-1 downto 0)(LENGTH_WIDTH-1 downto 0);
signal frame_len_full_arr : slv_array_t(MFB_REGIONS-1 downto 0)(LENGTH_WIDTH-1 downto 0);
signal frame_len_full : std_logic_vector(MFB_REGIONS*LENGTH_WIDTH-1 downto 0);

signal inc_total_frames : std_logic_vector(MFB_REGIONS-1 downto 0);
signal inc_sent_frames : std_logic_vector(MFB_REGIONS-1 downto 0);
signal inc_total_frames : std_logic_vector(REGION_CNT_W-1 downto 0);
signal inc_sent_frames : std_logic_vector(REGION_CNT_W-1 downto 0);
signal inc_sent_bytes : std_logic_vector(LENGTH_WIDTH-1 downto 0);
signal inc_discarded_frames : std_logic_vector(MFB_REGIONS-1 downto 0);
signal inc_discarded_frames : std_logic_vector(REGION_CNT_W-1 downto 0);

signal cnt_total_frames : std_logic_vector(64-1 downto 0);
signal cnt_sent_frames : std_logic_vector(64-1 downto 0);
Expand All @@ -84,7 +86,7 @@ begin
sum_one_total_frame_i : entity work.SUM_ONE
generic map(
INPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => REGION_CNT_W,
OUTPUT_REG => True
)
port map(
Expand All @@ -103,7 +105,7 @@ begin
sum_one_sent_frame_i : entity work.SUM_ONE
generic map(
INPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => REGION_CNT_W,
OUTPUT_REG => True
)
port map(
Expand All @@ -122,7 +124,7 @@ begin
sum_one_discarded_frame_i : entity work.SUM_ONE
generic map(
INPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => MFB_REGIONS,
OUTPUT_WIDTH => REGION_CNT_W,
OUTPUT_REG => True
)
port map(
Expand Down Expand Up @@ -174,7 +176,7 @@ begin

cnt_total_frames_i : entity work.DSP_COUNTER
generic map (
INPUT_WIDTH => MFB_REGIONS,
INPUT_WIDTH => REGION_CNT_W,
OUTPUT_WIDTH => 64,
INPUT_REGS => true,
DEVICE => DEVICE,
Expand All @@ -185,13 +187,13 @@ begin
CLK_EN => '1',
RESET => CTRL_RESET_CNT,
INCREMENT => inc_total_frames,
MAX_VAL => (others => '0'),
MAX_VAL => (others => '1'),
RESULT => cnt_total_frames
);

cnt_sent_frames_i : entity work.DSP_COUNTER
generic map (
INPUT_WIDTH => MFB_REGIONS,
INPUT_WIDTH => REGION_CNT_W,
OUTPUT_WIDTH => 64,
INPUT_REGS => true,
DEVICE => DEVICE,
Expand All @@ -202,13 +204,13 @@ begin
CLK_EN => '1',
RESET => CTRL_RESET_CNT,
INCREMENT => inc_sent_frames,
MAX_VAL => (others => '0'),
MAX_VAL => (others => '1'),
RESULT => cnt_sent_frames
);

cnt_discarded_frames_i : entity work.DSP_COUNTER
generic map (
INPUT_WIDTH => MFB_REGIONS,
INPUT_WIDTH => REGION_CNT_W,
OUTPUT_WIDTH => 64,
INPUT_REGS => true,
DEVICE => DEVICE,
Expand All @@ -219,7 +221,7 @@ begin
CLK_EN => '1',
RESET => CTRL_RESET_CNT,
INCREMENT => inc_discarded_frames,
MAX_VAL => (others => '0'),
MAX_VAL => (others => '1'),
RESULT => cnt_discarded_frames
);

Expand All @@ -236,7 +238,7 @@ begin
CLK_EN => '1',
RESET => CTRL_RESET_CNT,
INCREMENT => inc_sent_bytes,
MAX_VAL => (others => '0'),
MAX_VAL => (others => '1'),
RESULT => cnt_sent_bytes
);

Expand Down

0 comments on commit d7a1934

Please sign in to comment.