From 0abbb26b17f7b2147ceb3b9f7ef2a5e78939afed Mon Sep 17 00:00:00 2001 From: Vinit Keshri Date: Thu, 6 Jun 2024 13:00:11 +0530 Subject: [PATCH] Added links in vitepress website --- docs/.vitepress/config.mjs | 18 +- docs/.vitepress/dist/404.html | 8 +- docs/.vitepress/dist/MIPS/index.html | 18 +- docs/.vitepress/dist/MIPS/mips.html | 949 +++++++ docs/.vitepress/dist/NITC_Logo.png | Bin 0 -> 105936 bytes .../.vitepress/dist/Verilog/Intro/README.html | 18 +- docs/.vitepress/dist/Verilog/SingleCycle.html | 36 +- docs/.vitepress/dist/Verilog/index.html | 16 +- docs/.vitepress/dist/about.html | 16 +- .../dist/assets/MIPS_index.md.BShnq2Ay.js | 1 + .../assets/MIPS_index.md.BShnq2Ay.lean.js | 1 + .../dist/assets/MIPS_index.md.CY43yMpU.js | 1 - .../assets/MIPS_index.md.CY43yMpU.lean.js | 1 - .../dist/assets/MIPS_mips.md.C9wrwHux.js | 924 +++++++ .../dist/assets/MIPS_mips.md.C9wrwHux.lean.js | 1 + ...js => Verilog_Intro_README.md.B7knES9C.js} | 4 +- .../Verilog_Intro_README.md.B7knES9C.lean.js | 1 + .../Verilog_Intro_README.md.CnTl2NNp.lean.js | 1 - ....js => Verilog_SingleCycle.md.7mWeb3Kr.js} | 2 +- .../Verilog_SingleCycle.md.7mWeb3Kr.lean.js | 1 + .../Verilog_SingleCycle.md.DvYIvDh1.lean.js | 1 - ...IHPG-w.js => Verilog_index.md.CgSFKGIP.js} | 2 +- ...n.js => Verilog_index.md.CgSFKGIP.lean.js} | 2 +- ...ut.md.CY5iuE6T.js => about.md.Dzg05ABX.js} | 2 +- ...uE6T.lean.js => about.md.Dzg05ABX.lean.js} | 2 +- .../{app.BVAsbgWq.js => app.BfUHQ1UT.js} | 2 +- .../chunks/@localSearchIndexroot.DrpsX11j.js | 1 - .../chunks/@localSearchIndexroot.DvcNBxBO.js | 1 + ...TF2mH5.js => VPLocalSearchBox.DNH__Q03.js} | 2 +- ...work.CgMb17D3.js => framework.DRnJpP2i.js} | 2 +- .../chunks/mips2-image-0009.DFxqnWYO.js | 1 + .../{theme.B6pbfA9S.js => theme.CpY-xi-5.js} | 4 +- .../dist/assets/fsm-image-0010.T24H2Nci.png | Bin 0 -> 12056 bytes .../dist/assets/fsm-image-0016.BirHtMRq.png | Bin 0 -> 53847 bytes .../dist/assets/fsm-image-0022.B4FawsqK.png | Bin 0 -> 16890 bytes .../dist/assets/fsm-image-0029.CbRphb6c.png | Bin 0 -> 52790 bytes .../dist/assets/fsm-image-0035.BXkF_Dg3.png | Bin 0 -> 32624 bytes .../dist/assets/fsm-image-0042.j6esA-Y4.png | Bin 0 -> 40200 bytes .../dist/assets/fsm-image-0099.riYCyYMD.png | Bin 0 -> 4479 bytes .../dist/assets/fsm-image-0100.DaiXE2Ht.png | Bin 0 -> 52125 bytes .../dist/assets/fsm-image-0112.7vZ8vL9Z.png | Bin 0 -> 5199 bytes .../dist/assets/fsm-image-0119.RUOc0GsR.png | Bin 0 -> 76618 bytes .../dist/assets/fsm-image-0128.BYLXnBRZ.png | Bin 0 -> 7977 bytes .../dist/assets/fsm-image-0135.D-DY2zzy.png | Bin 0 -> 51897 bytes .../dist/assets/fsm-image-0153.CcG1zPoc.png | Bin 0 -> 12444 bytes .../.vitepress/dist/assets/fsm.md.BpozOCs2.js | 451 ++++ .../dist/assets/fsm.md.BpozOCs2.lean.js | 1 + .../dist/assets/index.md.BiX3HcXP.js | 1 + .../dist/assets/index.md.BiX3HcXP.lean.js | 1 + .../dist/assets/index.md.Ccl4vJqT.js | 1 - .../dist/assets/index.md.Ccl4vJqT.lean.js | 1 - .../dist/assets/mips.md.D391O4eq.js | 924 +++++++ .../dist/assets/mips.md.D391O4eq.lean.js | 1 + .../dist/assets/mips1-image-0093.DIct3pP6.png | Bin 0 -> 304014 bytes .../dist/assets/mips1-image-0094.Dk2ikz7r.png | Bin 0 -> 31432 bytes .../dist/assets/mips1-image0120.D_gMBBgT.png | Bin 0 -> 81988 bytes .../dist/assets/mips2-image-0004.Bwh5zN5W.png | Bin 0 -> 19118 bytes .../dist/assets/mips2-image-0006.wiw7MJ4M.png | Bin 0 -> 19830 bytes .../dist/assets/mips2-image-0007.wVxOvUwv.png | Bin 0 -> 35668 bytes .../dist/assets/mips2-image-0008.C0G-0sI8.png | Bin 0 -> 187309 bytes .../dist/assets/mips2-image-0009.BADi_Z4m.png | Bin 0 -> 161418 bytes .../dist/assets/mips2-image-0010.DqDOOTsn.png | Bin 0 -> 49988 bytes ...d.B6bGXt9J.js => mips_main.md.B6aCW--E.js} | 2 +- ....lean.js => mips_main.md.B6aCW--E.lean.js} | 2 +- .../assets/registers-image-0032.D-Fn2K0t.png | Bin 0 -> 11981 bytes .../assets/registers-image-0041.L-HEdxuX.png | Bin 0 -> 26514 bytes .../assets/registers-image-0053.BxUth7bs.png | Bin 0 -> 15281 bytes .../assets/registers-image-0057.5RwdOjEu.png | Bin 0 -> 14880 bytes .../assets/registers-image-0058.B18gUSRJ.jpg | Bin 0 -> 34921 bytes .../assets/registers-image-0061.IDRbujlY.png | Bin 0 -> 23210 bytes .../dist/assets/registers.md.C1Tfb5cq.js | 175 ++ .../dist/assets/registers.md.C1Tfb5cq.lean.js | 1 + .../.vitepress/dist/assets/style.CTsPrQqq.css | 1 - .../.vitepress/dist/assets/style.DnghZTc-.css | 1 + ...PPbu8m8.js => verilog_main.md.B_DAyBtb.js} | 2 +- ...an.js => verilog_main.md.B_DAyBtb.lean.js} | 2 +- docs/.vitepress/dist/fsm.html | 475 ++++ docs/.vitepress/dist/hashmap.json | 2 +- docs/.vitepress/dist/index.html | 16 +- docs/.vitepress/dist/mips.html | 949 +++++++ docs/.vitepress/dist/mips_main.html | 16 +- docs/.vitepress/dist/registers.html | 199 ++ docs/.vitepress/dist/verilog_main.html | 18 +- docs/MIPS/img/mips1-image-0093.png | Bin 0 -> 304014 bytes docs/MIPS/img/mips1-image-0094.png | Bin 0 -> 31432 bytes docs/MIPS/img/mips1-image-0101.png | Bin 0 -> 3739 bytes docs/MIPS/img/mips1-image-0102.png | Bin 0 -> 3655 bytes docs/MIPS/img/mips1-image-0103.png | Bin 0 -> 3761 bytes docs/MIPS/img/mips1-image-0104.png | Bin 0 -> 3605 bytes docs/MIPS/img/mips1-image-0105.jpg | Bin 0 -> 1427 bytes docs/MIPS/img/mips1-image-0106.png | Bin 0 -> 3658 bytes docs/MIPS/img/mips1-image-0107.png | Bin 0 -> 3901 bytes docs/MIPS/img/mips1-image0120.png | Bin 0 -> 81988 bytes docs/MIPS/img/mips2-image-0004.png | Bin 0 -> 19118 bytes docs/MIPS/img/mips2-image-0006.png | Bin 0 -> 19830 bytes docs/MIPS/img/mips2-image-0007.png | Bin 0 -> 35668 bytes docs/MIPS/img/mips2-image-0008.png | Bin 0 -> 187309 bytes docs/MIPS/img/mips2-image-0009.png | Bin 0 -> 161418 bytes docs/MIPS/img/mips2-image-0010.png | Bin 0 -> 49988 bytes docs/MIPS/index.md | 88 +- docs/MIPS/mips.md | 2216 +++++++++++++++++ docs/fsm.md | 798 ++++++ docs/img/fsm-image-0010.png | Bin 0 -> 12056 bytes docs/img/fsm-image-0016.png | Bin 0 -> 53847 bytes docs/img/fsm-image-0022.png | Bin 0 -> 16890 bytes docs/img/fsm-image-0029.png | Bin 0 -> 52790 bytes docs/img/fsm-image-0035.png | Bin 0 -> 32624 bytes docs/img/fsm-image-0042.png | Bin 0 -> 40200 bytes docs/img/fsm-image-0048.png | Bin 0 -> 29019 bytes docs/img/fsm-image-0054.png | Bin 0 -> 32841 bytes docs/img/fsm-image-0055.png | Bin 0 -> 13836 bytes docs/img/fsm-image-0063.png | Bin 0 -> 12976 bytes docs/img/fsm-image-0064.png | Bin 0 -> 19438 bytes docs/img/fsm-image-0073.png | Bin 0 -> 19729 bytes docs/img/fsm-image-0080.png | Bin 0 -> 10176 bytes docs/img/fsm-image-0081.png | Bin 0 -> 35194 bytes docs/img/fsm-image-0090.png | Bin 0 -> 52788 bytes docs/img/fsm-image-0099.png | Bin 0 -> 4479 bytes docs/img/fsm-image-0100.png | Bin 0 -> 52125 bytes docs/img/fsm-image-0112.png | Bin 0 -> 5199 bytes docs/img/fsm-image-0119.png | Bin 0 -> 76618 bytes docs/img/fsm-image-0128.png | Bin 0 -> 7977 bytes docs/img/fsm-image-0135.png | Bin 0 -> 51897 bytes docs/img/fsm-image-0153.png | Bin 0 -> 12444 bytes docs/img/mips1-image-0093.png | Bin 0 -> 304014 bytes docs/img/mips1-image-0094.png | Bin 0 -> 31432 bytes docs/img/mips1-image-0101.png | Bin 0 -> 3739 bytes docs/img/mips1-image-0102.png | Bin 0 -> 3655 bytes docs/img/mips1-image-0103.png | Bin 0 -> 3761 bytes docs/img/mips1-image-0104.png | Bin 0 -> 3605 bytes docs/img/mips1-image-0105.jpg | Bin 0 -> 1427 bytes docs/img/mips1-image-0106.png | Bin 0 -> 3658 bytes docs/img/mips1-image-0107.png | Bin 0 -> 3901 bytes docs/img/mips1-image0120.png | Bin 0 -> 81988 bytes docs/img/mips2-image-0004.png | Bin 0 -> 19118 bytes docs/img/mips2-image-0006.png | Bin 0 -> 19830 bytes docs/img/mips2-image-0007.png | Bin 0 -> 35668 bytes docs/img/mips2-image-0008.png | Bin 0 -> 187309 bytes docs/img/mips2-image-0009.png | Bin 0 -> 161418 bytes docs/img/mips2-image-0010.png | Bin 0 -> 49988 bytes docs/img/registers-image-0032.png | Bin 0 -> 11981 bytes docs/img/registers-image-0041.png | Bin 0 -> 26514 bytes docs/img/registers-image-0053.png | Bin 0 -> 15281 bytes docs/img/registers-image-0057.png | Bin 0 -> 14880 bytes docs/img/registers-image-0058.jpg | Bin 0 -> 34921 bytes docs/img/registers-image-0061.png | Bin 0 -> 23210 bytes docs/index.md | 4 +- docs/mips.md | 2216 +++++++++++++++++ docs/public/NITC_Logo.png | Bin 0 -> 105936 bytes docs/registers.md | 403 +++ 150 files changed, 10867 insertions(+), 139 deletions(-) create mode 100644 docs/.vitepress/dist/MIPS/mips.html create mode 100644 docs/.vitepress/dist/NITC_Logo.png create mode 100644 docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.js create mode 100644 docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.lean.js delete mode 100644 docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.js delete mode 100644 docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.lean.js create mode 100644 docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.js create mode 100644 docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.lean.js rename docs/.vitepress/dist/assets/{Verilog_Intro_README.md.CnTl2NNp.js => Verilog_Intro_README.md.B7knES9C.js} (75%) create mode 100644 docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.lean.js delete mode 100644 docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.lean.js rename docs/.vitepress/dist/assets/{Verilog_SingleCycle.md.DvYIvDh1.js => Verilog_SingleCycle.md.7mWeb3Kr.js} (81%) create mode 100644 docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.lean.js delete mode 100644 docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.lean.js rename docs/.vitepress/dist/assets/{Verilog_index.md.BmIHPG-w.js => Verilog_index.md.CgSFKGIP.js} (76%) rename docs/.vitepress/dist/assets/{Verilog_index.md.BmIHPG-w.lean.js => Verilog_index.md.CgSFKGIP.lean.js} (88%) rename docs/.vitepress/dist/assets/{about.md.CY5iuE6T.js => about.md.Dzg05ABX.js} (97%) rename docs/.vitepress/dist/assets/{about.md.CY5iuE6T.lean.js => about.md.Dzg05ABX.lean.js} (64%) rename docs/.vitepress/dist/assets/{app.BVAsbgWq.js => app.BfUHQ1UT.js} (90%) delete mode 100644 docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DrpsX11j.js create mode 100644 docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DvcNBxBO.js rename docs/.vitepress/dist/assets/chunks/{VPLocalSearchBox.CYTF2mH5.js => VPLocalSearchBox.DNH__Q03.js} (99%) rename docs/.vitepress/dist/assets/chunks/{framework.CgMb17D3.js => framework.DRnJpP2i.js} (74%) create mode 100644 docs/.vitepress/dist/assets/chunks/mips2-image-0009.DFxqnWYO.js rename docs/.vitepress/dist/assets/chunks/{theme.B6pbfA9S.js => theme.CpY-xi-5.js} (99%) create mode 100644 docs/.vitepress/dist/assets/fsm-image-0010.T24H2Nci.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0016.BirHtMRq.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0022.B4FawsqK.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0029.CbRphb6c.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0035.BXkF_Dg3.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0042.j6esA-Y4.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0099.riYCyYMD.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0100.DaiXE2Ht.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0112.7vZ8vL9Z.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0119.RUOc0GsR.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0128.BYLXnBRZ.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0135.D-DY2zzy.png create mode 100644 docs/.vitepress/dist/assets/fsm-image-0153.CcG1zPoc.png create mode 100644 docs/.vitepress/dist/assets/fsm.md.BpozOCs2.js create mode 100644 docs/.vitepress/dist/assets/fsm.md.BpozOCs2.lean.js create mode 100644 docs/.vitepress/dist/assets/index.md.BiX3HcXP.js create mode 100644 docs/.vitepress/dist/assets/index.md.BiX3HcXP.lean.js delete mode 100644 docs/.vitepress/dist/assets/index.md.Ccl4vJqT.js delete mode 100644 docs/.vitepress/dist/assets/index.md.Ccl4vJqT.lean.js create mode 100644 docs/.vitepress/dist/assets/mips.md.D391O4eq.js create mode 100644 docs/.vitepress/dist/assets/mips.md.D391O4eq.lean.js create mode 100644 docs/.vitepress/dist/assets/mips1-image-0093.DIct3pP6.png create mode 100644 docs/.vitepress/dist/assets/mips1-image-0094.Dk2ikz7r.png create mode 100644 docs/.vitepress/dist/assets/mips1-image0120.D_gMBBgT.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0004.Bwh5zN5W.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0006.wiw7MJ4M.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0007.wVxOvUwv.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0008.C0G-0sI8.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0009.BADi_Z4m.png create mode 100644 docs/.vitepress/dist/assets/mips2-image-0010.DqDOOTsn.png rename docs/.vitepress/dist/assets/{mips_main.md.B6bGXt9J.js => mips_main.md.B6aCW--E.js} (98%) rename docs/.vitepress/dist/assets/{mips_main.md.B6bGXt9J.lean.js => mips_main.md.B6aCW--E.lean.js} (67%) create mode 100644 docs/.vitepress/dist/assets/registers-image-0032.D-Fn2K0t.png create mode 100644 docs/.vitepress/dist/assets/registers-image-0041.L-HEdxuX.png create mode 100644 docs/.vitepress/dist/assets/registers-image-0053.BxUth7bs.png create mode 100644 docs/.vitepress/dist/assets/registers-image-0057.5RwdOjEu.png create mode 100644 docs/.vitepress/dist/assets/registers-image-0058.B18gUSRJ.jpg create mode 100644 docs/.vitepress/dist/assets/registers-image-0061.IDRbujlY.png create mode 100644 docs/.vitepress/dist/assets/registers.md.C1Tfb5cq.js create mode 100644 docs/.vitepress/dist/assets/registers.md.C1Tfb5cq.lean.js delete mode 100644 docs/.vitepress/dist/assets/style.CTsPrQqq.css create mode 100644 docs/.vitepress/dist/assets/style.DnghZTc-.css rename docs/.vitepress/dist/assets/{verilog_main.md.yPPbu8m8.js => verilog_main.md.B_DAyBtb.js} (99%) rename docs/.vitepress/dist/assets/{verilog_main.md.yPPbu8m8.lean.js => verilog_main.md.B_DAyBtb.lean.js} (94%) create mode 100644 docs/.vitepress/dist/fsm.html create mode 100644 docs/.vitepress/dist/mips.html create mode 100644 docs/.vitepress/dist/registers.html create mode 100644 docs/MIPS/img/mips1-image-0093.png create mode 100644 docs/MIPS/img/mips1-image-0094.png create mode 100644 docs/MIPS/img/mips1-image-0101.png create mode 100644 docs/MIPS/img/mips1-image-0102.png create mode 100644 docs/MIPS/img/mips1-image-0103.png create mode 100644 docs/MIPS/img/mips1-image-0104.png create mode 100644 docs/MIPS/img/mips1-image-0105.jpg create mode 100644 docs/MIPS/img/mips1-image-0106.png create mode 100644 docs/MIPS/img/mips1-image-0107.png create mode 100644 docs/MIPS/img/mips1-image0120.png create mode 100644 docs/MIPS/img/mips2-image-0004.png create mode 100644 docs/MIPS/img/mips2-image-0006.png create mode 100644 docs/MIPS/img/mips2-image-0007.png create mode 100644 docs/MIPS/img/mips2-image-0008.png create mode 100644 docs/MIPS/img/mips2-image-0009.png create mode 100644 docs/MIPS/img/mips2-image-0010.png create mode 100644 docs/MIPS/mips.md create mode 100644 docs/fsm.md create mode 100644 docs/img/fsm-image-0010.png create mode 100644 docs/img/fsm-image-0016.png create mode 100644 docs/img/fsm-image-0022.png create mode 100644 docs/img/fsm-image-0029.png create mode 100644 docs/img/fsm-image-0035.png create mode 100644 docs/img/fsm-image-0042.png create mode 100644 docs/img/fsm-image-0048.png create mode 100644 docs/img/fsm-image-0054.png create mode 100644 docs/img/fsm-image-0055.png create mode 100644 docs/img/fsm-image-0063.png create mode 100644 docs/img/fsm-image-0064.png create mode 100644 docs/img/fsm-image-0073.png create mode 100644 docs/img/fsm-image-0080.png create mode 100644 docs/img/fsm-image-0081.png create mode 100644 docs/img/fsm-image-0090.png create mode 100644 docs/img/fsm-image-0099.png create mode 100644 docs/img/fsm-image-0100.png create mode 100644 docs/img/fsm-image-0112.png create mode 100644 docs/img/fsm-image-0119.png create mode 100644 docs/img/fsm-image-0128.png create mode 100644 docs/img/fsm-image-0135.png create mode 100644 docs/img/fsm-image-0153.png create mode 100644 docs/img/mips1-image-0093.png create mode 100644 docs/img/mips1-image-0094.png create mode 100644 docs/img/mips1-image-0101.png create mode 100644 docs/img/mips1-image-0102.png create mode 100644 docs/img/mips1-image-0103.png create mode 100644 docs/img/mips1-image-0104.png create mode 100644 docs/img/mips1-image-0105.jpg create mode 100644 docs/img/mips1-image-0106.png create mode 100644 docs/img/mips1-image-0107.png create mode 100644 docs/img/mips1-image0120.png create mode 100644 docs/img/mips2-image-0004.png create mode 100644 docs/img/mips2-image-0006.png create mode 100644 docs/img/mips2-image-0007.png create mode 100644 docs/img/mips2-image-0008.png create mode 100644 docs/img/mips2-image-0009.png create mode 100644 docs/img/mips2-image-0010.png create mode 100644 docs/img/registers-image-0032.png create mode 100644 docs/img/registers-image-0041.png create mode 100644 docs/img/registers-image-0053.png create mode 100644 docs/img/registers-image-0057.png create mode 100644 docs/img/registers-image-0058.jpg create mode 100644 docs/img/registers-image-0061.png create mode 100644 docs/mips.md create mode 100644 docs/public/NITC_Logo.png create mode 100644 docs/registers.md diff --git a/docs/.vitepress/config.mjs b/docs/.vitepress/config.mjs index 2e73cfe..2633c3c 100644 --- a/docs/.vitepress/config.mjs +++ b/docs/.vitepress/config.mjs @@ -19,7 +19,22 @@ export default defineConfig({ provider: 'local', }, - sidebar: [ + sidebar: { + + '/MIPS/':[ + { + text: 'MIPS', + collapsed: false, + items: [ + { text: 'Introduction', link: '/MIPS/' }, + { text: 'Registers', link: '/MIPS/Registers' }, + { text: 'Instructions', link: '/MIPS/Instructions' }, + { text: 'Examples', link: '/MIPS/Examples' }, + ] + + }], + + '/':[ { text: 'Examples', items: [ @@ -28,6 +43,7 @@ export default defineConfig({ ] } ], + }, socialLinks: [ { icon: 'github', link: 'https://github.com/vuejs/vitepress' } diff --git a/docs/.vitepress/dist/404.html b/docs/.vitepress/dist/404.html index f4de818..69c2ae8 100644 --- a/docs/.vitepress/dist/404.html +++ b/docs/.vitepress/dist/404.html @@ -6,17 +6,17 @@ 404 | Hardware Lab NITC - + - - + +
- + \ No newline at end of file diff --git a/docs/.vitepress/dist/MIPS/index.html b/docs/.vitepress/dist/MIPS/index.html index 5bac6de..58676b9 100644 --- a/docs/.vitepress/dist/MIPS/index.html +++ b/docs/.vitepress/dist/MIPS/index.html @@ -3,23 +3,23 @@ - Welcome to the MIPS Guide | Hardware Lab NITC + Hardware Lab NITC - + - - - - - + + + + + -
Skip to content

Welcome to the MIPS Guide

This course covers the following topics-

  • Introduction to MIPS - Setting up and understanding MIPS ISA.
  • Basic Integer and String Handling - Learn the Basics of MIPS integers.
  • Integer Array Handling - Learn how to make integer arrays and operate them.
  • 2-D Array Handling - Extend your array knowledge with 2-D arrays.
  • Strings (Advanced) - String Handling explained in detail.
  • Floating Points - Learn how to handle floating point numbers.

Introduction to MIPS

Main Documentation :material-google-drive: : Click Here
Theory explanation: Video Link
Setting up MARS:

  1. Video Link

  2. Sample Code

Basic Integer and String Handling

Main Documentation :material-google-drive: : Click Here
Theory Explanation: Video Link
Sample Code Bank:

  1. Reversing 2 digit number

  2. Calculating Average Marks

  3. Finding Spy Number

Floating Point Handling

Main Documentation :material-google-drive: : Click Here

Farenheit to Celcius:
Sample Code

Maximum and Minimum in Float Array:
Sample Code

Round off to n digits:
Sample Code

Integer Array Handling

Main Documentation (Also contains String advanced):material-google-drive: : Click Here

Introduction to Integer Arrays:

  1. Video

  2. Sample Code

Sum of Elements of an Array:

  1. Video

  2. Sample Code

Greatest Element in Array:

  1. Video▶️
  2. Sample Code

Merge 2 Sorted Arrays:

  1. Video▶️
  2. Sample Code

Highest and Lowest occurrence of an element in an array:

  1. Video ▶️
  2. Sample Code

Binary Search:

  1. Video ▶️
  2. Sample Code

Quicksort:

  1. Video ▶️
  2. Sample Code

2-D Integer Array Handling

Main Documentation :material-google-drive: : Click Here

Theory Explanation: Video Link
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video ▶️
  2. Sample Code

Traversal of Strings (Advanced string operations):

  1. Video ▶️
  2. Sample Code

Length of String:

  1. Video ▶️
  2. Sample Code

Palindrome Check:

  1. Video ▶️
  2. Sample Code

Concatenation of strings:

  1. Video ▶️
  2. Sample Code

- +
Skip to content

Welcome to the MIPS Guide

This part covers the following topics

Introduction to MIPS

Main Documentation : Click Here
Theory explanation: Video Link

Setting up MARS:

Basic Integer and String Handling

Main Documentation : Click Here
Theory Explanation: Video Link

Sample Code Bank:

  1. Reversing 2 digit number
  2. Calculating Average Marks
  3. Finding Spy Number

Floating Point Handling

Main Documentation : Click Here

Farenheit to Celcius:
Sample Code

Maximum and Minimum in Float Array:
Sample Code

Round off to n digits:
Sample Code

Integer Array Handling

Main Documentation (Also contains String advanced : Click Here

Introduction to Integer Arrays:

  1. Video

  2. Sample Code

Sum of Elements of an Array:

  1. Video

  2. Sample Code

Greatest Element in Array:

  1. Video▶️
  2. Sample Code

Merge 2 Sorted Arrays:

  1. Video▶️
  2. Sample Code

Highest and Lowest occurrence of an element in an array:

  1. Video ▶️
  2. Sample Code

Binary Search:

  1. Video ▶️
  2. Sample Code

Quicksort:

  1. Video ▶️
  2. Sample Code

2-D Integer Array Handling

Main Documentation : Click Here

Theory Explanation: Video Link
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video ▶️
  2. Sample Code

Traversal of Strings (Advanced string operations):

Length of String:

Palindrome Check:

Concatenation of strings:

+ \ No newline at end of file diff --git a/docs/.vitepress/dist/MIPS/mips.html b/docs/.vitepress/dist/MIPS/mips.html new file mode 100644 index 0000000..5a80a33 --- /dev/null +++ b/docs/.vitepress/dist/MIPS/mips.html @@ -0,0 +1,949 @@ + + + + + + MIPS | Hardware Lab NITC + + + + + + + + + + + + + + + +
Skip to content

MIPS

Setting up the MARS Code Editor

Introduction to MARS Simulator

MARS simulator will be the software on which we will be running our MIPS code.

Setting up JAVA SDK

(Please note this tutorial is to download SDK Ver 11)

Downloading Java SDK on MacOS:
  1. Open a web browser on your macOS computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your macOS version (e.g., macOS x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the macOS version and click on the provided download link.
  8. The JDK installer package will be downloaded to your computer.
  9. Locate the downloaded package in your Downloads folder or the folder you specified for downloads.
  10. Double-click on the JDK installer package to launch the installation wizard.
  11. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your macOS computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Windows version (e.g., Windows x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Windows version and click on the provided download link.
  8. The JDK installer executable file (e.g., jdk-11.0.x_windows-x64_bin.exe) will be downloaded to your computer.
  9. Locate the downloaded executable file, and double-click on it to launch the installation wizard.
  10. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your Windows computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Linux computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Linux distribution.
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Linux version and click on the provided download link.
  8. The JDK archive file (e.g., jdk-11.0.x_linux-x64_bin.tar.gz) will be downloaded to your computer.
  9. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  10. Navigate to the directory where you downloaded the JDK archive file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  11. Extract the contents of the JDK archive using the following command: tar -xvzf jdk-11.0.x_linux-x64_bin

Setting Up MARS Simulator

Note: Is your MARS text unreadably small? Download and use a new release Java 9 or above which contains a fix to automatically scale and size AWT and Swing components for High Dots Per Inch (HiDPI) displays on Windows and Linux.

Downloading MIPS MARS Simulator on macOS:
  1. Open a web browser on your macOS computer.
  2. Go to the official website of MIPS MARS at [ http:// courses.missouristate.edu/kenvollmar/mars/]( http:// courses.missouristate.edu/kenvollmar/mars/).
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Double-click on the ZIP file to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Applications folder.
  8. Open Terminal on your macOS by going to Applications > Utilities > Terminal.
  9. In the Terminal window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Applications folder, you can use the following command: cd/Applications
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your macOS computer.
Downloading MIPS MARS Simulator on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/]([http://courses.missouristate.edu/ kenvollmar/mars/])
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Right-click on the ZIP file and select "Extract All" to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Program Files folder.
  8. Open the Command Prompt on your Windows computer by pressing the Windows key + R, typing "cmd," and hitting Enter.
  9. In the Command Prompt window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Program Files folder, you can use the following command: cd "C:\Program Files"
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your Windows computer.
Downloading MIPS MARS Simulator on Linux:
  1. Open a web browser on your Linux computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/](http://courses.missouristate.edu/ kenvollmar/mars/)
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "Mars4_5.jar.zip" will be downloaded to your computer.
  5. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  6. Navigate to the directory where you downloaded the ZIP file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  7. Unzip the ZIP file using the following command: unzip Mars4_5.jar.zip
  8. This will extract the "Mars4_5.jar" file from the ZIP archive.
  9. Move the "Mars4_5.jar" file to a suitable location on your computer. For instance, you can move it to the /opt directory using the following command: sudo mv Mars4_5.jar /opt
  10. To run the MIPS MARS simulator, open the terminal and navigate to the directory where you placed the JAR file. For example, if you moved it to the / opt directory, use the following command: cd /opt
  11. Execute the following command to launch the MIPS MARS simulator java -jar Mars4_5.jar
  12. The MIPS MARS simulator should now launch on your Linux computer.

MARS ASSEMBLY AND SYSTEM CALLS

Before assembling, the environment of this simulator can be simplisticly split to three segments: the editor at the upper left where all of the code is being written, the compiler/output right beneath the editor and the list of registers that represent the "CPU" for our program.

After assembling (by simply pressing F3) the environment changes, with two new segments getting the position of the editor: the text segment where

i) each line of assembly code gets cleared of "pseudoinstructions" (we'll talk about those in a sec) at the "basic" column and

ii) the machine code for each instruction at the "code" column, and the data segment where we can have a look at a representation of the memory of a processor with little-endian order.

After assembling, we can execute our code either all at once (F5) or step by step (F7), as well as rewinding the execution several steps backwards to the back (F8).

MARS accepts and exports files with the .asm filetype

Pseudo instructions

Before looking at the instruction set , let us look at a few pseudo instructions that’ll help you understand the IS better.

Here's a list of useful pseudo-instructions.

mov $t0, $t1: Copy contents of register t1 to register t0.

li $s0, immed: Load immediate into to register s0. The way this is translated depends on whether immed is 16 bits or 32 bits.

la $s0, addr: Load address into to register s0.

lw $t0, address: Load a word at address into register t0

Given below are some standard arithmetic and logical instructions standard to the MIPS Instruction Set Architecture.

**MARS provides a small set of operating system-like services through the system call (syscall) instruction **

**To request a service, a program loads the system call code into register $v0 and arguments into registers $a0~$a3 **

System calls that return values put their results in register $v0

Arithmetic Instructions
InstructionExampleMeaningComments
addadd $1,$2,$3$1=$2+$3
subtractsub $1,$2,$3$1=$2-$3
add immediateaddi $1,$2,100$1=$2+100"Immediate" means a constant number
add unsignedaddu $1,$2,$3$1=$2+$3Values are treated as unsigned integers,not two's complement integer
subtract unsignedsubu $1,$2,$3$1=$2-$3Values are treated as unsigned integers,not two's complement integers
add immediate unsignedaddiu $1,$2,100$1=$2+100Values are treated as unsigned integers,not two's complement integers
multiply (without overflow)mul $1,$2,$3$1=$2*$3Result is only 32 bits!
multiplymult $1,$2,$3$hi, $low=$2*$3Upper 32 bits stored in special register hi. Lower 32 bits stored in special register lo
dividediv $1,$2,$3$hi,$low=$2/$3Remainder stored in special register hi. Quotient stored in special register lo

Logical

InstructionExampleMeaningComments
andand $1,$2,$3$1=$2&$3Bitwise AND
oror $1,$2,$3$1=$2$3
and immediateandi $1,$2,100$1=$2&100Bitwise AND with immediate value
or immediateori $1,$2,100$1=$2100
shift left logicalsll $1,$2,10$1=$2<<10Shift left by constant number of bits
shift right logicalsrl $1,$2,10$1=$2>>10Shift right by constant number of bits

Data Transfer

InstructionExampleMeaningComments
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
store wordsw $1,100($2)Memory[$2+100]=$1Copy from register to memory
load upper immediatelui $1,100$1=100x2^16Load constant into upper 16 bits. Lower 16 bits are set to zero
load addressla $1,label$1=Address of the labelPseudo-instruction (provided by assembler). Loads computed address of label (not it's contents) into register
load immediateli $1,100$1=100Pseudo instruction (provided by assembler). Loads immediate value into the register.
move from himfhi $2$2=hiCopy from special register hi to general register
move from lomflo $2$2=loCopy from special register lo to general register
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
movemove $1,$2$1=$2Pseudo instruction (provided by assembler). Copy from register to register

Conditional Branch

InstructionExampleMeaningComments
branch on equalbeq $1,$2,100if($1==$2) go to PC+4+100Test if registers are equal
branch on not equalbne $1,$2,100if($1!=$2) go to PC+4+100Test if registers are not equal
branch on greater thanbgt $1,$2,100if($1>$2) go to PC+4+100Pseudo-instruction
branch on greater than or equalbge $1,$2,100if($1>=$2) go to PC+4+100Pseudo-instruction
branch on less thanblt $1,$2,100if($1<$2) go to PC+4+100Pseudo-instruction
branch on less than or equalble $1,$2,100if($1<=$2) go to PC+4+100Pseudo-instruction

Comparison

InstructionExampleMeaningComments
set on less thanslt $s1,$s2,$s3if($2<$3) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.
set on less than immediateslti $s1,$s2,100if($2<100) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.

Unconditional Jump

InstructionExampleMeaningComments
jumpj 1000go to address 1000Jump to target address
jump registerjr $1go to address stored in $1For switch procedure return
jump and linkjal 1000$ra=PC+4 go to address 1000Use when making procedure call. This saves the return address in $ra

System Calls

ServiceOperationCode(in $v0)ArgumentsResults
print_intPrint integer number (32 bit)1$a0 = integer to be printedNone
print_floatPrint floating-point number (32 bit)2$f12 = float to be printedNone
print_doublePrint floating-point number (64 bit)3$f12 = integer to be printedNone
print_stringPrint null-terminated character string4$a0 = address of string in memoryNone
read_intRead integer number from user5NoneInteger returned in $v0
read_floatRead floating-point number from user6 NoneFloat returned in $f0
read_doubleRead double floating-point number from user7NoneDouble returned in $f0
read_stringWorks the same as Standard Clibrary fgets()8$a0 = memory address of string input buffer $a1 = length of string buffer (n)None
sbrkReturns the address to a block of memory containing n additional bytes (Useful for dynamic memory allocation)9$a0=amountaddress in $v0
exitStop program from running10NoneNone
print_charPrint character11$a0 = character to be printedNone
read_charRead character from user12NoneChar returned in $v0
exit2Stops program from running and returns an integer17$a0 = result(integer number)None

The complete list of syscalls can be accessed at
https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html

Assembler Directives

DirectiveResult
.word w1, ..., wnStore n 32-bit values in successive memory words
.half h1, ..., hnStore n 16-bit values in successive memory words
.byte b1, ..., bnStore n 8-bit values in successive memory words
.ascii strStore the ASCII string str in memory. Strings are in double-quotes, i.e. "Computer Science"
.asciiz strStore the ASCII string str in memory and null terminate it. Strings are in double-quotes, i.e. "Computer Science"
.space nLeave an empty n-byte region of memory for later use
.align nAlign the next datum on a 2^n byte boundary. For example, .align 2 aligns the next value ona word boundary

Registers

Register NumberRegister NameDescription
0$zeroThe value 0
2-3$v0-$v1Values from expression evaluation and function results
4-7$a0-$a3(arguments) First four parameters for subroutine
8-15, 24-25$t0-$t9Temporary variables
16-23$s0-$s7Saved values representing final computed results
31$raReturn address

MARS(MIPS Assembler/Simulator) Tutorial

1. Input the Tutorial program

1.1) Open the MARS program and click from the file menu choose “File...New”. A black document will open which you can enter your assembly code into. Click “File...Save As” and save the file as “Tutorial1.asm ”.

1.2) Enter the code as shown below into the editor and save the file.

v
# Program File: Tutorial1.asm 
+# Written by:   MoSaad 
+# Date Created: 10/05/2023 
+# Description:  Tutorial program to introduce MARS simulator  including: breakpoints, single-
+stepping, and register and memory windows. 
+#----------------------------------------------------------- 
+#----------------------- 
+# Declare some constants 
+#----------------------- 
+.data 
+string1: .asciiz "Welcome to Hardware Lab at NIT Calicut\n" 
+string2: .asciiz "Hello World \n" 
+string3: .asciiz "\nLoop #" 
+#------------------ 
+# Main program body 
+#------------------ 
+.text 
+main: 
+li $v0,4 
+la $a0,string1 
+syscall 
+la $a0,string2 
+syscall 
+li $t0, 1 
+loop: 
+li $v0, 4 
+la $a0,string3 
+syscall 
+li $v0,1 
+move $a0,$t0 
+syscall 
+addi $t0,$t0,1 
+bne  $t0,4,loop 
+#----- 
+# Halt  
+#----- 
+li $v0, 10 
+syscall

1.4) From the menu, choose “Run...Assemble”. The “Mars Messages” window at the bottom of the screen will indicate if any errors occurred. No errors should occur.

2. Simulate the tutorial program

2.1) From the menu, choose “Run...Go” to execute the program. The program will execute displaying two lines of text and three iterations of a loop to the Run /IO window.

2.2) The buttons at the top of the window can be used as shortcuts for the run menu. Use the “Reset” button to reset the program, and then try tracing through the program by clicking the step button.

2.3) You can adjust the speed the program runs by moving the slider to the right of the buttons. If you have an infinite loop in your program, it may be necessary to adjust (slow down) the speed of the simulator to prevent the MARS program from crashing.

Run the program. If a breakpoint has been set the program will stop at the next breakpoint. Trace (Step) Into. Executes a single instruction. If the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. Backstep. Undo the last step taken in the code. Pause the currently running program. Press the run button to continue execution. Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. Reset. Resets the simulator, reinitializing the registers, program counter, and memory. Adjusts the speed that the simulator runs at.

3. Using the Debugging Tools

3.1) When a program does not work as expected you will need to use the debugging tools provided with the simulator.

3.2) One of the primary tools used to debug a program is setting a breakpoint. You can break before execution of an instruction by clicking on the checkbox associated with each instruction on the far left of the execute window. Set a breakpoint at the instruction: addi $t0,$t0,1

3.3) Run the program until the breakpoint by clicking “Run”. At this point in the program only the first loop iteration has been printed. (You will need to click back to the Run/IO window to see the output.)

3.4) Now use the “Trace Into” button to step through the loop that prints out the next line of text one character at a time. Step through the instructions until “Loop #2” is printed to the output window. Stop and find the value of the registers “t0” and “pc” at that point? Has the line of code that the program counter points to executed yet?

3.5) The simulator also allows you to view the memory contents. The memory window appears in the middle of the screen and is titled “Data Segment”. Remove the earlier breakpoint and add a breakpoint to line 33, “syscall”. Click the run button so that the program executes up until the new breakpoint. We are now in the code right before “Loop #” is about to be printed for the third iteration. Notice that the $a0 register is now a pointer to the address where the “Loop #” text is stored. What is the memory location the register is pointing to?

3.6) Now look in the data segment area, and find the address $a0 points to. This is the memory section where the characters of the text “Loop #” is stored. Using an ASCII table find the address where the ‘p’ in “Loop” is located?

3.7) Exercise: Can you find where the word “Welcome” is stored in the memory?

Integer Handling

Unlike NASM where numbers are stored as characters, MIPS can store single or multiple digit integers directly. This chapter describes how to read and print integers. Basic operations in integer handling (add, sub, mul, div) will also be covered.

1. Declaring an integer

Integers can be declared as constants in the ‘.data’ section as shown below:

Code to declare constants ‘x’ and ‘y’ with values 30 and 40 respectively:

v
.data 
+x: .word 30 
+y: .word 40

2. Loading an integer value into a register

Another way of using integers in MIPS is to read their values into temporary registers using ‘I’ type instructions. The two commonly used methods of reading integer values are:

  1. Loading a value into a temporary register.
  2. Adding the value of the zero register and any value into a temporary register.

Code to enter integer values 5 and 10 using add and load instructions respectively into temporary registers:

v
.text 
+addi  $t0, $0, 5 
+li $t1, 10

3. Reading integers as input from the user

Integers can be read from the user using syscall (system call) instructions. The system call code for reading an integer is ‘5’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The input is then stored in $v0.

Code to read an integer input from the user:

v
.text 
+li $v0, 5 
+syscall

4. Printing integers

Integers stored in registers can also be printed using syscall instructions. The system call code for printing an integer is ‘1’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The integer to be printed must be stored in the $a0 register.

Code to print the integer ‘5’ after storing it in a register:

v
.text 
+li $a0, 5 
+li $v0, 1 
+syscall

We have now learnt how to store single and multi digit integers and how to print their values. We shall now combine all of these into a single program for a more robust understanding of the covered concepts.

Code to declare a constant x with value 10, load values 20 and 30 into two registers and read an integer value from the user and print all these values:

v
.data 
+x: .word 10 
+.text 
+addi $t0, $0, 20   #load value 20 
+li $t1, 30    #load value 30 
+li $v0, 5     #read integer input
+syscall 
+move  $t2, $v0   #move integer input 
+lw $a0, x     #print x 
+li $v0, 1 
+syscall 
+move $a0, $t0    
+li $v0, 1     #print value of $t0  
+syscall    
+move $a0, $t1   #print value of $t1 
+li $v0, 1 
+syscall 
+move $a0, $t2   #print integer input 
+li $v0, 1 
+syscall 
+li $v0, 10    #exit program 
+syscall

Output:Assume the value ‘5’ is entered by the user as input.

v
5
+1020305
+-- program is finished running --

5. Adding integers

Integers can be added in two ways, either by adding fixed or immediate values to an integer value stored in a register, or by adding two integers that are both stored in registers. Both methods to add integers are demonstrated below:

Code to add integer values stored in registers:

v
.text 
+addi  $t0, $t1, 5   #t0=t1+5  
+add $t2, $t2, $t1   #t2=t2+t1 
+addi  $t1, $zero, 5   #t1=0+5 
+add   $t2, $zero, $t1    #t2=0+t1

6. Subtracting integers

Unlike addition, values can only be subtracted if they are stored in a register.

Code to subtract integer values stored in registers:

.text 
+sub $t2, $t2, $t1   #t2=t2-t1    
+sub   $t2, $zero, $t1    #t2=0-t1

7. Multiplying integers

MIPS allows you to multiply the values present in two registers and stores the 32 most significant bits in the HI special register and the 32 least significant bits in the LO special register.

The value obtained in the HI and LO registers can be accessed using the mfhi and mflo instructions respectively.

Code to multiply two integers values and access the result after multiplication:

.text 
+mult  $t0, $t1     #signed mult 
+mflo  $s0     #s0=t0*t1 
+multu $t2, $t3    #unsigned mult  
+mflo  $s1     #s1=t2*t3

8. Dividing integers

Division in MIPS is similar to multiplication except for a key difference, the HI special register stores the remainder while the LO special register will hold the quotient of the division.

Code to divide to integers and access the remainder and quotient after division:

.text 
+div $t1, $t2    #signed div 
+mfhi  $s0     #s0=t1%t2 
+mflo  $s1     #s1=t1/t2 
+divu  $t3, $t4    #unsigned div 
+mfhi  $s2     #s2=t3%t4 
+mflo  $s3      #s3=t3/t4

Points To Note

1. Entering number of size larger than 32 bits The largest integer that can be entered in 32 bit space is 2,147,483,647. Entering a number larger than that results in the following error:

``` Runtime exception at 0x0040002c: invalid integer input (syscall 5)```
+

2. Changing the value of $zero register It is not possible to change the value of the $zero register, any instructions that attempt to alter the value have no effect.

3. Multiplying numbers of size 32 bits In MIPS, all integer values must be 32 bits. So if there is a valid answer, it must be contained in the lower 32 bits of the answer. Thus to implement multiplication in MIPS, the two numbers must be multiplied using the mult operator, and the valid result moved from the lo register.

4. Division by zero If the divisor is zero, then the MIPS divide instructions do not compute any result in the HI and LO registers. Division by zero is ignored and no exception is produced.

5. Meaning of the .word directive The .word directive allocates 4 bytes of space in the data region. The .word directive can then be given an integer value, and it will initialize the allocated space to that integer value. Be careful as it is incorrect to think of a the .word directive as a declaration for an integer, as this directive simply allocates and initializes 4 bytes of memory, it is not a data type. What is stored in this memory can be any type of data.

String Operations

Strings in MIPS can be declared as constant in the .data part of the program. They are often stored this way so that they can be used as user prompts or to format output of a program. Strings can also be entered by the user during the runtime of the program. Both methods of using strings in MIPS will be demonstrated below.

1. Entering string constants

Pre-determined strings enclosed by double quotes can be declared in the .data section.

Code to store the string “enter an element:” in memory under the name “message”:

.data 
+message: .asciiz “enter an element:”

2. Enter strings as input from the user

To enter strings as input, the syscall code to be used is 8. Apart from that, the address of the memory space into which the string must be entered, is loaded into the $a0 register. The maximum size of the string must also be loaded into the $a1 register before performing the syscall.

Code to read a string as input from the user:

.data 
+input: .space 100 
+inputsize: .word 100 
+.text 
+li $v0, 8 
+la $a0, input 
+lw $a1, inputsize 
+syscall

3. Printing strings stored in memory

To print the required string, the syscall to be used is 4. The address of the string has to be loaded into the $a0 register.

Code to print a string named ‘message’ stored in memory:

.text 
+li $v0, 4 
+la $a0, output 
+syscall

We have now learnt how to declare strings constants as well as how to store strings entered by the user. We shall now write a program combining both the concepts for a more robust understanding of basic string operations.

Code to read a string, with prompts instructing the user:

.text 
+main: 
+    # Prompt for the string to enter 
+    li $v0, 4 
+    la $a0, prompt 
+    syscall 
+ 
+    # Read the string.  
+    li $v0, 8 
+    la $a0, input 
+    lw $a1, inputSize  
+    syscall 
+     
+    # Output the text 
+    li $v0, 4 
+    la $a0, output 
+    syscall
+
+    # Output the number 
+    li $v0, 4 
+    la $a0, input 
+    syscall 
+ 
+    # Exit the program 
+    li $v0, 10 
+    syscall 
+ 
+.data 
+input:        .space 81 
+inputSize:    .word 80 
+prompt:       .asciiz "Please enter a string: " 
+output:       .asciiz "\nYou typed the string: "

Points To Note

  • The .space directive allocates n bytes of memory in the data region of the program, where n=81 in this program. Since the size of a character is 1 byte, this is equivalent to saving 80 characters for data. 81 was used here because in MIPS a sequence of ASCII characters is terminated by a null value (byte containing 0). This is known as a null terminator.

  • If the string the user enters is larger than the maximum size of the string, it is truncated to the maximum size. This is to prevent the program from accessing memory not allocated to the string.

Floating Point Numbers

Floating point numbers are stored according to the IEEE 754 Standard. There are 2 types of floating point numbers, single precision and double precision.

Floating point number representation

According to IEE 754 Standard, floating point numbers follow the given representation.

Sign Exponent Fraction

  • The sign bit is 0 or 1, for positive or negative respectively.

  • The exponent stores the exponent of the number in scientific notation of its binary representation, plus a bias.

  • The fraction stores the fractional part of the binary representation of the number.

DataSingle PrecisionDouble Precision
Size32 bits64 bits
Exponent size8 bits11 bits
Fraction size23 bits52 bits
Bias1271023

Note

  • Since there are some numbers with non-ending decimal part in there binary representation ( For Example- ( 1 /3) 10 = (0.01 0011 0011 0011 ....) 2 ) and we have only limited bits to store the fraction part, there will be some slight inaccuracy while storing certain floating point numbers. Therefore, it is recommended to always use double, as it has a higher precision due to its increased no. of bits.

MIPS floating point architecture

In MIPS, all floating point calculations are computed in a separate processor, called co- processor 1.

The coprocessor contains 32 floating point registers, each of width 32 bits. The registers are numbered from $f 0 to $f3 1.

Each register is can store a single precision floating point number, while double precision is stored in 2 registers in an even-odd pair. For instructions concerning double precision numbers, the even numbered register is used in the instruction. Using an odd numbered register will throw an error.

In addition to the registers, there are 8 condition flags, which are used in floating point compare and branch instructions.

Floating point registers in MIPS

RegistersUsage
$f0 - $f3Used for results of floating point procedures
$f4 - $f11Temporary floating point registers, whose values are NOT preserved across procedure calls
$f12 - $f15Floating point parameters, whose values are NOT preserved across procedure calls
$f16 - $f19More temporary floating point registers, whose values are NOT preserved across procedure calls
$f20 - $f31Saved floating point registers, whose values are preserved across procedure calls

Among the 32 registers, only $f 4 - $f 11 , $f 16 - $f 19 and $f 20 - $f 31 can be used by the programmer for storing values, as the others are reserved for special purposes.

Note

  • Unlike the general purpose register $ 0 , $f 0 is not hardwired to be zero, and is used for storing results of procedures.

Declaring a Floating point number

.data
+  num1: .float 3.
+  num2: .double 4.5 3
+
+  .align 2 # Since float has 2^2 bytes
+  float_arr: .space 100 it has to be aligned to 2
+
+  .align 3 # Since double has 2^3 bytes it has to be aligned to 3
+  double_arr: .space 100

Reading and Printing Floating point numbers

Reading and printing a floating point number is similar to that of an integer, using syscall, only difference being in the $v0 value and parameter registers.

Single precision

The $v0 value for reading a single precision floating point number is 6 while that of printing is 2.

main: 
+  li $v0, 6 
+  syscall    # The number is stored in $f0 
+        
+  li $v0, 2 
+  mov.s $f12, $f0   # The number to be printed is moved to $f12 
+  syscall

Double precision

The $v0 value for reading a double precision floating point number is 7 while that of printing is 3.

main: 
+  li $v0, 7 
+  syscall    # The number is stored in $f0/$f1 
+        
+  li $v0, 3 
+  mov.d $f12, $f0   # The number to be printed is 
+  syscall     moved to $f12/$f13

Data Movement Instructions

InstructionSyntaxRemarks
Load single/doublel.s fdest, address l.d fdest, addressThe single/double floating-point stored in address is loaded onto register fdest
Store single/doubles.s fsrc, address s.d fsrc, addressThe single/double floating-point stored in register fsrc is stored to address
Move single/doublemov.s fdest, fsrc mov.d fdest, fsrcThe single/double floating-point stored in register fsrc is moved to register fdest
Move from coprocessor 1mfc1 dest, fsrcThe 32 - bit data from floating register fsrc is copied to general purpose register dest
Move to coprocessor 1mtc1 src, fdestThe 32 - bit data from general purpose register src is copied to floating point register fdest

Note

  • There is no load immediate for floating point. So if a constant is needed, it has to be stored in the data segment and loaded to the required register.
  • For the move to/from coprocessor 1 instructions, the first operand is a general purpose register and the second one is the floating point register.

Arithmetic Instructions

InstructionSyntaxRemarks
Additionadd.s fdest, fsrc1, fsrc2
add.d fdest, fsrc1, fsrc
The single/double floating-point numbers stored in fsrc1 and fsrc2
are added and stored in register fdest
Subtractionsub.s fdest, fsrc1, fsrc2
sub.d fdest, fsrc1, fsrc2
The single/double floating-point number stored in fsrc
subtracted from fsrc1 and stored in register fdest
Multiplicationmul.s fdest, fsrc1, fsrc2
mul.d fdest, fsrc1, fsrc2
The single/double floating-point numbers stored in fsrc1 and fsrc2
are multiplied and stored in register fdest
Divisiondiv.s fdest, fsrc1, fsrc2
div.d fdest, fsrc1, fsrc
The single/double floating-point number stored in fsrc1 is divided by fsrc2
and the quotient is stored in register fdest
Negationneg.s fdest, fsrc
neg.d fdest, fsrc
The single/double floating-point number stored in fsrc
is negated (Sign changed) and stored in register fdest
Absolute valueabs.s fdest, fsrc
abs.d fdest, fsrc
Absolute value (Magnitude) of the single/double floating-point number stored in fsrc
is stored in register fdest
Square rootsqrt.s fdest, fsrc
sqrt.d fdest, fsrc
Square root of the single/double floating-point number stored in fsrc
is stored in register fdest

Sample Question 1

Given a temperature in Fahrenheit, convert it into Celsius (Input and output has to be floating point values).

Temperature in degrees Celsius = (Temperature in degrees Fahrenheit - 32 ) * 5 / 9.

data 
+  # Constants used for calculation 
+  const1: .double 32.0 
+  const2: .double 5.0 
+  const3: .double 9.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the temperature in Fahrenheit: " 
+  msg2: .asciiz "The temperature in Celsius is: " 
+ 
+
+.text 
+.globl main 
+main: 
+ 
+  li $v0, 4                    # Printing msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7                    # Reading user input 
+  syscall 
+  
+  mov.d $f12, $f0              # $f12 = User Input 
+  l.d $f14, const1             # $f12 = $f12 - 32 
+  sub.d $f12, $f12, $f14 
+  
+  l.d $f14, const2             # $f12 = $f12 * 5 
+  mul.d $f12, $f12, $f14 
+  
+  l.d $f14, const3             # $f12 = $f12 / 9 
+  div.d $f12, $f12, $f14 
+  
+  li $v0, 4                    # Printing msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 3                    # Printing final answer 
+  syscall 
+  
+  li $v0, 10                   # Exit 
+  syscall

Comparison/Branch Instructions

InstructionSyntaxRemarks
Compare equalc.eq.s cc, fsrc1, fsrc2
c.eq.s fsrc1, fsrc2
c.eq.d cc, fsrc1, fsrc2
c.eq.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the numbers in fsrc1
and fsrc2 are equal, 0 otherwise.
Compare less thanc.lt.s cc, fsrc1, fsrc2
c.lt.s fsrc1, fsrc2
c.lt.d cc, fsrc1, fsrc2
c.lt.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the number in fsrc1
is less than that in fsrc2, 0 otherwise.
Compare less than or equal toc.le.s cc, fsrc1, fsrc2
c.le.s fsrc1, fsrc2
c.le.d cc, fsrc1, fsrc2
c.le.d fsrc1, fsrc
Sets the condition flag cc as 1 if the number in fsrc1
is less thanor equal to that in fsrc2, 0 otherwise.
Branch if truebc1t cc, label
bc1t label
Jumps to label if the condition flag cc is set as 1
Branch if falsebc1f cc, label
bc1f label
Jumps to label if the condition flag cc is set as 0

Note

  • The condition flag can be omitted in the above instructions, in which case condition flag 0 is taken as default.
  • As there is no comparison instruction for “Not equal to”, it has to be implemented by reversing the required branch condition Ex. We need to branch to label if $f4 and $f6 are not equal. This can be written as
      c.eq.d $f4, $f6
    +  bc1.f label
  • For greater than and greater than and equal to, it is simpler to reverse the input registers. Ex. We need to branch to label if $f4 if greater than $f6. This can be written as
      c.le.d $f6 , $f4
    +  bc1.t label

Sample Question 2

Given an array of floating numbers of size n, print the maximum and minimum element

data 
+  # Array 
+  .align 3 
+  arr: .space 1000 
+  
+  # Characters 
+  newline: .asciiz "\n" 
+ 
+  # User Prompts 
+  msg1: .asciiz "Enter n: " 
+  msg2: .asciiz "Enter no. " 
+  msg3: .asciiz ": " 
+  msg4: .asciiz "The maximum no. is: " 
+  msg5: .asciiz "The minimum no. is: " 
+ 
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4    # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 5    # Read n 
+  syscall 
+  move $t0, $v0 
+  
+  li $t1, 0    # $t1 will be the loop variable  
+               # going from 0,1,2.. 
+  li $t2, 0    # $t2 will be the element indices 
+               # going from 0,8,16.. 
+  loop1:                      # Loop to read n elements 
+    beq $t0, $t1, end_loop1   # Termination condition 
+   
+    li $v0, 4                 # Print msg2 
+    la $a0, msg2 
+    syscall 
+   
+    li $v0, 1                 # Print position of                    
+    move $a0, $t1             # number to be inputted 
+    addi $a0, $a0, 1 
+    syscall 
+   
+    li $v0, 4                 # Print msg3 
+    la $a0, msg3 
+    syscall 
+   
+    li $v0, 7                 # Read input and  
+    syscall                   # store in arr 
+    s.d $f0, arr($t2) 
+   
+    addi $t1, $t1, 1   # Increamenting #t1  
+    addi $t2, $t2, 8   # and $t2 
+    j loop1 
+  
+  end_loop1: 
+  
+  li $t1, 0    # Resetting $t1 and $t2 
+  li $t2, 0 
+  l.d $f4, arr($zero)  # $f4 stores the max value 
+  l.d $f6, arr($zero)  # $f6 stores the min value
+
+  loop2:                        # Loop to compute max and min 
+    beq $t0, $t1, end_loop2     # Termination Condition 
+   
+    l.d $f8, arr($t2)           # Load a number from arr 
+   
+    c.lt.d $f4, $f8             # Compare if the number is  
+                                # greater than current max 
+    bc1f not_max  
+      mov.d $f4, $f8            # If yes then update new max 
+    not_max: 
+   
+    c.lt.d $f8, $f6             # Compare if the number is  
+                                # less than current min 
+    bc1f not_min 
+      mov.d $f6, $f8            # If yes then update new min 
+    not_min: 
+   
+    addi $t1, $t1, 1            # Incrementing $t1 and $t2 
+    addi $t2, $t2, 8 
+    j loop2 
+  
+  end_loop2: 
+  
+  li $v0, 4                      # Print msg4 
+  la $a0, msg4 
+  syscall 
+  
+  li $v0, 3                      # Print max number 
+  mov.d $f12, $f4 
+  syscall 
+
+  i $v0, 4    # Print newline 
+  la $a0, newline 
+  syscall 
+  
+  li $v0, 4    # Print msg5 
+  la $a0, msg5 
+  syscall 
+  
+  li $v0, 3    # Print min number 
+  mov.d $f12, $f6 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Data Conversion Instructions

Conversion within floating point

InstructionSyntaxRemarks
Convert single to doublecvt.d.s fdest, fsrcThe single floating-point stored in fsrc is converted to double and stored in fdest
Convert double to singlecvt.s.d fdest, fsrcThe double floating-point stored in fsrc is converted to single and stored in fdest

Conversion to integers

InstructionSyntaxRemarks
Convert single to integercvt.w.s fdest, fsrcThe single floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point)
and stored in 2 ’s compliment form in fdest
Convert double to integercvt.w.d fdest, fsrcThe double floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point) and
stored in 2 ’s compliment form in fdest

Note

  • The output of the above two instructions is in 2 ’s compliment form, which should not be used with any other instructions other than mfc1. Other instructions always assume the data in registers are according to IEEE standards, which can cause errors.

Conversion from integers

InstructionSyntaxRemarks
Convert integer to singlecvt.s.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to single precision floating point and is stored in fdest
Convert integer to doublecvt.d.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to double precision floating point and is stored in fdest

Special Instructions

InstructionSyntaxRemarks
Ceilingceil.w.s fdest, fsrc
ceil.w.d fdest, fsrc
The smallest integer not greater than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Floorfloor.w.s fdest, fsrc
floor.w.d fdest, fsrc
The greatest integer not smaller than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Round to nearest integerround.w.s fdest, fsrc
round.w.d fdest, fsrc
The floating-point stored in fsrc is rounded off to
nearest integer and stored in fdest in 2 ’s compliment form

Sample Question 3

Given a floating point number and an integer n, round off the floating point number to n digits.

Hint-

Multiplty then given number with 10^n, and round off using round.w.d instruction. Divide the result by 10^n to obtain the final result.

data 
+  # Constants used for calculation 
+  const1: .double 0.0 
+  const2: .double 1.0 
+  const3: .double 10.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the number : " 
+  msg2: .asciiz "Enter number of digits to round off to: " 
+  msg3: .asciiz "The rounded off number is: " 
+  
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4     # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7     # Read number to round off 
+  syscall 
+  
+  mov.d $f12, $f0    # $f12 = user input 
+  
+  li $v0, 4     # Print msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 7     # Read n 
+  syscall 
+
+  mov.d $f16, $f0    # $f16 = n 
+  l.d $f4, const1    # $f4 used as a loop varibale 
+                     # initialised to 0 
+  l.d $f6, const2    # $f6 used to store 10^n 
+  l.d $f8, const3    # $f8 used to store 10 for  
+                     # calculating power 
+  l.d $f10, const2   # $f10 used to store 1 for  
+                     # incrementing loop variable 
+  loop1:    # Loop to compute 10^n 
+    c.eq.d $f4, $f16  # Ternminaltion Condition 
+    bc1t end_loop1 
+  
+    mul.d $f6, $f6, $f8 # $f6 = $f6 * 10 
+    add.d $f4, $f4, $f10  # $f4 = $f4 + 1 
+  
+    j loop1 
+  end_loop1: 
+  
+  mul.d $f12, $f12, $f6  # $f12 = $f12 * (10^n) 
+  round.w.d $f12, $f12   # $f12 is rounded to nearest 
+                         # integer 
+  cvt.d.w $f12, $f12     # Output after rounding,  
+                         # which is a word, is  
+                         # converted back to double 
+  div.d $f12, $f12, $f6  # $f12 = $f12 / (10^n) 
+  
+  li $v0, 4    # Print msg3 
+  la $a0, msg3 
+  syscall  
+ 
+  li $v0, 3    # Print final answer 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Integer Arrays

An Integer Array is continuous storage block in memory in which each element is an integer. Each element of an array is accessed using the base address of the array and the index of the element we must access.

Declaration and Initialization

Integer arrays can be initialized using .word or an empty array can be declared using the .space keyword inside the .data section of the program

data: 
+arr: .word 3,4,-5,6    #initialized an array arr=[3,4,-5,6] 
+arr2: .space 40  # empty array with 40 bytes reserved for arr2

Array Traversal

Array traversal refers to accessing each element of the array using its index.

Each integer element of an array occupies a word (4 bytes). Therefore,4 bytes needed to be added to the current address to obtain the address of the following element.

Address of arr [k] = base address of arr + 4 * k

Eg: To access arr[3] and store it to $t

a $s0,arr   # base add address of array is stored in $s0 
+addi $s0,$s0,12    #  $s0 = address of arr[3] = arr + 4 * 3 
+lw $t2,0($s0)    # $t2=arr[3]

or

a $s0,arr   # base add address of array is stored in $s0 
+lw $t2,12($s0)  # $t2=value of (arr + 12)

Array Input

The elements of an integer array can be received from the user using the syscall for integer input and a for loop.

An empty array must be declared in the .data by allocating the necessary space as shown before.

Each integer element of an array occupies 4 bytes. Therefore, 4 bytes needed to be added to the current address after each insertion.

The following code segment can be used to create an array of size n by receiving inputs from the user:

Assume $s1 contains n, $s0 contains base address of an empty array and $t0 is the loop counter

for: 
+  beq $t0,$s1,exit      # loop termination condition 
+  sll $t1,$t0,2         # $t1=i*4 
+  add $t1,$t1,$s0   # $t1 = arr + i * 4         
+       
+  li $v0,5           # input integer and store in $t2 
+  syscall 
+  move $t2,$v0 
+  sw $t2,0($t1)      # $t2 = arr[i] 
+  addi $t0,$t0,1     # increment loop counter i 
+  j for

Printing an Integer Array

Integer Array can be printed by traversing each element by using array traversal rules (as discussed above) and integer printing (code 1 is loaded to $v0).

Consider the following code snippet to print the array created in the previous example in which every element is provided by the user:

la $s0,arr             # store base address of array in $s0 
+li $t0,0 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       # array traversal to access arr[i] 
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)       # $t2=arr[i] 
+  li $v0,1            # 1 is the syscall number to print integers 
+  move $a0,$t2         # print $t2   
+  syscall 
+    
+  li $a0, 32     # 32 is the ASCII code for space  
+  li $v0, 11          # syscall number for printing character 
+  syscall 
+  addi $t0,$t0,1 # increment loop counter 
+  j print 
+end:                         
+li $v0,10   #end program        
+syscall

Negative Numbers as Elements

All integer operations in MIPS support both positive and negative numbers. No changes are required to the program for the input and output of positive integers.

enter array element: -5 
+enter array element: -6 
+enter array element: 8 
+enter array element: -2 
+The elements of the array are: -5.0 -6.0 8.0 -2.0  
+-- program is finished running --

Floating Point Numbers as Elements

An array of floating-point numbers can be created by replacing all the integer operations with floating-point operations. The following changes need to be made to convert an integer array into an array of floating-point numbers:

  1. Replace the integer code loaded to $v0 by floating-point code
  2. Replace the load, store and move operation by l.s , s.s and mov.s where floating point data type is used
# program to input array 
+for: 
+  beq $t0,$s1,exit
+  sll $t1,$t0,2
+  add $t1,$t1,$s0  
+  li $v0,6          # code for floating point input 
+  syscall
+  s.s $f0,0($t1)    # arr[i]=$f0 
+  addi $t0,$t0,1 
+  j for  
+exit: 
+
+# print array 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       
+  add $t1,$t1,$s0 
+  l.s $f0,0($t1)       # $f0=arr[i] 
+  li $v0,2           # code for printing floating point 
+  mov.s $f12,$f0   
+  syscall 
+  li $a0, 32           
+  li $v0, 11           
+  syscall 
+  addi $t0,$t0,1  
+  j print 
+end

One Dimensional Arrays

To calculate the sum of n numbers in an n sized array

li $t0,0 
+la $s0,arr 
+li $t3,0        #t3 stores the sum 
+sum:          #for loop 
+  beq $t0,$s1,end     # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)      # $t2=arr[i] 
+  add $t3,$t3,$t2    # $t3=$t3+$t2 
+  addi $t0,$t0,1     # increment loop counter 
+  j sum 
+end:                 
+li $v0,1              # print sum 
+move $a0,$t3                 
+syscall

To find the largest element in an n sized array

la $s0,arr 
+li $t0,0 
+li $t3,-1000 # $t3=max 
+  # initialized to -1000   
+max: 
+  beq $t0,$s1,end # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)  # $t2=arr[i] 
+  ble $t2,$t3,inc_i  # if arr[i]<=max, jump to inc_count 
+  move $t3,$t2   # if arr[i]>max, max=arr[i] 
+  inc_count: 
+    addi $t0,$t0,1  # increment loop counter 
+    j max 
+end:  
+li $v0,1                  # print max 
+move $a0,$t3                 
+syscall

String Operations (Advanced)

Null Character and Newline

When strings are created by using user input (code 8 passed to $vo), it forms a sequence of ASCII characters that are terminated by a newline character, followed by a NULL character. The newline character is triggered by the pressing of enter key during user input.

The ASCII code for newline is 10. Hence beq, $t1,10,label can be used as a comparing instruction

MIPS treats NULL character as a zero. Hence beqz, $t1, label can be used as a comparing instruction

String Traversal

Strings are made up of characters, in which each character occupies a byte. Hence, unlike an Integer Array, each character must be traversed byte by byte.

Eg:

To find the length of a string (newline character need not be included)

# assume string is input to str1 
+li $s1,0 #loop counter (i) 
+  
+len: 
+  lb $t1,str1($s1)  # $t1=str[i] 
+  beq $t1,10,start  # termination condition 
+  addi $s1,$s1,1    # i=i+1 
+  j len 
+start: 
+  li $v0,1          # print len=i  
+  move $a0,$s1 
+  syscall

If the newline character needs to be included in the length, beq should be replaced with beqz

String Concatenation

Concatenation of two strings can be done using 2 loops. The presence of newline and null character at the end of each string should be considered.

Consider two strings, str1 and str2. The following is the pseudocode and the code snippet for concatenating str2 to str1:
Pseudo Code:

c
i=0
+
+j=0
+
+while str1[i]!='\n'
+
+i++
+
+while str2[j]!='\0'
+
+str1[i]=str2[j]
+
+i++
+
+j++

MIPS:

mips
i $s1,0           # i=0 
+li $s2,0           # j=0 
+loop1: 
+   lb $t1,str1($s1)  
+  beq $t1,10,loop2 
+  addi $s1,$s1,1           # i++ 
+  j loop1 
+loop2: 
+  lb $t1,str2($s2) 
+  beqz $t1,end 
+  sb $t1,str1($s1)    # str1[i]=str[j] 
+  addi $s1,$s1,1      # i++ 
+  addi $s2,$s2,1      # j++ 
+  j loop2 
+end:

Palindrome Checking

A palindrome sequence that reads the same backwards as forwards. Palindrome checking uses two pointer method.

Assume a string str, whole length is n. Length of the string is calculated by previous method and stored in $s1. The following code snippet shows the while loop necessary for checking whether the string is palindrome

addi $s1,$s1,-1 # j=n-1  
+li $s0,0        # i=0 
+for: 
+  lb $t1,str($s0)   
+  lb $t2,str($s1)   
+  bge $s0,$s1,true    # if i>=j,exit loop. return True 
+  bne $t1,$t2,false   # if str[i]!=str[j], return False 
+  addi $s0,$s0,1      #  i++ 
+  addi $s1,$s1,-1     #  j-- 
+  j for

Two Dimensional Arrays

2-DArrays/Matrices/Tables aren’t stored in memory the way it is normally visualized; rather it is stored as a single array in either row major form or column major form. Let’s see how a matrix is represented in memory in both the forms with a starting address of 1230. (Remember:In an array each element is 4 bytes long).

a) Row Major form : Here elements are stored row wise. First we store the first row, then the second and soon.

b) Column Major form: Here elements are stored column wise. First we store the first column, then the second and soon.

Row Major form ( starting address 1000 )

Column Major form ( starting address 1000 )

Sample code

->Insertion of elements and printing the 2D-array

**We are using row major form to input the elements as a string

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D array
+Print the matrix:
+- Loop through rows:
+- Loop through columns:
+- Print each element of the matrix
+- Print a space between elements
+- Print a newline after each row
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+mat1: .space 100
+input: .space 100
+
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0         # $t0 = number of rows
+
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+
+  li $v0, 5
+  syscall
+  move $t1, $v0         # $t1 = number of columns
+
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+
+  li $t5, 0
+
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+# when a space is detected add the number calculated to the array and continue
+
+  beq $t4, $zero , end_input
+
+#In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+
+#This loop exist to calculate numbers that have more than one digit , since our input is a string.
+
+# We need to iterate and add the characters until we detect a space
+
+  sub $t6 , $t4, 48 # converts character to integer
+
+# for calculating the number
+  
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  
+  j input_loop
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+print_loop:
+  beq $t6 , $t0 , end_programme
+  li $t7 , 0 # Initialize column index
+
+print_column_loop:
+  lw $t9 , 0($t8) # Load number from array
+  li $v0, 1 # syscall for print_int
+  move $a0, $t9 # Load number to print
+  syscall
+
+  li $v0, 4 # syscall for print_str 
+  la $a0, space # Load address of space
+  syscall
+
+  addi $t7, $t7, 1 # Increment column index
+  addi $t8 , $t8 , 4 # Increment base address
+  blt $t7, $t1 , print_column_loop # Print all elements in the row
+
+# Print newline after each row
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+
+# Reset column index for next row
+  li $t7 , 0
+
+# Increment row index
+  addi $t6 , $t6 , 1
+
+  j print_loop
+  
+end_programme :
+  li $v0, 10 # syscall for exit
+  syscall

Output

  Enter number of rows:3
+  Enter number of columns:3
+  Enter matrix(row major form) : 1 2 3 4 5 6 7 8 9
+
+  1 2 3 
+  4 5 6 
+  7 8 9

->To find maximum and minimum element in a 2-D array

**We are using row major form to input the elements as a string

**same process of taking the input as the above

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D
+array
+Find minimum and maximum elements in the matrix:
+- Initialize minimum element to maximum possible value
+and maximum element to 0
+- Loop through each element of the matrix:
+- Update minimum and maximum elements if necessary
+Print the maximum element message:
+- Print "The maximum element is: "
+- Print the maximum element
+Print the minimum element message:
+- Print "The minimum element is: "
+- Print the minimum element
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+maximum : .asciiz"The maximum element is : "
+minimum : .asciiz"The minimum element is :
+mat1: .space 100
+input: .space 100
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0 # $t0 = number of rows
+  
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+  
+  li $v0, 5
+  syscall 
+  move $t1, $v0 # $t1 = number of columns
+  
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+  
+  li $t5, 0
+  
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+  # when a space is detected add the number calculated to the array and continue
+  
+  beq $t4, $zero , end_input
+  
+  #In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+  
+  #This loop exist to calculate numbers that have more than one digit , since our input is a string
+  # we need to iterate and add the characters until we detect a space
+  
+  sub $t6 , $t4, 48 # converts character to integer
+
+  # for calculating the number
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  j input_loop
+
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+find_min_max:
+  li $t3 , 0 # Initialize max element to 0
+  li $t2 , 0xffffffff
+  li $t6 , 0 # Initialize row index to 0
+  la $a0 , mat1
+
+row_loop:
+  beq $t6, $t0 , end # If all rows have been checked, exit
+  
+  li $t7, 0 # Initialize column index to 0 
+
+column_loop:
+  beq $t7, $t1 , end_column_loop # If all columns have been checked, move to next row
+  
+  lw $t8, 0($a0) # Load current element from array
+
+# Compare current element with max element and the min element
+  bgt $t8, $t3, update_max # if element greater than max element , update max
+  
+  min :
+  blt $t8 , $t2 , update_min # if element smaller than min element, update min
+  
+  increment :
+  addi $a0, $a0, 4 # Move to next column
+  addi $t7, $t7, 1 # Increment column index
+  j column_loop
+
+update_max:
+  move $t3 , $t8 # Update max element
+  j min # control goes back to checking for the conditional statement of min element
+
+update_min:
+  move $t2 , $t8 # Update min element
+  j increment # control goes back to incrementing the looping values
+
+End_column_loop:
+  addi $t6, $t6, 1 # Increment row index
+  j row_loop
+
+end :
+  li $v0 , 4
+  la $a0 , maximum  
+  syscall
+  
+  li $v0 , 1
+  move $a0 , $t3 # printing the maximum element
+  syscall
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+  
+  li $v0 , 4
+  la $a0 , minimum # printing the minimum element
+  syscall
+
+  li $v0 , 1
+  move $a0 , $t2
+  syscall
+  
+  li $v0 , 10
+  syscall

Output

  Enter number of rows :3
+  Enter number of columns :3
+  Enter matrix ( row major form ) : 1 2 34 5 6 7 300 9 22
+
+  The maximum element is : 300
+  The minimum element is : 1

More Questions

->Searching in Matrix: Write a MIPS assembly program to search for a given element in a matrix and return its position if found.

->Matrix Addition: Write a MIPS program to add two matrices of the same size

->Transpose of a Matrix: Write a MIPS assembly program to find the transpose of a given matrix.

MIPS code for if statements ● Iftheconditionisanequalityusebeq,bne ● Iftheconditionisacomparisoncombinebeq/bnewithset-on-less-than

Why not blt or bge? While blt and bge (pseudo-instructions) are available in MIPS, beq and bne are favoured inconditional statements for their efficiency making them the common choice.

Example 1) Given,f:$s0, g:$s1, h:$s2, i:$s3, j:$s4

  if(i==j)
+    f = g + h;
+  else
+    f = g - h;

Solution 1 :- Corresponding MIPS code

  bne $s3, $s4, else
+  add $s0, $s1, $s2
+  j endif
+else: sub $s0, $s1, $s2
+endif: ...........

Example 2) Given,f:$s0 ,g:$s1 ,h:$s2 ,i:$s3 ,j:$s4

  if(i<j)
+    f=g+h;
+  else
+    f=g-h;

Solution 2 :- Corresponding MIPS code

  slt $to, $s3, $s4
+  beq $to, $zero, else
+  add $s0, $s1, $s2
+  j endif
+else:
+  sub $s0, $s1, $s2
+endif: .......

MIPS code for Loop statements

Although there are said to be 3 different types of loops in C namely, do/while, while and for loop, they are all functionally identical. In other words, you can take any for-loop and easily turn it into a while-loop.

asm
  int i;
+  for(i= 0 ;i< 10 ;i++){
+    loopbody;
+  }

or

asm
  inti=0;
+  while(i< 10 ){
+    loopbody;
+    i++;
+  }

Example 1) Given, i:$s3, k:$s

asm
  while(i < j)
+    i+=1;

Solution 1 :- Corresponding MIPS code

asm
loop:
+  slt $t0, $s3, $s4
+  beq $t0, $zero, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit: ...

Example 2) Given i:$t0, k is some integer

asm
  int i;
+  for(i = 0; i < k; i++){
+    // loop body
+  }

Solution 2 :- Corresponding MIPS code

asm
  add $t0, $zero, $zero # i is initialized to 0, $t0 = 0
+Loop: // loop body
+  addi $t0, $t0, 1 # i ++
+  slti $t1, $t0, 4 # $t1 = 1 if i < 4
+  bne $t1, $zero, Loop # go to Loop if i < 4

Example 3) Given, i:$s3, base address of arr:$s6, k:$s

asm
  while(arr[i] == k)
+    i+=3;

Solution 3 :- Corresponding MIPS code

asm
loop:
+  sll $t0, $s3, 3
+  add $t1, $t0, $s6
+  lw $t2, 0($t1)
+  bne $t2, $s5, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit:...

Procedures in MIPS

Understanding the memory layout and the way procedures are called is crucial for writing efficient and correct MIPS assembly code.

➢ Memory Layout

➢ Stack allocation (refer Recursion in MIPS(non-leaf procedure) for detailed explanation)

(a) before, (b) during, and (c) after a procedure call.

There are two types of procedure calling-

1) Leaf Procedures: These procedures do not call other procedures.

When a leaf procedure is called:

● There turn address is saved on the stack. ● A stack frame/procedure frame/activation record is setup to store local variables. ● Arguments may be passed in registers or on the stack. ● The procedure executes its code.

Upon completion,it restores the stack pointer and returns to the saved return address.

2) Non-leaf Procedures(NestedProcedures): These procedures call other procedures, eg.- Recursive Functions/Procedures.

In addition to the steps for leaf procedures, non-leaf procedures must manage:

● Saving and restoring additional registers beyond there turn address. ● Managing multiple levels of procedure calls and returns. ● Ensuring that data in registers is preserved a cross nested calls. ● Properly handling there turn value from called procedures.

Function (Procedure) calling in MIPS

In MIPS assembly language, passing parameters to functions involves using registers. Unlike high-level languages where parameters are often passed on the stack,MIPS typically uses specific registers for passing arguments.

● $a0 to $a3 :These are argument registers and are used to pass the first four arguments to a function. If a function has more than four parameters,additional parameters are typically passed on the stack.

● $v0 and $v1: These are value registers and are used to return values from functions. Functions can return up to two values using these registers.

(Note:-i) Register 1, called $at, is reserved for the assembler.
ii) Registers 26–27, called $k0–$k1 are reserved for the operating system.)

Steps in calling a procedure (function)

In MIPS assembly language, procedure calling follows a similar structure to function calls in high-level languages like C.

The following steps typically occur in both leaf and non-leaf functions but there are some nuances in how they're implemented, especially regarding the handling of the stack:

Arguments Passing :.Arguments to the function can be passed via registers or the stack, depending on the calling convention. In register-based passing, arguments are loaded in to designated argument registers like $a0-$a3. If there are more arguments than available registers, excess arguments are typically passed on the stack.

Jump and Link (jal) :jal makes the control jump to the given address while storing there turn address at PC+4 in the $ra register This effectively sets up the return mechanism for the function call.

Function Prologue (Non-leaf functions): Non-leaf functions need to setup a stack frame. This involves: Saving the return address($ra) on to the stack, saving any callee- saved registers on to the stack(these are typically $s0-$s7),setting up the frame pointer($fp) to establish a reference point for accessing local variables and saved registers.

Function Execution :The function performs its task, accessing arguments, local variables, and performing computations.

● Function Epilogue (Non-leaf functions): Before returning, non-leaf functions need to cleanup the stack frame and restore the state of callee-saved registers.This involves restoring callee-saved registers from the stack, restoring the return address($ra) from the stack resetting the stack pointer($sp) to deallocate the stack frame, jumping back to the return address using the jr $ra instruction.

● Return: Upon completing its task, the function returns control to the caller. If it's a leaf function, it typically involves jumping back to the return address stored in $ra using the jr $ra instruction. For non-leaf functions, the return sequence includes restoring the stack frame and registers before jumping back to the caller.

(Note:-For simplicity we will only use $sp and extend the stack at procedure entry/exit)

Example 1) Calling a procedure which prints a string

asm
//code
+printFunction();
+a=a+2;
+//code

Solution 1 :- Corresponding MIPS code

asm
data
+hello_string: .asciiz "Hello, world!\n" # String definition
+
+.text
+main:
+....previous code
+jal printFunction #jump to printFunction
+addi $s2, 2
+....further code
+li $v0, 10 # Set syscall code 10 for exit
+syscall # Perform syscall to exit the program
+
+printFunction:
+li $v0, 4 # Set syscall code 4 to print a string
+la $a0, hello_string # Load the address of the string
+syscall # Perform syscall to print
+jr $ra # Return control to PC + 4

● When jal is used, the control moves to the address specified in the instruction and the address of the next instruction is stored in $ra.

● When the procedure ends jr $ra is used to return control back to the next address from where it jumped.

● These procedures are usually placed after the “main” procedure to avoid instruction overlap.

Example 1) Calling a function with parameters

asm
//code
+result=addNumbers(5,7);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load first parameter (5) into $a0
+  li $a1, 7 # Load second parameter (7) into $a1
+  jal addNumbers # Jump to addNumbers function
+  move $s0, $v0 # Store the result returned by addNumbers in $s0
+  # Further code
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+addNumbers:
+  add $v0, $a0, $a1 # Add the values of $a0 and $a1 and store the result in $v0
+  jr $ra # Return control to the next instruction after jal

● In the main function, parameters are passed to the addNumbers function by loading values into registers $a0 and $a1.

● The jal instruction is used to jump to the addNumbers function.

● Inside the addNumbers function, the parameters are accessed from the $a0 and $a1 registers.

● The result of the addition is stored in register $v0, which is commonly used to return function results in MIPS.

● Finally, jr $ra is used to return control back to the instruction after the jal in the main function.

Recursion in MIPS (non-leaf procedure)

In MIPS assembly language, implementing recursion involves understanding function calls and stack manipulation.

The Stack in MIPS Assembly

● The stack is a crucial data structure used in MIPS assembly language for managing function calls, local variables, and return addresses. ● It operates based on the Last-In-First-Out (LIFO) principle, meaning the last item pushed onto the stack is the first item to be popped off.

Stack Operations:

Stack Pointer ($sp): ● The stack pointer register, $sp, points to the top of the stack. It keeps track of the current position in memory where new items are pushed onto or popped off the stack.

Push Operation: ● To push data onto the stack, the stack pointer is decremented to reserve space for the new item, and then the data is stored at the memory location pointed to by the stack pointer.

Pop Operation: ● To pop data off the stack,the data is retrieved from the memory location pointed to by the stack pointer, and then the stack pointer is incremented to remove the item from the stack.

Stack Usage in Function Calls:Function Prologue: ● When a function is called, the current contents of relevant registers (such as there turn address and callee-saved registers) are typically saved on the stack to ensure they are preserved. ● This process is often referred to as the function prologue.

Function Epilogue: ● Upon completion of the function, the saved values on the stack are restored to their original registers. ● This process is known as the function epilogue.

Example) Function Call and Stack Usage

● When main calls my Function using jal, the return address (the address of the instruction following the function call) is automatically saved in register $ra. ● Inside my Function, the function prologue allocates space on the stack to save the return address. ● The function body executes the desired operations. ● Finally, in the function epilogue, the return address is restored, and the stack space allocated in the prologue is deallocated before returning control to the caller.

To understand recursion in MIPS, a good understanding of the stack pointer and how it operates on memory is imperative. Recursion involves careful management of the function call stack, ensuring that return addresses and local variables are properly saved and restored. This example illustrates the process of calculating the factorial of a number using recursion in MIPS assembly language.

Example) Calculating the factorial of a number using recursion

asm
//code
+result = factorial(5);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load the value 5 (number whose factorial is to be calculated) into $a0
+  jal factorial # Jump to the factorial function
+  move $s0, $v0 # Store the result returned by factorial in $s0
+  
+  # Further code using the result stored in $s0
+  # ...
+
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+factorial:
+  # Function prologue
+  addi $sp, $sp, -4 # Allocate space on the stack for local variables
+  sw $ra, 0($sp) # Save the return address on the stack
+  
+  # Check for base case: if n <= 1, return 1
+  li $t0, 1 # Load the value 1 into $t0
+  ble $a0, $t0, base_case # Branch to base_case if $a0 (n) <= $t0 (1)
+
+  # Recursive case: n * factorial(n - 1)
+  addi $a0, $a0, -1 # Decrement $a0 (n) by 1
+  jal factorial # Recursive call to factorial function
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  mul $v0, $a0, $v0 # Multiply n by the result of factorial(n - 1)
+  jr $ra # Return control to the caller
+
+base_case:
+  # Base case: n <= 1, return 1
+  li $v0, 1 # Load the value 1 into $v0
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  jr $ra # Return control to the caller

● In the main function, the value 5 is loaded into register $a0 to calculate its factorial.
● The factorial function is then called using the jal instruction.
● Inside the factorial function, the base case checks if the input value n is less than or equal to 1.If so,it returns 1.
● Otherwise,the function decrements n by 1 and recursively calls itself with the decremented value.
● The result of the recursive call is then multiplied by n to compute the factorial. ● Finally,the result is returned to the caller using register $v0.

References

J.L.Hennessy and D.A.Patterson Computer Organization and Design:The Hardware/Software Interface, Fifth Edition
“Digital Logic and Computer Design” by M.Morris Mano “Digital Fundamentals” by Thomas L.Flyod http://www.cs.missouristate.edu/MARS/https://www.d.umn.edu/~gshute/mips/directives-registers.pdfhttps://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.htmlhttps://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.htmlhttps://riptutorial.com/mips/example/29993/mars-mips-simulatorhttps://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf

+ + + + \ No newline at end of file diff --git a/docs/.vitepress/dist/NITC_Logo.png b/docs/.vitepress/dist/NITC_Logo.png new file mode 100644 index 0000000000000000000000000000000000000000..4795af64edc12a304e6abd64057097195ba7782b GIT binary patch literal 105936 zcmdSAg;$i{7cY!bQi4dQfFm%Vz-HnpcFm%JvA>E;O`2ODa z{ttI8*2B!1v+J|>IeVXY!j%-HaWKg+k&uvZWWGqKAR(b-At9mGzd}K@u>qys}Fq>m{`NW_jAt;#}(A6^>EN=qR93yU4; zLVQDam64Z3-$O$~W9A;uJpv<|UN|@_sJ$4^^GHK*L6VUWRr6dt%5+;M?3?@9HGVO% zg7Y#WB$}0psd4_%*4DPBrmx@c`5{(QBl!8V=m)|d$Dv{LtDn9NuV$@euGHPEUI=He zJ-j^56nHDhCFrt4p+q35{QvUbAZ0`Wp%MWgwiqORpcK-IG+juhBtK}a)nw)|pcR+BM6^w2Y}ChTbaP^z zTT{y`L%uO2X?#|OWE6a#JGb9Qi7uh92+U)clqOb;$n)HgxK7Y`kM}>Gmy^$e^qb{% z0=_AgA=uaEJquZ`<4Kn(!^1l$N3bd1gUcur+#CTbJ{vxN{Qn#3lQrJ4KWh)t_|FD! z1WP=UR!iL_d7dDe1s_7xtvT0}>9w%da|l#wny z9jcBMUeQO)CpsJpQ>UOouAS~%yM%_<$3M$GhDCJB$q0_JlIK8bOiLZoD zSPobR-A@QJAWY~(KD)55-Iq-b<3Dnf>a138MR8M5{xu_EV4gZymK2~qrqac-AKkt4yN6Sw?+YjkB zcDd)8Tjb_UGTwiQIz;`fk6Dc?09`Kjv$Y+L_`XcI>jpv?#IUAmZ3~iL5J||u)tk&~ z^o4fc`t=WEDKssYseMJ=eHtc&>c6T1PpQPeFF9zcSU+oov)Ok40K#S{-W8$fdz)cT z{U`A;kJsYdBqw3u7fcd6Dh)oI=2%eVDv2(oP8X^crrMm91nW~rNPMj0dD6zatv`!) zH?Bi%ErET!1hD7;h_ z_c-9!cdo<+v%$2RQ09+#2V4ft`u{l(0CzQdH{4qo{&=b4TmGz&c4o5oho;Cm8$cqY zj1q$2LSXgTK?+0h4WTVF80Up!;q5eeQhidT^&vOL-QLH#k^iC&vNsVDP>z>P##FLJ zxL^9}+i}(kSLo@R7P0uu1x1Sd{=Dk;b2eCGTidltvDb#1C6x|5L$ogz;_2J^ z_CE`1Ks;a18Mom*Z6(XJU?U9--W+F0flw{4*zw>fpz8jECV^y4H_`F{#hK_zCJQvq zwL#mo+|xIdKC^&%3I8Etu7EmqNZxDDMHZnF!E-bVc-&Si*vC~0MULQAjh=43+aGG| z#f9_iYfisL=-tP&#Q7}*j@;~_{TaN^RNwD>wur4bmQZQSe2G^jt;?C1{y$d=^6U+= zpNTGY>9K<2l8Wi{6O2Iq`v;AmYdlkE+VcJzh@|`7NJQUpXWdPCd7x!enDTu#oN`{` zt*xw%X`ZZT@4pf&BGd~1dTAbfi)5z>OsZ64cEzt6VBgl`wB6Ja;zzCbwpg8 zB60_fJk~vD+{R^LQa#g)I!0lr`l);_Yt}~)^Lmh!9-u<3xIT|9!An&K2g?53eW3NE z&+5{G%Sv4g-k;&WNd{uZHT}H;hbtvK!~@FW`*hl`>Yf2WB*;nHw*ir zx8ugg48v!!ZvhtnNyf86MVSW-cr1}2k|bwKtLH)Q0*vYVsXSG4vXb*lMEY`$f*V*7 zqBLvkyrAaJ%dE$IKb1veMJVLNtPWMj6UF@l`SE)+Ky;9p3eJh>$Nys-s_rO>p$iM? z*c(bhy=|GvXn`>`n+r-28On;H+NCm91gnrBGGd&mK_|klZw?EZI;ga1HRNq|=xs{U zI)tKyoPo+w#spJPb&eg{*NF(bsP~vE0#z8EsS1J@xZ^a$zCF>jTe6swUmJP2Tc}#8 z)AYZT;*3X-32noMObw|!5paBG_WYuQ3RQZxbl4?>u_6%3U;?ZY@&Pm--?2CLmpe%V za9(akLre1Ol~k>mPU;6gLq#h>iQ)oeRcN9T=5r3OrBMIjag5-XpkX8oIQp!44M!rA zDT@z+a3yvj==@9?>p77qw5yY^{LT2R2qE2~i28;qX?gt_!C=hkXm#Ii%geNw{V_pHM!R#_B{U}oG zfi+R4qU$Z{W_rKU?qbc+5sHb4Ar#||PEq~ALBzZe@+JVPA4|Cqo;e@K>h_BOgDG`{ zL5eeC4Y@9+^}94QlCht4nGON^muJv-v96O5ZnzN`a*l{zhyxz|R7*QA`IqF8D}X_3Cmna$Xp1QFTg5jHhn`o z$5udhdrj#k>;WQ{j_O(fAyBA(MnTc0kl0c&qu0Is{P9rZNK&)48f&HiEAO@tB6H{~ z9ep}YPsW)V3Jby8d~F|(fPe+lWk@Bj-?8;e*}LAu3^{0-!L+$_Yc=r$OisrOfX7Rc z+UD}hi%nCO8TdOU>OT?$Y7znDEnpo~j$=4l{w`T9?#g_!9Tu#;lbz8*>`2Q&xu0H_ zBRmau5WxX8JRxB+MxYS>a_O|F9y74LU!Yv>!Qil3aAkiihCr6N^cAPgll}BO1jvsL z)723bJ>DdH23(w25yYMH+Z@L9>KvDkD+Sia;xEgt1jTe|2o!y(MDIO}YIfwtV+=baIDf{F{ z(gVnj`fgxMh%zn+dmS+ZA>HD*C=kojw{->Th-C!2m#)qWC?2B1#>ZhIK@6ij27v(z zKL`RkiDw)_ZvLzFcRlBtEZil}z5%l!#iyvU2qzK%1}4M>B~cKgK-mZ<`%4+3`d6s= zR`u-Pw}{3`qwn=E5fvK2iSl!xq&!3Pni|j?u7+4&1XC?Civ8Cjdy866cN?_v%Wt;X*FUx7*M6j zOnQJ0cHDoJY7h#>-BnaOIvAMq(9`WS&uzDkEMqF++B z+kU~9XFo;k{X#53_Mb7O5N=e>`+~t`MOP7=#}H?VMTbC_;N!EFan7rVp{5M^!l{$2 z5Fw~}cBB81HmWNoN;j5Q&grOl6%km01fw4Gi)M083k_`(GDcf^ru|hE$3d*F< zeqBGlM}WOImVhr0y8HG#0m6$-Ij^woCjHi1CK`0bae{Wy9JwmyqekalpZ--)*A_-l z(;>hNe~DQ9$iRYxGY(|*vm{E_9+MhQcXhlKDm`!4qqQdjy&uDouoyFZr|!J5f;x&WQkN388Ap$={3~lxSx^)v0C;omn*VvQzJ{q15lXhb<27gkxeHmRaIzi}f}*FE)9{DtM0he$xyR1s1n*VkeBsG_GsQTF-5R9? zvd{GSLG^+QGoZ|UIHM-xrY}P0zHr2xw|5Z(%u=Zh+JFyc=-3XS1no+hbs#T<{*@9) zB4N$4ZIQyhp0C8XLYD9PaTgKtJUzZ`K=@m6LrYlwl#q|?Q%BIG>akqE-NzyBUq=_L zdiBFtW(0GKq>;ygmhQ2X4ix8lANi zh`j$f{P5E4jDUdf8Ou|d>MHur7IWkuMs z3dstmA`b&p3faTM(Ej0|b# z=){~_I?+GoqY@Spw%do74phO)1WolDTuc`lLw6ZdCFzAn;@GMS1I2Vz9#Bond~W|b zHC%Ihg4MeCx%^P!lksxK3L}b>i+9Aml&8L|t7Yf9`ng7qJ@OL8LzLy=iJnB#Uzib_ zNcNMFlJFomrE~hZ2|APgSRT23mHgJD4N%)kG|XpF1zgWF^v90b3v3V3#3%z7w%IdY zAzk!(Yjh5~zQ%SOi7T>-K)p>Mv(-^1;7U8Iyk)118rgb5D+{$A;RBrr>A-qEZ(4ltvsv(fXmF8!m97uZy_oA^^AbJ&%D*BzGHY}|G z<#mC{0>O=XR;IS#AseZZW5dg7Zrb5uoM0PHd-Ds(iq;YeS9%dEOQIY`APsQGLl&r- z-xuSPy)*R72%GsE@^Hp;O#&$%|GbLW{UXySSw|ns2Na!^L`wdAmtXWzb#%Q1q#460 zAB4Q*L~XQ#_cQdDC#q^x))H)wQ8I@0HsG(6@Fx%c?^RZD*Imbh#M-|1%Q08lP(6-sVTQ3Mt-?TB+qjj5tYEJpH>Ihy+u`*RYqYcFpP9){br0^ttRWvc>UOJZ zJnzR(cjAwQB(s?=x5I>VcCyC9riX8PV|+^66eq>Ngj+9p_(Qqo9iAlW0&zXV6)U{J zF=%eh*{cLHWk%7d?L)G_4)1{rni~?K2IPF4Eay5;YY7{35+S|_g-&Zr%8frlEiP(5 z(pgMCY?2JSCe?k%ELBbpt+#M#G;L#T+R?Rbs68t{Bl0R)(E**vCl_!>+IwlI)@VYD&s*!PNfZeK-;wQgkjjhxr{RQe5ikIJLn&&g2<$q zpj0?+7q2OcFAk+hnoIoTv=Oh}-@`l>Hd5&P5U9hAXXsEAOMucs>u_f8i^tcg>|fXx zxt`-gEaJjcS@uInNs%@Vva5OFCrzwAye92owJ~Z_PLhKoxhy=Mi5gCY^?6w(Lw4na z)Sgd6uE$2{pAU-YB5}?g93Zm~KoIwkQkMXcbyd2u!i~;vEA-fki65E#F=d`nu_U{T z0p>r>1>5cp3~*ZF0B~qEZ5{uJCOCLpgymFAW5rhmf=#jQ2+Ni~{w*VZXh-s1Wa~Zw z+Wzy!i&ZVVQ8qLk3OmSbCUB^s|Mc~85z#?)o3et3_V1EPWrYQXJVLNQbh=koRjRdV zGEp$ZsVQ;zUfzoZSHzI1q#!ULG&dygCu3*QMvvQ#jIxSLR3uatkk}3}(N_dD)2XbT z+MBUbJ3D{#^FMSX>%sn`a!(5N%qu%j{Zp`Fl1n!lHRW)TIezp_=Rh*KLzfq-m z^s7~1PW4GZ#5Q(h7FE;az=VR18*)T5y9JJVku$L_x)xt%OV~d>o2x42MRmPDmn&wF z9Sx#`OUwL>$2Lh%jvU@}(ywff967YXU4^JMcz{Dbo}Pb%GdoiD?i#YQirM)l6$xJh1kl^5MqqM>@#Yi=Dymv=t zEfP+WyU^DGvx(lXU;RAm8~J?GO$d@DOR!arpF&R{T$pi#UHu)j$>eB#l*jNIPIIS)c>C zmMQ&k0V6DL3!|eP?A=N4tUEivrRl?PMem?hm5^VtZ*D&BQeDPyJR!_DkDipY|K7-RKh>>GcOswUf@ z7**l8xqr=~uSA1%n(MtwYx07;rl*XOpL*8I)d@@W)c6jWjl zSra0*5;210{d;iVCEa#u9M|(}SamY)1c_D^g@3d5Grh*WDCkGk8?f4ek&eW7NKb?J$qdy;MEh;cppre$aHMK%_;yK4{O zI^}F_xI=-RSLoOGbH<8TV?ra)?$d+sd0VK&Xsx39{e(17YX*YG?lQTZ$N9;*SgY(> z2X4P6z!N&j_RjHXS&4F*Uvy*@_s}*ud#h_@@VDXb3rH5tJqCr}Dv{0|fg~hS+_NL=lKb+0gWdc)Zo0Uwf8_}YTr}9lzV%UD~I3f zo0{-Q?g+7(84O(}Psn1xWvHh$hgNZLtkiL3L!MTV;uixtgD_8UQzz=$r;R~Hiq!7- z{p9*_i%%j8abYQ=LZxK0b0-=@HS@oz%95CuK5{k12l-gryN4YyWVh6_4{h`NnXunD zilEoE1ia}q;Bx1>o2{m|%R$41x_zyU5Y&_Kt9#SN9>K|&(|0Mg=ov$7D6Y>-sLZ7o zoFefQ4(_~9%{@Mx&#*$X`fKIm^sf*P!~odD#w}cNW-99)))?e_(f?VEK=eMmT`9J0 zzSd(nVAehcl^NP@X&C3KwzxJx9!>0m#8%7F=fWKAaDCfArbF*Rw(V9DNs!GAnmjok zUXf~{SZ9uH!qJ6GYnA|w%w9cRy%Jw}p>t7XRC+>M*lhL+07+>>J?TY#y z?BFI@GXyqfo5roj&JntDF&!^~3|Km-<>l3fQIkja3r>C7d=E{1K-x2d+L{Xzw6%S4 z`k@@rbUXbZlCO7tl{g?A%KD}QPwXw2Om|XF&H+Oh)0q!?RY)$8_160QS`<9w+q##P6ANrBkj%jWpN(Alg3~{Kyj8RKSEh~HN zVaY}}*Q6ospC`XpLZ*;m{m%0}JBYOK+22$abN%Ysvy?K(KhApOHtF`)fk`U%jn339 z;wUCB6-;EY0IHBzO1(XBwrMh8wMJH9JCi;Y-+5qU5{QogXjY-Dzg^EUa!AN*#>GYY zZ=PSc4v7`_Zu;IRU(fv_F-&{8in7n!BCp)psHryjuw%_$YM+W$AIs>s<45v2SL^GT z^-eQD;s`WyJf6`?DHhL2W#p|MO$Ew~XU1H`?V@maO(^kVem7&k5s;~ccGSPb<~CDj zWH8jL!xn*JQ|&5;fhp(@FYFl*gXhVcHBi;_-A+VX-R-E zZ(o|1uktJ!a=Yq_qoY9zgb)JaA(p?k{QFsPEQ>&CAowA^N#q<9YzZF zd1KIof7lnC!p%$F3=IZ8)=wGAz@^*Y8*Dvay+G{_{lhfYB-X3b2{X%>Jx%{K#{nr4 zI0qa*tUw_o#sZ1Qq<{BA}@jxX<8I6qBSe=vx+kz?aQc5EA^Z}bJ?gV%=$;+>`;Jj?M(L!L4 zxKN}wPKslf-Jo4c-i&mY{Lhfvjot;PbJIWqn&g|jkK%m>=_BXdZc(6Go=@S2*5XuL z_HFodw+Fv_l{F5j%uo>+|N8kRdB0;fkc1$v~$v*#s;sbkxCgev+>RSu=KSy^WgEsY+ z$&lE07^%NPLZ{ZOb;z66NJSdH{$kI*CZbEiH1Vz+<}6el5)IwI<*Vgwdz$N)ejV2A zsjFMVXW>X*b;@-i;eC-EN~U?XVO9~Y{Q5~4>T5Doe{Be{tZ0qv%ZO`KD7}x_X8<^m zqqJ)vMw?*RQIPpL`$HkOO2uGm6!9Eeg4!uJ&NmzEY`zO1ic-j6C$}z$wI`#qK?!$` zWJkUCXT256hU4ZUDO`8+Ci>iJgBIOopg4TmL^`I34f!s^UyRq=uUAR>#M|EnM?Sv; zWj!^;J)%;KIw@Eu!Ozrk=&7qet}fPv)<9|Qf;^Yuy>hZcuK{6GZD_VvoUTCj>gA3# z6};>VO6$mn1e1-gWh9}+TH7>oqYEMpTvx#}OJeemcD6H0y^@Ufa+PpK9nv{DR;y%c6OZv^}w_OUkDiqHJuaDd&5fz@S>x*^t zWu94;PQI3cbm2DJilNfe^oe=pMWPBT9E0kNo4=YnGAXfH-^h)A&t>{|3p*9>@gdU@ zAQGOJ#I^ekXzz27+r$+yL6Rm}?6h0=#JW%G+p<{~@Z~~J)wk?h%^0)uA^Os_x}b!0 z!s}&Tcz;@c2$7F5#y#~-;E~fFWosY%oCUWT>T5V-^C#CQQX+Uk)`mG%0+;`5+EkFn z7unbtxYKXvmBmImM<&hZza$o&qCe8~OPA=9ClX0(z~w$0O&`n8!L%@*Q+)i7?!)h% zOZy#k;1t-1pF?vqG^48}y^S-o0@gbte zOkK#`*B>=Uf;GPPlilHgy{!@G<}Ru8yk=(lIyFM0VlVMfUE<<{gLtgEfuG z4p6e{l>`Zo$i&!A3n2pLvr&E&O`br!;+T8AEl5Ir>1tsa`YJ3T!s49~arB9gaDNaB!+RR0Z*> z7CgweS7u%A%c6Xr^!90Hwt+9Hq3{m|Cn*t{EduKv63Vr;`PG_mpXB#IA3UQiS#u1W z=&82l;jfeQLaa-Uvf_fGf;nBOkwt*|yyCD`2d z;%nx~V)^*DADMBbYAS z>4#%G4h@+J+CK|z0bWDyHDAp9l8V7BXj-#^+#F|3otSI2;uDb--FYM-DHb06pnt>d zBCB8R{FI+Ffmzol;*(>4g1iX;S_BNQjcjA;kK9i~g?f$iFEyM$-FN|i{o6}8w>PBm zzaVe(tSTmHJEfpEaXy@&{Bt|Po>NZBGoNJrnX>eyTgl7me3W8Er=x$oUr2cw1TTum z$PV4sKfIDgB{@d+q;!xvZP-WWw8=XpV9HBYX`9#`o#0YE+MUn}3l#S!C9F?C5j=M|XSK?Yr~e4KAup&e!<~4N9!! zUfg&U+1x{IZ3ENtPcgsT`mH*xTJ+OT<=)MjGVO13S?l_LA^^~aNs8R-R)SEGOLlA2 zbxsSFsUj^6GYaoa<5>#l#?!qzwUbz4bviueBF*5!-Pz@4oINC848FnyBHOUIL|#jb z-$b3SPO2{cYvy-gcMCB*P+z75->SC{5F|a8KKO!CE(_G!r*?}TM^~wq3clBYwQ|4? z8TMdW!P4p4#oJd~w2^MNfq$ z=cheSimDPtlH>@1CgGl+7(bA1)7U2F-NLy$DDl6SZ`;SZ11I9f!P`7*lAo8!eIWaK zJwghNItl*w*KTT&^eb*4;N zX&PS5wz0Kx6FI*?BpK<%9F6((v@Ve72=d@)C8iTllb)5!kV8eBNf z(KO9T0!8xdH`E$N@~s^HalGlX;6+`L&~7VxVtb#;n7b3kq}))7)#$%!vk^Rzj! zXrA$Bmju{<*i!7taxQg>_}6+qzSe(AHo^mhEr(&6JD1`*%$`Iv$!`3nxjtQ1Kpar6 zck*Ltik#UNYbE?88o6fU8mo%KP5&@;((=%^Brd7J%XdI8*=_+@)N6FVQdqHr9C((J z7io1DUv=Fl{SoTe{dF`@T9B~)UaO7~anSoY<_uGtXkysK`)J?;^T!car?wN|c#Du} zO3!P!T^-HP&fq9bEHI4#M<*>vi?NPtBZ9r#k$nZ^R zS6paS%a~HAogZ8prm=S6p=(J#FjS& zlEtxI_Lx_BnQCD@&3s9Y99Sxa9I=slOK%#vTjv_5XXwYL7^iOg=iS88U!HOk$uqi9 z#)FmPi~9xPV1ev{KnuNKDH%zee(jmPmzx>sHOnScY~(u=8ou8No12|1F&bLFu~C?> znmzsoWIcKd+ZU{;167s*b_Tq{KfS^2JeZn8u6G5u`E=9~9-BV#gA|6j>esccy(tIX znzfn<$J_mH7n9+31vJdZtO})dv?~HBnZj+7D}8(CeOko!xDj=p2E%^HRq39x1dR~q zf`QcnhG`XQS|VshKo4{wG9v2(dCqg z^vg{LE{b(^qk067)^gM2AKrQK^wXQ(XUFNEI73%h8s#Z9V_wz`egYPj{kupRC<@)5 z)09p`Wz!7)IF_1b)^$MBhc?0ia$&_S6!_UD*G{O$lq&V~;~_5|og1!sR+-LL8|pXP z^PS3)M{Z2J|E|$Ev4~>G(ki8g9R3GN!(28mQt$NIo%>)?7TmH#v7T4YB>>n*s%pWF z{maC=J&1=;h?qN4z(e(nxvLpd&U(y+$Sq@wrgoK<>Vkbmaqs=AF%yh)OmbP$pPT(M zWyhS)R(H0Q#Q}~Z)buM>Jun{gW7zcD#P%FQ|8S2*pEzj-jN(Kx&Z)etBJ$m((3vdf z+ZFbw(vi0gJcL%HM*@~{k72;O!ISQt$i8ETkp8q$_0Ni z8wGo=l-WY8-d~CX*epl8;43^`TdhdC!-c*RjQuT*CecL{$DANvdbG%?# z{yL=kTD6X%uYQND|9ew1$ko*F+e4!TIaLfRN9Vy0IbuKjDsjRtI4@1E%8wLDW=$vh zHIjk4kHP+4!|IWl*2?2=qh0>2pB@}Z!6^NwH@HJfJe(3g+)bo8)O%KPHZr#^Yl`$` znC&%w2BdL*`ti4Di*z8|W7;S20>`@R1@av3-av~rk2lt84KT{JW97>GBV(pfN{=yXhoV>6+dSvm&mwc|9(`?VBVhJ#)XFvh)e&)&uZy7sfwO(x&&{=)@X|be_o&2y# zl*`I8LyC2t&uN>#f8IRH(dNR;zE2?>hLVfm)oWo>;mthqM};X;i#$As87XKlEZPXxv@o$sle)Wz_ZUK)OaoV1QjsC)_eOvS`|8>;;Tz zOCSjT=$Y(@;bBTLM!jiFY-P~rn^Z5vwD~4Kp@QUX1ohrtZ6N805t>WNAj=L%wik<` z*WOpiL@*t9A;zes0<+F!L=yM-)GX;RbNkq*{&9z~zKrAh?mKGNT$UXCjGs^174HbO znfQ};3lhJRwR(a^BQFuR@J|GS73cnyaE(`c_ggUU^?UR)CZE1-)0%W@j&#-EMLBdM z01u@Os{J)%no1esF2rIPvV z|1=)b5;q~6gjCFDQCc1dtcU{hguiY(ioB{TB{0!&p*fLZek19621!eL6nC=%oM*jg z@2=|)t-5inh^&8biX^1;AFQR{*&4mN**y<~MwwvybA@8aVI8g0VNM%#eP4{Q+at(f zJ6Sft&}FAT)tesTPGi|-KwPM#NkiPIpd3e>$6-#@N0k6oMH9isJG zzrRRvr71@Z-ysX6a~n##8`HZRU$l!r-D@`aQ?gh#dC{5=#Cb?$2b5%aAhfQ> z!$WGXjRO%kuYfS!gc4g@^05ptOF{1I$AUO#+QjxXk;-!!LHfa?ue>2wCK$ceo=*;a`bpc$V?e=peDa7;+QpG@g#$sEUe zhq-|Tw1zVI_dQ+9FOogkC;Z6F5vdVb+eF+6@0v^`J-}Bz6UXr*IO$bRZfGC$BW?U? zhu(TOI1{`!3R6(x%U`y3exur_`=O(Ji~M-Ykqp$O*i^L;BfCDe;Q|4MS%ejFlRNi2B#EgRT;{!A5#fx(;2^V)?~d6 zP86z>b}JodsH6!AVI02wQMMp1ypPgfm@$YY_r}4h4c^aTSH}I|_?Dl0^Q1eP=H97W zu$mmJ*GAwgXZD{00|o%~yoAY0QQX}8bk5(#`m7UY&3@=riaB7egKKLNBB;{8m5`L^ zGlp|iLVO~g&sub5oE6;uv@%0=!c3|{CKkrsRodT-Cwqz95YN3gf_;`2lf;r6d1+Iy zg_U`A_(A3`*YyyL^V@QlqnqjuJG0ko*@UmUXc9n2JAp1I^p)cGPX`1nOO686l9dL{ zahTVx%B#M$6tPuXNsu$Ts@F9V{sjV+$A*KPoS#gi(dbldYb_LTgRZxE$VleE6`#5J z=hPoMOabFtgQi$nCefZY{ANUK&b7D(un76mI%*Ftopw#nH+*p;rKe${5vu&08y*#6 z+%4I1!}a$~h7eC*X>lg*_7>yx9!A2G`Nh`aVu8MgDj2{y56nYJ!YZGbf?{FY$5VET&va z3m{+pYH7a>oQI9^gs1@B7x3Xe(!)_kTS`;0ftT~0?@sGS9m_$nH31EFjdnt&5>x!A z12h zEt@rz!l|;#%W854DXD&ELBTIwXc0WTn~Sf2xrJoXmMj|{PIg?wYrrbSWyZ>y;E_qB zdC-K~hVWSoke1l9vhE#n(xqdr^qcJPxjc{5pB0s2Qw%LVv&&R1hv(E}UQTUFdgDi& zt7cJ+%$Q@XZVhFxLL=aDX)lfoBsBFelubmXUS;evP5-77AdR*~L*6S5bM;cRxR)G~ zz9YN0I3t+l&l!H#XqGg*vZ#vO@}2_hw0kS5EHw2lko?cDp|#Gj9%?MLrXujZbGRqC zHBM5f=dX3Y_Gf~r%w(D^b$b;li)O{hLyNz3f9#ggkOl~pCf3ml1Upo|)JIJd8&9Zg zIH`K5#%!3cV)MgwLzpjS;lGy?hgr-J)xyF1_Rl2)+CJo|J^3C}^F2po?>|{Am;t0) ztb>p-KUkh>lC{fVB#+XNqs(>+ZPwabPX^Jakfr%0l>8BEW7P)e=ck%sYg(L!mJ?v6 zJ2U@_xakKmY5#JQ0dhp#sq3+MsPr#X9{A5u2tY1rr>y8!MiaYD=###CJh7XmmMt;q zo_!b=pF4YxD2OsWGYbM!{!V|RoUQ@_WURiXtj#kK1{AtSEBWR@~SH*@2=Cshpu((o8( zHS?~Teq$!@zJB9jaiG|~mZL$CO+jC;^-58L^hEHAU}cL+){Xd2qe+4N6pJZRCbX>< z-^+bNMl(Pu@CQ^+Mt$Astf{}P{a}dM|KexHBWM^4MybPSJNDKeH83$b+B!GoFMOw> zsm;2nxVifwd}^9SkE4)xH$rj8-`Ow5pWAQe;Mx(n^X=Sx=VRr=as)SDL>HRqkRKY4 zQb=;|Re1sA54c{(?JxeU2jO^`Zvs5L!!wZw?w|)8uBv1ausO?Z?sqqPL0KSJllPMr zUL~f)InMBTk1K1cs`{Ybxw%ZX$s1F@K5M9fJMOpif`Y-SmRS?Ve|#IYPUN zVZXS$#>=aKAZEl+m)H$VQC+vjzD>6uQ^r7EoHV681sm4ZmepSi!8jy1HoK`ek^;?V zv-uvhMX>7C>rfH}>!jOwB?4h!^+7@RjjJ!z)g>p;G;;0kr}~8B0?=wL#trfJH39GQu(IZq z!)Cch<0ykv3FQ5;Qie0`VZqpUW@vN&vVX_-8)xml(;QG!_xd@9kY8IlQ8gvn*^S*@pe^od+uP_wcw=ZQFbTurz9X3)mCx_f+)pzNS>QWq@ zk@?{geVzSkmG(uCe1W(3C%(VS&etWNBt};0C4QH58Wv}Qyztzaavbg{L|-BCI42@L z?N49k`~qYW4Y10y4V)wa=GRoEEf0nX!{|w275x>t;B%@mCu?v{umZ;br=HK{_ga?A zmVdR`GrHXygS0TPsxoYfHLMGSzpa^%|B2)#U0Z07O2Zm9e!d^YZFM}B^5fuG_I3Pm z1W43{-5@gd-6VwYXur+fW9-){_owFb@=Au>gir5vL&=kFu{#Y3diKVtG(2?NjZ>vZ zi6>|n8y1BKREB-}^eMHZ7S8Ba{20M`HQ_YLMT~owt$3()}`; z9|peiQu+(NhaStlhK{*z6i7r0pHD|e@GlD%-0WAJ#_HG!b9y51%~JCo3{uhuWSa>>(JV?VDcVu;HL_UJPp{Rb0o zB@4(Gw)sahN=hNd`ZN>(ODteOqxi`NH;Kp)BKeXzYg+6%$T_Ta@Wn$&(n(z256|E3 z5%KaD2qnVDHX8ke-0JG+>-|?9#qqgpjSLu5zHCb}3`wE2& zs{$!1XUV_Y%AxtLs4qKu4~>GKjgD7$CQ)Vu;(iRBFdY1)RueQcvi&6U`3d9s$9G5yq)cq^y6s=QLS^Kj5rZes0O0+Eat0FtCjB z(P`+qjoPo3&W_fog2bxxxgCkn>W_>o2GQ=>B6!6OP`ygJWY90=^fs4Aq^iIvVaUTO zONj`id*=*<&?H$ADj1(Pzb-L3w+A$I`Fox^A{)elTK0)Mtxw;UI7)1 z&U+QNgzlN_&ERAZTt7Bx@};F4-*JQK=brUJCz{wSS#sH!HtK_*%+jH!b>qe zjCk2rBP4(=$xghi%7GiLC69kT%n2Teb(fQHQ7a2xJYV6^dQfDxXMMW38w zN!-}tm8*r^8(?n>9K+qwPKB~L=fugX$fh^Ea;Il#zLt^8Ny_AWd{WW7{GR7?^j_=6 zo=SvHnoF_0yzsm+Y`Y*&;+t_Nk&hEB(~B=6N?`A@T>2Z*NigT{+cx=PhPz=6A2tyU z(*$avqgq$@X8A$Q7R}ZRh6rLHv5%GC$SI{p_Y<7W4IsO)kn`H6`6JpT4Hv&uYd!<0 zfN|&i6^aaqE#GRdRqoH7qTUJn7RCNpK7;*2M4~al5!e%p(F(cE$U|ZK^s1?#4S~)kZzl0)f zCNYU7(j7-p%-AVJ-$aS>iVHof@_4AaRWLNkeL^OJ3aC7jBc;2DqU0pSTpk%ON6Xp6 zwfeIW`Ht$+9Y;~JQ=KtlFUe@vw@%ItDVEh@o@6^$n(Z0TzSA2t zlI|jklEZ8h+ObzAS>quVKryd`qT5(DkIT0S{|MLClhU0=QL>XQ$YgM`kWD@4yC<^( z)oM1+a~w5YYE_j#0?=hKr%87YMag-paZlFJOTkJx|MQ^tqoL^b4t0}q-ck69P9?ph zJB^}brmNtf;`Lf;Yksk;$r}FUGyab=628F9xh{bE`I=3nyN9CW3HulaVG2Ud^Pusq zA?Q`k4Qbr%o+4OVl~l6A<<7sNgi38|9=yHCJi2?-y9O^<)Z(Nmf|+KH{^6u&HCMxfvzpC6h$$k13!m4zCGt*0no$Rhhe61w@y|)nle>*cDPu& zyC_OB^#$Ed#>;rN_E?6t{AMA4KaK#37-Y3BmT;1E$552KrV9N+v-EtADz5e0gXIBh zRY8A4qktWR9gVJ1%O@pzyd?TkBrX|_@>q)6yx}|do}TNlh{vqf!;iF=?iPwt035{Z z5XQAHsNq_(9RojDT*x6V^ywu$H;h)FPfD~nR```jlnU8_$E8)?Q|;TIy6eC*jB zR0vXq$mRH8>q|O3NCY@OA{Ysn2D6p z&*Sa2X2SNdGc~fO5)DoleGxLt3fEt28>q+o9`iH}O}vr)EbiP@aGxI6DT)xS`99DQ z&D6(JU49Kzj|IMN74IS(A@Eu0ZlWmAnuVgTL3SBPHBTigqOT|Gr>kA%Qj`7`H~W`x zymW_9l!B%T{R4H{GQeQJry8FGI;M`Mqt9A8O?XytW8|(V0Z_y+;g`TfzNLl7@*T%A z*SX#3BK^G>Z?T*sd=F`gqWI@nmIOGS4WuMv?j^hv=y>`%wJp?<-J8X3Wh(WgJBd=* zTqODen9B_I^jN}end~yJ+YD3gz-v~&P+#hBrEKJKckLd7G+S^#t1XtUluM*LgQ6%7>hgAg z;6K3`p@My-yNFV#6!WcM*Y_eHdpy1?p}&h<9?Fv1<_ut^ z)pE^af9cMkC`tlettQRno0JDn*9AC!`nPmSWH+mykZxtXI?PmLxK^;+dzG1HfU!gP#;d1QmE)GIbh*i>VrNN2wp=)`F}#z-HL!pE?Kr&2dJI;eaJfD)RrE2nV> z@A_WwNepR^ahe$^s9Cy#7 zHr=?M_dPk7Eaf&&=iSwKB5T^qm=lU2{Spq7?gomY7*Nc;fsF$**#_91Rna7>*Vh%J|*q@Wgm3v}_>24>N<=}I348%Gi^XP}3`mawPj zmr^B_d`DC1ZlEZNEA}!TnW79R`)CtzM~S(S!5z3eJv=Qj$QsR4CW%~ zar|xRZlUBqwU{W-wI8scc`JG{fbY|stvqhzVwxm;xU>%Bw&9Ni#)Se<1QC4v0}UirUi5J*|7(3SoS zk1Nq)`cUjLowf`QsU{||g>(l{6vYZXStY(qN+t6+z(=We;@_01meSQQ1-Vf3XGwPn zC4Z^Il+Zi$LN*V$RBbtzw^51*HTXrS{2J*_34{X#j_fWAy_79Do!6MpNV>TE z<>3K-M?R(J@rsg%v}UyUl4+fka;cRPJ(D4idkoWPE94JriF(gd>{B<*S$2@}tbesV zQo?Q?_Pn-WTp;yun^-+4iV`dhjN)YmEpt41yGup&3?A^zU1shpWH|nabaznlkAb0g z;~zZ5o|C06?^>>fGA@uVl%gmBQk^%2mrlQ1jb4{&-)Na}P^n8rYNFJ&#jB!Z{c5DV~HZg++neA2Ma~JdsDhIDEUM^ z5wpf0J$PM;0-dczH(yS7>B1Elfb47+cUo)tU>nIbck4h@oUAi+U z`NK0p-FQY0cH>6YJg_ffFX_T4iV_-^gdX_HU-Y`nyqF>1%QF75j_@(&PZUXa1tmW? zM9>%RYR+`6Z*Q(=C!y|Nl`kxnd=5K^lt57wDNYY{zvUO&1Xz~Z{E;^2OfEAwXRPSb zsgg>LmhK2KT5|?3@e`96&WGH^G1Qk5mk z@h;~?MTF3mD&oxmpHH?*QC5#aa`F6ozYp$`o= zV=Kca56^HA`7Kowo-XFr$VB|RMyT~m*o#~FA?p%)DrdS5B&LMQ;o_Zmo&4ka5UYes zSsMHO0$%5gfDO>QSsL{I%27jkMNw>UY{0uuvy9`+rJ@Im7;k)dbaMth9*ldk0UwCZ zGaF@T=%a8AdhiT$UFi5DtwYvPF{UB^iFe`+vVs#_>))35;?k&KBzLoK(ie{nIxx>l zIsc@&bh9gpB1*3SO~-%sG36F>+xoqs_YL@8S6EIA$cJw3K1o#$hzFR#SEP(@GgfX?Ord zQMf3eQS@&gu7?}*u_L9M4S&@j!?I|D%gmmhmJUJ7If1uw3ohg{UndqH2d-ldurv4Z zTWq^_0*`VebwxGmA>MhDrtPb_$Mx%a^nD2oii+r{-z=KM8?u7UY5~0u-0wBSq!ssw{nNTbj$&&aynyD zR!b@0a09!F1chzHO!3Z}N+uY)_jj^ani}39{`R8ovQn~NJS+?qMafrgwlc0H%4&A= zpv#%8N=j)Vn;QQ5e;JlX3&iJ?^fEbsQ=nR$zz|kj)A6}L`(UK$mhw7cyv*kf&JOu> z^JVdln@*N+q=)iU<2HlsQ7?N@Ct3M!`dR&VD2fut?SYJ-(`n{Pi|Ra?CZ#{9ZJ5Tr z;`4?#`PR6E*{RCmylnk|a+QRsM-;q>Uc=okE*y^IGd|-hRt2ut(Yz~JEm^4GR&J-# z_3w7%1-3JMb}7H|6F>7a3o|~q3*S)FcZo*Ooy8Vws6HLogjO`ADNQM%0Z@+;YElCz zWd$o)!&1sv%o=7hgIUaAR+h3VN@3ER*0iK4t!YMm>QSHiG@ytYj(5L|Wh`MiE11VT zW-yoOOkoBUzH+`NkW2Glb0B4g&$i_)c1`X@O;e?tni)6PMpq3 z0WEWGBdKvt$W|wk)=6bdbM6=h# zyQ_)($!4BPw*#X*<+misE~*B!7eVH8y4|MAljJi7(weSpVDjTW|U47z89J){L2jX_RycIb38*s z@fd$%pzlQlxxz}dO<@2BSY3G@C*D;}WdR3yDq%J5@!>~h<6hKD7RoTr^B!VV4(16a zg;dw2jNoz3q_g_9Rq~1k9KhAQ&VVp6PUDn#bZ(c59HuIRp99FS}vE=4(? z^FBZEXPm)(3GLaM-Pn`eEnFY+!poc%W_GfRr(XkGD^~Cm@A3}+c=&Bk28Uh~k8=_A zxSfkU`?LC;V_Yvn)^RQmS-gtsj=Ai^cvrvJoy$2kOFZp=8O36d_M;o^T>NG>6Pd(BrZJPb%wfLqFRRd$ zHf+UKY{}Mi%<|U$%>BHZWf@b2w>Zjrt=w+tDZCaxWjW?MkEc@nYYPT@vKNXvWz1w2 z%Ya5SriJ+Bno>4lwsfV0!@ePG*b-Y9_}L0V z7PFHpJ-hNnhSXN^6}NDZRhMp6=}b@hF_HV@jnd!VP!H*&BAbC;dWv zr$oti{nMUIVE|nXciG+)XmaNvVKKc~;xV1I^!3;lb$L7VQm=6JHMV_*L31(>aX{dA z;i|F?XYwSY4DOCh;6C;d@rth~PU_K<7mWgTmokE9xs-hZc2!%%)|}6WtVyHCxGZ~1 zwfVvNShUnQX2XG5!imgGFuv%O!YjE-P%@`5ZbWY$V9e~)GL3=s$nsrtl0buhlB7jT z26;~HC6DLLMf44|Mb>brv2KldJk5~xFLwpxsv;A$*@vrnJFB_V&SJqCiJ3 zWmwuJ%0^ktN8Cs^YK5#{L(b%*H2b+PdmsKo!M=PTa(wS>M5#yvzk`E9`co5f?H(g?4M{pW!pG zO#qkv-!vReinE@XJhWa%8y@gf+{tgEy*U&bv0Su@S1^=bN$2_DA6->)UD`UTNk5OF z6KAly$98GQZ=sa>QbT=O@Lq~vFXj#(Hxq+kOD^K=j7!foE-qy&iZ|MDMb(*Pnsm>NCQ&1xfhI(z3pgo^x>J*B&I(A*?m#g$aDg10#} zW~_aRfCgjI?162dGv9eicNJ%NY?<1;8hEMiFw~_xvy*C3kntRj~4 zDm}8axuUqIG3WDj#)J0?M$n%F#P39@0=a?!b#o6}kj?%*prMJg$yyP>YzWcm1C z8H!JBl~nS&bVm-B8Yw14Ia$NU^k#bjr(P8XCeuZn?eQ>iKoqCj84)W*A>+{geRDuwkBq9SC+_x+i*1>F*C()%bCoF z+{&RY!X+sAMiKk-N=Coci+Pn3j7_1VKoKYKPeLjFO=~`WM3%d6?q#^~g_{S&=MRmM%y+f4*_$yQ@|e!f9$Tq3KL$|dUyQ7NTJUR9UG>3%4^N zO?_1G6{n^cuB*|Tkw!JTmhZShJxdiFt++m8OviaV!GSq?KwN|S5_X-Jab%ij&$T{A zy=16m37?72Au6f+zKnNXl8#-8U#c7q8kV$d{h3*>CE>zitJ0d%li*wnZ0j^No(( zIwZJgDC0We%hdKLqAQQ2JrEtig*2cFk9)4+RlHyvC0@xzHskJ;$GGcc1+Q~--q^!6 zPPqMCo@P-~Wc`ZEnhdx6;@QpPeP~lX7P63q)-Aj8Uq(uI-eA~4ykesutJ%VH4W8)X z66{BwZDV#}nw1i-N)fmqnKlV~E{ix=qLT(n3Eg=n%}t?|{Nb~nD|j#L=;BHUROb}F zN^AL8#wVPdhmJ5ViQ8eTpm&=q>eS$5 zh6OYbR`5m|*Q-h{(vsUUT;Q0%^?7{1=;%0u`0_O4NJHz>1~(rIdqGKGiS$6KblAR3o+uwE0<-B1jM9#4pT~-vXOvtYf#|y+OG)nR^&8^gG50^nQ|726+ zw5xgy53^Aw8*QH+5@!lK-crrv8g@+c6J-&nNEb|T!Hx_}yASyneF|p3DW1-8hj;I! zdFnFD@6JEQhd)=lN_Vhl3)ECp=QiukLi0gPgYD!1Mb?e_a$OeD$3>8i^wgrCtE06A zY3v4)dDv$Om$HpPE-jej_|5d32qa;j8lJq!o5{10jcBH9q=>`#DoqQn=B4}(d76abPG8S~mhy?S+lTIX+tLaEYk~y8apo$m|hRgPl5`@yg_DIGEr6R(Ud#WI7}_)UG|YyFJe@S+vB+? z%~LyDzPq|K%`O)dagDLH(Tky2tKA|#mqkgY=X{tV00xLxWYpzdOFIJxB*lhHFLT&C zWg5kNgw6FPu*kr zy%~~p@x2pc41c?n14FH$N5p5c=*TAmJ=8LN#49mZWHftNsc|C5v}V^hW-9HCG;vDjw`E;rQg zc*k%4)hQhu(?XCkSh|=6Ob4Dz<52MrPR;ZL8szhbCcQQXz+ zqoq4(Bse9c{?*&Rs)}ni;i)vSJ%8Yc{PKq!FwZ&aZr8L=ZOKxrZ_NTa0`*<&X)Q7K zQEr=^G>YtcnU~vpx#kB*DjVffOD3ax8U~PD#px9Bx=*b~8SfzVaQy09=`I=(s)Q73 zVL6*f7q!4>!{cd=>OR&xb*^dcuortb!*j=4cK!D>HGkw(!B+6e zRss93$zNH;ja0MH0#&&>&8?n9`PQe#i`mRrE2q8F-p0Smca9CIZw-|$XaUiZhtpi( z_?X?KmCI2!-rg?H80k+k6us>JZgs|r&tTDz??v0e7mtfqUM|Zx_O;RqoA7?%x>j(k zu|B&xewPO~A}kWtKJ9+e1uX~~a95fSmrpHS4Jk}oI(#`jnc=w-h6#<_uS-`E`-sn8 zQ4u>)JVK{|;hu*|I;r3Vnp$a#W0)SOrVqK$#c8$BRl1W#inhKD_RZuw>4N4xHMyDv zDeL~T0*_N?gN+~MOEX4jXu?#1QF~HK&rt5ELUTIO(PL^-3&*I*;{yHD0#}MxTt3Kr z&az@qT9*gY-1YG+~jT|S2)T^Y7Sqp(GoXdYHYyETmSg?ZA9OV3r zPwt$?qgIgO*V<_ZuHaoJIj;LG=41qt<;E`7Bnizh!yy+>poWH+__< z4Yx8eL)xP`*~N$SSK{+lG$aS_7auKNY563j+-1cZQZW}=J{l_LFjpFEG)$js#Uwu8 zsKN&!^r!#ydVB!v%J(U2dt}T{kYWet?2^r!lHXgzZe*qByR2LBs*#IS>CWn&FU2b@-(-rVA-E;4S=7p%BeFJ*&FF`vyJ$qI#SbC$rmg)esyJxJD=F_5 zPvj(urIpJ&sxd!S!#8Dlwtk3bAl}BbmON`5WVn*0hN0x^sLk3d>I! zoHih)I}WzwRp?h2)=m%~oyy%Aej(9-p91emCrKAFkEp{PjvHVbWhNK6d_NRZo`}`* z*sRa)7Lwb@GCI00M-{Gg9sPAJJ&n?SS$w{VhCCwOS>5rpc!lMwEa4JLEVNKfZcpR7 z&y6l_oq2BDkNiuzi$wEmY~c|r;2Fe^pK%$ijpOu93!hqe{iT{AMZOhoV<|pE_R5YZ5&854m83&11RpH2obnx6xm;1=K)RVdQpRwN$ zlJ2BALz}<`z*+QChXo#}#^o$bQJ<4JUh6YN>N{?z?P~CRm4L5doM^0h72dOw<0V;h z(g0~2o!L^=l^t5G}k6dr`kYgjqA=KH@oiv9i;@rT(wtUYH(#6X$ z4rW4%dR)kr>a{F%M#Sp>a--)r^EKvW6Z6Y6wyslsn>DAa#OJ4|$n0FW_u9^d#|jlY z@~4Ne5AXWO??+Gf{f=;?kRzL@k)Z`Ir1T=>U&?rthSJ5$5!&!piW)3s0F9+pD@X>! z+Tbn2U-S-a3|?To>v*=cJXcXYOJ1jo&rQ)0^U(ET+$mm3g(wv~>C?RB;S?pra4RZGyy=|$@RRQ z^l!hgi*(^4%)X3CQIC@heLX9|u!*BBOm|P4GdGaY_XWfJ{TqrqCEJOE21(l}Wwe#< zu$ehoyow52zB2j__7i;eeb0Skax9I0(%m$t*_}68>$&|ZxEg>1l9mJI4AcX>6tv)_ z6i24Zxi0IWi4rVDEQ+kk*{R(~QcnpSY9{IE(see!qj``+c3PreBub%lxM?Po?nhKA-cfM3z+S zuyHi|$kp$)FH_?f{1r2)&uD@SFcEaHNsWlBpQ9)sr6 z%t!C&8%t-LbVtoos?(Wc=)>(i$v|G`X&&HO{>OG%r~4vKOp0R~)y*7%yk0_0Nhs!>UlpA(!QzTqP+ z??-mv+?9;{mC=Hv4)-VRUA^qt!Y!BCh96V({y+4vE`n_3m=@jb2-aef)sgllgFJ07 z*gnXbqrbrK`6yROciB`F@wa%zs3gl;9*9MuJH$siem6Wf`d4{Gx~nQ_*e>b9$3o66 zsE{Mo=$9gZ>@u#(1^>haNKMBzlol?3yT8?&Z%-NI=r z?jNS0*%&x`d)L2x%HkONu|a+VEan$4rTmp*A*GIkQpw)ZT{aoJ&c9S_%X>WOrK{|5+PfO>C~N z4P~U?xR81~l>Bl{o|o>jiL~|MJ4hw!@`>;MQRFxbIYPR#Dp}c!KN9-D@9ZS4RDg76 zN>Z<1!yVL=R!qsl*Rl5M<>A+dSsNoOXb@sf|tPrpgnTs&3d9Kp&^f~6n-_)f#Q)?X-HAjN>S+|Rar=J=N? zT*DviC#{%ej%6%oB^Z$|<5KowjI?Ts0UJjXZ~F;-tbNSwp7n{v#^HmNAn|QDVsr6y zwCkG=O0F=P0Txnm4s0ttr=o<*KOD^IEO7kGW_-cZ1_uj!k^5h|pW zQ;gU+ns{40&F4}}njCKMjLDrmC1|=DMS#tK&Bar1(W+2_ql~kyY&GlRDOVZ9=5a%Q z5$7<@5g{*k>B$(5OZc}roK6p>ORJ_BvoiLlIv!Ja-N0Xx3A-6=A-u2qnUTPYeVhY( zi>FTPFI*K$P&~{CE9p4n&#&~HiXy>6&f^HCIsT;uLwGKy_n$iO8G~sY_ivRv&(;i* zR!wom#?i#PJ>Df&#-w77%=%0P7wDd51USuKkR^MIr^0fhS4hmDpQV&GcGWU9n#@5y zWSfMetTP#tqu2P0xQIWKLT68+J7=jqUe2-6q~H5=_%C-_(d7(-XTImbfNMm_PMf6n zUxez+6~BC_5{&r1l@Md!Sem8M9aizo9!X9lb8r8t4WA|T^Ku@@%X@@{K%ZDEzU(8F zYL?<->}Zfzi6h#Aij=(Jr_6S@%S;aTixjP}Ez;q6lRw@gJF*B);|IHrKYAHVH6KlR5|D<2$X(ER39M*u*%$DxAh>@v**e#eFDgi_}Ppz^;-X zlKs-HJGOVE?K`rN5(%o(FCmoflqg0lXLlwf_3ugSBdwNVgbmJOUORJ_>V&iDC+(#-K_|=M&)Q4T0 zCisrFrg)&SQAnLY*h-DX^HTmVfWA`4k^Xj49aag5Zu}e9gH~~c)$mVVD4{QFlKS>j zk+sWGyzxw|ZLhJCUUQaO7(;J&m1jLxh>!Y(DMO{Y?EvwjtJs(MGz_4x6gh5Zx!=@Q zqE3BYOz1~nx-guz&!&8z)U#*FeP=3x@lLFLZJmSMWMLF7=O7n3_7)oJ%ULEq-^j|^ zq_E(jP?Lq?MOU#e7X;K_HeM~T=eJym62~W8A6e`-{HAA4OR;A|6FMs$8n3#cyu{?rWo+;9_ zM7&4P#mKcab=Q;_$vBnG{roon9^8VH@BGXo|Y~{{gTx&OI2ovFtRV?8TLH=x69UIv3QYHY|Hb3_L+@$ zSj1xrDIu^sQ{sBp(m=h!?az#a-dw>z^(dD2>>6wD8J1IS&nipf>e7rBckcx@p0DLY zPBn<<@Ur;KBP;iY+hu!+7g)u%tZ;EV#9yD86K-c!glNRu34QF?mDaB}6t_44oc8>NTvm%Z!&*yv`x(gJ5zo=x!cOi4-+niaAO3|7(#2Ckr){kLmj#x`3F5O(qO9d(dQs16DLaXmN1WXXD? z>YaEpMc~mO<-sg1(ts;{glP`zs^(d-9OsAMX_ZK@Z-PIj#hl%+^T-1E?ll zFhzjFVtrs_V0jcVQhesw*weq~BANwKPCEfV&!n)j4aM z)c3smG&;1qN&7f*4c4cW5?z||NkR`CnM9*Ag)hlVm~i%>ellp2i#(@(RA~LCr6X6J@Cuh=Zb~@5 z`<+b9hX6W9Sq|Xd^Q` z`6^9q1iuG$wn3Zx;W6#sLk*j{F#>w%!5EcDk$8N!gq~S`(S{!r`fddSq=rBx68s(O z3&ENm>@76s%;sVC2yKM^Sg4fY$|~+n@U;~(+ZA9i!T)8fk>K{AmQg2bd+g~s^`k@W zHy_3FeLK{AmFUqlX|Nuc!E$N8q}cOwIYhb$iYPT5`GS9A#6&h`6^MQm>ZLB-?d5k zT)(8)bzf)zmV#$vP~fG3+iiOPJasFpc$?!w@w?}wF1`3de3lJXzNAaYwVIYq7%E;J z;mNJW^8oFl>lA?A zu^#bF2)PBnv?$|K&IlxQb|$KF1g|ATpv*rR8$B!AefdGWTEdXEF3nQy?Lmz($n7$Z zX^#qd-wE0|a^E-Pyp?FuI$;c{pugj|VrTwI=(S7K8Kwd_Z+&NTTIwf@D(S+z#`6LH;t{H!OP^)Q@HHUpYru|3g`%Zwv$Il>v+?A+uQo>WfOve7YigTn@R3gN-v7WIkv|DMv zTAEQ-@hE$R{_$F$GxP3D^IWHOcj*A$@bZ2nVc6%<%rB>>t20a<(GUo%crrIPa zK&qKc5jq7v&Y?p)5e<~6QpXWGI#DJFe4{H-=E7Lt_%5_OkF+$Slp35U*rYl=7*s&+ zg@&<1sP$`^rd;OPabvV(xmA~1ZuX%5F}Q$xjmJ`5DAX@HICKcdQbEZbinu(bpX0Zp zZnvO>&c+@00ioqt#3)O%N?7A>G5avkx8-dv$;Z)gNZ^ky45C!LI{nE&>$PIK2bJ9m zcM%gjm1#CL#rnj5F)d6ySSf|ZJxRUwcXdplB(ZTEIWfdsds>=Reh5L=t?9>P@%b}M z`GNgH>yUaj6`$AIc3+a6RIZL_9vpvN*@E7%`ij%hJoPzxoB6wx=OO~y9? zMUq!?l}#PJBTS>sU|*qof>&?IN>LMS0nhj-KV*~uY|jueQX{=y(I2I z%`vd^xWQA2N?m$Ox6jm=E{_XqqC|y*5{z0$vSpI%%nJG!$}p*9VWWe`6GG4XMoV+c z&H?NBv-s>8v`nN|$ib7v*`C2UwkjS@?@&yvH~J*MH|w*-9{sw%B;EYH6w_s_v?@v@ z=$){KI*3{ToRk!EYpCA4Q)m(E4;2Cdq8nMd^dEFknJdKS%^>ApdPg`e2jbQ5 zPQu!Qs!MNvW6P}19p+s!kiH6 z4Kkl!Pgt2zX8G7P>A+4|pJ|h~4l)1X>_WeRn|nJ@;q5_X=RHsQeW-N(EnV z0@cLote+>XCq%^H_QjY+3k&t!TH$n7Ir`=*&dTuYX>re1QprHUw@;B|8^uvk8QH8^JImy!M;;g@G;qenYit-;9>yA@ucIR{P=5a4`xtC4?wN-`bp7gy) zx|!G0%c0Z0(#j|TRN=vdp>fwNzvz;%OI68tYB5)kZ1i9>Mo^34R%VcF&RUAtgGZQ~ z^0y{2{k{~RBW=h`F4Y~U%uk;k-yVdr<8> zo@7fKxjVVmq1R^8$|xanc$_!=HS~7*`(6pwPZive-=P_lIQ|!F`FX+)61~}-Cd!3z zY1XF~LyZ?aKO1QIscVh?r9YcPg2(@`Wj_5Pb5fF1lyF+k#)A%i59&@&dYN2p4UcUc znq~ifQu2jM;uik1JnbFq%(R5Q{f1gZ6bvuKTKz>~Or9pTo^X=?I-_(I651bG*)s>j$$*<Pk0kK5${q`G9gtFndtPd(vfE>6wD)e)Ft5418*tn~5Q5e8ajb_7()P)3c4uafV-B7;;%2kZ#cYqKHq#yQhD#w+Ge9(_Y+KqpRTI zQjH}s{RT@bqXf#1aW|7nT^os~qpRZ(XI;u?OBYoMl##Kv&h8>I4CI6F-k4R#dMPZa z#&QGgv;MM4Lcs2z<$LMo%s1MxOuU2I5IcKN_j=0vUFk+l$(u3#R_VQpJmz4>GP#na z9A+`?*8G~#*9Szzi@su?EgbFKURoId8u>bOvmt*PX!(xg`Tw||9gWKuZtRbhg&ywL zK6i*OeC)|W2GZGcO;lr!r(6$}Zo-r}{fwU?t&9>7m&V<%o0Jsz*Dp1BC84(uR;PM- z%1yC09xbg(j2AqO$TlVWd~yPnRI->s^l)kWdQ!+`F<;gxMl?!_>XX~zeGkf8SDq^D z4<#MWP2r*blT#u`;{CdBQ@RB7OA$9D9A^I{7V}IAk}d9^9QPt&C!oR&kR`1^oi{1HOGi;9$G9Rf;^f#oG1{X;tDlUwj_fA;8id6lzH% zLp|py?ZmrhkTS>cF5W|)QvX1@@iI`?VQ#6eGV_V;;=F*b;^YwWY?)v@zBVEBg_7I+ z6>Hh+q*aNdp-aEu_T}yXOIPC2DYG{z*Q-OesUyC?30|_r#jD8zPw7U@vv@pjIbNGq z(#j~7I3(_V-AqTwhhV9Zbil87@A8Em9IYC)XqayZ&mB_&-PL_4)Uxa^-IyliQZUVy z%#>Ck6z1{*&oD9Nf9vHbmeWF7u|~RB891IdV}I_$!_w*~7U&b_0<(<%%%DC{n`%^{ zE>M>$RHOF#CmOm+eKo59sAMs)f>PG999TjHt69zEY!UbT+w@|kbd@N+xhuBc^fP-% zE0e&Xd?c*FuKX5Q+B*lBb~4w|Kg8TTl1q!HL-<%)h0v(vOP*o~r3wH31ABT%?Qt%W zE>K2lGCQ_W8@)kMiKhk)Xg~w%(2$zcqCT~$Nj>UPlRDI=CbekjaxoMm%wm>FtEpsU5}U67(`5{hRwjWGri-~D zF6S#=;q5^C@81a@BtbHSV?xWzGf|6mmWe|0{!qjL9Ka&pSE<}N|b8P8U9>I77<-E+*0{Q;MAOQtF;B*?6GHrA@QQQG`{oGwZ^>`!(3&PRp$ScCO5^o^ZWe)HtI=c?3z*Mb<};rK z%wNBxn!`M4#R`N6xMKaEzKmo9!>t`3x?wPl*La@sA?3Cy6GL1twB^6h^72S7EuO;M zHjEl`d4bpX+EcXYTsS|j!VH?N|MS0WN`0FaLi>KNw z)jKmDsGvR5rIj$?35Q?VgBT{QPEJ#mmb9ZSZD_+Lw5Ao!a_WFSKbXfHrt=^FF_jto z$JF&k?us{#iA|@av|y36Iw`rBM*_}a6(8^tpRhL6a@F8KPU9%wQCZEom%gEv&;z-% zc-n&>rB%pDKIcGb6^z)IuVa56%n8!!=$y5 zuF0M6(H*1}2!&N~rm7oFR#)?l#|iOu=^`2OEt6yBphwe$`O*qSm|Aq8qvL-;4r~=H zWI^nIOIga&^~N`@*R;RkXckLX)Os%9k+{f?GdPbmG^7r7sY5*)P=`9y zr9SnjLw#xmq(@^Kv%TZ*YnjFr{)zo>fpkShn3fz8`|}IZ%A{o>A8?%YR4e&`R~Z~~ z|0t#_C(%eiFwRp9s^gYmYaZax z40k$f(1;veg+o+d6IBtEmTr((xwrH{uN3^pah1zJPm% zBs@j`3u+b)x@~2b0-C}pwr!ovSwrhr?9ugr72bN}5$p+;13ak`jWI@gL5LUfi@?jN zASkK)i?J5lH?HrmJA67$?Mk5vHsiZCA~akL7E8M0#JlW~o=0zItvCM6R~gW(*6efF zM_OMB?u)ZAZ^!mRGiRO-Z|Oopc}q#;w8=zV&OAC83FXW?VxCe%3apgDkJPN~8}`@H z#v?cW5%u5XP|dWp3??>g*S4QxZ`Ombcq!H91PqXe zWft*BN#?Q>IR@O`oy}0*?zJI}_m_p;+KeR~;mMbkdtLUl=Ax>}QgT(y6gm@LGATIMLV+ev*#cuH9K1NT&W zO%LN)&y}x=V4I5&qw z+X$$8%(G99cOhY<027J&q(C9@6G>m}LU#5Zqou2;Ay&N^QGEf2w&}O%@qViUw&-HH zEqycAgtrfODVY}XwMowS*bZ51mOV6%{Atz)Bt6PJ-fI?F_6Ifdr z{$(2jLDuH+jsIhkF9bX!ioSN2+0THBYp~0?A?@WP-5cd0DYg2OMjcnN@Z5>^p%fzW z(b(W*$MNb`RUuAo6-PA%7ulgQP>m6+M*DTC8fUruEt@Pf5tuUk^EH|&H4vr%lpnU- zM1HEDG?Snx`mc)#)syA)R2R??bQoXv{$wHOw$>PHX=+@QC#Fu$fDxuN%O;2UP>YA2v;oB215rv8(MQmtD;Ek^V=6dsvFX)?P_l_x4$ zgK)a_P(BxGL^bfhn7`Ys*!+j?p;tvSX~zJ&daNkyfXod0?2m;sH}jUKpLuj47o#y| z_Bp<~1NO4G1+=JV05MI$h{F_b;ZY}%2#W_jKyZTxQGtR-8v4%VqmS7i-W^a#6JoCw5`o3!b^ z|M((&V|z#{WM0Kpj)G;uQl>2Vw~|-N5q07+Z%?oo-lzM7Scc)CyAe8+^4e5`_YxJn zxQ|&vSeJ+15y=v|GSo3WmV!=A@OW6F zwNqnQw|{;LKT$VgR(*Q_$SR<@rLLWIW=OQs36bh(dl zZ_0vm{>teBmo!idy8q|;>63O!w9Vo=R{{4r&2jB+g!r2Z`=t(e&T&sKa~6(wu&ok_kTJwM+?)J;4RBX3 zAOUh0wff7EkDL~0G@uYgbO0!8G_QB?0gOe)#&Lc}Tz1A_X%R?!3UzNvrNk0V*(QAg zXs)l~EXvGBF^@(wOd!F?h=)F@E{0$oOcWwQ*yDnII#G{_s(?p*XvmoZ`C!Ms8rpD}nyV9#+MSZZnKO^4)7nr1@RI zj6q&t*?(52U{=FPh2&-Thrh>!HccfM!Vo^H{al=5CX2@jNX9lO}-THiL z-gq&0rzOcAd$sV*h~Mm$SFo$IIf?_KS}o4s-v=%Y6oOfXAby%oo+mhSBKQ`(w~vE2 zN$tbsCn>S~V8vdC#dz2{WXJ5pXrxGrdZOpWQype>5Hf1(6*T)}sulkssjymyzO0@l z0$Nb>@{zMh-u>~atqNyIE@V396odb|a-a`!_q>ExPYV#q2`vn|Jsn@m~bdC^D7k+d4zMyvZz%7Ei+GqfS=6v zU&Ulpp1b9cpcH%C=9w775VMWQhpbmm7uIA@JK~Ps_%GNS6)40Lt?g0b9*i)T?TZM9 zG+kN>rHWjm{j;bCdB!q&e5B*3}2;?@lyKGPHZz zKa4i!qFeN_T1N#(TYwbfAAS)%rUd)N@~<8Hk6{6~t= zr*uj^6HTfP&Nkn+6{fO!_}2-gtWR*Gg>ZWpxREGUuDtqAM4{W0!Ip1{th70}1v@F5 z<-Dr#M)AI+sg+D!Gx1r^WIfSBWH2$$#EPb@Ot=+{X4a&6DDjOZcXxN|wNr$P^;ANR zkk9yDy!Zl})wSa7@|7a(gHL}K!K*dH#A$O9D_xJjZCoF!i7@Cqc5ltczR9iIoTGmL zVHZ$qT)cCAR898DG4>Y=IQ6*`{dsUuAX+m!%c?crV3{-PcM5fxngRC3yX1kFkr?7} zBg;Bb2wKYwxNTw8!QK+qBO?5f#leLk2}Uu(}Wzw!6seM@p-qxNRUL?jIt~ z`mF?PQs}12ggLWN5EGr%9JkiQ+Un@SgF5#@R>kNe%oXTkeP| z5W#!L?>+GtwjSu-Vb6fytLfP9rfpjV&3txHl$NjcSCg+dj=dT~ay8D!nf{gKWnYAh`Qz3h2#7tzLsalYiPx24`b==spd3Zz+ z0kwpHg#-RiQx?08tB+E}#gM3qrU)jV?fOE>y5sD+sU4Z$OUgrx71G>B<;pg#w#SMq z9}R6}g|`}a6W9w}MBf4ECh{V$6r3OY1xOHGcD4Klq0s6?`^I0oPUq|{KRBWDL41## z_JSIa-RmGBj=uh~W8?XVd~NyAE-^LJ04UiRE;KF=J5!e-r7l z-|t#xOVE7db4Lo}@z@J4HQoUT$X88pi*elh{r{G%yA|jM?(LhTj(+#r{ciH$VA)?3 zL!%4jE;V}SG!ITzO!MEVbOHk9@olU^nXhQ$%`)7n zs%d@l22$oe^(k!+9gOSUTTR(^ao77es7o|WKp!r}Q#-F5{*kRiBf2Nq5^NVSC0yfj!O^;Z(FXZd+kf&H=a+3IyJSqV6n~EYr872VV+*j`!pgC1hro~~-3wW3Q*)?c zagT~_9Jzp0sfW-InKXSrldn&(tA4jnsNSvnE981N9VHY_r7>m|`MGn5#G6Xuu0>f3 z^0~^hdu&M=l%PguPHU!kI$?$S?U$d+hrj%2>sLE#=YX6EBO+6@^$X&8M}g%}8#ddI zlf#b9|CyZ#b7?%dzJ$2yKC(v3s+qTL_=>+vo0yn68OtU%ea>>nVvgfC6>y)D&|1yN zBN~BPRZ+0Gv%XYXc2Avaz0#B^gQkL8Qe#b@AA^%&^r@0sra&8#!{fTxO_T!RwgcIh z0N@-$t+F8VYFN`icFs42T%V!(cFqb(maNhH-nRF!s=do^_+Cc@Q!+8(Y+JP=;&=m1 zjV_64dWl%H=k!V+K26vk1rvU|Z!VLU)}$xI=^h`inM(*-{F-J3w`l{OLb=HHMwm@3 zW>L2dMt;t*$M(NZI!%7(GCm$zL{qI+fIml55MZdv?PhSfXR*k3vwfY-1 zldn3gRG+Uur(0xr%ve?c6MYsKuP}tEM#XM>(%J9@a}g!uY6QWpyI|??l_l_IkW7kb zWPTTza7(gdKXNfN2+`(`#1}{ZhIrQ%j`&j9t&Y;=Q^z?0!{zxmyx*Sk#2fQsNt7zsbiQJuHm%xR+0<7@&L!_f5I1$a%rbvC zpuJ=P(~(ukG_XU`$BEwBm2D#e*RkubYt=zAOyc9~K~|wk5-aQ89hkeS)+2({uxV%( znUUF3%4f6IFu>;*ZqkUG)H|r}$P>+gJ$7w#NW`;QQ&}U7kHE#>_b=w(NK{0drpFE;*RaIYf0>gz(Z@hbigFrA&Kp z*0C2plZd<7&G0LOG6>aqUlC~87fmPgne{|o56moyx!E*Sx((~w-bGuFU`d!BLGjXD zHw|r@P?j)eIn-Ro)IN z<>s@xn9hG&3LC*J9pxI7%`!o@+(CVq?Z$sgcOj4eJRxre(3i#IQl}jvOwiV9uX6b{ zH}~Q2>jAa14DzY8^OP$cdf|=6zu`^PC!7O{?XRIU3-P6pLKr4;2|>RBW%4YXE*g9a z^4?75EPAq*pH#ibt6CIR9?qr{*84VT1?=DuHlk;B3$L~#m zcE)zYj>bGvQY%p_;bKJEcK$U(grEW?_u64Jao##jUvu)C$kwQusK6Gi-oNDe^C~I$4*Rrg0 z>tFq+8M?i(VAm7WHW}7&Br8W&^z%oTr@?Mz6HAR__0U@`3 zLCHkBGbH#aPM?8hHJh(p}=5LIjBuegeFNM@h%9R?NzCLSgRfeJ3@R+A^{~ zypb5EI3Vuv{-)$eNl??q|I{U)TTD{|u!G`+Gg22OWGNK*J7Fbdr}u$l+w6h{r&X4S z0Y-05tw@-`uxg-rkYcvU8T!h6R4#;U)bT3btijt2VwBsjA`I&zwM|K^^r_y#U|zS} zO9^;$Adsr1d{KD}CbF*mO(KM@onmRj%V5!W(0bgsb>9YL`9&hm>=K=1*RAu%Znz@C zVg%_?6Y=W?lf#JHw0u(Bcx%#zrbVV4R7cToIa3MU_T+JggCD?@IZIQo%eA@QNT@di z6Sdj-sK|dfI^t;VTkgvEo@?=03qh&GQk->4m7pl znuQ+vAn*6n1#;DuhHsEipJb^^pfyRR2jxz;B}$>kTr*dV@qrJ6Zs%Z)EsRtg_(JoS zYBJ8!(X|yux(F*WWfFT&341F*aFYw7NVtC`P?(ChM+X!1r`H579d`j*yGHY`hdqK2 z%BQXRy#qOHxDOav=;v^qbyt#TONbJ}N{XwTa# zdiafa{lS>B^^976Apd-c@A=~Z?r22G5yUHp9FCu#`i6xuUp-g_Kf$Q?ft6i-#lM!d z#l%T%GM4!|A0`zmw6k!8eHZF_gHJ2eaQJdqI{L+)s)IdpE$dc|`6f|&R_6-r!1V^1 zyi$q8mEGf;7SwO<#DL%=U}wX%ZAo;NkunJhN&}|WD z0rBuWdb>w4^;P~IwE8u1GWO-@@Hu+dpcyDqM@;+$k0#o67tJWNh93{c93M9yS9lE< zx49(rO$kEoV#t`50}^@cOvaVOy0JN>twOaSs`T&bP4#p#Crp8sV2U9&5T93%(^9eI zADnAEcPgLeV9gzY`YoRF9nE%E4Zmg#*n9nJVmX=8Uzk>FM5ReRU3#fhZ0IcT+RvOVx&LGSB)T6B_J!w z{^wGg<%eiawc=lzAK#?I=+$fAW3rN6nqP5*6=&-+&{0V@fLyPS=Fs5*x0on#4M{T` z()J$SWH><3R)ix3ZWFjMAm@a9^uFCA(Zv6$B*nPRRIj6qq$oelI>XbUzaZ%a^kKLVP#O^0|dA~ z<`MGSp4T_q14D@?B$0K=`3zr06VKH|fdY8Nz~zUDQ^v@WH{nw8u2DQfo(ywFlv=aH zN$w$+=0FinEczzY1V?*iS>gGtilwXQ`;}B$r8Z4c#8%z8n06G1*j)8nc46W~q8&Sz z`|*3*Skr6tE=)^f{A?t*xbl#&ABos2`#YVtuZb=$il4imIb2}@kAlu~xD(&$Y%Tg0 zR9|F*;VYhDvBXMnN8w+cXRYfE@OdXLrnbL&czyhJO+aKw_ECw$c+vkN(GG9w_OFES z9F@iP+S~|388PsL%*7o(AQV$;OYpC3$@6cxH2_tz+F7BXEy31;G*nBax27MlC@1IwVF*+^dA3MOe zh>^QA*mBiD(yrPM<13IExq?Px)%x_+(*fHn3TI!jt&6#Q67$Dw#!()^iaU+&pd9jN*YwLe;4#96o2+GTl5G9A; zRLv~(nvrc><6a^f56zJPY>ePmc!;M&QKlEQ&Y+$;J)$DLf_rK(N!>|QV?ef50WrLt zG~pxigF{o;nejKJ(=PNZe=RoJO{QWKhp;C_714Cl0*~|3h7KBY-p%0!))pYM5)1oy zV_*`z40WWKAjG-tJHxlF6Dq;!lR|h!ME~B}&$p)vwY*$iO1TX3Z74SVwf*I#(m`W zZCx0hv0PF{!gJepzl1WQhgne!7$TCV{QGA7lNK5tbhl$>2@WbcU2xZ=J7j1^QmSzG~l8)2bGg4XrjEuaelj-5faCU&86O#5n>ok(&VM$#9S2U;q5*iF8?25>bQ@T*uZ*nrEmw30m4JO zdBfAQEjtc{w}glmFl`y_jyNCmDq9BALUl;PT9lQBW^{%w-(9Vwu78m8CB?f;8d*Oc z#3Ie)N@Nd4lry1lml)JB;Yc=cS%peO{8uD-tAtF16^vk;-EzGqjbOVv=34 z;yJUDkZ`p)WzF=&d!p=VD&U|qXC}#umPAxM2xsk)w3~cP(A@Y7Cn2+jT5OsIKxM0; z*i|jAqTKGe{?Pnqou|utEG;lS(K%QPkxZIlK}8Glg|}1ba-CwQGAB%rG0HmCGy~hf z@9iTy7UQnV{EkopujWjd0e$w>NA=0yOw*5aL{vgpApn?^xV+e5s^qM>6llvTBuEV& z4{-1!l*`3T5p73&ypZL^Gf@2@mJGkgB`Jbi%c_Y#@s{`N0l=F)keig*Y2Q7$mYrNF zG8?*NTJ}jr3BX85jlVPQN>cPqz4%ZAI?_lxDAy9?+a*hi%t&wk;vzDPTjfq4w~sTIlD~>f%9+o% zG4+#22sc5$12y}6g1f`p^oT3(O7c^GkZ%(tgMP}gTDf~L1yq9EZO|;+{avTaa+;ow zz;Zml5%(!nZJIu~TNT8Po~T9*=v#^XqOhmF^eKL(Eom=cM`z!OUeQ-Wy`MH~+voPY z(%wIQLs?Q1A*8|QZyy)&(2hWN=<`XKEfqk7*mgD_pj}P$X)0k&rzcZj7}z?|5Vfn1 z3$G0NQ>INwM$DkdlD9}_fT${0PqTHEUrq3+yglc`M;h}oQCi>27u9f+|-JCAvm0LWQy^JuegOw;0^R5Rc0?@(?1h=oFT z(IX$|lDfOul15sOWTODwf`f+o_}R*cNRre5Z73$1tbmFr|LqRSBU{*6N){Gqm0K3B zgk(T?*_*y^zw??d*S;IPq`yzAD$a4eZ+ zJbLUBU=?9z*Tf3~OVg<02^z<;tC)X{@LN6;26kevYZJD|r2FOin>-8)w3c+afEMQu z^XIf;1XvHhdAQkGIu*8~jRnAz_zcMiK3K^>0$)hU0FFWoJ4|K~>)kRyM<@lqawi=V z#ht2k>;bMok|zbbVsZd}P6ck?$ew z8K%&`@HGCwyIQL8%by@*xXJu){{1^uL1GYwfo}@>{h=}p#;NkDB=bTXADVxZeU!(T z=&dv16@(R;#;@MTsC7B{tvn19T7wKpZv=nCJ0ee#(L_!hZX&z0!r)1(5vp$+RGb!M z3TF@m_pCKcBGk(^>T|;`N{G`l<5h}$fQr%nbim4pPH#OdHM5H29U82^JpoHha0-j> z&v*^!vqs-Lwhu5g_R0QLzt8F_k1tQ5LYmV2)%XGP@|TMu5Q?U_Q+bl@@$?b>>$>Cu z4baTaArYzvt9dI$>TfNX<2*^1T=b>87(aUkVni-fTb)7oshcshIoIOZqTjWyizfr0RSJoSNdJ&J));{ zb2i{2EmV25;jhU2$9dlv@l(lMel*9#orVknc4?FEqEB~8YSLAru)*5PxTF`Pp~~Pb z(bquYj_Dtn4NxtM*oljL&>4YEpbI#EgJvBv{0&3>yXXg(($hFafkdPHzGrvfXJ4Nf zmtc*~rmtf!>2k>u?dS}82Z;_kua4vVV~i2VwcZ7_eC>3b#f`XLQ+uZ~#OPaXDzcRK zyc! z+w|w?4Cna_ZwFo?r%FbgV-WESXm&wws;O=Z`xql$d~N5j|Ne+Um@U+kBUFy@Vk|_r z*0E4dhLB#bXIJ>leMb$M`gd_Rf^U$cmnR_{YTWWGgQW}v{Cj1oLtN5#+^0V+8~mLO z-N|zFuL*l7tBe-phwKZN6#r{`Y#RkWDmh0TzF6puf4KpRUy|A&yM#cOXH^F*uxToO z;oaA3`3Qd8N-$cgBFNnD-F3+oTVo3HrEK?$EM?piroO`KAsBb?GsVy5$k>!KV6V|g zVP9I8)!Y0sk4tAOc+dbotM}(+7UW-Z&I_4Q)%RRcW_!d2tQgQiT54r;elQKW4jcPQs3s$ty>bYcZ-nT4PNm}xQnJn#);ZWyyW&!w{^P$`9>x*%gL;s9HEQfKwxUdiRNb-c zc=Cl)hn%V2h=DzW)9UrE0ak_?P9$>!#$%jmPFeaD+tDn=;MHt1PQ zKTXSXhi`H{LD8{r<%sR9z)^&59mU5Z9Bq8ESxKnbo4GtQxhkPnH0L5vq(fhawZ%ad zvpZNZx2jgwa*@+qNd8zkbDf0Ar6E$kebZY=>tLv^IzkZk^mzFhF+APF^FP@_*=Hj- zo=OC*&I>4`4@h+xwyFCZ^}Uq@n_KwG!6UG;R?g(ULFL&`8GtVY2Q|7mDvII39mBO2 z!{5Xm{Pxi~Y)oS}g=W;Z+noQ8T6lXH!xvZ0PT%&GFE;sX>TE-4dTT~RnH$nMj8Z+q z9)$@#?Tl&b1A>^ib9k~0*c30Q-mi%tn%qB6h7dTK`fF+BBZ$3K9$s%hz2iLI6-kyN=^(Rzj!snUC0ms^dRHXV-M($^dD6%kXRLeuVxA911#dy^ z6eLd8bf4pNb998m3jxT+-zxhjE7$!4aB(bQPWECCZ|AJKRJOJ1Vim}=+0#nTB)(&# zM>-v}gG=utS(VsBP`L>L+%^WXMd=M3F+aX$1U8M;f&3gH`j|O-$jN-13>n&P;Td~H z@HGx6-jNf|^nS3cqLtz8*|*$bElfE@LA$;z@*YUa=J$K~IrtlxxW82ts!!qUa%ZG- z#Qf*K&`_Q+{mI0CD(V!R8`Pqiz~sB~Fz`TEo!j|Xnn2O+Tstv^(6J!vQ?GKt07Vj) zz-0?SQ`5^wxHgpCc${-A{+9zsW$O8t230s8qPwD!^?kc0f(!~VLY4OQER*lER z>e5-~p~IO(p6N3by^95`CY4A+oIRX?Vkg0clYQ~?`9J7lVbGbppAG(nh>+DKT?}lC z*!rNUN6y+Pj<|PsdH9()kQnSRa4G;pZ;v_o<&DxZSga7|t?%D=?9a8Ul>8HD_Hb_l&IuEXqSBYPpOg!j8{GX7*?2 z*eWuoLBHyTVwj)aaz8k!SN>u~ymN&_*JOf(leC@o;zu~0i3KNE!@T(PeQs4QXrM@g zL*kEGmy0a~FJgW;)XmcswBzWfmK0*Z+Av{jLStYFV;<05;hHjn2FsKfi4 zG=L--LH~wkOP`)Pv{MnEWh{?<XKNVH0Y2X8Fy=qd=;Rr z+1ns6{y^L{URz+5Ar!q3waOEh?h&3XR<$zb3JY+Xhn{YR>0jxov|160Iu7ON&)2p)8asYZYb zr<525wr~4g$VN1bQ@H;vPfp6Ogcy2hWx~aw?=tm(*XLIk81fzkuW6J3#Fr^w^TpXN z^~>eW`-8t<@|j5lrO89^v<5(E-fgnOVtTh^hxZ;CiVO$CVff4ZTGTZRP${M{_=6pN z=4zKx?Z`F9mS}n~_6GF86J6I_`!oi*n1LmR;OI1pfNOA*iwoyzN z!rS)y=M}nd_)l9QJK3z9-YM53G3`-1QW}ysi17JE{u_rzAwRdkWs*}ng}7oxpCXoP zA?qN~UGMYZHu=0dlpCugvHz}OG^88R7*nN zXMUHL=H1<~(D&-9mHVLtVt=mn3VPyk^OyzrKrtTf{LXJN)eT$rZ%*-fZ`h=3-Co#s ztY17ry-C_HjxNz!syGuf$O=u5Cnnf5nkgs-|13D_`l5{(7*tWPqN+LK-BtB_HD_PJ z(>NqUq=`KP?jM;0e-YLi0NwTs6gC82Z+{@E@P{s;!S!2uWkIkIeUCb z&!Ghf=n|cW+(;DV-xuOn9sL%3&T_B|6b?Wx&%5LYfs#p|Na{ER&Y!|ALZCPqUv+&P zg>J5?g<*Y$tf*La&w6+sAQBSyis416<_{Dj{jaS8J#;;N??>%$uF?D6Z3F}Xk%Q@= zNI^`6(jA&IQ8zy#^g=9!Pw&TGr{SX+{}JpI6>7tcl}Lz2RkuKp$=)l#RzIUCtLM?? zwTo0!9yk28s|H{WQxHDrmcrkw-ruNI%ry12)5gr5c-VcW7fJ?3HhwUSG?D&2J9_aW zf)aHm3-hVZDB2?Vmo3Ray7eMurO)3BF&%zC<;f5zQPE~s&xM60gi^$(GYS4zb4lxB z+{{Gx#n-$sYEYUN&xs0+cGepNy&wb zd<~k7tjA4^k0q<&Pai=uZy{ zxtsT@@3$`!DPPE^;m6-+jJwYeL=YR;DwKRSsAY$c`iD&%DF3%C=C_gTOaXBBXvLX& z)!w{?96ub$dG_3B$GqMpt}&}m{9UsF;0-_VwRd`H>cI{$vu$rMw2s+*$%A| zlGi`o1U@jcRY+)andW78J*7U_evf%L_RJ4Vs zi($gtiZ-jWfZ6LUqLb0v37iK-88GK3)_g3aj5MYTC40}#T?}0?^DO{- zK8``|+jGUBtL4Xvgy2Ybr=Lg$cX6N+OC|ct;BiPb29>iJFX%QVlrTZOfh*u0f25T> z4|;0n*{D z!~1EUJ->2WpRk*HHzcC&_cd(QBt?UXD6+K$$v03h54(~4rZn(?mQk>?zyk@}CXC5Q= z&jRR<66eM{?~A72_%DYN`NG>Y3ESC2L%&eOrdHELzvtO_TjW3EDG6tK=ihR@=B*-L z91(~rBJq(S498pj*ys$N|5oouHax$YxY98#J^feNGwHK7mX@bigC?p5(|9>2r?bqn z=QZc#%+U-w8EOvm@f;d`*hrzH&XCBv+1+=3v1$cD7dk`MRqIxl%(`^v8QOGfRVklZ zt%nvjMny*^Pzlnhf+JR~ypTMXuIlP6L^%G!{Rl2&G-iWs73?t&V!M&s zfkF9e2)dNh*D_0KiOpLwXfdfPwo^Sb@h5_Maw6UZsA5xWkKo?Sz??OZqGk|n&N(ZA z64S=Gbb0}u`?G}8p|--GV$Ub^NOfD=9rMg9QlQXGF}-dT$`(HG2oO`)TyfTr>b><6 zalK0?Sw&%*75R|CzrWele1gAzGsFoyS0Gh~2g{jIi4pY9p!^Yj;R)4q$zei;yG8jn zozPG3mzCw1x@NHB20R+O+g~=jYwRo&%x5sogGe+)Lcs4gY#~(@)uto`1ue1+#(4sH zOwBlsxdT3ErrM0jV{}|uD8;;^)5yLF1^dTOZ9C=m{1<3P;48g}Cl~jfGNE;q#5p-w zF0+fwv1Nxp_p7%K08ut@nYU0t5RMZI7<-USE={zX(xB%bKwHCDmk#whu7^QN=pQ_s z`{gj7;kIybUd5Hb+P$3u9uWt{MhITl5F? zre8m4EuA&z(>?PI>6{sDyKa0^G<^oz-C5J>RkI9+-p3%{a~2r7BFrp8X#NPS)t0LIpc|HZ7Y`d z&%)Zgu-BJrU1}&Q$+V5;al{8>RLvZlAvY7iH0a0d zvw$|7TH1j-tu=0<{l7nt7NPe2UjX{WGAK%7J0*ROZCE7woT+_+QDHAD8S~g3C4Vo% zZOhIGC4uTxbk;T?<+`W}qNk;ZAkNDm)}RM}{7mo)*UicUzpp?yi~*@a*z_}hJF zb-8chbi{9RjHA%G0$4cMF54Pci~bEgD1dO`JY*yx9lXvdYlRq4E2f4IM>ot~)?84W zM2jlawFW6{dqGO{Dw{$)Vv_Sq;y$zh09NPP|4#?pvgz%g~b{Y^d9N}W4?g!3xpngReG>SwxPKcm%tno5Q z*UOKTjXjsz{G`f_yIi1{m)0N-3X8&IIt>yHD(;5chRb}+!x!eVvpa>1(-g_EN&z5i zLgA>m>7|KoJ$C}lnU5`E;E%Ms8B&yhIj)M1BOXmd(XV|Vytm8vP`!sBS8(NG0l9<& z=k{TIHj)udX0F@Z_%Nl9-NT6$$W_|Eai`Z5!+a_0D}uWQjUsYzs|o)eGQL}w zDACB~jP4jps3}`DT$-3ZJMuWEEi72>R~0eKb=qPwl#sb*UjS-tMwt=Oa8TDnTtA~b z8b>6?ENeY_A^=OWl1QW`8_tF8AQ(SDz(#esY=HuPzj4o)f4j+PIzKOG)JVf@?QtRw z^17`a&$W*&l|tPQvP8GoTg}5m9@;i&2NBY=>)lld+@5MYwN6rUb>H0__D9wFC)Y`% zkX*p|O9~7w%KAQ8Grp(|1JP?y3KMqTxnt1K$UB$zUAeGrQJ(1@U0})&yi*51xAsQC z`b98~7Lx-^0m4%L@s61%Lve>c0=}ip=){zJqw@3on@(fNmv&CB;O_JU@d@B{dhZd0 zvfq92=Ln%STW01cE={!ZIWAK7)vG;mV{<_pY zKifxezJ8$K&GtctQc5qS%H;n)plmNqZo*b&w05HQEZ1UQbigrCA9FC2WLc)PgyM^I zZ#W6d>rUtH0^Vg^(+)r*?#h@2{T%D?tRTl@#`Yh#;K;+ySQ98=CnLtg`~$&YfEiV%_?( z&dUiE$@+P?+?rx4$yPEZt_kN|xipQ;PZ>fo%r(-Xc=up{5?KX!T+_dN>Wp9Sz??R( zoL)F%`Lj4wH65DtJaQhtE_FZ5E%3O!bj~=Uzt-^l-s3MSm^2o!NJX9 znIqtC9E@g4O8shvqSA}Q3YE}PYmHv7({YZy<^VA8tQldqr@p)|GL3Yv?=!pjVmg;- z(b}^Hag|w(NEBt;r(S3Or${4=w12BzdMzgVYC4dK)7RNKMBAsB#HG_?2YB$Zf$zulka6#vE+kD@`CudmJh@_Ns z(>g-ejt;(s0+%0y{FNHyi%gqR=T%nUX1d;W_Q`ndkiIR33}yqgI;@}O-2iXRY_nLu zTNd?r$JxnyU16<}kR_6_(|g)Su3ooG#mEJ=Uzy6WN(rwP#y3Plf+tAD`d1)e;`Pv; zChA!_)AdiID!d>ZD80C9fpb~^kmM(!DW2MlXIqUbW=j5nKCYt6jz%E@L&Oq7 zU;19swF_gTP`KYSVl#ksH%vKs(i{C!Ojn~2RLZT_YU4;F`H)4-thmXf@qsl|t3<;b zh_1a{LUrqzduPkAR%W(I_pzkfq;7ErR^R&KCQ@XdYFO^GSj9Wi@K2X9)G*)D*0t>L z?RAzQs2=t$B9k(d#WFPaUY`=*B`*VCUuGs#uMnBk0U< z&EdKFXvgH!N=NDGlT!ywMqGVA{M9^Vqb--hT_DC4&m_T08bg#i(N20cRgr!TB=TD6 z#6Rk=fOjKqq|Fyu(QoeKY+}Zice(Ho1+Kx0n@O<~9>9`zFxN>I;oAFw#_4iY#TLE) z0jxk%zbYB&s*Gvk@9uDgS>h^0NeX#9aK9}bnWI5d)vp17t1Q<|Fl$d*NVY`%XNLIv zft{Ok-zWLoj#of9C+?o~cn1`e1V{;z8nM;I9}@mnKIVk*2M;+*ac+{9+^T4NY)H@P zwpQIQZCV|^xCgn`ur$Z;22&L*a3}K!d%Mc}0P(kX$WodD=@AzpW^o?B1YXJ*_T_yJ z_nAvg7ru@E#~suy`ME78GdS=%W;=CxG0DH~#~}KU3BfTN#2$>v%$+|j@m+LeEEq{} zlwuyYc)YeQa|71ysMvw<#Cv8lKXViS2UDHZ0c)a%j!DOD8Q!5|EYz{V8>P6@^4r(^ zl{R%94JG#BCKkK8VjII422^K1_N5{9sY@N|uoi$-tY$jXna1B9BIBLo`ihLlpR2BH zlJBSyTC103h)-?1xhn4#%as#Nlsn&8{h8ReVf#y~ro>JM79EoOwSCFoW=N|k&W^3w zZLyCJEB#`v7@l#x9~#-rne8LjxXAR&g|@cs?2!6$g=f68M8{1=#h8b<+!4l!s}Kzt z7_!-9Sw8ipuRC+us9M*g*g$(mS$;3#RB81T*kQ>tC+T78odKnHbDeWG zksotMFgoeqcZqF(d?~G}0u^G;DYnaCx?8qy3XKb0UsSc(6FG0>-sS3PpGua-LM%yh zjrbcpeBm!~6`~*m{Er^jl<{ytd#7CLvb38l*RISUKiJV@SDSCNtAP5pV;7q^Kw3oy zn#Eq4Pozk(!@b4%(yEHGSFBGTNs;1@lD`*FUs_p5-nKnI#!-o#j#7&$W_Dy=#F>aS zFiDZ)x)WNwFQprq;Zh-PO*1#d5-&V=*hCc{IO1mLlpN)NZmpJ(kc6fQn4D z{OhaIN@grAZBAa_Q@G+iP4BKPmdKQHTqphxmk?X*v)q&q1kay!a1qhVF3-9y%j$!q zkS=0%&TOpKV{1xYK>%mjdgpJSG1G%2pV=i^PEGmI&^}g=T;}zXRwzj6ZM}ZX&BC-u z2ZTP7ZI;owl|y5H>1cBlaiX-M8A-nFgKCrIsgRoQw7tK+6F)!Qly%~7aS7pjaTR1` zn($)vogVf6&~-`q{BF5^lIuu)254`a?AkZA9<%k&$KsE)RP>DLnA)>+UWxhVwg^uG zgJ()fJN=p_%})RQJ*3r5N$Xf>%Xo zthcn^bS3x2c`+YhI;22!AK^lcHOVZo9lFjvxzYdF@y$V=H1~Fl+-lZXkT&MGArWgB zk!4Ay9@T9YBt@Jht#A@{i-l?UByGA!N>ZI2pe}!S8u{@ORFu08u@cTmlWONCXd%r5 zY%8ynjXRd|LlQ?Nd)n4h!wGwnEtIjX=NBoukg22jJ+_oqLiO+D+3+Ou#TGU;*JLVX zg@&A+FFokK-cw1HY#!xjQBAt)8AJ!0b;!v{)3mZ}kZj^0X{8g`Iektai|_1`RQCj? zNn-^+$0`z3n3hvxC8UpCcSkH;6n!Px!&lFwR?<|~HNYii>&^uOZ0-As;#K=*x!@(RXmk-h%G>*S)^CRzGe4z%5=xrOmmkg8IOiX zxtJ@vxs*zqvXf&?{nAg083hOcrFaWWiku(j0M63_98lwzbM z-TTsNXAC`TPt&=NhwBbVe4O+%{(E>V z>rq=SZv92&D%LH#1!;ZJUvF#Qe>_fdgW>p?UUxgB+!6Ktx4?Ay%EOpXF1-WM@6D3j z7dfWl|Z=-<_&_T_9Q>RS{Xx*0myOzoWl z=Dup{r_UpF?rw02PTL$kQRS7`GE5Cfll-_7V1DHOKs4O3neP0Nbxcf?uL5cs4eqA`dZ%p+)JD&ABgG&o9i>n|bb3H_OtHg=C z$r6R!H}HY0$xS&Ak%7DiV%*&}DA9N?F@<(>a!TnK%a^(eMHcmDpIK(dP=O z7g0>HhXA2!wAXkq4-Z7c9lm>pIi@TXb9TEe?Fq&(sUPQn_jSiB@@+o<-jS|AhIuAH64Mf8oQ9o7uMemGM zc40v6<&Fxrc#FmSq;xc9ciYxoFq@&-g56{LVwW)m#s>OsTfnISx@>L4$Kr4AXh>iS zh*1}H|228^iMh*jE8DnVanq)U>%9Gi&ah9KKXEW0&Sg5D3nvd z^}3exc0xMJ#s%id?I>ocv2WSAIfvBUHtAYUOX;e_yik3klzRKuabZ9fTYAj}_6b14 z9kC$aP)a?!B=vfwyFFO$wYAME7e0XU`PFiz^9FTpa#-A^mD@u%H9~sJeM3);cW+rP zVutveJ4(W(;wnhUyNUzmBrQ>U1}c^1_Qc(IpEpBoo6jfb^H;gaJ(d<)N*!0%US@0G znbZ}nNv)V)CAA>7+qbP&2c*qQTJPs00?=^0t7pZFFsCUer+AY+_GtvHW%=%UoUkBCWVO_FHp?au^%M>T*DA1MX|3 z^xNGr1ZDFyo-rD8+ZKR^J8G`xNOo9k{o!Cn1)z;8-56-wXzjNaEui8O^nYxRul zV*VA=SDIlZ?KMs*b+y>jLdC#5C|a}9Hr}4`kVk&(oMAD=JSAA0=+T1tw)P!OWe>UB zDD>7_%`ooZSax@O8&zUo&f!H{xG5uXQkt34<52|XEw84$uF+Js87Dc~%edc$YwkNV z#SdJ-Td}W-Jf35-!lC9|p`RtZ$_g&=kV7G7@hfd(zaPs}TqRVS)+PU)M6p8ZIwgL! z-L17{6Dv#p+e9h#8s?XzY^#($2x6iY>jgu zlswkD%u`PYPrJ9ZfFI1l$2Or*0N_}`q>L-P?m1n2> z0ksYCuS-&I7JBhij0?0p&WCUNTP^wg8vzseD6m`XP{4Ls$nG9<`dZ=HZY26bunxPT zDbpQ?cXL~WG9BU^Gl6)rSy--(z`oWpojdp5byI{dLFV^J zr<68wxv#uNb1Fk(BPyRkEacbFYqZ1H&uXRAJI+~+3rwFoG(C4d=Al6J+qqfQm5&tC z@ASpqKwz`;F`Ean_4H)EkK774kH2C*v+v{+CWl_5D6tR2ZByb^9PPP9@*@^3+~CAY zmavQ^EMXbTSj;k(v1I#mw4wG@mZ*#K`4caJdYz<=W2qmx%<+4T1LVoLydTNwUGI9V zu(XAajkbQa)?My7th0BPmXxisEnB<9N7Z^I&(z*{(dB4QB~J&Y;p~zU<|*9^JDt89 zq>x%KSJ+x)n-&)Xk6Cj3DW{(XUE`cuuJq+stcm#h&q!+7#7ssqn5Vg!bLdXn)DCz{ z0}J_)?$YBkVg&JOb3T``!ex#vG-`JpaE;~n8>vfQwg%7+nZPp^@5*DeoH%-#-7Kc! zwHQXHkk==698=z)koK&SRflf^903KI@(E7EFS!DM#vG)uQn<5S%LS%Ua(cy0Ag}i6 zKzBQLaYD?r`A{z6m5^%{92ICp?ULv5DwZ;zbVn#o=XG0;F5yW2@lm>oBpMAivy6o- zWhskT#u65>g!xKO#}c+bA|K1K$&>SGBQ@VH?%1S3FFTDX#}-Z66>}}?xYP14=hBoD z19OrXWqQ*m_VYF_rcg_+*Rl(}V!!RiP`ZWc^=ZfCsk~##()26&X-I(jM1S%$v}E!G z{S+oo+mieg1_$uI&B)*hUeJ>7MlNM|Y{lsjzEHB;j4pNP%z^C79tr0sH!z8x_?n-R z_(8gf0k&1QdGuhaFFnlUDJ+Uu!)7+IhSjWMHLF;~YL>8sr7U8tu3|=rEt5&ekB;rJ zTzi>C3TI#3hpcek3UtR!alWl4vWw;tqEBU;FWrYV=3J>HUfd4(G*quo|CN;Le0=?a zl9X!#i5a(phc(?<_Xeh6nV46fZ3?TrSI0E!5MZmt(;~JVyInrk>dx?WOU`aW6Ge-5 z^yg1oG`i$6n;WTMxvq398srX{romoGZ&XJk#VqAZp5a=0a{wJ_MROWbBfMv8n-Z6J zxgirA+hcxpG(So z#35bH*z5SIK=pZ5)1CEPfI8kDD`lu-+6QB4YX{nHR_Zd{))s$g9Jm-(PVAGr4| zbz1-*geyScSo^iHKX&rY+br@f3*E%{GV*z%1Id+~;+C4nOP18oz6;12WQH_OTx z4>}o1l+E0#`BT9M*J}(%5^X7r9l}k;1DZ?RqU5LeSJ(Ll6ZiapxUGO(QQEMg*$m&9QRUI`|HsQf<<$Pf&qkl+s^UJ<`=Id?teuBf|mmS|3YLv1CUiK!N=*l$=VnWWH zliw-x*h_kl**QJ#t2ldQ7l)QORC9Tcsx-fcxYd6KG!k0GTq^F6-xb4DWHy&sYP=#a zXNPCn9_$-KI@*3rti*j&ra3G2IG*h(YL_~BEYoyvE%2{Q8p>H*8cwBQ%5;rmsh$s1 zpPdY}wZcX^2UN~3mX+wu0xmY(>-(POWq=}!7d*eT(4f!Gz|$2uh%0%Gacm9nZ5cgT z7)4)MyYhZctUn#;&<>@TrLnZ}O3RC7EgMFvvNo{&-s%ZK1eVh?3KQ!;}Qn)mo3zLcFHyZPil&^<`KoI zLlG-k#>_aG#^{**WBK>lth9*i6zyuqR=7-CSql^or|#z|_eM&2d!bZ<HRyDKLvQWP1w6-Zaki`3 zBhN|?G$$H!JlF9I19^*Ad71~ffr~hq?sTL%b*Z88>Y4vrQ%{r{vLwy5{Vs|1o13;^;tnp-&7lIn48#I3tlx9r9TTJt-J{{q(dQQL%b6%R6ybtS)*;ukuV{Jc+q z(EzuzKi}Kz(ca_C!21jSXIbxfKH!xu_Z-AK0h|pT&4HR6??6olA+c6n!VovhB$ShZT<)vBTsg&0O?~}?&Jd=E+)P9-7 zJ(k)n2-G>`PHHj5)~c@u{xP%D>EB{*;}q^-V%&WZp6i56w?}&`qYU#4y-Ewa*<2xpdKFUF*=lfxhUa)?E;PtrQ~qf&1PoW$A5;3?8+ir zt3DKPd5zK@lwM>WOldiYX=(4p&9n?ygT^sG@jIR~;ccOvZz=cp$n05^<;}p}5&F+@ zw$h-LzSEpd=*>NR!NSnqy8dNVR$JZr;?&SO;U#6AdfI&dILUuJ<>@TID<12yF(fzi zd&RAi6jRI_)Ci^Q;IU4Thlg5nN7mWew4eVh({g9Zk@QIbE*7(miae6$G}r9`mtHe2 z^1(bBhgkPKTM)1FLM%Bo)f2pc>lFQyr;{{j6~v}h1CHfRK8>52M^S=b+f^ni-w!)z z#+;B!T1f*JAw@fIDo4^T#YCl;xlCm$|L`-@J!w;oxt_O*S~Df+#sZ)U0~1c#EaGxL z3#IfR>1heRv6;O*_MumfV5nv5rHHe5FTm3B`G^w|{duli*b&HRBoDyR1oX_fn z^54(!6^rt=Kn(W@XmGkMXz3Pu1X@}Jp2-1UFyYE(o(TA;OBtJ|?_xHH__}%2qCv0+ zRh+5oGHRWB`9!+@NH2CL{7UJPsPSU0lfQAtFH%WdtsA$E2vD1H!s2xgZA?K(1#*KFl&OR{x1dXn~eA1##y(hx@pKsZVs&*3TZ7}SuJ(` zB6NK(NV5L)dxj3{W;Rb&;hBoZV~?h|MAtPEb}Neo zbZ*R733?Rpcm@vbk1aI_-q~Vm@_5zOnlq^%SXpM>NxuX+k+?CgtQ-7i>6tu!v1k&e z$$*vTLg>-C+AV?)(xBD(LMd`gk}TVbNW78v;tjjf8&!I?Gry0*mk2CxtW!Xk1(n7m7tK$arw<9Sa^^ z%zq*H#ZTniPB>f(v7vQEF+Yi)H@reaE!>;F>mk|t zQ!x`bO1Mo`Da&Mw-cL)bLj``ewdAjXSYY)E@&3vsIW3Seak6EqQcN*#ia)X7d5b>b zAFya1O0bcw0l0y9+qYKXnTxB_IK+EwjC5tA&I=)SMlolI)^&d^=brit)}Rmbl4$f5 zZAIHvjTLzON>?`OoEz#RZwZaWYT87TQ?jA{Rq;VZ`X`wJ7BVQ} zE)43}wjD2$R;Pr9agpIa)&9D?DAfBacjQj(W8uI!YsgVTXF(IW#G=*UP)j~Hl)l_V zQ|+2pkK2CrBC_V<$$2oudo1{1WEoD&kRN)ZLJCG4Pdz4Ss=*@ZE@KbAPNLUpZWL-; z6|5_qe~~Y(PK@2-qE5Z6d7~!GBN@3Q7it~{?OA2Z|6gi`HwYcbw>SzYqDyGyR*DN} z6qMW@fbL!ca9JfP=HgbuIQO_i^xL6j8F{P-`LXwcKrQQQn>rO!EVz2MlM|SoM6;Qk z9Z4ThZ7pWgMIsIdQxpH%d{}Glm!?Ia6X+0oO$94*|nB}wyd-y4^tK~y!ekj&h;g}|$8Ibn# zeQOp)bMdo$*UF6?B3<3+BjW-2`B3KdRd_*z;b6RslK3EhlnXBqmU$ZDA4~ zkya-K_CxC1w0b}99l#jOT`A&hjeS#%F}B>-vR~LkPmuu@EyD=c`e6ut`Ak5%H`1V+ zlX*#Z<}-x5=@p_G$1|bcX^{$6EblWU88uiTqS-H;!jeEsIGk76C4hW4Q;n^GYVMY! zcqr)({Y38NBZ@?IyMpIpKQCi<@#yfWxPVtJ_rX?9V3@YNFEt@HHL;CXG{(uS$k!Zf z`F%6Th;1LXdy+d?ZXW0@T4e0HZS4DglGR>Q7$YS~}9%M!@vbY>*g^&oYz`L@FZu`*5@hcaHADG8(cFR45Vdy_qxI5!EX_XZD!lHBU0Lux|ll6N62RPnegN{z-;+;L^x`AJLmh)-vX$}6h zP~XWB+g*vK!8nv+b)a7Ys?6g(zUFr}ySSz_?Kz0f^a$A_a0_OJ+c++%%w=3h{Um=W z;!7T9L z?b6MlNNdK&)-NWrS70W+w{w3W`4)03j|JS{H)(KmdlCOj`}eDSo)p~7za=K-hw?vJMeSEh{T z_ZO!IZh>TVzZ$fGt4_-Bxvj1K)3&XwPJ8kDFf0lG3-_rw4W0~L13$cC(fnfn*`?ru zK>B(GJwj-qFEwbow?i5FR)MxMhF7?Z101YUD+g@H8!vl=&{J8#L*kFn3G^W;nG!UyEElAVFFuFclCDkZ!5Ym!dpETshH3@oN3!mC*rNX(0py=g4?fo1%d6-c(j;|14ch+U zP)0en26|6yVVm#`R@$?F{ZH8*l`9g;>E)u_Mf|jd8?XwK$UGeS; zT4pkkQ*WzyadjrCX*kkkb|S+AsE0LUZX(^T~d^oo1PS9s@i&h`iR4 z%j^vPzTZw$X4~3jWJo^IDvIAhVaU&+v}ND8snhQnyc)WlQcQ}~rjYiY@@T`ODZ)8z zmtQ%J#{A;CPq*?Z#|l}KUFTZcL~$|~FO|~tAzNgwNZ(~FWkU@*7^Ey@FnwKm=4s)n zq-=0w*nr#9+PjVA8kg=2D%u{%=So*l1G_NC)+WKm<=R0h@jEFD`6h&xydZA<(f3U*YLVR z{K3jBwOn(ybfvV>g88=A_)d8;>7C6U#$=|+=K;6jS#f@u$A;{1B1n$2)awVw)Oj4t z3n^k=ZkLrjlh#zaHGO%7@0rSCRdDby{Nv{NGTNY%q0EU>l2aLNZ- zUS3YDJO#ULcY55^=^ttZT3)#1@t37;>0Ws4c{pXDrecaI;z!O5csz%}of<}@v$^<> z;&)B3QkWIn+M&`+ywlWVDMPuLj+$3}nt0OXR_RU)gz8-35Po1G&(Sr>)ToN>%k~WE zifE=)oJ)&gfxd34ir;Brh?8-yA5M)sMK(TkFY!ThjHPy?lBQ_G9ZXEy1B)1-?Gsd* z-!+WLQ4VF~Y1tZ#vP_ij#!O^^c(604Z`1iqlPQ6<-gJZy7S znUsgTK19d$Yex)z;@$%X%&DC{LZh8 zWCR7FmfL^@9(w45jEr&Tg|ZyUX&k3GRp`Tq(h3L0-h5{>JpPTNS*yL2PV|I7+&zfwG2L zSvo=jrHYn4W3RG-kqn?aWyR`0$%EFbv&d#Zg^HZYrwWJY7xEf?vewD}UM#WI_Kz&_ z9h41R6X#8QN{FF{!>17!vflA-T*;gC%6MP@={ZQ#duSyid75)*o8nV?v8HmODsCOF z8cLWT&{-QxCQb6U_Vb?f?<%df0M$5$p=@<*n{A9{Kw8%ZnM%tztCF!Yc zr)24dU1_>7fYEUi#Y9;Vvq;Q#?$`ciS{<84?RD(l7aN2OAc5f9w6P3naU+0bdu8PL(*8XPK^WpDpIuq+Zyv znFfw)a7I*QeGW~xHM4g}U9==}#`9%4n7h*Y)=n)gq?H%vc3a;%O+lCQ0hiHAT4@b5 zW2UWUle2V_C=(bEH_FCli8t|l+H(Wnx(L?utF+1jTp&K}$PQ6Gd|*hRl!Ec09%(0C z)mV)?ax+5}mcdiYHPV$3rjjL|-8NcKhqHJm?m&MsS;)IwoZ;S$8#B#Tr)e~iRw)O` zRfgq0-8S&PU?Yj_i;-q&qSdQCn?E#xHaUrJQ~| zBU;bWbfhbX(S=IB^ZJe+qIHqx8lH^({0?W8{FKi=bf-IAZ9d48v6x@@j$bo0EI>WJ zvwaHuM>l4<%Ha??Nmn9L6!8uJxJaMJQ(SHPmoXf{O6h8Nra9f|&S45i5DFQ`7Yt=A z``H5B4A1i8^zoEs8C9i~$O^hLLRy8)<*|@vxSt5suM}u@G-E388m^B-?=F3qGXKKpYwqm)eYXEBJ&XrDnQueIaO`YcQ`iA-#fhu9?kxQw!_ z<_gDFEX~_-SN%d2>EYmteA;m-?<@KbD&S{sWuGLw7(Hz1K9a6hsJtdVR24FFl+EVH`FpoEXYfYcDYaCxmhZTiV=}^er3Qc6bYJGs z7L_6zNiu3OFzu#qxrA2yDX>_Bx~axd^k=xTwPoU3Q#^lJQWw%%x`Lrm#uii4hPP^zmNQVm`7{Q=M^R>yG2>YSKO3zttp-pZP&da zUDePyT6~OVi$vIVHxmrTVF<^CQqC}r4J6-|bmcI*hU|0alpI?q-%cKX(?0fF7Y~P| zTW}a%IZV-+;c7Al~9!iWB~|Dqq-I z8@{DCo1~RZK^6AnAUbiN@;d59e&a`e;!n0IUXjm4ivy4X_F#^5wL{@mE)2MYb^OV6 zW;2^rY-9^io*LAk4y|ZK>nw>bP(V%AODmDt+#b>_cdg?oEO)fvBHoS*nh~C4Zy4Nk zSPeCQ^p#Uf7j_@T6fuqWxsk&o7E`Vg-`MoroFuq!K10R7Ff&c=N@&Ge32S%5B53Sd zmX7pgAmdy_4c){K+{MA3LaLl+%jtRP>W4y^08KIq89_ffI9gveeH`5~`E)mNz*rr_p zXT+tr*Y{Y8{Lts)g41xBAkv3Fq)Sv&Dzp4mn-A<3gv6 z;zKQ7K9laY(0Sfc`(6Q+QHevjg&~UGZ+6IBhVUS#v8TvO zHIIjE+7xkZ$zN)-NPL`TsytZIhPx-6)%-{>9#?g`a3!zvkE>~ZF~$7H`}E@g&AL~# zc>6DAu4dDS=uuk9gPa>#%@rCBrsQ*6oae2m%a&*#w#W>Fad?AsLn&t?HQAz^x?YJP zcuT2fabOGeB5B4P6jhlYTMhV^y(#vUn=`x7i391xUanpU1x#ZCXYe>)gO2 zw)_2V?ge^qcxW1A8=JY0LfY|7+~I=3oT+S5t%vfop&jkmleVrmcD68vQT)az76hc- z?c8tqE!z*Wbk`h>?GvtIp|;%i;Q@{gyGcAUGVb_=!4p@8HrrL{t~&H$0HZU&7%!YD zXH>9`lCwiBcgI?kdzTvP`HR=Mo+CmD-+O+Xk?=(|4nV_B{3!lrQjvS4yD~kQ^6)$; zw7A^;({x!)4y7xH(Jo`!Ehy&;Q6!IvF*ke**_EvVI@G5F`_O?7?Be>`l}unN)0oCo zW>FA`ekbsr&5Zfw96gh%i@LlJ7kK3@&ZjW2@=DW;mb9ZC?P%l4Abmam@F&0XJM%+q zr)Rjz@>>V~4zcWTDaAtSYRYRVrvx_DJe?;)cAev%Za&XT2TZ0+0xGgLq|g{6=$i0v z)j61MbY-tdyF^Sz0S#Cotwc!luvo0!#zVr|RAnzZ(vgm|@-S+)F_&4)ViFUX#T<>B zdtDh~0+t5GyPTta>QsZ~G^Z_XXijU7M%-%|<uqhA9C~?NZZ%sg}FobuJLlAsi0p zJ54z)rW=z3)U+m#a(;wo0Gp}7W@#nT5#6SXXM#d(E*)&4M$D~U6%L^*hp~4C#WaiO zVqTS2AtXNK_>!MC(lkO-#>(u?K6GFo+ITd@-M}=aF}-A6YPC;&_T{TAaiJGAUg1(L z)7(nz!5-{REB0UyA0dU8GM2IY%~)nhHw|A{*1$K@lx5Ni1;p!|rzM|-bYp7Z+8)JQ zk$o2YNH=K}(vvxpr#Cq#q>{Sx2VLk&S2||F$&l}&?BHG_yD~jC#eI>>rPWDFIrd_2 z+R&D^K5U#;GN(jlGn+YVaGgUdzHx0{$?y= z85@`ZxEGFKxaGGyctBdAK&ZrGE#CFk(uql-XxxMk=oCsxZn-P!aqTi^^3;!~LMmwy zb+Ta6w1Yw#u|!&l5O|#HN`5M$HPfWkaim7cB&rQ<6$8PfVp(h|wf+B9mb#nrO=QoA zW+u;ZwTr1!&63H|jwzF0k4kHp!~`ZWk#P}S)5&83ZDPMIVAp`G`eZqWH#Ou^NN>Ij zP3JN^%O#nnO_(NyYW(e$ zOL@`q+v&V7txy2`5a)N^8#nVv$a>$CB;1fJ8>lIAGkL1anv65ojs_EHC#^!@ zT*Wi7pHIkW=b*J{OB-6TJ1y8n(=qZDEMy*wna4sFGM_~(0je@vIV7wh9Zzt*<+qA7 zrU6Z8KqDH_kS5gE=5}%|lbOI|CNPP4($#iJCFW5h_Sn z9lF5anH_E_W|cUr*yKOUh|rXo7HiVyxl&pot>iI{7QS=oXIVoJn` zrEiqTwh)W?oXk~pP8bixyu!6?lvXbil%)|(X-YHd(}=p%r@rqa@do#7V-f!`mwC)9 z`R^)el?3^Ry<$Hni{_B2RAiCwGn8Z4Pry{%&cXZ`0hiDjVSJHUlaXmLvtWZeifJ8! zzuK@kh!-u7;CM#Si{;WPMwH62X;fXyPrA;68DJ?(S!dG-?SkJ{4 z(~{}Z3Tfg@-qw)EyRNS(Lut*MpO4wjj>>R2KS-vb@3Rmfsg~Y!Yug6{$f5s!^E=RG|tLsYEp@Qh^$jrvjy@ z3REYLN|dFXn5ETv3fRmhwy_RaO)=})%v#p7k@c))6B}5~CN{B(jjT>G9Xf^&;$oHE z!#(15DVa?-zPJ3A9rx+|-0nM%=FC<|bpcONMJc~Od5#Y}dFd|2G@9#eAXj|FF@mKl zlUb9e8mx$f##4Bmze3uToz5G)X$$fBAh&wT>2rFAqH$0(R}j zu>8KBK78dn*X`4(YUIbJQ~6XY`Im~^>S>!`5(C*x0qcQPz&f_EiOnTbB^^fDeRWi`F7-=GLHx2%3GHi-@_TeZI)KuMk8r;d~=3|r~MrYXd@ zj{;Ald2)dDFU#9;r7dRP0L#lQ>f3tU|Av<|zi4>o@99{|ksd#qiz#M4XS&?8c|G(u z>`qylQT``0hTlXwb3P9walBuNH35`0kNX{+{CoYA+ zz~bQ5^f;P>b4O8wpW@1zDry6geSB_f+stM!4Nv{$j#Hb`%$h^b@{xzHIZ{K3URlbi{3KX@*6~TGv)>}inUGYB^IghP zp7h|Fu)XKLV>5$i_$+zhr(yh_Wv z#%W?yiVfP{Y8NTi%$s?-J}KmtXdfoXq?M=kj1BoFd%*Dwgf-ZJTZ;IBml@*mK>c4H z4OlbHePjzJ_^`IQoEN1lkl~c$4Vz#8^_-@#$>4?yJqKO-O|$2+%X!8&RVwCI9@bid zVFzuQVEOG3evwwlBNMbZbllU{8i}-IiZ+e6akP@Z#FP0@TbW)d7=1Os(Lo)yIZ;|U2vq&{`*b30$IW}HqTGRJg#vwYo+Jj_3ylM z2bK6Z;XXZFqM>sLtGB02J)HiLxrH5y004jhNklxguzbOo}86XL8chAIY$ zaH6u0-W{!}ZjBfdm-9RmA~|q&v-vHOG*0$PV~-xn;$^;LNv$=%*S6ABp?)&&-1P6z z;T)5}7IFf#W0_EAIeX>KQ}VwthyLuUxm7xQuGLx69jeH_zWcAp62aZzkM_*9we7#` zq9Ip5uZ90K)$6~we8(xhS`2>q!_wxjODp4n2Q1aDM zkfRc}2ND3qt#ozNbV$NAi+Geafwxdyws@@5`_i4Oz{6U4ueEeFvW!wZZEN2m?(yaD znCVRIR?e$wuK9%n>WX>hQY~%XVOl}Xx!9NSwSt$RL$?T3XWwi7Jv6T%Vu6M zy9;(obBV`T>-yzwM{SR@q~6M>^a|-)YATIGUy-+oTK#}qhi5xtmgMx8He)n*ew3;Rg=v; zX#yy1Cy$evBHkf8Wg|5lG%j7Tz&W04sT)!&wdN5P`KZ+s(%tMpcTK&wk90+{k|S7c zYvsQ^PsZ=`)w?}CmfDtCaXHPPm2{)#Ahm5<^O@ruBG2Zf%D=0svbU#v z`m@r9cynWUbb>j+57d-y&>ZC}OD{Ynt&B3qXnw<-u2gC{&pQo?@~vZO9mU_fZe9J)L&QV~XWg)9~56U9NL1>lE?#t{0ib8M$}l<1l^} zZ}`+Azkaa`cQadSomK~W63`#}Xscyd+o-un#W)Ykh1?wRXqjbsDQC~UL)3CJ!hW9T70M8cyB--|bRdU-*xb;@%JLv4q8 zb;)hg9iI~KP8jS`=x7#c@I!vWBlcZ|Q#?}CP53~|l-06q=5I@X+$^n(5+7@NI_;DK zYActX$F;tlxV)=SPWZ88b;`GNkRB$%bF{XF$RK64#;_`GIFOg(OmlV0(#X049IUB^ z^Q0@116&yQC?7);X_b<)PlD6G`ML0bjXd{RdPK!_qcnR2;(72KS2`Tz%V2$zf{Ty* zNVo~|BZa)BB?dwy$)i`C)kKu#+>l$RJ~uEi@LF9fJqS`TM^pVW;bENl9Kuptt1qUD zv`Pt_n&3%%v~jQ(2@bUM$u<`&FjD9|^R`olxYVK)m$JlTS^X69?ExHt+NKOA@VTatlTI=}lBW~uYpdTI(pAY3n#JACTew(SB@6jHV6&_# zrkHny){+hJZ&LRXhOg?@3<%k;>m|~|AqmH8tKId|RmmC3^JZMz4^*%>tLC$R7bs{}g-_$I zDx!bH4cl{z*DZbXp|mm%JQdiJ*qi6Q@|?$C%4HNWK=CY^UO!gSvV#6pl^!~NDa9Ef zY(@nmj|SQ@HMrcxO`uO^h2#z04fkoQ-I3B&$szK%HO?C3N5@SVzo#k-9A5>yCxp*l z#nIADWw3FwrFYgu@OiY8h5@ZR6;sSwM`z5b*`G%h97|79c0<}y;7t^?EaisGb)%Wj zDNGh`LhrI9pp#qqbYWnEMMikyH?*sM-quvJq71O0$S#gzm8}Qn(J^iM6Mgq_`W`-l zm2v5(nrMfSqpB@Nr}vOM!Sh_8PvWP2YPUPr@M_z-Js!Ddn7=5qld2D{FX~ zhSGz`EoHcX8RAXnS5DI2F1vA`h5(aOeSHs2ikwq1T`uS*OIIWZiC^hi&&g?0-{8BC z+os7q(H-V6s!KPJAqn4F`sfyEWm3{4;An3Jnj&#lqYD?%mz%hm>o}7RE}g(u$@r6Y z>0~3%v8(h@Ql%_?HM@6|l3^NKr4r{dBEgK+i%g>-5BtjDWdGIC%5+Wr^_p}=a+2x{ zv-Ls|_olQkde(OzcT16Tc?QL$EaLvieI^*TbFHPHzLr)dB`*g4h8Yow5qhQA8#XK{ zU{Lf|pv!T2(q+WVlx>VnEzy z!H^W@n(z4TCLCGD`)uaORMBc7pOCf`4 zD&5$rD9_c}B9ui%?(*3tjkuMm8ughMb9Z*RuY96(K)9Bsw%L;T=PNRp)8nSVQ<8q^ z4fWm2$vl;HP4Iv-i}unDVn{>2%{Hx*v^q)A6{h>}~0UQ$=oMc7*&rHPO^M(Ov$}4LZcFIj`l!gft_3 z_ws;*Jg-f#bo#*@9Ed!hTl(u(X=ReItM8B~s)w z$>azREwT^Kuw1()OXG}XkM)(?)zZTzMpI4g6Q$L(+@y9~e5@h{#BC7&?Yoy>x8+yf z<}+x!sQ8;QNMk)Z5XH})*Ft>9OsAe$J;PaFiap3vVr=nm##|AQ zx{tk+`=wRrkzpZMyvP>nD78f?j^)F^Obl1EUy?H0``Q-tYtN+%Ju6Rxq^n^l;VjnM zdS+H29}{q7!iK|q4wSCEL6Oq7Im8I`)lUBw?OU<^bYBHC0q1G~=&&1!Ya`Lj&;HuBtae*1KStdHRN3%d%V*_1N zmfV1KK5~9sY5>`&sG*I1m#&1tMioAY>mi+%NR|08VFVaUr%dBkOYaSpR>sCBLT{Q? zoDgCy?-hTuO3DhEus|KM@?2dyab8sZIS6M}-`q(XZu2~q2c8G}P#3c5lNV*b+1iJ8nEwa>n&Sj3z zJon|5IDcM~Imra($w11mnu@XC8nQ@QApr6iC2RsPp7R+Ka2aRwdc+(hhtIKEBZw$a zia*3>jN!{V$LP#ueR=#&B?U^+_0I88!nQ~_t^e3(JDu)d1w$azi*sI}Up~y-$mu!H zFP47nDXmNir-{F9irL2VfyQLKK*XjiYI36ghIGf(;#HQBiyd3$G3{k;;|!%f$!D~W zQa%oQ2qobWU+wfqP9C<+6iV?>U>gJl++g}XgRw?BjK-44J?Mmfjp9tqfpy-6=0Kxj^#-svh5o*D5MfKir{py?BM?WKYM|8L6?fqBvKB zPJHU4tlz}H-W&Q@n|M`NcY_MMY49x`KWZCESIXd%4wn9$FRctv%NB7j8ZwJ3siviN zd0Y^|`bo*Wum_jU5Vx5oPdm2G`5H^R#%0g!?#n&r*|5 z4Di%9C$Q01shepL?qEvb3lIHad`f2)7x)^ZJH*SntRb3QW9ioqL^U}mqnt)=1r6X(bdwgK|6*_M;^b6zhAt{YGyH-Z~2yQ`ICPFIoX)6co8 zxik?R-cjHI$ED?~e9dVGav8-gugT*f17L6F#QkL(_sWFv zGz889OF!2Y(xFBm@ga;vRgMgQh&>@*X?bxDBJhsKoImvNdZ?_~4(;0T2bGN@l(rv# zqNcE(20fh1T3gSKW_NC4b6kJVHf~U}m=Ttq?j)qc3*zq;BjL$JulsL)w(WZGjnLqZ z4nsKJA;n?*;33~nIF)TKuW7)S?4z}IYuJOOT61*EdAyo7U}Yf#xQ7B!9Sz4% zXP^L=GBEr?%duX3)+j9nG;nC8JZ8Ag^$QONCtEQ)fcm`>{;-PU1Xici?swsM=LSD? z@Gu^~W`8;+i2LnrLsYrN(%bh4l%F4{Im!ecrdOIN((atW)65iqujP&`S9bHDnIYm; zmlr4F?0jB!nd3K(?l%)TD4-~Vg>;k|S{6=9qSrRQ;#l!8G6p{!u5FY`*~S?3W4+to z5h(xSfZh{}c!c)KTC}G>6UE3r+KOZIOb0;60K@C|mg)bJ|d_LwHKg*7qgDT3JlpBYaP3j{^%N8;oeb5oveB4< z6o|j)vQZ8Qb$rEfrg-(`Wn*m0Q=5K1e2S0rc-EpK^8&8nP{|?2XE8nA7S+R`7k#cy z&X=x=K_k{6B4K{E<@1-;r{*epYt*idu`t#yzxy39{`iE|8V5*bQh_tbr#pj~%Tw&- zF_))v4FDQN}t?-FO8%xAvO9Hu$CJV-}AqXVwtVnGw_ovt3P6w|?=pP|}D zr`U-ZLjZhi>Dl8$E42YDH9jvt_GBRLOg`t>e6SnwF7y0G(C~kf>h(YdNoLWAM!v3} z%MmQ{aeWcj2VBE?JQ{Ebsi_|OX_=S~2L0$;FET4n*@V?hJiL{s=QVyFzR9U<4n)f$ z2GNF>!)lbQ<~hI4mHkhiMlngc6*8Q8bY+guJVx+Yz*=6wVc|4K9C?<>Q~0NE48c)H zTTW*3lucOu$A`7veJUqt%j+@DcO4zV4QsfB(>$7Z75M?Y_X5a&Po}me4y^ zr=hDuFWnFf<#&Y_$AbJ%sX(<1|e8x>5#B z^s@Bp=Yf}2O`Dgzl{6P& zDeWPzqZ7QVE#^e&3L1RV$-BLV9F~zJ>Fpd2#aP9x5Y=|T4$XK}xLoC&xpX6&!3$l_*f_;?~vv{Z~TR7HL%U0l%*tJhNrgjQ$ zxsFeH&u0xD3~^i?6D!pOXUSwbGCpA(6>z`riFj`o#pSwKqt6~g`0Q`#%Rd4w={ZeL z^dgS%P{2s%B{$myvbBHmpn@s@HO{xu+M4Kh2n3v%#Z?_1~{fXGeW#!qA%Mx-Bp`5WL#Vh zA30vrgpIZ<*6}HQMxurbKdnaK&`r-*@6)L6#JER8E+C4HqU zZt#S4@)X>*U3LD`^i1F4;*?!=9%6Nhe3tW!4_7dGj1+&nWrBPV*og8)AMu8Xx)gGr zs}^mO^ak(Zc-?&^DR-_lCEjYk`>ab?@s)NOj4utTM1wx6@?sK`+-Y>-A+2}TdDP{@ zgnu1MW9bGkxW;NQ{&QfZ_%&VFDe9);y%VPRiSixYJl3c^+r-~+(U*e)8&SR}W8yuh zl_D;2)t(2iENMP}I3BdD%sUBdP4RT{ea@s;D5I)NtUTjiJk#iz#D`7+4^shnN$b6} zJuvo(ER6g2HC!64W61_btgZ@v45*B=w2i#=)Kw}m&xJ>(A3PqSctQLf7j+5NhRzpv zi#JY`rJt*|JT7kY%_^sA=`FwCUW-HVtFvFMJmcpnlc>YHNyf}LI+pxh@A2yUOLDEj zYY86(BiKW_F$^x~Y3aK!11Vvblv`)+MQsJX6mPrAwUAe->AGIKCb29uVwE!j9l5?} zkmM+`k?nG`tCl=3?QJm2(OsqryRgY;ojwg=OtrL>ClQ#~;Dxi2teS4-4$8z*=yj>_ zqNVH}%#Qo(CT{WMNy;FB)%@fA0P-)zFB(Ulnse9ZJ>=}gYySHhYnFX7-}3y4Ag1$@ z%iXS8@n*;ToZV%r;NR}#{J=+4150VfgLEd)f^U+HlwWCWOEp&O{be@;t<2L2C#lA2 zI@@OmnhPww_G$q6-=T5b{i0OjRi5*I$>j&*{@QAmjdEKEqt^!qi#JddSc(@F za#V4b=}c7?`>fd-b`5w$RkV~Q5j)D@hO*p|WIb~oS0{u4_U9}kUcODxdA9l5ygV6g6@40I0yH zj`=O&9nRAfZ@jPX+Kmi+DRk$w+yYXB2ft%SU;Tzr$rTEu(4Ic@3MD095Wjsemms&|owToH7`ANrr8ErAew#%Fp zTD9lTgtT9Q;)Y5>~l3a8tBRM{}C5Ej@Ld)|^k!JnEbe0aawBmXby&kJmg_h`;rsDBlQ-WDndU z-cV7IcU-vKZ=J*;=6b&6<~T!J{e0oOo-1e;pnjjl%6TB*mN0ms9X}-*6H_=W%{6Vb z-)re&g!KPJ)m>iBWA#U`bECd-3cq>Yn5Y-=x}t+aLwMxb4jeSpmTwvD;Y$jrv9tt^Fv8E4* zzxSdiQ?(kq1j=jTjTH@9KozC-+UXE;6W`J=^w5PmqKiKfn0B|u%J?hfb}^(Qk24ZH zdKXj7cM8F)kJo;$wQ(%75|1TJ^j2}DrnvS7Jv6lR&IXO%;UCvN;{J9lbC;CYUIRcL zXS;~XF-~y;V8Wy&N+1Rw!Gtc@lYdN51OOgU*cU&KS18RgzGf)H(^zi=M{TD2KD}4W ziF_7_b|>>;$xmykPH~9sVn|I}o}+8Rzb@f=-ch)^KJ7H?^QY3C)1H9|TS4Qvg5QE^ zB!g?ZSj?~|Y8xdd@{#s8()*4<-cL>8)7AqUe1pcUVbN zHb^U>&bQ)o&1lFL$IuYRFot{CPk34JdJT158IaGXJuPLpIkamCic0iPa00O1Ks@rE zRLJ9;1e4k#1}PjmH-y3EmVOzcDbE%f!?ElXXYYMIliVf66ek?8+0A0tnNHNE_`8X} z^`a&jW-EKNc!Nbnf{D(glZtfVR+r|B$>qW^6f73}?HDwy` zPJ*k1Ra|L8xI_SJaNCEq+${tKqD6^$PnU%%gdC`*1nfA$@ zm*C$wcO}?^{9Y-=o?OVwjMExS@|i$%oe|4F;tuw+o_&*=-L9lx##s&>!aoW1n9MQK zYUMn?TKYpz7}r+=dakQ_ojaMima7U<`Wq_E)sBu0u2U$locJ3rTJm}r!&Wc;eOG6T z%+M0XR~HTGMSq5B@;{O&Ck3GMr?K2WlWx8orV(!?acx=1zzo=|OGat>CE3pTl-;Bi z%VA2{d~)fqcset%=lapgI#i^8>;(FAX;aqZY0El$qI{%On)DklTA~)ZIZ{;6?o}gm zZd}th+rpze{C7EqIt9%{QPz{!Q z#TzavvW`X~Jy4DVxROCkQ3{4pz|~x;p|%qg9WpL|QVpIVEWr-^HBg`2^D= z5qd}-#Mp$~7I8u5c!tR$tmfkWPe4`;G)%6$$rHdSoTrfLi8N)dQn+5X_x{Y547HN2 zZ=7YuBuw{?d9>tgp5>1eXFZb3vJ#KbI-)-f(fs16YFQD}9qr1Hp8febNe>tBq_1#6 zgSFpbevW6C;_@Wh*rS!*5*l>zj-~zm#>~v)!$6$Wp8`QX6Y(XfMEc`xaj z7;Pen_PjT|kboTZ3Uwbt^fSkBAMc1kGJ z;IX7D$J3Ng8Kq;f_WNtP2CeqyhlG5$@|=s9_69$6u(W%j&tnM3q&2+OA$JAP0=*o{ zoTiY+)#C5EsL1?4T<3&_ahADLF4fqsrP-Ul{EvTqKO(kqOTyA-XIC43$z=okXsqwC zmYh3>Fm7|3vRs!mHv3Ah)$%yX*Wy*oE{inP{dlG&p2*2l|BhJx1BF&NF4Hz9+K`r0yx!uvgx7yB-tVyvrewl{6 z7ibLYS=ln33&xj}VLN%8%FHCaxs|6ijNk3l2;lBor>zCba$S7z z@sUqW$4Q6|nW?@0H#?SjgF-G-LVvSGQ`Y(pIVMbA5?6G&E6;gs#abN2U3|e(&H7AA z6Ff$TYq?)EE}QP$P+6F94jD6tBN?5vCx>XY{b?S+y;Y=jYEzr%k~ok3+l6l*gIjj7 z+1GjZS6`a%LV21O@xIm&?hRP$SY}(tT&gRbtj+~_D)=EAH0OvnVsvC1d%0-2@^qpf z?=U?eZHA=vW>e1g9q3hF);5l=u;hA}*l5mXI`L!DKK$Fmq3rZ@&@i$RMYn^DHVjKr z$_TS<91yo!T6vL=52R;9e4=mQZkxTRADp+_{!qx}#1LyAW!V-`q*V*SALJ{;46XC? z%w{BqQ0$PVIbG;TM>kh z##@e0btgLVr{mh`sS0O}-{#Da>mN-9Yd*3h#0}!J&?rf&E^WjKJjD05@B~50I!;vT zIUR=M1=`k$zK`X(*_?mUNORswYQVjk+o&Y1oH(U8-xix}yA<+H0G{-7nf+|-d!WZ$ z4o&MNDL5Iy-o9#|r?AdHT47_lOkiQRGEEK$e+UOb)len`nIdy-FQlq;;}$-#`8Nq# zX1MUuqr-4~InA|`p;24U{u9=2k9D612LILG)*CfF*a} z;Q(?yjTHgvT%DV_I>EkWGp{hfL|pVuUFKRw;;jtukVh4MWlzystmYn`_wZb*!c-ch zO;OCbyrq!SPUE~g@IiZ`f{jUG)2b$A>v=3Nb|oK}zZGbt@Ss56uXJe^|t z{xb)KqJfUh;6w>$)u9z1Cpiqhkte7ZO8MDHRhC=Y@;y&^JR|;m-6=!a-G$~Y9Ady0 zag$#z1K;D;dn6*X&6U8S@JtydQfK|jd_ZVNqgt- z5EuOK2xNqZXCiuFQcpq~c+5;3DHq+YQ$@~m;p;*x4MWBhMCy6P7F=4X+DutMNBJ)X&X;gIYp#(yYWjBT?!ej zH86Je(#Cd}=m*!=w~n}Hqaxl;vwC!G(rc#E&-G5h&`@g?T~RZw&p6HyZ`j^1^{-BQuWSx?W zY;EYSS*N8W6F3Ia!V&gRpz(>~) zKF>o~A3B?ieMthfxs$~y+I}3TiNDN)u~CtC#CsUSlWI1be&%pP5_V;+rIpsw#MRYh z`8I%2^o4`t06+4*&7DSvG-mF1yJ&~Jh4IpX`%*&_v9t*OtR2t>bk zd~F%kvyG$i=EY=TcV1vaidO%XV?#Yvmz=5rjd?dOoZ#rdhe=Emla2=kA+EtMjd+}ON&Dju&ejsFD6=`mb__)=?CnnO3gCHU4PahX z;|b$rGJypSDc(_PheJgiW3Hza0QnrmfRvV%VT(%azYOOU@kY*W`G88=`l_6kz$~fd zb|1RcvqexZ;%w=GEk-+DO8E%g!W+gWOpKb`m0}BM3HRspCf+`A6U&QTU43-`&!Vk# zvz1XwA>v$+vPt3G*ug2L=Rr$+Y=>Rx$G5`qYl~w zB-GbsNlLmbg=5)p(DW9o< z>s-WnJ~Xt)#M(%A(QzFvZF$NT*1|4-nysNo(Uniwmb9m)a#N%%M}nt5Gi@#KFI8Mz zeRd!p->FQEd!+Eh6o#a;jmzVv6yqsqnduO-uRKTdlH;bNehDwKrKJa$!;*XIuH4SNM)1X%$0hg|BI8`F%P2yXd!*d5_ZC zb^4JbQ$7h>F;py$!Cs6{`tQ|wk<&ypD&S!LP)L`@z8uGK0UZrVKLNMxvLtaFpkfRE5#Dyb6U~B?e0#oq37XDO++0_t8*V zNk>`*G>*1OS4@FQT$VDL*(~mG>FFr**eh;LVj~B;xVmQ`@!MvWI1flgtu$NX!O9a! z^*KRcU1x{f;>$WE>u2d za-+yWC+oAlE$@gPwp!xz_(>VM^9-{B(9HYJMMiR|*btb?L+s~Z>(yDv7|*@T@N_`4 zI~ysmSsujGHuTNQWj>CYwoHhYHa{yuvR2_thNSh3_A3`;+&aZsfsLW)Z&}(YO>c&# zeAX=BQTCNqQ4>{pKdyFLIo-we`>`gF5#weX>NtUuWM2SKg>xAhdf9d<(smrFCD$@s z<0|5dVGZJ_P=O_(cmAK;rtCNN5#gb#Cc~-eq3ye|fnr`wo91=pR((j@nY^X6_dK4O zC9Gu@&_6@AagtG))A=;*17;ad&_-HKPXytmXS+xl_WGj{ccl3qpUD6^NUP|ReG=}@ zjjlHA+NU{J>POyod0?u2Kq0MDO98j@T_BcTZsiGAE#*uldh)!6b*L{g_$lw1J45UC zt$f4fGuisH^69|`Y1SBL@F06jE9r?!Je*)Kyp%33a%dIM zhxVUTa9x`Q{2~6_mypqcJ#YN2Lw%f!QzxjMGJL5%S<7I~@MsCqQPe@2lWgQnPqpe= z((38IBOX=KVFG)Uq(4R5Cf`c-^E{%;Gbl-p?`6zp&Td@84-TVlCil=xT2Y_e6WCpG zpmf!IQk!d2IMm!Ovv``rDI=|>3y$Z%gj)RLDtuJyfXB;jhZH)`LBPU(V0`NkB-Mxr`NzT!^Be;jWUzzLCyt-?N(dF8-2G!M+>)9;2SGkq|7U>C8h+aA=nWJeg5u;i-o*HZGhCnMe2c$afR8#7HgpSM#Uq_D~)U+;;GXZJwP z4ou}?I%zqqUWT#a!#0_W@aU2Fkl1;Z{%OYiy&I?2cj9+&M8jaeowOY2axoZ~Y2(xhMbb&@R5dzEz@>Z8{xGcf6w;U)%gNF)|| z>vJ+MDHuzxiQsBIb*f(y7R<8?VW^6APzmZz=rat3p8gtl_?=*H(s@4sJMZvGq; zpl6`%GCl2yV<)Q8n`cwHJk1uF?kaeW?y=N{zPx37v;4tjQ8`~M`zkq@pEcyNneQ3G zP!UAX`#!x8l1 zSci3z8H{8kzcNKo(*~T!h3uB(FRQtkS15LoS67CH@RD3bAHMUMM`OCvo$iq~4e-iV zy6~5@5rLirA$qIj^R!|OSuSbm)Sf+j|@IbX9$K;9LysM z>l{n?lb!2bNVtk@YS+#<%Up4k--&x zJa+1A2GT2(4UOgkuF;ao7|-EUPq#hPE=-%YcNz^g)6cQ2ff{SP#TN9}3$=MBZCs`4 zJkOcz8o;P2%^n=j-3&=@&;GYITp1u2ujhO zoB1`3_3D=%oZlWBNFbKfGEif%;nH;EX1)y}o?Gzpbwn-dB7(F9NNJ|KI=EWQXofI^ z2_fouHBX1!Dv7+oWo-6zWnW&j+yc{Rn>K*zFDZ?+7jQD897`+5&+M}A}kA3FR zlpDCn!K2G!e&;WyF_{@`^;k}68q$ zav@QJqd1NusiBazkXcM-3R9TGR90&${{Rl;APz{g?qAI3Jmewn*eSddx}D!woW@$M zIacQ|y3;-8&L#6$LVF?eh73V^@&woLq*A&q{Kyc7vLJK~^ZAa$LN9eE+qj-*JYIVv z58L|qG(Jd^`XGKy@t2?Jqj)1VVYJ87fXOK2N?!7vOI@zyBBiZ^ZOmaROIgS=ma>rb zY+x%J*vdxAQ3|L+Y4WK_4QiJBe*>D(j0Ubn z{>QULP9=sU0DQLL2IA9>hP!~>!1#?<5_UUV6bBs^J@ z)ZK(3lDrk`wk>?a6*Q4nC<%2~6#5`u;PI8)I_bCP`Dw3dki;SAXxB%KcTkhHn%m+B z?F-(e*_UhhNclXRx-4fDZ*v<*r456Vo0JXke)&wgauK8khjSBe@GFb8xA#hhaR-NL zaEnkULIHO_3enBOu3XFqEEBJ@UA)Cj%{k<4o&fgdZ`SY?L-M#=xL4n)iBpnQOiKS$72x_XhO3N6@;7A5~*-_vIJ5@s@s$^6T|DV*iHXIF*? z9(vXdV>ph5A(ofV-gKusT^vpYhr^p53^j6+XiT2qK*qS9B!tf^Toihl&nS3T+DSfl zac9!)x$Ai%&2=@H6*u8}f*aEsm#3yPA9`M~O3zG=WM&{a@1z_}sYg8;P>ae`r7C4D zYhIh#1gv8?3%rWf~m==wn09Q-zt4UPP_V z7b)^s;Siz79;|g6mw9fZOW6`&TP$WD=|N!dMbiLB$7t!w8C-L$_6>eLvVjxD+N2hz z@UlYeOZ~E$4$=d{kgarKo4{?XX0*L$8Uw`p(q7qs^|l+x>tmx_QloRdvW9NbgTUZ} zb^(r!zojc@aLIK7&r?+?VTAeY}Jhn-&mQKlJsDl-k!C;6gt(hUds-z}QIo2hQ!zr)1eJ%~X zo`oDPJtz#hz)b=-aUs2u>asuc9IkvPZC%>M{EE-6A>Caj^7IFc=K3=o|UqBzAewu|{1={J76yfXAn(m0ejHdL2iba4d$fXfATV zcDyZIOF5-jP{Y#Jqf<$$+3ez}9Xhj6^ue}?Go*)t!C+9N3@?g4FTBVah2uIO#8MaX zJ?HrFQC^LSq1SLNEu;sAA(!YYawC5dGYfB|xZ-n2+FJZTG2U05`i5@llqf|E5OgVL zFc?&+A#j3BhurB{v&L~}o!2mk?jBkwpP>TvJ4bq87;+7nK$h15aEM~0y+6{{;7E!o z=0696^5m$kdxaw(h8T^?U@&;01(U^}6yC(i#W27fNv@m3&5jmRb{-R`-C*f~k*OG0 z1>R}Ic!dRB-E5-=9e7wVF4YNXf~Ds17af^HSN?OYMKICpyz>{whZ>D4lWA|l6$ivG zY>`$Wvh?5sfv2S|ZsakC6y=$ibp3t{pYs~uDmhj;pVvaK*=+WSa6DN<)^euEcaZv4 z*`%}^TBlIV0#6%u2MJp`C6h%QDm@%BgwMqLDqE$obk|0fD<}}}9Y3;A!5KmCG}q7P zVcIxc-&w!~P5}o=4-G@E^0D}BoleeAqj_njur$f}J!dY7fTdIN2f_7bB~<}4c?wF* zcLe0CX1_{^?|c z*5l|=w{%J-f6`QXSVWXOmWlUHHi}vA+(}=N;ORljKMDs@^7vU?PiL8K!m1mh!b{?} zgI#of1!sb#Q!-iV$;WRlAmPP0`=yC=w?>3&3>NQ;prn^V{X4KtT+j5f*&*Jk!4M)< zm?eHo?34meKEsofDV9#j+u>Fdx+MuWiT6zQiF-%0*-2;q6Yq=QWRy}HM17t9I_W`T zFj(mvq3?~iwbd#L(d+!l59R=Q0_7Pl-Y?lD1;+dq$fF-y#rq;yIb5L~YO-8h&(t#9 zc*E0!X-0iK$u-g{08q?6{G%bCay-k$(v{J`3NGeTX(b{?dG4i}v=UjwM|>--f;jbf ziyqQS1kO(!rjY6io|9HI1m|})$sZFL@IwhBZCUmgD5Fp(Z zLzQQ~bXI7MQv5BhXL31CdY~8#$>>C(_{~wwAsT9u$(ByZWwJ4nG#CP*6#XM)v=Fp> zsnjM1MeYQ4F~z(jJyZ;aRJ)a5%GN)Hi(A&WSL72-AceGIhC;e- zd@rpk0BhKrInqiP49=V-_=WMAr;WR^3>5!13Rj94XyQ91;ViRG|$}=Dm4q2pS zt772s#;h0DRn5TN;1U!0D;Tb1omkoZ>FFx{L5!x8n6{Ga!Z!?}hO`=yVwJQ4k!H2D z0>bRi-`s588bBGYRZ2IXhlDkqpVJ$x0b*{0V3^BoVrA#^HhUjWavvBrF4%eSw{s$A!p|Fs0|P^c?yab_*JazYJ93> z&r_Cx49poL@l-VBYhE>x-byeJ+H~Y@>m0_v+(v0>H6lbs#kHp`+#smsBm68_dRAj5 zPeD<{g=`ipy$x?Uo}_HZPi8?EfIKc_vTygI*}-aQ1tLvuPM)eWknh=DT8+qYh2oaK zoM*YQ^cO2T>oJq3;F!t-f~EK7_OyTRl(Uvj$!Wl6yhS}}HN;sjtw5yNAgzD^XEK$( z5p`-)#IM}T@wA~Kwb+eAxrDb_9#ZL5xk4e;Ra_|4IvY5HtwLoR48ADCKZ0j03OP2V zW_`0VdYyk+#T7a3OztxAK8Uh(lkT37*_E%w+dP%5pg&ELYF&ojA;sHUPGyG{?8;WL z7P>@w_!tb{=^^@fS(VgqT-K-47Dn(M_CS) z?w$}S&5djjZ}H?(z~fYP(EmuLg`mmxX?1AEQn5DrMS1`k4E`7?`jDBJU^w1@Uxjoj zWC$lF35-&KQy4~(XgkGg`Vuvz2aXUIh_`-}rHgd;gvP%7E#BIxWDW-^)uBQNpNR`8 zpQik=f~|D1^bj%_{BgPPgXR;PwL&Krh-gv7d$dg3PJ2bnJ>yc&kEoqTu$&{_@==!D zuoA4wlWY@j>r^s|+AivHS>QFfEM?j6h_=(w(!=kGXnOAKASR0%e_IkrB09@N(O^p zmI&WO+YQI%ESE(JW1V-fIf6mRn=%W=}(6EFwzr+6EulbNnoopx|l zz*?P`q|6@TYjJ zxxQ*NH}HbA>T&GPFMPr+OqW(9aMtmivx-3KPdVu!WiV)< zHct!szjiAJ`>bu-K(!lHQp&LbpY3xa+e|z{LuT`x_)R1sYZQZKrs5m%8l;w)^vNL; zazi#!nY&mkekZBR3JqbCMg*$i;Xq4Pi?@_j-jyC^27@2wh~GjI@~F>NJ4w6->E*W^ zahPbxKFV^nxC0fp^0kI~Um2)|KTws);_YOYq8#u{X2@C^i{C&p;?>@12bI_;UI(WK z(}%umX)uIKK4&vq{N8adPiUxni-2_;Bi>4hGRNl*fFUKpoj)*aCpVqsk7u&a95(QW zbR`_f<76iAcGBR>2169-#W)7Bi?kXZSgawBnXC*{#}45%g)5pdIE4pvgHMdBf?&zx zDrpr&_(nq>V-$nBNRrQ)oXR`g$4qHeTx))hVt7@96L0div zOEi&IM3Gm_)JY?y`5?j`=sWT$<1&2e1ogD!vPHTYLfp%l(kf&hX7UsqwfQJ#JpwJ! zkVm0(C0tULOPJ2HG?Z4vkxP<>GBtRo0$wJDJV+u4o#(%5MzUeJgQ1p!UN^Fl9@b318GIlP??9MRmeiB@kPY$_rv&}U$f@G zN=GfZ=vlwYM9T3At)!L6F3jX9DDL41X%z&~@r4)V2}nB1(~sG_LQ82yQgE?3yc`IP zc~x447)LXbALu5nhDMreiN2T19=B`qC3U5h$R^C>DHwWXZTxjLbljxvq$}Zra$LkD zK8d6w%}b;e$Xa@^tF#K_(VM>*mci%hQgMRs9Gd0u$`e3yKBt1T64`^9JO#mS49Ws4 z13&0^i9~VY;f8!p;5UAuSER;5jmWNuWdjF@mR_1u8OLYrE8Pr%aFOr(C|kBLy>kF> zn|Ho0Ohf9YA|F#-S_xtH^PNMRh*^+$r3;@kf%7RVtw;$~q!q|&Cyv8hUjD!jN5;jSzkj4Bb zQtIwJ%RC;XnRN97=6+wclzW-;W&rfdag!25WVuW{no$&_kVd{*u>y<4>!4p&^DvF2 z2PnhE+aX(JBNapM&2D^7p?J;1k~@90)KGElqasCI6#6(d7#ui&E#kLEG~@wa?Rd4g z{y|9rA9J{<71ZhCZIG?NsNpRP}of%w$JHg%E z-6dFn;CJUa=Y4;{{c_i00c-E>s_N?M+ST19+%QHAIyKKYUL8tRcN?=?Y>agAWz6z^ z3;nbNtA1SPS6BK*v$4NM+5L#p`NKc_vv-#1M{l=`us_GogBo@owwgo8j|m3WrUK?- zO?I5=oT_wu{|pUM*|yl%FaTzy+aL2fuY3HwF3zn6@tGY75HAIPdMIXWS`#>`S-cEpN0(B6xp&W!}*UZ>79t9!rV_b(2LllqIg^`hD z%5~RkNrsW|LjikeLkeSguxRWPKSa&XTYeah=YqfcE=mXcl}fn5fdsk|o0S~oE>IX- zjxo+FWiY{iZ4<=?hhiK|y5EZ}eRahbn9e7q+Z+11N4a&d-#%8e2ZQ6`3xgj8hACeS zoiR00k<948JFy`ucyMtoQff#l=x&#WG)a?Oe4%IGchG61plHX)b}G&>Ljl=lmSWgj zcu!HPM|UK4w02uv$-={i8-;&B=ufgH`7~Pstv~UoikvKCoQb{32O>IoMO)d@c=C~5 zN@2^yR51(Y@`LVTpyI;E0gG0uaxqI28s)FngLEbGj3xsgk}kN(E^(HjIPBI?f_@jI zOxP^j4oEViFJwy29Mkf0CzBzPV?8`LR;QCqc;^`NN?UwyDiDi5C}4zh0pmmcRDu(r z8;D1ujv>Cm6KcAM;?dRdtaq`S_7KgUjqg$!_63T;@2it49+}G}3XC0%DnVUQQw;dv z3=I^M_v=-Lx*q~4uK7}hUCE7rf63`14o2*4s5#zpdR_M233sg#q6jPw$+T}V(#SCa zc@Z3x^3X%lwyA?dIWq&aS;{47fHiH%7w8nl`$4=XWf(~Ec56w(pgOaK(L9kFotUKA zYpk-xi)K|*i#ge^khLkl#7}*&_O}_Y1ixeDM9Ta6>5K0)gTCq5$Ds_oWNniznJmfJw zfY}TV;y^By$V?~hhtiMJ&~PVQc@S3SSh_s zb3M;>#BSD-L^n{oKygwhV5&P? zYK~)=6if`(Yufw-rl%x@&pkL3@ZJ$NhML7D8XR<{5@Q}d*yH5iMf}1a^|jHUkjJ_) zGrB1>3l@6I!x{UUN$Ktu^jy$Z8xI(Z+(Pt;niOdgce$k0w(JaDrSF5;?lPtCx-{&Y z4EU27IXc>UDf-y5{8I<8u>@l{YR#2z%vlAbmfnMK^t^&Xv^Qc)@UrC@Z9}<$G@`Xg zlRXMq>U7<_t`N;GjMwe^!cv~i)tJd&-l9Sob7Wqtr40O1FbBENC27)1q)kQ(Ehf0v z4jD@~!nKaQ9np{z41Wuq<(5b@`zxm#L}yB)%+T5;2}pEL>ad&d31i66$~c4oFUL$M zA*Bn&k}Z=!$NKf>OxzPCWOpkMT{T5rl6CuS=HD(Kvda+GWlHTsxu$I_^et%sNMri_ z+W@3M`aRj-rUAhjH~ZX6a$5Ze!)DV(hmZZLHf|u5@Ov&8K})hiYTmER5+(98Fxj+$ zA@>h)C=Rbg8p|U?x!4~-BhW@$$n=%t;Qy)q;c};{=Lqpiz=D-R!$z5mU%TjZym>Yw zznaFU9ac$e=7N2QvXL_*oKC#g@wTiF?OfR&jG6CzWGZhZpF-Ktw86;()Dz4~`710O zuyQ{?Z&B^pjIzhHH0d?#zOI7wGMVrX>vV#XY7%j%MG!nJ3z;BAQj#TV5lb_9hJ*fg zY9WMEsF+B-zGD6~p6$oAv%eJh3Xelm- z-NJyBX3h7gGBakP#Q_GMYPgR#>&*7G`f8~h>Um5!^wMxcYFS8$Fif1HPi_pK;~3Nj zryx`s;ddF&kynuZIA3N1RPn%6i#K;v@yjupe-iiAINgSv*ES!&p`D5-*}%(av8tC? zd}nw6gWtCrc>@u5tJo$4qVs`$Y}tBqPZm{SdWqu{`kTc7z zIrKqUe4>=~o!g7_4NU^1*Zdfs zLN7*vN+3sVLyhtS+qvFMfz80Tqi|}L+x&e#f07c)b(}c;m<5v4lzuZqaHMH#?VHcQ zlGrNlDCCOQ?D{w)j)GoQd;F%pjKlmb)! zZrK(Zx+6wUWE=)IOIuL{_UmHg09`6Cl=MsSMi1-M5xDf`vL_@^g(c z>yZtITd{l6N+v<#X$Gl|!saNrmM&yYUQ5DCO5B#|b+iF?q`Ndg*Kl_HhU&;}zjyOo z=ECSrX##GRwc9g@Xxl!v{Ls`)>g`i&^NaQ8v%Fd{KF|&)X zB*$oiVe+A+N|yinv#*?2Z>5ye=!rQ)_ZgL?yUUE`?UuF0NEe70^ej=yiOxN#tBpY2EF9h_;KDS{DH6>E& zf9-1>PW?pC?1I#vejSJc3#~%gx08aD$<-S-?^eE4$Lu91>-caKT{5>tzVHX&5wfM4 zQu0%yGsZ-RD_q9Dlp@}7Z8`3F4cPF^GBqV`S{s_dJRb-?C&OC1b!HUtKXBR?E6qOZ zx5&5dNpXlurQs8e0Yg*g+K|=-81p{LRClTUVfun1*_+OUF-k}C(nVPM3!)GZ!<;SF z%)zl~)KDpQU0^A5YH=<`?tjj0#7WbeHg%=%c;|q0N~bsBAl&q8>-J=p)uP%yN!)@ zV{XJzLVq(&dyBCE22v_yh(M5-GD4gr}X*XYz>iHh>%bC;- zDdgtSInhN{FgWL%;aW^Vg#$lSB}y|yuiIJ%%*zm_+EBC*{+U=%$OZ!kphbKXEEuyc zLOygctE#S>Hc6ok<#DIg5{D`s%FbE_@f54CQR-l7Mn27Yt<*qMQX{_u_A=qX!k5e zZw4j&J7%mN?3FP04{}lHMf{9*`~~hOV%ZZM;$nR$y1@@`97f5Zzqh>=|JB*9esLFqni3kSN$6P>Gi?WNq z2LUMV)lq7S#^7532t&~|0;2ha0E896ziqn9xSmjHN2XNf2+8agCD7|67RL6f&LszYYrBBd@s2!rx ztu=N(v24kWmnga>??0azZLtVOJAgrZjdi#X;~^Az$wY zE3P3@^Y{-)e|MUCG< zZ0yzA>nTF$pt{4AL;SzX&o?zTC=}c%98a<|AL#mH7grn#l%4?#iB$nc6aj;9r&(UV>a3;8TA|^p-EFKs`@Lh%a@zDR#{@ms(>Xrrozk zAjo%yhT@3!_a*3#z$2u2bvmJah~1n8{Yxcaf$PJ#BYHi`q7LG;Al|+P2i{NlY~_Lx zrhvngX*}4=im_JA<6;dQUyib^UqU|vBbL5mhqG}>&*9=(YMeNu40?3GUWD)aJt!mK zrS9yDKee&rJ{lW7=(>#n)Lt@~_@+yBfkR#?F%hmwV8PW6_pZ$+UVdB|f9%0jj;95vIjLbmHH| z(-sxX(8*4}G;A%+5@vC^EA#hHuh5nMAfLAx#=LNPPx)*St?KlGU9G7_f57$4G@Rr| z--sGhrHl>uZ~U1z%%epmKRb&>WT5Cu@yo6E$3Q$LG5$8f)XkAGV;!tc%4V z%hN#_uvaHB)^tFX*JZZ^n#bQGUh_9m5X0up;8Ef}muMUJecg^hWk|{BG-!?yYFi#l zBSbX)?1qD!(ubccToCRF5%Sb7h95lsO1i4{&Unh<8jAaIA$vBV%7=q$-(O#}>ct)f z{^ewluCJ=5nUjOTcuupD?HMGvOEH!run`eLmmn94IP8STQaKHR1c zxlPz<7wcr?Q#VhjZf9^;hb$0xrNGzRB+6eF=&($N*vS2gENs~}0gKOk_PTq<*Zq=Z z%A#`ay;eh|x`c@^Og9Um#fd0vp1Cn-iIOXxDbE%z5Tf+8gZr5@-4yZn>@rR6xsB&C z0nG1UvzKD|meOyouT1DL_Zz$Kn2&D{{+t|8A^NtlLjsjeBBD))p>&DgPuMgLZHe0%M|gQ}BITrK@zY!V+?$%tPj* zdOY~6R&#Yw1kYc6@?UMf4S$@5>e}TWpCi%~_Er+@>gx_!HyV4geUrqUU!xF6A!vrL zfBXuIl`cwzI%+h=FmElvF*3Z?&4FEphiWa3tRZ=G)%iXNC-7Ln?ZzL|B|ek|6Sfzq zC=tLWy$kky@CDRTpiqYy^=9u9Fi}}ytC^!0)A{NgAX^a%`|8pGNqxR_6@|^dhYX;` zP7DqbPT%|5@GKkK`K0w;ZWPsLwMUL)%yZsC-J-E^X04SB9t-YX@T^kQ*UH>rhV*y_ z-O@(z7ilk=Lb}qJscYpXYd9R2-}8PAbviy^#?nSZuRLdB`QB@dI4A6aBlrNi2aEID zWh!@%A_L(D^E$Z1G!ZiEvm>gM<^GJJ57BD&^BHkG4kW$t{+cBZ6sT_MKqa|#_T-SK zwK7>xIt!Z@wdS=^uaQEWOF>0(JHX6zqc@G6x<6JT1k#_)DP0CQ&DX$-zdsqby-4qk z@GZvg^)o66Jtj<4(Bdg7>ze+qK$*<=E-I+RnP&7*e4a;@$tOo_kh^Y1wKw0*B?Kh( z&iHYOJ<6tSiGLW(q7YU{zoW%?NRubXZl_$rk@=fcN|DsV?f|y2lMD^UhZldx|M6|1 zatwo4^`a6reE2a@Vo}ETB~i{Sxg(?YZx>m^BBI4R`&$)D%nr7*bT;#Ji7S%wjO%48 zsx7trOrO)toAb-MO}^F3HK909qA4-9z4EuVcB;AAVG06OxGGTcx4mfy{KN1|Rq`Rz zl&7a3r2Es<$5WphRHQaP*x1g&83x=qr`to=(JXydv(8C|b z+`w^*s#UHyL>UovwU@I<)ThZj2;9h29xJCgb!p5?FqWm98^Okx`Z_T79Bv)y?;?gr&iX`HLOfIk2O5{(wh~& z%d0{6B+Kkjwq>|6!8Px{U#tw&>EStvH}x}72E2xCH&xS`tr2iyerMLzHtP7uF-xM% zR12tutoIz7CW{mmKO8KUUPjK0ZJ}dX6I=F?ZywuOzSIVWsu`yk8u0Lv+g`> zEiciDww_x5uolfFR`o6)GL&5i3({a}QBv@#TWV?`;o2Ida?n&@H{)lvJS^NBexQS4 zlDSV}wqakom`Or(N0!t$ytF3$lNs(n42FfL9KnRTc9v{`LnfpSMqRNKzo#(gD6;K< zYa*gHj^ze^utzfWGjt~>2L&rZ|9GcTk2z;Z4{28mCL{k)qOFw)*;*3QZ9WK5BPn?E zGVyvr-1%LpOYDSeHjA=7+1ySHfmKR@D(!Ju zLc7KA&s*G6jN8S#rIJTY3=XiZJ)6tx548TGw(TK~YIHBJ6w6C7;R~F??L@$n$PM%g zH%Ok}jIgh>pz5{+ctj2^*q3&N8G7Epde9^g6T+h$BoPWyD9#dV7*|l26W^4CmbC#Sykv%N4ve-eRtmPiosJV(Y z?)Ewo2`ArMU#Fc<@YBHbPVZ8g&}r-}=@?#1{#)c;+gsMUw-uS47?}M(bsn8fAaSq# zTDfePuMO8lNLJA%kRa+2QgrG#eIi_|d=iO{dCZ)BQ?1lwI z@^1#c;8z!xsKr1ZY#x9ykqGZv&5pPi8=VE1Cm*!*Kq9V3g{6mU7V~}8hiVS(yN2sKSzV3iE!!@gy*#bX6%#~d7T;dj7}YOS|@(c zzn*ipENVf555T|+1o`QTqEFtFj{0w*t7LM-r6=MJczEy`KRa3reJM1{M1qK69wTC@ zj=Z5R|D_DJkcKg`B745eD1i3ruz+1}NU!sxo)RjBEVg@Wh|uYE2jP@a+mVZsYOvd4 z2v7*ddvjAUa5;r{uBZo#x?J8IJBZ4W`(N=1*(70X(8R3rrAnvl#CB(31h@+ju?iAp zzEzl>@t%gr$>Zi4mXH1r-u%-XkIQZPJv6g-(fm=goIBLWXQ(esja|(k(;PL60|g}~ z$z?&Z*%V&fQy+Kg&!Jhr*@=nsmz?1_aCMmfUD76s<$KE1j4lMvR(s^r70RM_B_sv0 z@?H)%-Y*O`LM)rjIN{e%LL0(HIrvzrs}>*M_WQyeQ;_wIRC(u)++V-5r7Gq>+tcS7_%C^uH#$Dxi426fvKm`CZo zA46?@xlx_k2JJppxQ-lSj&d)w-y~*Y6`ESQXk+lk<9b(X>Iv-wM}PuNQ5S41oi+M+ z*3Wi)Sr00=F2tbpCdgZS+H$FPe-nJfn8z27%&Ns$np-1E@9<~`4<}H=@8)LdGD}Iw6 zR;0O>()AhzQelkQS1r=ONUAZeIp;zH)B-*r&XTmagpMnZ(ZJNh(phX1Dq1lV0%L$< zf^cP=D;H9926Fe6iLgN(KIb1n#KXO137VA5FW_%mM|SsVqy??GcJJQ=c*VT${NnBNtu})pTsu--P(=m&LDQ>WaUu%f$?ir|?Njw+CYJHd`zVcG{-H|d# z0>_U(Q0ZSbE?yDrP}f2<*NtNffAt{@5Jo3dM02#92mF1@Yo98u*gH44Kfj<+>Y0!w zT%eN8XMLR@Mry$gU;kA$w^dHIf~Zh?o$YZ6U2A4nJE&EC&SV{ntYtDuS?s6lv@)5p ziAR#PJ{%7|N7@6o(5He4xn_onfx_&*6obiRv1a-P#r43_Hs##*FB8X-L7*jDdjv?0 zpIf{>uUb|6HrG*_T>YfXXCkZlyne==OS=v3A$^_RMbh8l);~p%4d&eGPoWSV{Jid0 zs4=nQ#S8|h=(XmFf4g~^yd;`5j;c6zBf6fjkBAW3?&)`&tNYwloM$GO4(-IF&;i)G z>Zdp!CgMxJ>O9~poTrf8JYN_nDvBoRZduYHxvH?X3tqeys7dq|8tTK*F-6Z0YZAg{ z#IYgR?mD=22x(nW%>4=;IDH=k`aQLP*}#}M&oJn=OqZ{|?jv?MUOZ$t6Ro_Be?d#l zTkjJVvt~V{doMMVoXN{@QEcj$JZ-3}6F}|_+P(Ww9lSO*^kDAv{J|dsfsshxzSv!B z#0AHR!HdD;vauXfeP-}rB}%_k#V`b_Rj*?EYg+thx?)IZiMQD19M`e1cIsMG`gmC3 zj^3`-ZlCc~sLYtmMiMocF23I-i`NgL9aemqEN?akUiuL*PuIBdD09mub0f*s~|dm?iN99m!5`dfG6IDKdm!6C#2GraL`1 z_MqLTOI)BlgfL9A&|$?BMN(*GGXs$~SSaQnd8d0Jv${f9b972l77LU3+|56^ETXik zDr>#r#S;fza6Yt$`qZ2pmr{%eV{_=JK5qyR7FvH#VkxAbXRNior!NklhY8iry_g4K z`p~wi&H^PJ$gg)^#F`i@u*j-D$1|@KG%lukaaoB?2~=A8+svW_7bT1zhoi^UWqd5R z|LAJ={qI`=Px>9a3aFv}>XrUOyMTPIq*dYQCT8VhhZTdy9YgMz9iNIWa{xKkfK_alxVbH^pqw446&fiav)I zI@B2si%u~Rprne?ueo_f`ii>QP``y4DDjW446%*D2)U;hK+yO$99xg4ACS$%QjGHIN_$!iNeI zv~>E}XB(8uVwi^wWc34p@?c$JYz-pyPDAx#nE4RP@5edb(ZyUQgW?P(x!{0*F*&p7o66gnP!X3iObeiQaJc}O-n8JDoB6Wiw8lPksWN}_|(C)|*O zbjT4_rLh1@vp8jI{VK8Rz_D^Mlf`vu2+>!87uUN;nO+!LJO=+}Lt>4sQu&p)9OFlc zs@ga3w19I`iT$p5JHuU53c_KptqnvBsxs)~?wF6s!25JZ6*f3c6U0@_tk0stvd<24 z3Vh2iC6av8KNIrhr%+hf7z~W0;#+$Z_pShFWpE9g{U8 zrp#K_-Mf|(ALYC1_m@c}UBnNUAmY_=W-r}boMTv1`^2udtS~cQ*0N`^v;9TUl`bq^ zSU#a!nuUv{8w)K-e4YB8)Qx~2p(qY&{_`j0LY5l6u8SQNB#GDP{|8qP~%(%XtC*3%^ z@SgV4gaR)otlXzVj;@B~}*0?KC#%yO8<03Q!$208(u;OtzGk!nGC@6ab# zz8{s*QA;#H+7f*w>6Kb3N$kuft+4hrD6dwr$`-0!;lJqS^}KO-{R zvIQ!8yy)yO?H(#R0c53o6Cahi&G8Miv9y^P*r=q1$|U0))zy(s z%-8~hFLF#mX#hWz>8+*Be++_Cf?|nGE4U1wjGvw4^D74!d8c(N23woh zXQ6zChSa{{|Kxxc7PPoJghIm+A*)~>pJ$;8c2|B7E%4Yy%wc|+N=E0ZlO@%P0hUpO!|{jXma@M|(Zvi}t^JV|1%22+m%yGv@9ISpr!ER$BFcTBCn zhR!s&;j%VUo)u264&!0O6j)8 ztxAphL=iBTF#f^f_U2I6g$sDUT&QX>?H$gs!_m*706Z`e zw5(jm;Es$b)Q4fZSvwXe1t;Q*YzGe*YXaOi6JY-5)`arGoODz*BfPNB5TuS_)i9x*%N5RYuS*m-~-5U+MqaW`1QcGV6e4wB%tR50Kto46qjJ*Q4Xg2 z902RPitaR)5&eLw%>ejx{lhZ3W&IyG`a#}8XVl4#f78CDZ372r1Sr3*?(2ZpBlVf& za*3vhb-*n;K#V2-H67sgV9ds-9DFdb2zXJzi|g9f0M|J1fX6C9Uf|+C^{tc=B`E$5 zxHl4aW$TYcg%7~`HxsV_M+giYcZ;NdjmaY`h|2Ndmzi2!_c*)MR0l<~ce>8Od z#pT6EVF17c@L4X8VW2Y9WPty24JN^1e4nSCM~4eVlHoriyXOoQbg{fS z`Q5hrka|3XvzMCLw(mUlyKNj~QFVYpH5-YlAN8pU@SZ|-k3svSifS{QG)Z1ml?tLa z#A=ZW`B+?FPeSsIFnus#>$=d&^?khU5YOc6{~Babo>J{jFK6qAn_ogbqcT9FQD~pI zt(06}X>=iYAkDA{yy9+!=uip-ehR=B711n2_dD2jvcdWDp_`!dy`d#$f^`8xX*Gd} z1>~SZ%wcrm0Ar?6KTC4*Yvf*e zS!_4RAI_?LUEka4(a;m8HmZI&fqJQ!SlNN1N;{`LSk+AHxyJs|y12 zKP$c*eChtPcMTvaQpmzCMc5{)58CzqnsPDVUiL}kek!K@Pp*sW217(~>&O*ooNaCF zqNJBVYW-j$fOx1EU<`00PCxfupOi!_cJYsy{fA1sVYxCl)5P8R>~g569SSSgpk1m)7813SmuU3CgsA?dftAs6tf|-4sj*qSYF?g zJ>&2QMo%=ePeb&H{6xuxVbXDkg)?%%*k)3dwdn*xF{X8b(B-}RXf$(pOKSw$bTTdS zSyk3A;mq)R8Cypp9vgc0+r!8tj0{7sJ;?>$anlqss6a`GxZHl!$Y%(Ma-N-|-TGfw zMwf{hX;vqz|Kx8Srjz0bkBc<7TwL4NcDEgP8PZD!(FZdthr3cENQ7W)nQ5BH^{$mO zGft%omr1c|n!+tf8-2}8AHtWqA~eGv)ow4EXvrF@%x4942>J5=5Q#>s+l*h_0r<%YB3Y^GM3ENMusVh*T=nKH2v<(sF%%4HG|oUPaqJ(X);7- zQq-_!8h9;CPOPOGtVgYNE2OGzK%+MA%Vc~^u?d(&p0DHvd&|hDF+y0 zC;i-$y7rP$NrBKHi^^1EfP8OI{ga=R*aI{vqlg@a)v8hMY> z3K5AITDmhqsT9IzXDqMHQdb*nth|6h6O+D4N`cVurOf3#@mQ#wK}=c)$SXFM)HeCj~)GJHCeGlKW3^Z*0=5Gbk;g_hSvBZZ(+8zCp` zugxu3_^zf#UXtBQH4;agS0L~eFDFPKkfL?aC{MiT=aOQU@c#HDx%voSutZ2sA8^Qm z-@1PO(GV@Qqde|v3qUf`l|d3;xQK%QOx+K=uqRkW#eV^mx>vxTb^ZDX* zQ_`)(Bw~`Y;7hIqP5^GG$fYr`s>}h&n@EBI4;l|2$pr#6Jt+jfg_rE^AU=2cOp+(+ za9V-oTrq6zfp%=kb&EBfNq<1e=y~GSLCJY|jLz3=HJ1gYm zLZ8vMbAX|1q3ZeyNBv=N`b2=(g*Wv|C$$qqLJ~@)5pmrZ*0lM{Zn&XMvj2d=5%#?4 z2EZk4&pIwWJ>*6!aB+pmUDfQ$&Kke-`AO(sxlDidRqHCC#0ucFXto4`%9|Q~W`RIV zuOFRdoz%Xh|A3a_G<_r3{N*Cw)~1diqN<5n**oMQp3m4qwR9onsaWrr-U%!FU=XEh zQumTu;eE*yaz&z4UPH=5%fSjTtModl1|%GgmP567UI-AsNeL8n|JqkN`CUf!^k8hz z7xQ_zfor*Y5~71CA2e^aTaT5;*dnpSPSeT~v1qu{&%G|K690o~WU>|SS#<5*Vf!GQ zDXh2axC*@deG+28@enj`(eA*4{!{)f%L>HF%ljUo!^+ z9K&>-hGP8=+esW6+3P%19}bSk{I*61w5Pn%O7j<-yknqkFwj=aCve^}$$^-5La4cf zs(7t-(+7m}{2|-Fg`s&%&RWycLDN=`zPUucC~Uv$nA6WEbd{u$N_MwKSUeT$*(BR9 zT|>*LTdn)JMs>MsQo}%1FmT>#iYFnr497qye&+rC7kbWak((NaeQ7OCSwCXKY{=q~ z6GvZ2_wk?QW&b;Fc?qpZD(-mFjIP2>vKMBDX>Eq)Jh`LMbHehG8+TX9QvCzJ` zEFl8Y=O7i&beV>OBl;?z?&DgHWrKe$?KC^9m$CkG3gt73G2lq^7rBq_Nx}al@zC77 zq_sNNaeYtC!!Ga*sW`;qJKi6p`JncfE8-5Mi~*lX9CF$FYz@BT!OdUgFAZ(|Vv`!G zVDT=yI*w(205optxulH4*p@C&RJ&*KAA2uVA5$U`zLtTj&;og@FpLM~p{%8$jwJLs3MM`Zf}$08l5ym*A? zV{_hck?-)k@!i+%#naiS@iX6W_wl7PPfBkm4~Ci3HM*wLhV0w&8Jo*UnZ=|02C_3c zW#f+~@AbQCk$kV`&K?IdyZ<%0ZrfTCpw42s;zuj#w&ACeH9GDRjifuqJ9C&!*49+( z!b-n%y0XSI7`1TM3A44O5!d0pH>Hw=RFUIxRxug1y6AA;Zw=YHdfKWzJAhrOlUF$u z9Nd`Oy5g8{{mA2?Lq`KFD7#mf{ugLb?PVN3RG>Qgmm5u2YON00@}lh2m5zFMlw@e% zY^FF6f}>_TW(qq%W~j02Rs#^TN@#)Mn0kOrbfYrS6)n3J)UuL?gOeb`AK11se}WQM z0r3W!T?^`hzuN5*gG_u9sEWVg=%$J(njId<)3t2>_ufNV3mjzMgFs+sjzT+g_g+F) zwX|&DGicz1qTN+F2CePQ4XU!k(63c&gF&1ckVk_G9%obVXnuDh)ok$Zli{eQJ}O1~ z&?@QWz(Stg^`o}w7hY6LbuppHJv=5-k}Rs?Iag!5u`QT_Q4_5Mg5C$e|Ip$|0%+?t zA6CZju4X$Fo_mvSoZ_eMXenTNNhAw#%ST~msxFqN&#S(|r`mn%(;i`)^*A$p775v<>Na*)HYC+;m7qGIWi z|29?~Ss@F$<=fW=d_^UK{4hv9Esll(b|o8|c7QQdl0@Qab8ZAeG#`!-p`o9Oii>Leb#50OM#Zy80rAA;)WA&ezuno%NZ6%lgVa~Wc z80k3pC}#^26zET&l4Z!g0b#6ZsyCk^dP`~*QOTBgM4W4TPN{`Epomn#8LA2^PQI2Y z`JCAp_>><&w?x}IFugM7GIQ3d5kJ%e&~)Lx@0-Lr-DJfc1njUGI8%pQE!o2eM$9o? zQc*_C;n`BXMl6>a421%MzR}>m%{lg^jIg?7$4bFAaErH11_m*A&>>7b1kW!bpO)GK zY_oSu9WWD8yVMKVf(HNX*;8=*Y~s~{Jq&bCFX>b#gj*-P_wfC>8~Bg^%flk?GdHz> zv1~lm|Jy&Rbv9c~!1hTt-c}lGbdp>KSQ^p5zUbdyOh72(p~MPVz*{gNg;cUbfHcHY z(Ifodc#&)1`bzbOO>0L@>wunb7oO4S@kl(AvdBH>x1jvDiQuBS2QLAqD@3vj0=863 z+YS6F*LV>O6CG?0hJiReuKQ$e!KwG~N za$H8Xj^eRP?dMU+y zvd4sd4${@{ly~y31L2nc$cVE@h1?xjH2xsT6Hmg_7=L=?vT{an(RGWi@Qop>R$K+u zrSwu~j0Ngf-U*7tpy^GXuvHvQXRd;YpI!@I==r}ZveoE+dSqCxVQ;ACaQiW+KN!t4 zyxFRMiO+cU`X1~$HdBlWY-`Isfe%{R?0FLR+q6952>=JG8y6gP*V; zrf2&Ne}!3-PM4DQ-=mceehsk#n|`i*KnB!og{K2QwZOYWy`^*dm|fq|t6Hcb7OW@&^<&w5a?Kord5w@(e~ZIy%ThU)9j zw^t7+8&Bc-n_G`R^0lE9XBtSyoOwm_<-PKgxut|DpkiHz=WC~H9G&M6kG^n;Sb}l4L&kYCj6?lx+|@I zM`|&Q8+|Z3S2l|2YJoZJna&RCC+axk=N15Bw7m%&OYU7hCM+Z=E+)c$RlR6bSiMg` zYPWpTe_r|D$bRof=qh9aiPUd4T8jOWXs%F{ooUA#QY6~?jq5Gmw+*X@z+CKX4+%3y zZ{TkHJA~X(Cz1gpiTOU%=zKh|T95#nBr8$g>-T|LT0O zdP*54nA%$C{H`xQ&M~V_&oopU7_Wi@q6;Vvn$ z+I>Ys{pg)M_>B`s;At#m^E#K&jtPZeQ(RIhi!&zu~@3iEg&s~&w zdj)DPfWEiF=I@_;{Jk4Eqtlnpmo;sp7wm0YF6$~!ci7eM8j7Y|*O5d!TU~RgVSL6l z7K5h1AVv>}keX^COpar8JG!+46^`;*mD8%qMNI3jb|#iQe2M@)Q3B8>h_7bj8CezK zoBV7sfUbq!;$ga->Z0C6S;`qc6EmhUIm)vQ>ZXoFa*(D{?OJhF^c`@~uRrZvg1b@L zsy^s|QY8NVswCeq$86G*$rrb;BU0sy^7gMX;(8^Qru@R03YG}JZ*Hzv{xAr6`q)E zXTQZY{_NAmoQ>ywPd)*ze@4h`z$!A!4*$wCa)~UgDceIiweBFey3Zd}NS!!h@r$G0 zXV*n_>}kpuIA=r0HEHT6&wyPRlkM84MPA=!PLsQG%XAG!DKO+O(1ohCUL~r%8>4=#mYsrv*PXxU$$gO zfvwg$^4njXXuxrm*xsem_cq1WQ6wC%7qW9xSDZviWm4wNMbgc}Nj!B*IX98)*oBuO zwIc-;*oEfS?Jx&uOwPjpC5dD#2=11whZ^8v^Q^}uSNPXSa=HZy#H*%O(cAd;@jeiV zU$Mnxgflg0c@-tRJ%_MCW=!J{h!jL8F2zEY4EY3p;;PbiwRSUI^v?9GH8@r#*QkP4 zMteU`=G<$P7pr{bOeG)@3%4X&fedt&i%7avj{_EtDjHzCQOWWH_E|jDt}$To#U}!$ z(!Uqc^=kCYO7q0h%6roX->7AWhIfi_%f{kUV@SxFvzE~wIr}I5H~)5M%iF!hm8J6v zi$VTP_9%4v|`L0@ks15+=_x7-4WS z<^XrQt`sw3wVr;AIjp3pjlk>#BJs-UaZ?pZ+HY6rkFuznxzy01oqvc{%xL&(hdb_8 z5Q3@eg}2uNE6SmW+=JwJM9&78@JAa)RpCU~!zb7H7El6u?R%R8(pTl(7G*mbKU!t^ zFJc7a&#qftI0n{wGXz#iZdGGw)EO1_3@%8&vpf0j6L&~%HfHquU%n?`$vw-h#~U>0 zS_#rfg-qlf+IJr#pR{C$Ak`1=a)-KrN&Fp6FI@?Vb_J&U5C_%J9qBsVaJD0Rc5LwN zu-*E&@w302dRTb6odx19eqS+E=W4jx)|^vGtMh6c(8?Mn!<4fG6XG>c6x5sCs)pVx zWqF_Pb7VdJ4*)#3zDwc0B?ytcd&S!(j$Cu~uz1?p{2SK@v|P#6#-Quex{fuGL@F;; zT!ZjdAX|n=-LPvrR142%JjuiI)P7!lU=U@0EwFl+pWbXaf!Luik4l7&kd!2CM(&C4 zTjVv=)v;tIz~=1>Z5vNj3>diu#-NXNPBn zu8)Ytb0>*^H_)=e|F?l`4IvKim`Vu?qrcs_>l%_I}PoiI5bT;YEkPY>U zPYOpfP)F!pqNwj3?0OW#{$pkp%Sg@g81MfX%>nMsspTMv`LNNB4U}iS*^jDEYh}rP3=aodI*1 z1ny?Bq~!jK(N>I+HU*G%_a7zLhR(%)aW18$aU4x*pG+PMl>Co|vOJq363l zB8)t{ub;tgIb+!+pgyORy`OhAGeO1zUc~fPRuGLA`nZ9ii))jCNO} zo$Er0_vu2F@#QmEn;X zms|hYIfQfEWic!o$p5Ab7NR{LGq~zRet6eg*-)(X1J5)}>b54rLY`eLAiaCf($>xRGXeZ1 zgXB}~x5)OEP-r{(Kxx6MYoEqzmuAQO@{R`;bu;F(Z3tz5rc|DFx-MIvA%n9DMw=0| z#9Fb-+)P9)>>3vy= zo@q;>nkI_}!a`NoVuQM@P{0$n8s>N&iIUgeI8w>L;A`^@Z0g0c3&1f#pQ-t66K zs*`1OkTt!RbfnI!f%VvD2&@5|$t1ZDokm=xxA9Zl{y2CufPvA1$#8G>b`KF2M=TfQ z2(2-9b>XO5cHUH(UKMpS=HWHufRX7%aqYXqD}#DH z`3%)F+K2KDP?>E8*AJEZ4mOh)4)FWzm;7ep$%G?|d{$q_@72G){gnuhD?t~*I2ge) z5IdT3sdIA_fK6^IYp6)T&{$6g9dQR-<~rE)W;Ji~K~hdx+# z3mntAIk$0R#dbWG62xLIswC;^uLY9e>G>;pYxlj|8kacxwlw)8-U#u()+FE4vVpLq zowKMV3AEkqx{zY|3!w(QtGd<8u_n{X)$NgCremH6p`$-=)cPg|5=)DMdYM$d`shJc z5kc_)_PKSZh`K?oU`&aw@a#uCTe~`)Q{Jt-B%q1o`nCCmu+@96rW*h?NeqlZDSVFT zhq9jkd&+LoIvze+W}^vpn^%Z?x)>cGqRID*SQHF11~JVZ~=<*%NMQ!i^n#a^+B-L);@@)Gb1zB8Rhr z8Fl)5Yp(6iA$=|eVu%{?0;1oRw+c7fhr&}=-#JIh`=CyIrz*}Wv(PiSD(gi1y9 z*4b)otxf+P`Z5ptR@HCOiCzn}cN#i{(^u^-V5@&{D10OpuJjg5U>~S)Wu|c z5lThG3@XZ$h~Ik6#rgYZo7^OQNXEnXAJ)!71|Cq%e&mo0*FksnccZg!ov*Tg%9;Ai z?qK8wt0bQ7a<7y<9Vd%bLvOgrLa4(^+*vqPW6k4;j5>T+i~RYTa|T`O@4K#HiMP+r zpTVxtI=od7OV6Av-af74wVAg~xr2PYl_Z_qv%y3Oyi;zcLn&pF?y_Ctg6^A=lEayz zBn=RI+DP6&g4837^w+)#?9}T?8~;yuh90FAuY+hfjF1-HkwBtH3&KlGeq}7y{qoL=S2JO%X^Ggoh zl8}CQzIgHgs$Z{eFu4SNYMKuIYt0_&>ogl94A3Nje-h_?G8B9kjjFW#S-s^ot0zRz zGdO|MB4_8_op;aYf!=gL958~lMV#D7^w-#DJ*03Vqb4u*@Ajqn0>hYUqGpRoV#9_q zyhPR|lVgKrT!@i3b9sZb)6NL=PgqTi&_sHx`&n!pZI*Z(&_C_8$cb&Wh;qc3073Fj z@)3j@BV?Zv(=c0aByYv{HKf1PO(FS8>$;cKXL5NP>)0Ur;ZEHQ>PEU#P{nf;1E+>Q z+xG<|PTZPP^Lw0o#4A=560q@vyH?Q?FdSKIh2a{$XcHkO7DJ-R!H+=e^zk<)DPyYSdV1!0UC z!$Gg3kMDAvo+h9JfEswK(wzV_i>RMef{NG4A1ghppE|4l+OtI0?+vQm#9yNOGm+YZ z>J7b8Nac{_H(rb8}6vVUuN!-OO$L^`rB z-m`<2*k$PD7&?n%_J$V5B3-vDEWvKPDGiLw1m)$x2QMr&;)3mJ1h7gy&WsWfM2vs zU88;3H<&IgBTow6Fr%bTF|Sf(JckPQ!DWwRhg5m6x2~tYb{4>Px@fK3TtB2s7-=JI zb7H`B*E0^Qvc9N&=?J2EL%u3ZN0dz<8d;CVE7W;&xoLo&kGiH#Jd@lA0x#hN4D+6Z zPfKam2XDByjhdY0ti8T?@U!2ih%%N)BNL0PSSC0^+kL zE4GxA8e3eSv+Dy|ZwJ?7#?96Q_ujIzPXyV7@UJZ&M=nGHHvFLg+s0MbFJNr~DsH7` zbHc6pKsUFR!WU3KKbd04UV_~L=6fZ?nwnsoD*?u7Cu^3|NFXnBfhj5W8Y zQrK3FL>}jo3C+Hf_xsV;iWsNF_vO-s;kxMSg z<+KC!#NdvVqbCqxXw_CBUwlpDFz;K9{1VHkj5INM^PH6x3f ztLW%%rKRjCuP--e>yN>Jcc}|E`*U=|6Xw{)Q=c~L*D5;}HE7c77(Ai? z9={>y%}|P+zsjQp`Vnqgb&{k3ylA`8lv;dgY*9rNoLe$%6?QhsElVh-*;_gR#CN=p&Ar1xh8g_0M)UsKJHCgA+nZruJ2PYf?-6@;Bqcmg6%eYAA7H@i4p`!9K zUT+~pW0#%h_;u5Vck;N0ifj0Ecb$0}_V%BCV+Lq6$w-N~K4>`Tio2!>eFeXV99#HJ zgk%nIl;mN<=avSRRN4uoI7*E{6s0{z@1o?!UUxI1@j1xi_p|NNGt3QNw46h}-+j)b zRV@;a_2?m8<5?Yub-&MeS=U>4+QHat0SO_+&aRt64I7s~9nD;) zhw<+C;~|wI`prI}nUD5+@pG2_JiG>(%oY5zt7F(g#umWCM57Z)j!_RvujApKaLP(J ze=h+z@lL{6XWqenJBq%n=Ubbosi8pDUqhP%F{`2`d5_1US$HQ8VLSm3!-8bwM<-@WrEoWTm3GF!5whK7wI4beli(o99NSLs?EOT z^u=gvIziaQ>Ds`qVCkMnmRRiM6ID>tbLBC61e&-wBIi+?vXlSBO)4>qEVIJ$Q1Qtq zvP%zRdn2({q^tr(@J|rhIzmIB7wt-C@=JfPn8im&V>~p|Z5TJ|y}d@w(gKe{*84m` zdpAIjOAx@(28|%fSBi5x(q6xR7sm`zLzs^;FY?jrD?$X77qP3Nyvdf%p7y09Jacv& zAxxb4uS8zo71Kuh8hPkqUtYlbZxq$(6|??X_y)s@4>%H$S*~_a{3#I_ zMjgNpwJwnIPnXJ}{(5Cvtl`IJ>f&9qQT=^^!Xz5-Aq=oy4CME=J>PTVjx3crXV=DA~%bs}?gX`$aLX+OKK%cs0-NQ!#sHy5#a}Va{uF zI=a{r4@8vA^p~z!u=;~a@?h{6K90}EbokB1R!~(>Cyc_}whOfy{SzXNR>m(c0GD7} zZOg*#G=(d0qDaWVnoa4g5XsO=eUXAHbSL->jcQS`OoOI;E=Xi(fLhKKCzTo{yssM!RvUx zKC_uO$-Qf*_hP&K7(fg95&YOBLvu2kM^-?Nu8=_wv-~WwQ3k`Mj(AB>I)rA9{Fx7| znA7sXlh!iQtvGZO9_NYux9phRTIWw;Q7hyA;ZOf1vHJhG@mwAgPp|SHmTv`ce77~!vDP4zfn;Aw|9l$tJ6e0`cLWGMY@+INTRODUCTION | Hardware Lab NITC - + - - - - - + + + + + - + \ No newline at end of file diff --git a/docs/.vitepress/dist/Verilog/SingleCycle.html b/docs/.vitepress/dist/Verilog/SingleCycle.html index e93e69f..9b5a809 100644 --- a/docs/.vitepress/dist/Verilog/SingleCycle.html +++ b/docs/.vitepress/dist/Verilog/SingleCycle.html @@ -6,19 +6,19 @@ Single Cycle Processor | Hardware Lab NITC - + - - - - - + + + + + -
Skip to content

Single Cycle Processor

Table of Contents

Sl No.TopicPage No.
1Overview1
2Prerequisites2
3Theory- Control Unit3
4Theory- Datapath8
5Implementation22
1Top Level Module22
2Data Memory24
3Instruction Memory25
4Microprocessor Top Level (MIPS)27
5Controller29
6Main Decoder31
7ALU Decoder33
8Datapath35
9Register File38
10Other Functional Units41
6How to Run Instructions?44
7Test Cases for Various Instructions46
8References53

1. Overview

Till now you have learned to design sequential and combinational logic, in this section you will learn how to create a single cycle processor, specifically the MIPS microprocessor.

This section combines almost every concept covered so far. Abstraction of block diagrams and Verilog HDLis used to describe the arrangement of each component. We exploit regularity and modularity by reusing already created blocks such as ALUs, multiplexers and register files. The microarchitecture is partitioned into datapath and control units. The MIPS microprocessor datapath uses the register file, ALU, memory unit, and instruction decoder to execute instructions. The register file stores data and instructions, the ALU performs operations, the memory unit accesses and stores data, and the instruction decoder controls data flow. The control unit of a MIPS microprocessor generates control signals that direct the flow of data between components in the datapath, ensuring that instructions are executed correctly. It receives instructions from the decoder, directs data flow to the correct components, and controls instruction timing.
Together, the datapath and control units work to execute instructions in the MIPS microprocessor.
We will focus on the single-cycle implementation of a subset of MIPS instructions. Additionally, we will compare single-cycle, multicycle, and pipelined microarchitectures for the MIPS processor.

2. Prerequisites

To create a Verilog MIPS single cycle processor, you should have a strong understanding of digital logic design, computer architecture, and Verilog programming.

Some of the specific prerequisites include-

  • Knowledge of digital logic design concepts, such as combinational and sequential circuits, logic gates, flip-flops, and registers and implementing these in Verilog HDL.

  • Understanding of computer architecture principles, including datapath and control unit design, memory organisation, instruction decoding, and input/output interfaces. These are also covered once more in the “Theory” section of our module.

  • Experience with Verilog programming, including the ability to write and understand Verilog code, testbenches, and simulation results.

  • Ability to use simulation and synthesis tools, such as ModelSim, Quartus, to simulate and synthesise Verilog code.

  • Knowledge of computer organisation and assembly language programming is also beneficial, as it provides context for understanding the MIPS single cycle processor and its operation.

Additionally, it would be helpful if you were familiar with the MIPS instruction set architecture, including its various instruction formats, opcode values, and functionality.

3. Control Unit

This section covers an implementation of our MIPS subset, which is created by adding a basic control function to the datapath discussed in the previous section. Support for load word (lw), store word (sw), branch equal (beq), and arithmetic-logical instructions like add, sub, AND, OR, and set on less than are all included in this version. It is implemented in 2 parts: the main control Unit and ALU Control Unit. Firstly we look at the instruction format.

CU

Instruction Format

The 32 bit MIPS instruction can be broken down into the following parts

fig 2

The op field, called the opcode, is always contained in bits 31:26. We will refer to this field as Op[5:0] by standard notation.

  • The two registers to be read are always specified by the rs and rt fields, at positions 25:21 and 20:16. This is true for the R-type instructions, branch equal, and store.

  • The base register for load and store instructions is always in bit positions 25:21 (rs).

  • The 16-bit offset for branch equal, load, and store is always in positions 15:0.

  • The destination register is in one of two places. For a load, it is in bit positions 20:16 (rt), while for an R-type instruction it is in bit positions 15:11 (rd). Thus, we will need to add a multiplexor to select which field of the instruction is used to indicate the register number to be written.

Main Control Unit (Main Decoder)

The control unit computes the control ignals based on the opcode and funct fields of the instruction, [31:26] and [5:0].

Most of the control information comes from the opcode, but R-type instructions also use the funct field to determine the ALU operation. The majority of the outputs from the opcode are computed by the main decoder. The 6 bits of the opcode are decoded into various control signals of the Main Decoder as shown in Fig. 3.

Simple PLA Implementation

Fig 3. Simple PLA Implementation

Table 1 explains each of the control signals in detail with all the important information. These nine control (two from ALUOp which are explained later) signals are set on the basis of six input signals to the control unit, which are the opcode bits 31 to 26.

Control SignalsDeassertedAsserted
RegDstThe write register number comes from the rt field (20:16)The write register number comes from the rd field (15:11)
RegWrite-The register on the write register input is written with the value of the Write data input.
ALUSrcSecond ALU Operand is the second register file output.Second ALU Operand is the sign extended offset (16 bit to 32 bits)
PCSrcPC = PC + 4 (For sequential exec.)PC is replaced by branch target.
MemRead-Data memory contents designated by address input are put in Read Data Output.
MemWrite-Data memory contents designated by address input are put in Write Data Output.
MemtoRegWrite Data is fed input from ALU.Write Data is fed input from Data Memory.

Table 1. Different Control Signals for the different MUXs

With the exception of the PCSrc control line, the control unit can set all of the control signals based only on the opcode field of the instruction. If the instruction is branch on equal and the ALU's Zero output is asserted, then the PCSrc control line should also be asserted. We must AND the Zero signal from the ALU with the Branch signal from the control unit in order to produce the PCSrc signal.

ALU Control Unit (ALU Decoder)

Depending on the instruction class, the ALU will need to perform one of these functions.

Table 2. ALU Control Lines

The main decoder determines a 2-bit ALUOp signal which is used as input for ALU Decoder along with the 6-bit funct (or function) field in the low-order bits of the instruction. The 4 bit output signal of the ALU Control Unit represents the operation to be carried out by the ALU. Fig 4. ALU Hardware Implementation

The 2 bit ALUOp sent by the Control Unit indicates whether the operation to be performed should be add (00) for loads and stores, subtract (01) for beq, or determined by the operation encoded in the funct field (10).

Understanding the optimal implementation:

Using multiple levels of control can reduce the size of the main control unit. Using several smaller control units may also potentially increase the speed of the control unit. Such optimizations are important, since the speed of the control unit is often critical to clock cycle time

ALU Control Truth Table

Input-Output Truth Table

4. Datapath

A datapath is the part of a computer processor that performs arithmetic and logic operations on data. It is a digital circuit that consists of registers, an arithmetic logic unit (ALU), and multiplexers.

The datapath receives instructions and data from the processor's memory and performs the necessary operations specified by the instructions. The ALU performs arithmetic and logical operations on the data, and the registers store intermediate and final results.

The datapath also includes multiplexers that allow the selection of different input values based on control signals. The control signals are generated by the control unit, which coordinates the operations of the datapath to execute instructions.

The datapath operates on words of data. MIPS is a 32-bit architecture, so we will use a 32-bit datapath. The datapath first decodes the instruction for the control unit which then sets the different multiplexers thereby fixing the datapath. We will split the datapath into different state elements and try to learn them one by one and finally piece them together to create a complete datapath.

State elements

There are 5 main state elements required for building the datapath.

a Instruction Memory

The program counter contains the address of the instruction to be executed. The first step is to read this instruction from the element called instruction memory. The instruction memory takes the address in PC as the input and fetches the 32 bit instruction, labelled instr.

The processor’s actions depend on the specific instruction that was fetched.

b Register File

The register file contains all the available registers. It has two read ports and one write port. Since MIPS architecture contains 32 registers, each register is identified by a unique 5 bit number (log2 32). This unique 5 bit number is given as the input in both read and write ports. The contents of the registers specified via the read ports are given as the output. If the control signal RegWrite is set, the data given in the data port is written into the register given in the write port.

c) ALU

ALU performs different arithmetic operations on the data depending on the signal received from the control unit. It has two data input ports and an input from the control unit which specifies the operation to be performed. The final result is output through ALU result and zero port is set to 1 if the result is zero.

d Data Memory

The memory unit is a state element with inputs for the address and the write data, and a single output for the read result.There are separate read and write controls, called MemRead and MemWrite. Only one of these may be asserted at a time.

  • If MemRead is set then value at the address given is fetched and outputted through the read data port.
  • If MemWrite is set then the value at the address is changed to write data.

e) Sign extension

To understand what sign extension is, let’s take an example. Consider the 4 bit number 1100. If we were to sign extend it to an 8 bit number, we take the MSB which in this case is 1 and extend it to make the upper half of the 8 bit number keeping the lower same as the initial 4 bits which gives us 1111 1100. Similarly, this element sign extends a 16 bit number into a 32 bit number.

Now that we have seen the different state elements involved in a datapath, let's see the datapath followed by different MIPS instructions. Since we are considering MIPS microprocessor, each instruction is 32 bits long.

  • add It is an R-type instruction of the form add $rd,$rs,$rt The R-type instruction format is

The datapath for add instruction is as follows :

  1. Grab the instruction address from the PC.

PC

  1. Decode instruction.

Decoder

  1. Pass rs,rt and rd into read register and write register ports.

Register

  1. Retrieve data from read register 1 and register 2 (rs and rt).

  1. Pass contents of rs and rt into the ALU as operands for the addition operation. The only difference between different arithmetic operations is in the ALU operation performed.

  1. Return back the ALU result to the register file as the Write data argument. The data will be written into the Write register specified by the rd field.

  1. Increment the value of PC to PC+4 to move on to the next instruction.

  • lw(load word)
    The lw instruction is of the form lw $rt immediate($rs). It has an I-type instruction format.

lw

The load word instruction copies the data stored at the address ‘immediate+value(rs)’ and stores it into the register rt.
The datapath for lw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. The control unit examines the most significant five bits of the instruction to determine the necessary datapath configuration.

  3. The register file receives the two register numbers rs and rt, with rs connected to the read port and rt to the write port. Read data 1 port outputs the data stored in rs.

  4. This output is then added to the sign-extended immediate using ALU.

  5. The ALU result is sent to the data memory unit, which reads the data stored at the address specified by the ALU result.

  6. Finally, the data read from the memory is returned to the register file, which writes it into register rt.

  7. PC is incremented to PC+4.

lw

  • sw(store word) sw instruction is of the form

    v
    sw $rt immediate($rs)

    The instruction format is again of the form I-type with an opcode 43.

The store word instruction writes the data stored in the register rt into the memory address ‘value(rs)+immediate ’.

The datapath for sw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration by setting the multiplexers.

  3. The register file receives two register numbers rs and rt, with rs connected to read port1 and rt connected to read port2. The data stored in registers rs and rt is output through the read data port1 and read data port2 respectively.

  4. The read data1 is then added to the sign-extended immediate using ALU.

  5. The ALU result along with read data2 (which contains the value stored in register rt) is sent to the data memory unit, which writes the read data2 into the address specified by the ALU result.

  6. PC is incremented to PC+4.

sw

  • beq(branch if equal)

The beq instruction is of the form

mips
    beq $rs ,$rt ,immediate

It has an I-type instruction format.

I-type

It compares the contents of rs and rt to check if they are equal and uses the 16-bit immediate field to compute the target address of the branch relative to the current address.

The datapath for beq instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five its and determines the necessary datapath configuration.

  3. The register file receives two register numbers rs and rt in the read register port and outputs the contents in rs and rt.

  4. ALU subtracts the value of rs from rt and sets the zero port to 1 if the result is 0.

  5. The immediate value is sign extended and then shifted left by 2 bits.

  6. PC is incremented to PC+4 and is added with the immediate value to give the branch target.

  7. PC is changed to the branch target if zero port was set to 1.

beq

  • j (jump)

The jump instruction is of the form j targaddr. It has a J-type instruction format with opcode 2.

This instruction uses the 26 bit targaddr to compute jump address and updates the value of PC to jump address.

J-type

The datapath for j instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration.

  3. The 26 bit targaddr is shifted left by 2 bits to create a 28 bit result

  4. Concatenate the result with the upper 4 bits of PC+4 to get the jump address.

  5. Finally, the PC gets updated to the jump address.

j

The Final Datapath

Final

Fig 4. Simple datapath with control unit (for R-type and I-type)

Here, the input to the control unit is the 6-bit opcode field from the instruction. The outputs are the control signals which serve various purposes :-

  • RegDst, ALUSrc, MemtoReg - 1-bit signals that control the multiplexors.

  • RegWrite, MemRead, MemWrite - Signals that control reads and writes in the data memory and register file.

  • Branch - Signal used in checking if a branch is required.

  • ALUOp - 2-bit control signal for the ALU.

Note that here, the AND gate is used to combine the Branch control signal with the Zero output from the ALU. This is responsible for the selection of the next PC.

Role of Multiplexors

As seen above, there are 4 multiplexors required at various stages of the datapath. They are needed in order to implement both R-type and I-type instructions using the same datapath. Their roles are explained below :-

  1. MUX 1 - This MUX determines which register needs to be written into using the RegDst control signal. If it’s 0, the write register number comes from the rt field (in the case of I-type), whereas if it’s 1, the write register number comes from the rd field (for R-type instructions)

  2. MUX 2 - This MUX is placed at the ALU input with ALUSrc as the select line. When it’s 0, an arithmetic-logical instruction is taking place, and the second ALU operand is the data read from the second register. When it’s 1, a memory instruction is taking place, with the second ALU operand being the sign-extended 16-bit immediate field from instruction.

  3. MUX 3 - It chooses which value is stored in the destination register using the MemtoReg control signal. This value comes from the ALU (for an R-type instruction) or the memory (for a load).

  4. MUX 4 - The final MUX is used to select if the PC moves onto the sequentially following instruction address (PC + 4) or branches to a target address. The control signal that achieves this is the output of the AND gate which is 1 in case of a branch instruction and 0 otherwise.

Final

Fig 4. Datapath with Jump implementation

Additionally to implement the Jump instruction in the same datapath, an additional MUX, controlled by the jump control signal, is used to determine whether to move to the jump target address or the next consequent instruction. This jump target is obtained by shifting the lower 26 bits of the jump instruction left 2 bits (ie. multiplying by 4) and then concatenating the upper 4 bits of PC + 4 as the high-order bits, thus yielding a 32-bit address.

5. Implementation

1. Top level module

Top

v
module top (input clk, reset, 
+    
Skip to content

Single Cycle Processor

Table of Contents

Sl No.TopicPage No.
1Overview1
2Prerequisites2
3Theory- Control Unit3
4Theory- Datapath8
5Implementation22
1Top Level Module22
2Data Memory24
3Instruction Memory25
4Microprocessor Top Level (MIPS)27
5Controller29
6Main Decoder31
7ALU Decoder33
8Datapath35
9Register File38
10Other Functional Units41
6How to Run Instructions?44
7Test Cases for Various Instructions46
8References53

1. Overview

Till now you have learned to design sequential and combinational logic, in this section you will learn how to create a single cycle processor, specifically the MIPS microprocessor.

This section combines almost every concept covered so far. Abstraction of block diagrams and Verilog HDLis used to describe the arrangement of each component. We exploit regularity and modularity by reusing already created blocks such as ALUs, multiplexers and register files. The microarchitecture is partitioned into datapath and control units. The MIPS microprocessor datapath uses the register file, ALU, memory unit, and instruction decoder to execute instructions. The register file stores data and instructions, the ALU performs operations, the memory unit accesses and stores data, and the instruction decoder controls data flow. The control unit of a MIPS microprocessor generates control signals that direct the flow of data between components in the datapath, ensuring that instructions are executed correctly. It receives instructions from the decoder, directs data flow to the correct components, and controls instruction timing.
Together, the datapath and control units work to execute instructions in the MIPS microprocessor.
We will focus on the single-cycle implementation of a subset of MIPS instructions. Additionally, we will compare single-cycle, multicycle, and pipelined microarchitectures for the MIPS processor.

2. Prerequisites

To create a Verilog MIPS single cycle processor, you should have a strong understanding of digital logic design, computer architecture, and Verilog programming.

Some of the specific prerequisites include-

  • Knowledge of digital logic design concepts, such as combinational and sequential circuits, logic gates, flip-flops, and registers and implementing these in Verilog HDL.

  • Understanding of computer architecture principles, including datapath and control unit design, memory organisation, instruction decoding, and input/output interfaces. These are also covered once more in the “Theory” section of our module.

  • Experience with Verilog programming, including the ability to write and understand Verilog code, testbenches, and simulation results.

  • Ability to use simulation and synthesis tools, such as ModelSim, Quartus, to simulate and synthesise Verilog code.

  • Knowledge of computer organisation and assembly language programming is also beneficial, as it provides context for understanding the MIPS single cycle processor and its operation.

Additionally, it would be helpful if you were familiar with the MIPS instruction set architecture, including its various instruction formats, opcode values, and functionality.

3. Control Unit

This section covers an implementation of our MIPS subset, which is created by adding a basic control function to the datapath discussed in the previous section. Support for load word (lw), store word (sw), branch equal (beq), and arithmetic-logical instructions like add, sub, AND, OR, and set on less than are all included in this version. It is implemented in 2 parts: the main control Unit and ALU Control Unit. Firstly we look at the instruction format.

CU

Instruction Format

The 32 bit MIPS instruction can be broken down into the following parts

fig 2

The op field, called the opcode, is always contained in bits 31:26. We will refer to this field as Op[5:0] by standard notation.

  • The two registers to be read are always specified by the rs and rt fields, at positions 25:21 and 20:16. This is true for the R-type instructions, branch equal, and store.

  • The base register for load and store instructions is always in bit positions 25:21 (rs).

  • The 16-bit offset for branch equal, load, and store is always in positions 15:0.

  • The destination register is in one of two places. For a load, it is in bit positions 20:16 (rt), while for an R-type instruction it is in bit positions 15:11 (rd). Thus, we will need to add a multiplexor to select which field of the instruction is used to indicate the register number to be written.

Main Control Unit (Main Decoder)

The control unit computes the control ignals based on the opcode and funct fields of the instruction, [31:26] and [5:0].

Most of the control information comes from the opcode, but R-type instructions also use the funct field to determine the ALU operation. The majority of the outputs from the opcode are computed by the main decoder. The 6 bits of the opcode are decoded into various control signals of the Main Decoder as shown in Fig. 3.

Simple PLA Implementation

Fig 3. Simple PLA Implementation

Table 1 explains each of the control signals in detail with all the important information. These nine control (two from ALUOp which are explained later) signals are set on the basis of six input signals to the control unit, which are the opcode bits 31 to 26.

Control SignalsDeassertedAsserted
RegDstThe write register number comes from the rt field (20:16)The write register number comes from the rd field (15:11)
RegWrite-The register on the write register input is written with the value of the Write data input.
ALUSrcSecond ALU Operand is the second register file output.Second ALU Operand is the sign extended offset (16 bit to 32 bits)
PCSrcPC = PC + 4 (For sequential exec.)PC is replaced by branch target.
MemRead-Data memory contents designated by address input are put in Read Data Output.
MemWrite-Data memory contents designated by address input are put in Write Data Output.
MemtoRegWrite Data is fed input from ALU.Write Data is fed input from Data Memory.

Table 1. Different Control Signals for the different MUXs

With the exception of the PCSrc control line, the control unit can set all of the control signals based only on the opcode field of the instruction. If the instruction is branch on equal and the ALU's Zero output is asserted, then the PCSrc control line should also be asserted. We must AND the Zero signal from the ALU with the Branch signal from the control unit in order to produce the PCSrc signal.

ALU Control Unit (ALU Decoder)

Depending on the instruction class, the ALU will need to perform one of these functions.

Table 2. ALU Control Lines

The main decoder determines a 2-bit ALUOp signal which is used as input for ALU Decoder along with the 6-bit funct (or function) field in the low-order bits of the instruction. The 4 bit output signal of the ALU Control Unit represents the operation to be carried out by the ALU. Fig 4. ALU Hardware Implementation

The 2 bit ALUOp sent by the Control Unit indicates whether the operation to be performed should be add (00) for loads and stores, subtract (01) for beq, or determined by the operation encoded in the funct field (10).

Understanding the optimal implementation:

Using multiple levels of control can reduce the size of the main control unit. Using several smaller control units may also potentially increase the speed of the control unit. Such optimizations are important, since the speed of the control unit is often critical to clock cycle time

ALU Control Truth Table

Input-Output Truth Table

4. Datapath

A datapath is the part of a computer processor that performs arithmetic and logic operations on data. It is a digital circuit that consists of registers, an arithmetic logic unit (ALU), and multiplexers.

The datapath receives instructions and data from the processor's memory and performs the necessary operations specified by the instructions. The ALU performs arithmetic and logical operations on the data, and the registers store intermediate and final results.

The datapath also includes multiplexers that allow the selection of different input values based on control signals. The control signals are generated by the control unit, which coordinates the operations of the datapath to execute instructions.

The datapath operates on words of data. MIPS is a 32-bit architecture, so we will use a 32-bit datapath. The datapath first decodes the instruction for the control unit which then sets the different multiplexers thereby fixing the datapath. We will split the datapath into different state elements and try to learn them one by one and finally piece them together to create a complete datapath.

State elements

There are 5 main state elements required for building the datapath.

a Instruction Memory

The program counter contains the address of the instruction to be executed. The first step is to read this instruction from the element called instruction memory. The instruction memory takes the address in PC as the input and fetches the 32 bit instruction, labelled instr.

The processor’s actions depend on the specific instruction that was fetched.

b Register File

The register file contains all the available registers. It has two read ports and one write port. Since MIPS architecture contains 32 registers, each register is identified by a unique 5 bit number (log2 32). This unique 5 bit number is given as the input in both read and write ports. The contents of the registers specified via the read ports are given as the output. If the control signal RegWrite is set, the data given in the data port is written into the register given in the write port.

c) ALU

ALU performs different arithmetic operations on the data depending on the signal received from the control unit. It has two data input ports and an input from the control unit which specifies the operation to be performed. The final result is output through ALU result and zero port is set to 1 if the result is zero.

d Data Memory

The memory unit is a state element with inputs for the address and the write data, and a single output for the read result.There are separate read and write controls, called MemRead and MemWrite. Only one of these may be asserted at a time.

  • If MemRead is set then value at the address given is fetched and outputted through the read data port.
  • If MemWrite is set then the value at the address is changed to write data.

e) Sign extension

To understand what sign extension is, let’s take an example. Consider the 4 bit number 1100. If we were to sign extend it to an 8 bit number, we take the MSB which in this case is 1 and extend it to make the upper half of the 8 bit number keeping the lower same as the initial 4 bits which gives us 1111 1100. Similarly, this element sign extends a 16 bit number into a 32 bit number.

Now that we have seen the different state elements involved in a datapath, let's see the datapath followed by different MIPS instructions. Since we are considering MIPS microprocessor, each instruction is 32 bits long.

  • add It is an R-type instruction of the form add $rd,$rs,$rt The R-type instruction format is

The datapath for add instruction is as follows :

  1. Grab the instruction address from the PC.

PC

  1. Decode instruction.

Decoder

  1. Pass rs,rt and rd into read register and write register ports.

Register

  1. Retrieve data from read register 1 and register 2 (rs and rt).

  1. Pass contents of rs and rt into the ALU as operands for the addition operation. The only difference between different arithmetic operations is in the ALU operation performed.

  1. Return back the ALU result to the register file as the Write data argument. The data will be written into the Write register specified by the rd field.

  1. Increment the value of PC to PC+4 to move on to the next instruction.

  • lw(load word)
    The lw instruction is of the form lw $rt immediate($rs). It has an I-type instruction format.

lw

The load word instruction copies the data stored at the address ‘immediate+value(rs)’ and stores it into the register rt.
The datapath for lw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. The control unit examines the most significant five bits of the instruction to determine the necessary datapath configuration.

  3. The register file receives the two register numbers rs and rt, with rs connected to the read port and rt to the write port. Read data 1 port outputs the data stored in rs.

  4. This output is then added to the sign-extended immediate using ALU.

  5. The ALU result is sent to the data memory unit, which reads the data stored at the address specified by the ALU result.

  6. Finally, the data read from the memory is returned to the register file, which writes it into register rt.

  7. PC is incremented to PC+4.

lw

  • sw(store word) sw instruction is of the form

    v
    sw $rt immediate($rs)

    The instruction format is again of the form I-type with an opcode 43.

The store word instruction writes the data stored in the register rt into the memory address ‘value(rs)+immediate ’.

The datapath for sw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration by setting the multiplexers.

  3. The register file receives two register numbers rs and rt, with rs connected to read port1 and rt connected to read port2. The data stored in registers rs and rt is output through the read data port1 and read data port2 respectively.

  4. The read data1 is then added to the sign-extended immediate using ALU.

  5. The ALU result along with read data2 (which contains the value stored in register rt) is sent to the data memory unit, which writes the read data2 into the address specified by the ALU result.

  6. PC is incremented to PC+4.

sw

  • beq(branch if equal)

The beq instruction is of the form

mips
    beq $rs ,$rt ,immediate

It has an I-type instruction format.

I-type

It compares the contents of rs and rt to check if they are equal and uses the 16-bit immediate field to compute the target address of the branch relative to the current address.

The datapath for beq instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five its and determines the necessary datapath configuration.

  3. The register file receives two register numbers rs and rt in the read register port and outputs the contents in rs and rt.

  4. ALU subtracts the value of rs from rt and sets the zero port to 1 if the result is 0.

  5. The immediate value is sign extended and then shifted left by 2 bits.

  6. PC is incremented to PC+4 and is added with the immediate value to give the branch target.

  7. PC is changed to the branch target if zero port was set to 1.

beq

  • j (jump)

The jump instruction is of the form j targaddr. It has a J-type instruction format with opcode 2.

This instruction uses the 26 bit targaddr to compute jump address and updates the value of PC to jump address.

J-type

The datapath for j instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration.

  3. The 26 bit targaddr is shifted left by 2 bits to create a 28 bit result

  4. Concatenate the result with the upper 4 bits of PC+4 to get the jump address.

  5. Finally, the PC gets updated to the jump address.

j

The Final Datapath

Final

Fig 4. Simple datapath with control unit (for R-type and I-type)

Here, the input to the control unit is the 6-bit opcode field from the instruction. The outputs are the control signals which serve various purposes :-

  • RegDst, ALUSrc, MemtoReg - 1-bit signals that control the multiplexors.

  • RegWrite, MemRead, MemWrite - Signals that control reads and writes in the data memory and register file.

  • Branch - Signal used in checking if a branch is required.

  • ALUOp - 2-bit control signal for the ALU.

Note that here, the AND gate is used to combine the Branch control signal with the Zero output from the ALU. This is responsible for the selection of the next PC.

Role of Multiplexors

As seen above, there are 4 multiplexors required at various stages of the datapath. They are needed in order to implement both R-type and I-type instructions using the same datapath. Their roles are explained below :-

  1. MUX 1 - This MUX determines which register needs to be written into using the RegDst control signal. If it’s 0, the write register number comes from the rt field (in the case of I-type), whereas if it’s 1, the write register number comes from the rd field (for R-type instructions)

  2. MUX 2 - This MUX is placed at the ALU input with ALUSrc as the select line. When it’s 0, an arithmetic-logical instruction is taking place, and the second ALU operand is the data read from the second register. When it’s 1, a memory instruction is taking place, with the second ALU operand being the sign-extended 16-bit immediate field from instruction.

  3. MUX 3 - It chooses which value is stored in the destination register using the MemtoReg control signal. This value comes from the ALU (for an R-type instruction) or the memory (for a load).

  4. MUX 4 - The final MUX is used to select if the PC moves onto the sequentially following instruction address (PC + 4) or branches to a target address. The control signal that achieves this is the output of the AND gate which is 1 in case of a branch instruction and 0 otherwise.

Final

Fig 4. Datapath with Jump implementation

Additionally to implement the Jump instruction in the same datapath, an additional MUX, controlled by the jump control signal, is used to determine whether to move to the jump target address or the next consequent instruction. This jump target is obtained by shifting the lower 26 bits of the jump instruction left 2 bits (ie. multiplying by 4) and then concatenating the upper 4 bits of PC + 4 as the high-order bits, thus yielding a 32-bit address.

5. Implementation

1. Top level module

Top

v
module top (input clk, reset, 
     output [31:0] writedata, dataadr, 
     output memwrite); 
     wire [31:0] pc, instr, readdata; 
@@ -27,7 +27,7 @@
     mips mips (clk, reset, pc, instr, memwrite, dataadr, writedata, readdata); 
     imem imem (pc[7:2], instr); 
     dmem dmem (clk, memwrite, dataadr, writedata,readdata); 
-endmodule

The top level module instantiates 3 sub modules mips , imem and dmem The mips module is the main processor that is responsible for executing instructions. The imem module is the instruction memory, which contains the program instructions. The dmem module is the data memory, which is used for load/store instructions. Here is a brief description of the input and output ports of the top module:

Inputs

  1. clk - the clock signal used to synchronise the processor.

  2. reset - the reset signal used to initialise the processor.

Outputs

  1. writedata - the data to be written to memory.

  2. dataadr - the memory address to access.

  3. memwrite - the control signal for writing to memory.

  4. pc - the program counter, which contains the memory address of the current instruction.

  5. instr - the current instruction being executed.

  6. readdata - the data read from memory.

Overall, the top module provides the infrastructure to execute programs on the MIPS processor. It loads the program instructions from memory, executes them, and stores the results back into memory if necessary.

Top

RTL view of top level module

2. Data Memory

v
module dmem (input clk, we, 
+endmodule

The top level module instantiates 3 sub modules mips , imem and dmem The mips module is the main processor that is responsible for executing instructions. The imem module is the instruction memory, which contains the program instructions. The dmem module is the data memory, which is used for load/store instructions. Here is a brief description of the input and output ports of the top module:

Inputs

  1. clk - the clock signal used to synchronise the processor.

  2. reset - the reset signal used to initialise the processor.

Outputs

  1. writedata - the data to be written to memory.

  2. dataadr - the memory address to access.

  3. memwrite - the control signal for writing to memory.

  4. pc - the program counter, which contains the memory address of the current instruction.

  5. instr - the current instruction being executed.

  6. readdata - the data read from memory.

Overall, the top module provides the infrastructure to execute programs on the MIPS processor. It loads the program instructions from memory, executes them, and stores the results back into memory if necessary.

Top

RTL view of top level module

2. Data Memory

v
module dmem (input clk, we, 
             input [31:0] a, wd, 
             output [31:0] rd); 
 
@@ -36,7 +36,7 @@
     always @ (posedge clk) 
         if (we) 
             RAM[a[31:2]] wd; 
-endmodule

dmem represents a random access memory (RAM) block that can store and retrieve 32-bit data values.

Inputs-

  1. clk
  2. MemWrite we - control signal that determines whether to write data to memory.
  3. 32 bit memory address a
  4. 32 bit WriteData ‘wd’- data to be written to the location specified by a.

Output-

  1. 32 bit ReadData rd - data read from memory location a. The dmem module contains a RAM that stores 64 words each of size 32 bits. When the we input is set to 1, the 32 bit data wd gets written into the memory location a at the positive edge of clk .

dmem

3. Instruction Memory

v
module imem (input [5:0] a,output [31:0] rd); 
+endmodule

dmem represents a random access memory (RAM) block that can store and retrieve 32-bit data values.

Inputs-

  1. clk
  2. MemWrite we - control signal that determines whether to write data to memory.
  3. 32 bit memory address a
  4. 32 bit WriteData ‘wd’- data to be written to the location specified by a.

Output-

  1. 32 bit ReadData rd - data read from memory location a. The dmem module contains a RAM that stores 64 words each of size 32 bits. When the we input is set to 1, the 32 bit data wd gets written into the memory location a at the positive edge of clk .

dmem

3. Instruction Memory

v
module imem (input [5:0] a,output [31:0] rd); 
     reg [31:0] RAM[63:0]; 
     integer i; 
     initial 
@@ -44,7 +44,7 @@
             $readmemh ("E:\memfile.dat",RAM); 
         end 
     assign rd = RAM[a]; // word aligned 
-endmodule

Input :

  1. 6 bit address a : This is generated by the mips module.

Output :

  1. 32 bit instruction rd :
    The instructions are stored initially in a file called memfile.dat. This file gets loaded into the RAM array using the $readmemh system task. The $readmemh system task reads a memory file in HEX format and initialises the memory array with these values.

The syntax is :

v
$readmemh("hex_memory_file.mem", memory_array,[start_address],[end_address]) (The start and end address arguments are optional)

The imem module is a combinational logic block which is driven by the RAM array.

The input address a is used to index into the RAM array to retrieve the instruction located at that address.

The instruction is then assigned to rd .

imem

RTL view of Instruction Memory

4.MIPS

v
module mips(input clk, reset, 
+endmodule

Input :

  1. 6 bit address a : This is generated by the mips module.

Output :

  1. 32 bit instruction rd :
    The instructions are stored initially in a file called memfile.dat. This file gets loaded into the RAM array using the $readmemh system task. The $readmemh system task reads a memory file in HEX format and initialises the memory array with these values.

The syntax is :

v
$readmemh("hex_memory_file.mem", memory_array,[start_address],[end_address]) (The start and end address arguments are optional)

The imem module is a combinational logic block which is driven by the RAM array.

The input address a is used to index into the RAM array to retrieve the instruction located at that address.

The instruction is then assigned to rd .

imem

RTL view of Instruction Memory

4.MIPS

v
module mips(input clk, reset, 
             output [31:0] pc, 
             input [31:0] instr, 
             output memwrite, 
@@ -60,7 +60,7 @@
 
    datapath dp(clk, reset, memtoreg, pcsrc,alusrc, regdst, regwrite, jump,alucontrol,zero, pc, instr,aluout, writedata, readdata); 
 
-endmodule

Inputs :

  1. clk
  2. reset
  3. instr - current instruction being executed.
  4. 32 bit readdata - data read from the memory.

Outputs :

  1. 32 bit pc - current program counter.
  2. 32 bit aluout - result of ALU operation(i applicable).
  3. 32 bit writedata
  4. memwrite - control signal (discussed earlier).

The mips module instantiates two other modules, controller and datapath , which work together to execute instructions. Both these modules are discussed in detail in the upcoming sections.

Overall the mips module acts like a traffic signal, directing the flow of data and control signals between the datapath and controller modules to execute instructions and maintain the processor’s state.

mips

RTL view of MIPS module

5. Controller

v
module controller (input [5:0] op, funct, 
+endmodule

Inputs :

  1. clk
  2. reset
  3. instr - current instruction being executed.
  4. 32 bit readdata - data read from the memory.

Outputs :

  1. 32 bit pc - current program counter.
  2. 32 bit aluout - result of ALU operation(i applicable).
  3. 32 bit writedata
  4. memwrite - control signal (discussed earlier).

The mips module instantiates two other modules, controller and datapath , which work together to execute instructions. Both these modules are discussed in detail in the upcoming sections.

Overall the mips module acts like a traffic signal, directing the flow of data and control signals between the datapath and controller modules to execute instructions and maintain the processor’s state.

mips

RTL view of MIPS module

5. Controller

v
module controller (input [5:0] op, funct, 
                 input zero, 
                    output memtoreg, memwrite, 
              output pcsrc, alusrc, 
@@ -75,7 +75,7 @@
      aludec ad (funct, aluop, alucontrol); 
      
      assign pcsrc = branch & zero; 
-     endmodule

Inputs-

  1. 6 bit Opcode
  2. 6 bit funct
  3. Zero bit (for PCSrc)

Outputs-

  1. 7 control signals

    1. memtoreg
    2. memwrite
    3. pcsrc
    4. alusrc
    5. regdst
    6. regwrite
    7. jump
  2. 3 bit alu control

Temporary Variables

  1. 2 bit AluOP
  2. Branch bit

Working

It acts as a top level module connecting the main decoder and alu decoder. It has some additional logic to make the PCSrc control signal by AND-ing the branch (intermediate) signal from the main decoder and the Zero from the ALU.

controller

RTL View of Main Controller

6. Main Decoder

v
module maindec(input [5:0] op, 
+     endmodule

Inputs-

  1. 6 bit Opcode
  2. 6 bit funct
  3. Zero bit (for PCSrc)

Outputs-

  1. 7 control signals

    1. memtoreg
    2. memwrite
    3. pcsrc
    4. alusrc
    5. regdst
    6. regwrite
    7. jump
  2. 3 bit alu control

Temporary Variables

  1. 2 bit AluOP
  2. Branch bit

Working

It acts as a top level module connecting the main decoder and alu decoder. It has some additional logic to make the PCSrc control signal by AND-ing the branch (intermediate) signal from the main decoder and the Zero from the ALU.

controller

RTL View of Main Controller

6. Main Decoder

v
module maindec(input [5:0] op, 
             output memtoreg, memwrite, 
             output branch, alusrc, 
             output regdst, regwrite, 
@@ -95,7 +95,7 @@
         6'b000010: controls <= 9'b000000100; //J 
         default: controls <= 9'bxxxxxxxxx; //??? 
     endcase 
-endmodule

Input :

6 Bit Opcode

Outputs :

  1. memtoreg
  2. memwrite
  3. branch
  4. alusrc
  5. regdst
  6. regwrite
  7. jump

(These 7 represent the 7 control signals which originate from the Control Unit and are explained in Theory section Table 1) 1. 2 Bit ALUOp

Temporary Variables

  1. 9 bit register control - It represents all the control signals together for easier assignment during the case statement.

Working

It is the main decoder which sets the control signals to 1s and 0s according to the opcode instruction. It uses a switch-case statement to decide what control to set inside a “always” procedural block.

Note:
always@(*) blocks are used to describe Combinational Logic, or Logic Gates. * sets the sensitivity list of the “always” to any values that can have an impact on a value(s) determined by the always@(*) block.

maindec RTL View of Main Decoder

7. ALU Decoder

v
module aludec (input [5:0] funct, 
+endmodule

Input :

6 Bit Opcode

Outputs :

  1. memtoreg
  2. memwrite
  3. branch
  4. alusrc
  5. regdst
  6. regwrite
  7. jump

(These 7 represent the 7 control signals which originate from the Control Unit and are explained in Theory section Table 1) 1. 2 Bit ALUOp

Temporary Variables

  1. 9 bit register control - It represents all the control signals together for easier assignment during the case statement.

Working

It is the main decoder which sets the control signals to 1s and 0s according to the opcode instruction. It uses a switch-case statement to decide what control to set inside a “always” procedural block.

Note:
always@(*) blocks are used to describe Combinational Logic, or Logic Gates. * sets the sensitivity list of the “always” to any values that can have an impact on a value(s) determined by the always@(*) block.

maindec RTL View of Main Decoder

7. ALU Decoder

v
module aludec (input [5:0] funct, 
                input [1:0] aluop, 
          output reg [2:0] alucontrol); 
 
@@ -112,7 +112,7 @@
                 default: alucontrol <= 3’bxxx; // ??? 
         endcase
 endcase
-endmodule

Inputs :

  1. 16 bit funct (from 32 bit MIPS Instruction)
  2. 2 bit ALUOp (coming from Main decoder)

Output :

  1. 3 bit ALU control

Working

It decides what function the ALU will carry out. It works with a nested switch-case statement. First it checks the ALUop

  1. If ALUOp = 1 -> ALU has to perform addition. (lw,sw)
  2. If ALUOp = 2 -> ALU has to perform subtraction. (beq)
  3. If ALUOp = 3 -> It depends on the 6 bit funct which will ADD, SUB, AND, OR, SLT (R-type)

aludec RTL View of ALU Decoder

8. Datapath

v
module datapath (input clk, reset, 
+endmodule

Inputs :

  1. 16 bit funct (from 32 bit MIPS Instruction)
  2. 2 bit ALUOp (coming from Main decoder)

Output :

  1. 3 bit ALU control

Working

It decides what function the ALU will carry out. It works with a nested switch-case statement. First it checks the ALUop

  1. If ALUOp = 1 -> ALU has to perform addition. (lw,sw)
  2. If ALUOp = 2 -> ALU has to perform subtraction. (beq)
  3. If ALUOp = 3 -> It depends on the 6 bit funct which will ADD, SUB, AND, OR, SLT (R-type)

aludec RTL View of ALU Decoder

8. Datapath

v
module datapath (input clk, reset, 
     input memtoreg, pcsrc, 
     input alusrc, regdst, 
     input regwrite, jump, 
@@ -189,7 +189,7 @@
     if (we3) rf[wa3] <= wd3;
         assign rd1 = (ra1 != 0) ? (rf[ra1]) : 0;
         assign rd2 = (ra2 != 0) ? (rf[ra2]): 0;
-endmodule

Inputs :

  1. Clk signal for third port
  2. Read register 1 ra1
  3. Read register 2 ra2
  4. Write register wa3
  5. Write data we3

Outputs :

  1. Read data 1 rd1
  2. Read data 2 rd2

Temporary Variables :

  1. 32 element Array of 32 bit registers

Working :

Two ports read combinationally. Third port written on the rising edge of the clock. If any of the registers are ‘0’ it is hardwired to be 0 value by default.

regfile RTL View of Register File

10. Some Other Functional Units

These units were explained in previous modules. As such explanation and theory is not repeated and can be referred to from those modules.

1. ADDER MODULE

v
module adder (input [31:0] a, b,output [31:0] y); assign y=a + b; 
+endmodule

Inputs :

  1. Clk signal for third port
  2. Read register 1 ra1
  3. Read register 2 ra2
  4. Write register wa3
  5. Write data we3

Outputs :

  1. Read data 1 rd1
  2. Read data 2 rd2

Temporary Variables :

  1. 32 element Array of 32 bit registers

Working :

Two ports read combinationally. Third port written on the rising edge of the clock. If any of the registers are ‘0’ it is hardwired to be 0 value by default.

regfile RTL View of Register File

10. Some Other Functional Units

These units were explained in previous modules. As such explanation and theory is not repeated and can be referred to from those modules.

1. ADDER MODULE

v
module adder (input [31:0] a, b,output [31:0] y); assign y=a + b; 
 endmodule

2. SHIFT LEFT BY 2 MODULE

v
module sl2 (input [31:0] a, 
 output [31:0] y); 
 assign y = {a[29:01], 2'b00}; 
@@ -240,7 +240,7 @@
             end 
         endcase 
     end 
-endmodule

6. How to Run Instructions

Great! Now we have our single cycle microprocessor ready but how do we run assembly code in it? The following steps explain that in detail. ( Since its a MIPS implementation of a 32 Bit Microprocessor we shall use MIPS code as the assembly code. )

Steps to run

  1. Write Down the MIPS code you want to execute.
    1. Your code should have an instance of the instruction you want to test.
    2. Make sure the set of instructions ends with a store word (sw) instruction. The output of this “store word” should be dependent on the instruction to be tested. (This will be used for checking if the instruction is executed correctly or not.)
  2. Convert the MIPS Code into machine code with the help of an online convertor.
  3. Save the machine code as “memfile.dat” in your preferred directory.
  4. Update the path (line 6) of “memfile.dat” in the imem module (Instruction Memory) of your Verilog code to the absolute path of the memfile.dat
  5. Change the test bench to check the following
    1. dataaddr- should contain the address of the last store word (X) in the last line of our machine code in memfile.dat
    2. writedata- should contain the data being written in memory (Y) in the last store word instruction.
    3. So, in the testbench make the change : (dataadr === X & writedata === Y )
  6. Save the module and compile your Verilog code.
  7. Run RTL Simulation.
  8. The transcript section should contain “Simulation succeeded” in the case of a correct implementation and matching dataaddr and writedata.

transcript

Fig. Sample transcript output

7. Test cases for Various Instructions

Now we will try to check if our microprocessor implements instructions properly.

1. add

mips
addi $2, $0, 450 //initialise $2 =450 
+endmodule

6. How to Run Instructions

Great! Now we have our single cycle microprocessor ready but how do we run assembly code in it? The following steps explain that in detail. ( Since its a MIPS implementation of a 32 Bit Microprocessor we shall use MIPS code as the assembly code. )

Steps to run

  1. Write Down the MIPS code you want to execute.
    1. Your code should have an instance of the instruction you want to test.
    2. Make sure the set of instructions ends with a store word (sw) instruction. The output of this “store word” should be dependent on the instruction to be tested. (This will be used for checking if the instruction is executed correctly or not.)
  2. Convert the MIPS Code into machine code with the help of an online convertor.
  3. Save the machine code as “memfile.dat” in your preferred directory.
  4. Update the path (line 6) of “memfile.dat” in the imem module (Instruction Memory) of your Verilog code to the absolute path of the memfile.dat
  5. Change the test bench to check the following
    1. dataaddr- should contain the address of the last store word (X) in the last line of our machine code in memfile.dat
    2. writedata- should contain the data being written in memory (Y) in the last store word instruction.
    3. So, in the testbench make the change : (dataadr === X & writedata === Y )
  6. Save the module and compile your Verilog code.
  7. Run RTL Simulation.
  8. The transcript section should contain “Simulation succeeded” in the case of a correct implementation and matching dataaddr and writedata.

transcript

Fig. Sample transcript output

7. Test cases for Various Instructions

Now we will try to check if our microprocessor implements instructions properly.

1. add

mips
addi $2, $0, 450 //initialise $2 =450 
 addi $3, $0, 550 //initialise $3 =550 
 add  $4, $2, $3  //$4=$2+$3 ,$4=450+550=1000 
 sw   $4, 20($0)  //write address 20 = 1000

Machine code

hex
200201c2 
@@ -437,8 +437,8 @@
             end 
         end 
     end 
-endmodule

8. References

  • "Computer Organization and Design: The Hardware/Software Interface" by David Patterson and John Hennessy
  • “Digital design and Computer architecture” by David Money Harris & Sarah L. Harris.
  • “Digital Logic and Computer Design ”by M. Morris Mano.
  • “Verilog HDL: A Guide to Digital Design and Synthesis ” by Samir Palnitkar.
- +endmodule

8. References

  • "Computer Organization and Design: The Hardware/Software Interface" by David Patterson and John Hennessy
  • “Digital design and Computer architecture” by David Money Harris & Sarah L. Harris.
  • “Digital Logic and Computer Design ”by M. Morris Mano.
  • “Verilog HDL: A Guide to Digital Design and Synthesis ” by Samir Palnitkar.
+ \ No newline at end of file diff --git a/docs/.vitepress/dist/Verilog/index.html b/docs/.vitepress/dist/Verilog/index.html index 0ad44e9..6a2715b 100644 --- a/docs/.vitepress/dist/Verilog/index.html +++ b/docs/.vitepress/dist/Verilog/index.html @@ -6,20 +6,20 @@ Hardware Lab NITC - + - - - - - + + + + + -
Skip to content

Welcome to the Verilog Guide

This course covers the following topics :

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ?
Sample Codes: Link to Sample Codes
Video Explanation of Select Codes:

  1. Verilog Syntax
  2. Adder Subtractor
  3. DEMUX
  4. Counter
  5. Decoder
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept
  2. Syntax (Optional)

Implementation :

Video Explanation of Code (From Documentation):
Examples of FSM along with Code explanation

Code Bank: Link to Sample Codes

Assignment 2: Download Here

Single Cycle MIPS Processor

Theory :

Documentation: Link to Main Documentation Video Explanation of Concept:

  1. Control Unit Theory
  2. Datapath Theory

Implementation :
Video Explanation of Code (From Documentation) :

  1. Code Modules 1 to 5 explained
  2. Code Modules 6 to 10 explained
  3. All Testbenches explained
    Code Bank:
    Link to Sample Codes
    Assignment 3: Download Here

- +
Skip to content

Welcome to the Verilog Guide

This course covers the following topics :

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ?
Sample Codes: Link to Sample Codes
Video Explanation of Select Codes:

  1. Verilog Syntax
  2. Adder Subtractor
  3. DEMUX
  4. Counter
  5. Decoder
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept
  2. Syntax (Optional)

Implementation :

Video Explanation of Code (From Documentation):
Examples of FSM along with Code explanation

Code Bank: Link to Sample Codes

Assignment 2: Download Here

Single Cycle MIPS Processor

Theory :

Documentation: Link to Main Documentation Video Explanation of Concept:

  1. Control Unit Theory
  2. Datapath Theory

Implementation :
Video Explanation of Code (From Documentation) :

  1. Code Modules 1 to 5 explained
  2. Code Modules 6 to 10 explained
  3. All Testbenches explained
    Code Bank:
    Link to Sample Codes
    Assignment 3: Download Here

+ \ No newline at end of file diff --git a/docs/.vitepress/dist/about.html b/docs/.vitepress/dist/about.html index 01cd534..1eec003 100644 --- a/docs/.vitepress/dist/about.html +++ b/docs/.vitepress/dist/about.html @@ -6,20 +6,20 @@ About Us | Hardware Lab NITC - + - - - - - + + + + + -
Skip to content

About Us

Welcome to the Hardware Lab at Computer Science and Engineering Department of National Institute of Technology, Calicut. We are a team of dedicated individuals who have come together to create a comprehensive resource for students studying computer hardware in the department.

Our Philosophy

Our mission is to provide students with a valuable tool that enhances their learning experience in the hardware lab course. We aim to simplify complex concepts, offer practical tips, and provide step-by-step guides to help students excel in their studies.

The Computer Science Department

The Computer Science Department of NITC is a leading academic department that fosters innovation, research, and excellence in the field of computer science. Our department offers a wide range of courses and programs designed to equip students with the knowledge and skills required to thrive in the ever-evolving world of technology.For more information visit https://minerva.nitc.ac.in/

The Hardware Lab Course Guide Team

Faculty :fontawesome-solid-computer:

The MIPS Team :simple-linkedin:

The Verilog Team :simple-linkedin:

Web and Repository Maintenance :simple-github:

Join Our Team

We are always looking to expand our team and welcome individuals who share our passion for computer hardware education. If you are interested in contributing to the Hardware Lab Course Guide or have any suggestions, we would love to hear from you. Please reach out to us at hwlab_csed@nitc.ac.in :octicons-mail-16:

Thank you for visiting the Computer Science Department's Hardware Lab Course Guide. We hope this resource serves as a valuable tool for your studies and contributes to your success in the fascinating world of computer hardware.

- +
Skip to content

About Us

Welcome to the Hardware Lab at Computer Science and Engineering Department of National Institute of Technology, Calicut. We are a team of dedicated individuals who have come together to create a comprehensive resource for students studying computer hardware in the department.

Our Philosophy

Our mission is to provide students with a valuable tool that enhances their learning experience in the hardware lab course. We aim to simplify complex concepts, offer practical tips, and provide step-by-step guides to help students excel in their studies.

The Computer Science Department

The Computer Science Department of NITC is a leading academic department that fosters innovation, research, and excellence in the field of computer science. Our department offers a wide range of courses and programs designed to equip students with the knowledge and skills required to thrive in the ever-evolving world of technology.For more information visit https://minerva.nitc.ac.in/

The Hardware Lab Course Guide Team

Faculty :fontawesome-solid-computer:

The MIPS Team :simple-linkedin:

The Verilog Team :simple-linkedin:

Web and Repository Maintenance :simple-github:

Join Our Team

We are always looking to expand our team and welcome individuals who share our passion for computer hardware education. If you are interested in contributing to the Hardware Lab Course Guide or have any suggestions, we would love to hear from you. Please reach out to us at hwlab_csed@nitc.ac.in :octicons-mail-16:

Thank you for visiting the Computer Science Department's Hardware Lab Course Guide. We hope this resource serves as a valuable tool for your studies and contributes to your success in the fascinating world of computer hardware.

+ \ No newline at end of file diff --git a/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.js b/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.js new file mode 100644 index 0000000..3bbd6a0 --- /dev/null +++ b/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.js @@ -0,0 +1 @@ +import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const m=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the MIPS Guide","text":"","tagline":"This part covers the following topics"},"features":[{"title":"Introduction to MIPS","details":"Setting up and understanding MIPS ISA.","link":"#introduction-to-mips"},{"title":"Basic Integer and String Handling","details":"Learn the Basics of MIPS integers.","link":"#introduction-to-mips"},{"title":"Integer Array Handling","details":"Learn how to make integer arrays and operate them.","link":"#introduction-to-mips"},{"title":"2-D Array Handling","details":"Extend your array knowledge with 2-D arrays.","link":"#introduction-to-mips"},{"title":"Strings (Advanced)","details":"String Handling explained in detail.","link":"#introduction-to-mips"},{"title":"Floating Points","details":"Learn how to handle floating point numbers.","link":"#introduction-to-mips"}]},"headers":[],"relativePath":"MIPS/index.md","filePath":"MIPS/index.md"}'),n={name:"MIPS/index.md"},i=t('

Introduction to MIPS

Main Documentation : Click Here
Theory explanation: Video Link

Setting up MARS:

Basic Integer and String Handling

Main Documentation : Click Here
Theory Explanation: Video Link

Sample Code Bank:

  1. Reversing 2 digit number
  2. Calculating Average Marks
  3. Finding Spy Number

Floating Point Handling

Main Documentation : Click Here

Farenheit to Celcius:
Sample Code

Maximum and Minimum in Float Array:
Sample Code

Round off to n digits:
Sample Code

Integer Array Handling

Main Documentation (Also contains String advanced : Click Here

Introduction to Integer Arrays:

  1. Video

  2. Sample Code

Sum of Elements of an Array:

  1. Video

  2. Sample Code

Greatest Element in Array:

  1. Video▶️
  2. Sample Code

Merge 2 Sorted Arrays:

  1. Video▶️
  2. Sample Code

Highest and Lowest occurrence of an element in an array:

  1. Video ▶️
  2. Sample Code

Binary Search:

  1. Video ▶️
  2. Sample Code

Quicksort:

  1. Video ▶️
  2. Sample Code

2-D Integer Array Handling

Main Documentation : Click Here

Theory Explanation: Video Link
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video ▶️
  2. Sample Code

Traversal of Strings (Advanced string operations):

Length of String:

Palindrome Check:

Concatenation of strings:

',46),o=[i];function l(s,d,h,g,c,b){return a(),r("div",null,o)}const _=e(n,[["render",l]]);export{m as __pageData,_ as default}; diff --git a/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.lean.js b/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.lean.js new file mode 100644 index 0000000..0962f8e --- /dev/null +++ b/docs/.vitepress/dist/assets/MIPS_index.md.BShnq2Ay.lean.js @@ -0,0 +1 @@ +import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const m=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the MIPS Guide","text":"","tagline":"This part covers the following topics"},"features":[{"title":"Introduction to MIPS","details":"Setting up and understanding MIPS ISA.","link":"#introduction-to-mips"},{"title":"Basic Integer and String Handling","details":"Learn the Basics of MIPS integers.","link":"#introduction-to-mips"},{"title":"Integer Array Handling","details":"Learn how to make integer arrays and operate them.","link":"#introduction-to-mips"},{"title":"2-D Array Handling","details":"Extend your array knowledge with 2-D arrays.","link":"#introduction-to-mips"},{"title":"Strings (Advanced)","details":"String Handling explained in detail.","link":"#introduction-to-mips"},{"title":"Floating Points","details":"Learn how to handle floating point numbers.","link":"#introduction-to-mips"}]},"headers":[],"relativePath":"MIPS/index.md","filePath":"MIPS/index.md"}'),n={name:"MIPS/index.md"},i=t("",46),o=[i];function l(s,d,h,g,c,b){return a(),r("div",null,o)}const _=e(n,[["render",l]]);export{m as __pageData,_ as default}; diff --git a/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.js b/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.js deleted file mode 100644 index bd2c5c6..0000000 --- a/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.js +++ /dev/null @@ -1 +0,0 @@ -import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const m=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"MIPS/index.md","filePath":"MIPS/index.md"}'),n={name:"MIPS/index.md"},o=t('

Welcome to the MIPS Guide

This course covers the following topics-

  • Introduction to MIPS - Setting up and understanding MIPS ISA.
  • Basic Integer and String Handling - Learn the Basics of MIPS integers.
  • Integer Array Handling - Learn how to make integer arrays and operate them.
  • 2-D Array Handling - Extend your array knowledge with 2-D arrays.
  • Strings (Advanced) - String Handling explained in detail.
  • Floating Points - Learn how to handle floating point numbers.

Introduction to MIPS

Main Documentation :material-google-drive: : Click Here
Theory explanation: Video Link
Setting up MARS:

  1. Video Link

  2. Sample Code

Basic Integer and String Handling

Main Documentation :material-google-drive: : Click Here
Theory Explanation: Video Link
Sample Code Bank:

  1. Reversing 2 digit number

  2. Calculating Average Marks

  3. Finding Spy Number

Floating Point Handling

Main Documentation :material-google-drive: : Click Here

Farenheit to Celcius:
Sample Code

Maximum and Minimum in Float Array:
Sample Code

Round off to n digits:
Sample Code

Integer Array Handling

Main Documentation (Also contains String advanced):material-google-drive: : Click Here

Introduction to Integer Arrays:

  1. Video

  2. Sample Code

Sum of Elements of an Array:

  1. Video

  2. Sample Code

Greatest Element in Array:

  1. Video▶️
  2. Sample Code

Merge 2 Sorted Arrays:

  1. Video▶️
  2. Sample Code

Highest and Lowest occurrence of an element in an array:

  1. Video ▶️
  2. Sample Code

Binary Search:

  1. Video ▶️
  2. Sample Code

Quicksort:

  1. Video ▶️
  2. Sample Code

2-D Integer Array Handling

Main Documentation :material-google-drive: : Click Here

Theory Explanation: Video Link
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video ▶️
  2. Sample Code

Traversal of Strings (Advanced string operations):

  1. Video ▶️
  2. Sample Code

Length of String:

  1. Video ▶️
  2. Sample Code

Palindrome Check:

  1. Video ▶️
  2. Sample Code

Concatenation of strings:

  1. Video ▶️
  2. Sample Code

',49),i=[o];function l(s,d,g,h,c,b){return a(),r("div",null,i)}const _=e(n,[["render",l]]);export{m as __pageData,_ as default}; diff --git a/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.lean.js b/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.lean.js deleted file mode 100644 index c7f43af..0000000 --- a/docs/.vitepress/dist/assets/MIPS_index.md.CY43yMpU.lean.js +++ /dev/null @@ -1 +0,0 @@ -import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const m=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"MIPS/index.md","filePath":"MIPS/index.md"}'),n={name:"MIPS/index.md"},o=t("",49),i=[o];function l(s,d,g,h,c,b){return a(),r("div",null,i)}const _=e(n,[["render",l]]);export{m as __pageData,_ as default}; diff --git a/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.js b/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.js new file mode 100644 index 0000000..94c1485 --- /dev/null +++ b/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.js @@ -0,0 +1,924 @@ +import{_ as e,a as t,b as i,c as p,d as l,e as r,f as o,g as d,h,i as c,j as g,k as u,l as k,m,n as b,o as f}from"./chunks/mips2-image-0009.DFxqnWYO.js";import{_ as y,c as E,a as s,a4 as n,j as a,o as $}from"./chunks/framework.DRnJpP2i.js";const L=JSON.parse('{"title":"MIPS","description":"","frontmatter":{},"headers":[],"relativePath":"MIPS/mips.md","filePath":"MIPS/mips.md"}'),v={name:"MIPS/mips.md"},w=n(`

MIPS

Setting up the MARS Code Editor

Introduction to MARS Simulator

MARS simulator will be the software on which we will be running our MIPS code.

Setting up JAVA SDK

(Please note this tutorial is to download SDK Ver 11)

Downloading Java SDK on MacOS:
  1. Open a web browser on your macOS computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your macOS version (e.g., macOS x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the macOS version and click on the provided download link.
  8. The JDK installer package will be downloaded to your computer.
  9. Locate the downloaded package in your Downloads folder or the folder you specified for downloads.
  10. Double-click on the JDK installer package to launch the installation wizard.
  11. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your macOS computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Windows version (e.g., Windows x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Windows version and click on the provided download link.
  8. The JDK installer executable file (e.g., jdk-11.0.x_windows-x64_bin.exe) will be downloaded to your computer.
  9. Locate the downloaded executable file, and double-click on it to launch the installation wizard.
  10. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your Windows computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Linux computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Linux distribution.
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Linux version and click on the provided download link.
  8. The JDK archive file (e.g., jdk-11.0.x_linux-x64_bin.tar.gz) will be downloaded to your computer.
  9. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  10. Navigate to the directory where you downloaded the JDK archive file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  11. Extract the contents of the JDK archive using the following command: tar -xvzf jdk-11.0.x_linux-x64_bin

Setting Up MARS Simulator

Note: Is your MARS text unreadably small? Download and use a new release Java 9 or above which contains a fix to automatically scale and size AWT and Swing components for High Dots Per Inch (HiDPI) displays on Windows and Linux.

Downloading MIPS MARS Simulator on macOS:
  1. Open a web browser on your macOS computer.
  2. Go to the official website of MIPS MARS at [ http:// courses.missouristate.edu/kenvollmar/mars/]( http:// courses.missouristate.edu/kenvollmar/mars/).
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Double-click on the ZIP file to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Applications folder.
  8. Open Terminal on your macOS by going to Applications > Utilities > Terminal.
  9. In the Terminal window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Applications folder, you can use the following command: cd/Applications
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your macOS computer.
Downloading MIPS MARS Simulator on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/]([http://courses.missouristate.edu/ kenvollmar/mars/])
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Right-click on the ZIP file and select "Extract All" to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Program Files folder.
  8. Open the Command Prompt on your Windows computer by pressing the Windows key + R, typing "cmd," and hitting Enter.
  9. In the Command Prompt window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Program Files folder, you can use the following command: cd "C:\\Program Files"
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your Windows computer.
Downloading MIPS MARS Simulator on Linux:
  1. Open a web browser on your Linux computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/](http://courses.missouristate.edu/ kenvollmar/mars/)
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "Mars4_5.jar.zip" will be downloaded to your computer.
  5. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  6. Navigate to the directory where you downloaded the ZIP file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  7. Unzip the ZIP file using the following command: unzip Mars4_5.jar.zip
  8. This will extract the "Mars4_5.jar" file from the ZIP archive.
  9. Move the "Mars4_5.jar" file to a suitable location on your computer. For instance, you can move it to the /opt directory using the following command: sudo mv Mars4_5.jar /opt
  10. To run the MIPS MARS simulator, open the terminal and navigate to the directory where you placed the JAR file. For example, if you moved it to the / opt directory, use the following command: cd /opt
  11. Execute the following command to launch the MIPS MARS simulator java -jar Mars4_5.jar
  12. The MIPS MARS simulator should now launch on your Linux computer.

MARS ASSEMBLY AND SYSTEM CALLS

Before assembling, the environment of this simulator can be simplisticly split to three segments: the editor at the upper left where all of the code is being written, the compiler/output right beneath the editor and the list of registers that represent the "CPU" for our program.

After assembling (by simply pressing F3) the environment changes, with two new segments getting the position of the editor: the text segment where

i) each line of assembly code gets cleared of "pseudoinstructions" (we'll talk about those in a sec) at the "basic" column and

ii) the machine code for each instruction at the "code" column, and the data segment where we can have a look at a representation of the memory of a processor with little-endian order.

After assembling, we can execute our code either all at once (F5) or step by step (F7), as well as rewinding the execution several steps backwards to the back (F8).

MARS accepts and exports files with the .asm filetype

Pseudo instructions

Before looking at the instruction set , let us look at a few pseudo instructions that’ll help you understand the IS better.

Here's a list of useful pseudo-instructions.

mov $t0, $t1: Copy contents of register t1 to register t0.

li $s0, immed: Load immediate into to register s0. The way this is translated depends on whether immed is 16 bits or 32 bits.

la $s0, addr: Load address into to register s0.

lw $t0, address: Load a word at address into register t0

Given below are some standard arithmetic and logical instructions standard to the MIPS Instruction Set Architecture.

**MARS provides a small set of operating system-like services through the system call (syscall) instruction **

**To request a service, a program loads the system call code into register $v0 and arguments into registers $a0~$a3 **

System calls that return values put their results in register $v0

Arithmetic Instructions
InstructionExampleMeaningComments
addadd $1,$2,$3$1=$2+$3
subtractsub $1,$2,$3$1=$2-$3
add immediateaddi $1,$2,100$1=$2+100"Immediate" means a constant number
add unsignedaddu $1,$2,$3$1=$2+$3Values are treated as unsigned integers,not two's complement integer
subtract unsignedsubu $1,$2,$3$1=$2-$3Values are treated as unsigned integers,not two's complement integers
add immediate unsignedaddiu $1,$2,100$1=$2+100Values are treated as unsigned integers,not two's complement integers
multiply (without overflow)mul $1,$2,$3$1=$2*$3Result is only 32 bits!
multiplymult $1,$2,$3$hi, $low=$2*$3Upper 32 bits stored in special register hi. Lower 32 bits stored in special register lo
dividediv $1,$2,$3$hi,$low=$2/$3Remainder stored in special register hi. Quotient stored in special register lo

Logical

InstructionExampleMeaningComments
andand $1,$2,$3$1=$2&$3Bitwise AND
oror $1,$2,$3$1=$2$3
and immediateandi $1,$2,100$1=$2&100Bitwise AND with immediate value
or immediateori $1,$2,100$1=$2100
shift left logicalsll $1,$2,10$1=$2<<10Shift left by constant number of bits
shift right logicalsrl $1,$2,10$1=$2>>10Shift right by constant number of bits

Data Transfer

InstructionExampleMeaningComments
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
store wordsw $1,100($2)Memory[$2+100]=$1Copy from register to memory
load upper immediatelui $1,100$1=100x2^16Load constant into upper 16 bits. Lower 16 bits are set to zero
load addressla $1,label$1=Address of the labelPseudo-instruction (provided by assembler). Loads computed address of label (not it's contents) into register
load immediateli $1,100$1=100Pseudo instruction (provided by assembler). Loads immediate value into the register.
move from himfhi $2$2=hiCopy from special register hi to general register
move from lomflo $2$2=loCopy from special register lo to general register
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
movemove $1,$2$1=$2Pseudo instruction (provided by assembler). Copy from register to register

Conditional Branch

InstructionExampleMeaningComments
branch on equalbeq $1,$2,100if($1==$2) go to PC+4+100Test if registers are equal
branch on not equalbne $1,$2,100if($1!=$2) go to PC+4+100Test if registers are not equal
branch on greater thanbgt $1,$2,100if($1>$2) go to PC+4+100Pseudo-instruction
branch on greater than or equalbge $1,$2,100if($1>=$2) go to PC+4+100Pseudo-instruction
branch on less thanblt $1,$2,100if($1<$2) go to PC+4+100Pseudo-instruction
branch on less than or equalble $1,$2,100if($1<=$2) go to PC+4+100Pseudo-instruction

Comparison

InstructionExampleMeaningComments
set on less thanslt $s1,$s2,$s3if($2<$3) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.
set on less than immediateslti $s1,$s2,100if($2<100) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.

Unconditional Jump

InstructionExampleMeaningComments
jumpj 1000go to address 1000Jump to target address
jump registerjr $1go to address stored in $1For switch procedure return
jump and linkjal 1000$ra=PC+4 go to address 1000Use when making procedure call. This saves the return address in $ra

System Calls

ServiceOperationCode(in $v0)ArgumentsResults
print_intPrint integer number (32 bit)1$a0 = integer to be printedNone
print_floatPrint floating-point number (32 bit)2$f12 = float to be printedNone
print_doublePrint floating-point number (64 bit)3$f12 = integer to be printedNone
print_stringPrint null-terminated character string4$a0 = address of string in memoryNone
read_intRead integer number from user5NoneInteger returned in $v0
read_floatRead floating-point number from user6 NoneFloat returned in $f0
read_doubleRead double floating-point number from user7NoneDouble returned in $f0
read_stringWorks the same as Standard Clibrary fgets()8$a0 = memory address of string input buffer $a1 = length of string buffer (n)None
sbrkReturns the address to a block of memory containing n additional bytes (Useful for dynamic memory allocation)9$a0=amountaddress in $v0
exitStop program from running10NoneNone
print_charPrint character11$a0 = character to be printedNone
read_charRead character from user12NoneChar returned in $v0
exit2Stops program from running and returns an integer17$a0 = result(integer number)None

The complete list of syscalls can be accessed at
https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html

Assembler Directives

DirectiveResult
.word w1, ..., wnStore n 32-bit values in successive memory words
.half h1, ..., hnStore n 16-bit values in successive memory words
.byte b1, ..., bnStore n 8-bit values in successive memory words
.ascii strStore the ASCII string str in memory. Strings are in double-quotes, i.e. "Computer Science"
.asciiz strStore the ASCII string str in memory and null terminate it. Strings are in double-quotes, i.e. "Computer Science"
.space nLeave an empty n-byte region of memory for later use
.align nAlign the next datum on a 2^n byte boundary. For example, .align 2 aligns the next value ona word boundary

Registers

Register NumberRegister NameDescription
0$zeroThe value 0
2-3$v0-$v1Values from expression evaluation and function results
4-7$a0-$a3(arguments) First four parameters for subroutine
8-15, 24-25$t0-$t9Temporary variables
16-23$s0-$s7Saved values representing final computed results
31$raReturn address

MARS(MIPS Assembler/Simulator) Tutorial

1. Input the Tutorial program

1.1) Open the MARS program and click from the file menu choose “File...New”. A black document will open which you can enter your assembly code into. Click “File...Save As” and save the file as “Tutorial1.asm ”.

1.2) Enter the code as shown below into the editor and save the file.

v
# Program File: Tutorial1.asm 
+# Written by:   MoSaad 
+# Date Created: 10/05/2023 
+# Description:  Tutorial program to introduce MARS simulator  including: breakpoints, single-
+stepping, and register and memory windows. 
+#----------------------------------------------------------- 
+#----------------------- 
+# Declare some constants 
+#----------------------- 
+.data 
+string1: .asciiz "Welcome to Hardware Lab at NIT Calicut\\n" 
+string2: .asciiz "Hello World \\n" 
+string3: .asciiz "\\nLoop #" 
+#------------------ 
+# Main program body 
+#------------------ 
+.text 
+main: 
+li $v0,4 
+la $a0,string1 
+syscall 
+la $a0,string2 
+syscall 
+li $t0, 1 
+loop: 
+li $v0, 4 
+la $a0,string3 
+syscall 
+li $v0,1 
+move $a0,$t0 
+syscall 
+addi $t0,$t0,1 
+bne  $t0,4,loop 
+#----- 
+# Halt  
+#----- 
+li $v0, 10 
+syscall

1.4) From the menu, choose “Run...Assemble”. The “Mars Messages” window at the bottom of the screen will indicate if any errors occurred. No errors should occur.

2. Simulate the tutorial program

2.1) From the menu, choose “Run...Go” to execute the program. The program will execute displaying two lines of text and three iterations of a loop to the Run /IO window.

2.2) The buttons at the top of the window can be used as shortcuts for the run menu. Use the “Reset” button to reset the program, and then try tracing through the program by clicking the step button.

2.3) You can adjust the speed the program runs by moving the slider to the right of the buttons. If you have an infinite loop in your program, it may be necessary to adjust (slow down) the speed of the simulator to prevent the MARS program from crashing.

',70),C=a("img",{src:e},null,-1),F=a("img",{src:t},null,-1),x=a("img",{src:i},null,-1),q=a("img",{src:p},null,-1),A=a("img",{src:l},null,-1),S=a("img",{src:r},null,-1),P=n('

3. Using the Debugging Tools

3.1) When a program does not work as expected you will need to use the debugging tools provided with the simulator.

3.2) One of the primary tools used to debug a program is setting a breakpoint. You can break before execution of an instruction by clicking on the checkbox associated with each instruction on the far left of the execute window. Set a breakpoint at the instruction: addi $t0,$t0,1

3.3) Run the program until the breakpoint by clicking “Run”. At this point in the program only the first loop iteration has been printed. (You will need to click back to the Run/IO window to see the output.)

3.4) Now use the “Trace Into” button to step through the loop that prints out the next line of text one character at a time. Step through the instructions until “Loop #2” is printed to the output window. Stop and find the value of the registers “t0” and “pc” at that point? Has the line of code that the program counter points to executed yet?

3.5) The simulator also allows you to view the memory contents. The memory window appears in the middle of the screen and is titled “Data Segment”. Remove the earlier breakpoint and add a breakpoint to line 33, “syscall”. Click the run button so that the program executes up until the new breakpoint. We are now in the code right before “Loop #” is about to be printed for the third iteration. Notice that the $a0 register is now a pointer to the address where the “Loop #” text is stored. What is the memory location the register is pointing to?

3.6) Now look in the data segment area, and find the address $a0 points to. This is the memory section where the characters of the text “Loop #” is stored. Using an ASCII table find the address where the ‘p’ in “Loop” is located?

3.7) Exercise: Can you find where the word “Welcome” is stored in the memory?

Integer Handling

Unlike NASM where numbers are stored as characters, MIPS can store single or multiple digit integers directly. This chapter describes how to read and print integers. Basic operations in integer handling (add, sub, mul, div) will also be covered.

1. Declaring an integer

Integers can be declared as constants in the ‘.data’ section as shown below:

Code to declare constants ‘x’ and ‘y’ with values 30 and 40 respectively:

v
.data 
+x: .word 30 
+y: .word 40

2. Loading an integer value into a register

Another way of using integers in MIPS is to read their values into temporary registers using ‘I’ type instructions. The two commonly used methods of reading integer values are:

  1. Loading a value into a temporary register.
  2. Adding the value of the zero register and any value into a temporary register.

Code to enter integer values 5 and 10 using add and load instructions respectively into temporary registers:

v
.text 
+addi  $t0, $0, 5 
+li $t1, 10

3. Reading integers as input from the user

Integers can be read from the user using syscall (system call) instructions. The system call code for reading an integer is ‘5’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The input is then stored in $v0.

Code to read an integer input from the user:

v
.text 
+li $v0, 5 
+syscall

4. Printing integers

Integers stored in registers can also be printed using syscall instructions. The system call code for printing an integer is ‘1’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The integer to be printed must be stored in the $a0 register.

Code to print the integer ‘5’ after storing it in a register:

v
.text 
+li $a0, 5 
+li $v0, 1 
+syscall

We have now learnt how to store single and multi digit integers and how to print their values. We shall now combine all of these into a single program for a more robust understanding of the covered concepts.

Code to declare a constant x with value 10, load values 20 and 30 into two registers and read an integer value from the user and print all these values:

v
.data 
+x: .word 10 
+.text 
+addi $t0, $0, 20   #load value 20 
+li $t1, 30    #load value 30 
+li $v0, 5     #read integer input
+syscall 
+move  $t2, $v0   #move integer input 
+lw $a0, x     #print x 
+li $v0, 1 
+syscall 
+move $a0, $t0    
+li $v0, 1     #print value of $t0  
+syscall    
+move $a0, $t1   #print value of $t1 
+li $v0, 1 
+syscall 
+move $a0, $t2   #print integer input 
+li $v0, 1 
+syscall 
+li $v0, 10    #exit program 
+syscall

Output:Assume the value ‘5’ is entered by the user as input.

v
5
+1020305
+-- program is finished running --

5. Adding integers

Integers can be added in two ways, either by adding fixed or immediate values to an integer value stored in a register, or by adding two integers that are both stored in registers. Both methods to add integers are demonstrated below:

Code to add integer values stored in registers:

v
.text 
+addi  $t0, $t1, 5   #t0=t1+5  
+add $t2, $t2, $t1   #t2=t2+t1 
+addi  $t1, $zero, 5   #t1=0+5 
+add   $t2, $zero, $t1    #t2=0+t1

6. Subtracting integers

Unlike addition, values can only be subtracted if they are stored in a register.

Code to subtract integer values stored in registers:

.text 
+sub $t2, $t2, $t1   #t2=t2-t1    
+sub   $t2, $zero, $t1    #t2=0-t1

7. Multiplying integers

MIPS allows you to multiply the values present in two registers and stores the 32 most significant bits in the HI special register and the 32 least significant bits in the LO special register.

The value obtained in the HI and LO registers can be accessed using the mfhi and mflo instructions respectively.

Code to multiply two integers values and access the result after multiplication:

.text 
+mult  $t0, $t1     #signed mult 
+mflo  $s0     #s0=t0*t1 
+multu $t2, $t3    #unsigned mult  
+mflo  $s1     #s1=t2*t3

8. Dividing integers

Division in MIPS is similar to multiplication except for a key difference, the HI special register stores the remainder while the LO special register will hold the quotient of the division.

Code to divide to integers and access the remainder and quotient after division:

.text 
+div $t1, $t2    #signed div 
+mfhi  $s0     #s0=t1%t2 
+mflo  $s1     #s1=t1/t2 
+divu  $t3, $t4    #unsigned div 
+mfhi  $s2     #s2=t3%t4 
+mflo  $s3      #s3=t3/t4

Points To Note

1. Entering number of size larger than 32 bits The largest integer that can be entered in 32 bit space is 2,147,483,647. Entering a number larger than that results in the following error:

\`\`\` Runtime exception at 0x0040002c: invalid integer input (syscall 5)\`\`\`
+

2. Changing the value of $zero register It is not possible to change the value of the $zero register, any instructions that attempt to alter the value have no effect.

3. Multiplying numbers of size 32 bits In MIPS, all integer values must be 32 bits. So if there is a valid answer, it must be contained in the lower 32 bits of the answer. Thus to implement multiplication in MIPS, the two numbers must be multiplied using the mult operator, and the valid result moved from the lo register.

4. Division by zero If the divisor is zero, then the MIPS divide instructions do not compute any result in the HI and LO registers. Division by zero is ignored and no exception is produced.

5. Meaning of the .word directive The .word directive allocates 4 bytes of space in the data region. The .word directive can then be given an integer value, and it will initialize the allocated space to that integer value. Be careful as it is incorrect to think of a the .word directive as a declaration for an integer, as this directive simply allocates and initializes 4 bytes of memory, it is not a data type. What is stored in this memory can be any type of data.

String Operations

Strings in MIPS can be declared as constant in the .data part of the program. They are often stored this way so that they can be used as user prompts or to format output of a program. Strings can also be entered by the user during the runtime of the program. Both methods of using strings in MIPS will be demonstrated below.

1. Entering string constants

Pre-determined strings enclosed by double quotes can be declared in the .data section.

Code to store the string “enter an element:” in memory under the name “message”:

.data 
+message: .asciiz “enter an element:”

2. Enter strings as input from the user

To enter strings as input, the syscall code to be used is 8. Apart from that, the address of the memory space into which the string must be entered, is loaded into the $a0 register. The maximum size of the string must also be loaded into the $a1 register before performing the syscall.

Code to read a string as input from the user:

.data 
+input: .space 100 
+inputsize: .word 100 
+.text 
+li $v0, 8 
+la $a0, input 
+lw $a1, inputsize 
+syscall

3. Printing strings stored in memory

To print the required string, the syscall to be used is 4. The address of the string has to be loaded into the $a0 register.

Code to print a string named ‘message’ stored in memory:

.text 
+li $v0, 4 
+la $a0, output 
+syscall

We have now learnt how to declare strings constants as well as how to store strings entered by the user. We shall now write a program combining both the concepts for a more robust understanding of basic string operations.

Code to read a string, with prompts instructing the user:

.text 
+main: 
+    # Prompt for the string to enter 
+    li $v0, 4 
+    la $a0, prompt 
+    syscall 
+ 
+    # Read the string.  
+    li $v0, 8 
+    la $a0, input 
+    lw $a1, inputSize  
+    syscall 
+     
+    # Output the text 
+    li $v0, 4 
+    la $a0, output 
+    syscall
+
+    # Output the number 
+    li $v0, 4 
+    la $a0, input 
+    syscall 
+ 
+    # Exit the program 
+    li $v0, 10 
+    syscall 
+ 
+.data 
+input:        .space 81 
+inputSize:    .word 80 
+prompt:       .asciiz "Please enter a string: " 
+output:       .asciiz "\\nYou typed the string: "

Points To Note

  • The .space directive allocates n bytes of memory in the data region of the program, where n=81 in this program. Since the size of a character is 1 byte, this is equivalent to saving 80 characters for data. 81 was used here because in MIPS a sequence of ASCII characters is terminated by a null value (byte containing 0). This is known as a null terminator.

  • If the string the user enters is larger than the maximum size of the string, it is truncated to the maximum size. This is to prevent the program from accessing memory not allocated to the string.

Floating Point Numbers

Floating point numbers are stored according to the IEEE 754 Standard. There are 2 types of floating point numbers, single precision and double precision.

Floating point number representation

According to IEE 754 Standard, floating point numbers follow the given representation.

Sign Exponent Fraction

  • The sign bit is 0 or 1, for positive or negative respectively.

  • The exponent stores the exponent of the number in scientific notation of its binary representation, plus a bias.

  • The fraction stores the fractional part of the binary representation of the number.

DataSingle PrecisionDouble Precision
Size32 bits64 bits
Exponent size8 bits11 bits
Fraction size23 bits52 bits
Bias1271023

Note

  • Since there are some numbers with non-ending decimal part in there binary representation ( For Example- ( 1 /3) 10 = (0.01 0011 0011 0011 ....) 2 ) and we have only limited bits to store the fraction part, there will be some slight inaccuracy while storing certain floating point numbers. Therefore, it is recommended to always use double, as it has a higher precision due to its increased no. of bits.

MIPS floating point architecture

In MIPS, all floating point calculations are computed in a separate processor, called co- processor 1.

The coprocessor contains 32 floating point registers, each of width 32 bits. The registers are numbered from $f 0 to $f3 1.

Each register is can store a single precision floating point number, while double precision is stored in 2 registers in an even-odd pair. For instructions concerning double precision numbers, the even numbered register is used in the instruction. Using an odd numbered register will throw an error.

In addition to the registers, there are 8 condition flags, which are used in floating point compare and branch instructions.

Floating point registers in MIPS

RegistersUsage
$f0 - $f3Used for results of floating point procedures
$f4 - $f11Temporary floating point registers, whose values are NOT preserved across procedure calls
$f12 - $f15Floating point parameters, whose values are NOT preserved across procedure calls
$f16 - $f19More temporary floating point registers, whose values are NOT preserved across procedure calls
$f20 - $f31Saved floating point registers, whose values are preserved across procedure calls

Among the 32 registers, only $f 4 - $f 11 , $f 16 - $f 19 and $f 20 - $f 31 can be used by the programmer for storing values, as the others are reserved for special purposes.

Note

  • Unlike the general purpose register $ 0 , $f 0 is not hardwired to be zero, and is used for storing results of procedures.

Declaring a Floating point number

.data
+  num1: .float 3.
+  num2: .double 4.5 3
+
+  .align 2 # Since float has 2^2 bytes
+  float_arr: .space 100 it has to be aligned to 2
+
+  .align 3 # Since double has 2^3 bytes it has to be aligned to 3
+  double_arr: .space 100

Reading and Printing Floating point numbers

Reading and printing a floating point number is similar to that of an integer, using syscall, only difference being in the $v0 value and parameter registers.

Single precision

The $v0 value for reading a single precision floating point number is 6 while that of printing is 2.

main: 
+  li $v0, 6 
+  syscall    # The number is stored in $f0 
+        
+  li $v0, 2 
+  mov.s $f12, $f0   # The number to be printed is moved to $f12 
+  syscall

Double precision

The $v0 value for reading a double precision floating point number is 7 while that of printing is 3.

main: 
+  li $v0, 7 
+  syscall    # The number is stored in $f0/$f1 
+        
+  li $v0, 3 
+  mov.d $f12, $f0   # The number to be printed is 
+  syscall     moved to $f12/$f13

Data Movement Instructions

InstructionSyntaxRemarks
Load single/doublel.s fdest, address l.d fdest, addressThe single/double floating-point stored in address is loaded onto register fdest
Store single/doubles.s fsrc, address s.d fsrc, addressThe single/double floating-point stored in register fsrc is stored to address
Move single/doublemov.s fdest, fsrc mov.d fdest, fsrcThe single/double floating-point stored in register fsrc is moved to register fdest
Move from coprocessor 1mfc1 dest, fsrcThe 32 - bit data from floating register fsrc is copied to general purpose register dest
Move to coprocessor 1mtc1 src, fdestThe 32 - bit data from general purpose register src is copied to floating point register fdest

Note

  • There is no load immediate for floating point. So if a constant is needed, it has to be stored in the data segment and loaded to the required register.
  • For the move to/from coprocessor 1 instructions, the first operand is a general purpose register and the second one is the floating point register.

Arithmetic Instructions

InstructionSyntaxRemarks
Additionadd.s fdest, fsrc1, fsrc2
add.d fdest, fsrc1, fsrc
The single/double floating-point numbers stored in fsrc1 and fsrc2
are added and stored in register fdest
Subtractionsub.s fdest, fsrc1, fsrc2
sub.d fdest, fsrc1, fsrc2
The single/double floating-point number stored in fsrc
subtracted from fsrc1 and stored in register fdest
Multiplicationmul.s fdest, fsrc1, fsrc2
mul.d fdest, fsrc1, fsrc2
The single/double floating-point numbers stored in fsrc1 and fsrc2
are multiplied and stored in register fdest
Divisiondiv.s fdest, fsrc1, fsrc2
div.d fdest, fsrc1, fsrc
The single/double floating-point number stored in fsrc1 is divided by fsrc2
and the quotient is stored in register fdest
Negationneg.s fdest, fsrc
neg.d fdest, fsrc
The single/double floating-point number stored in fsrc
is negated (Sign changed) and stored in register fdest
Absolute valueabs.s fdest, fsrc
abs.d fdest, fsrc
Absolute value (Magnitude) of the single/double floating-point number stored in fsrc
is stored in register fdest
Square rootsqrt.s fdest, fsrc
sqrt.d fdest, fsrc
Square root of the single/double floating-point number stored in fsrc
is stored in register fdest

Sample Question 1

Given a temperature in Fahrenheit, convert it into Celsius (Input and output has to be floating point values).

Temperature in degrees Celsius = (Temperature in degrees Fahrenheit - 32 ) * 5 / 9.

data 
+  # Constants used for calculation 
+  const1: .double 32.0 
+  const2: .double 5.0 
+  const3: .double 9.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the temperature in Fahrenheit: " 
+  msg2: .asciiz "The temperature in Celsius is: " 
+ 
+
+.text 
+.globl main 
+main: 
+ 
+  li $v0, 4                    # Printing msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7                    # Reading user input 
+  syscall 
+  
+  mov.d $f12, $f0              # $f12 = User Input 
+  l.d $f14, const1             # $f12 = $f12 - 32 
+  sub.d $f12, $f12, $f14 
+  
+  l.d $f14, const2             # $f12 = $f12 * 5 
+  mul.d $f12, $f12, $f14 
+  
+  l.d $f14, const3             # $f12 = $f12 / 9 
+  div.d $f12, $f12, $f14 
+  
+  li $v0, 4                    # Printing msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 3                    # Printing final answer 
+  syscall 
+  
+  li $v0, 10                   # Exit 
+  syscall

Comparison/Branch Instructions

InstructionSyntaxRemarks
Compare equalc.eq.s cc, fsrc1, fsrc2
c.eq.s fsrc1, fsrc2
c.eq.d cc, fsrc1, fsrc2
c.eq.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the numbers in fsrc1
and fsrc2 are equal, 0 otherwise.
Compare less thanc.lt.s cc, fsrc1, fsrc2
c.lt.s fsrc1, fsrc2
c.lt.d cc, fsrc1, fsrc2
c.lt.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the number in fsrc1
is less than that in fsrc2, 0 otherwise.
Compare less than or equal toc.le.s cc, fsrc1, fsrc2
c.le.s fsrc1, fsrc2
c.le.d cc, fsrc1, fsrc2
c.le.d fsrc1, fsrc
Sets the condition flag cc as 1 if the number in fsrc1
is less thanor equal to that in fsrc2, 0 otherwise.
Branch if truebc1t cc, label
bc1t label
Jumps to label if the condition flag cc is set as 1
Branch if falsebc1f cc, label
bc1f label
Jumps to label if the condition flag cc is set as 0

Note

  • The condition flag can be omitted in the above instructions, in which case condition flag 0 is taken as default.
  • As there is no comparison instruction for “Not equal to”, it has to be implemented by reversing the required branch condition Ex. We need to branch to label if $f4 and $f6 are not equal. This can be written as
      c.eq.d $f4, $f6
    +  bc1.f label
  • For greater than and greater than and equal to, it is simpler to reverse the input registers. Ex. We need to branch to label if $f4 if greater than $f6. This can be written as
      c.le.d $f6 , $f4
    +  bc1.t label

Sample Question 2

Given an array of floating numbers of size n, print the maximum and minimum element

data 
+  # Array 
+  .align 3 
+  arr: .space 1000 
+  
+  # Characters 
+  newline: .asciiz "\\n" 
+ 
+  # User Prompts 
+  msg1: .asciiz "Enter n: " 
+  msg2: .asciiz "Enter no. " 
+  msg3: .asciiz ": " 
+  msg4: .asciiz "The maximum no. is: " 
+  msg5: .asciiz "The minimum no. is: " 
+ 
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4    # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 5    # Read n 
+  syscall 
+  move $t0, $v0 
+  
+  li $t1, 0    # $t1 will be the loop variable  
+               # going from 0,1,2.. 
+  li $t2, 0    # $t2 will be the element indices 
+               # going from 0,8,16.. 
+  loop1:                      # Loop to read n elements 
+    beq $t0, $t1, end_loop1   # Termination condition 
+   
+    li $v0, 4                 # Print msg2 
+    la $a0, msg2 
+    syscall 
+   
+    li $v0, 1                 # Print position of                    
+    move $a0, $t1             # number to be inputted 
+    addi $a0, $a0, 1 
+    syscall 
+   
+    li $v0, 4                 # Print msg3 
+    la $a0, msg3 
+    syscall 
+   
+    li $v0, 7                 # Read input and  
+    syscall                   # store in arr 
+    s.d $f0, arr($t2) 
+   
+    addi $t1, $t1, 1   # Increamenting #t1  
+    addi $t2, $t2, 8   # and $t2 
+    j loop1 
+  
+  end_loop1: 
+  
+  li $t1, 0    # Resetting $t1 and $t2 
+  li $t2, 0 
+  l.d $f4, arr($zero)  # $f4 stores the max value 
+  l.d $f6, arr($zero)  # $f6 stores the min value
+
+  loop2:                        # Loop to compute max and min 
+    beq $t0, $t1, end_loop2     # Termination Condition 
+   
+    l.d $f8, arr($t2)           # Load a number from arr 
+   
+    c.lt.d $f4, $f8             # Compare if the number is  
+                                # greater than current max 
+    bc1f not_max  
+      mov.d $f4, $f8            # If yes then update new max 
+    not_max: 
+   
+    c.lt.d $f8, $f6             # Compare if the number is  
+                                # less than current min 
+    bc1f not_min 
+      mov.d $f6, $f8            # If yes then update new min 
+    not_min: 
+   
+    addi $t1, $t1, 1            # Incrementing $t1 and $t2 
+    addi $t2, $t2, 8 
+    j loop2 
+  
+  end_loop2: 
+  
+  li $v0, 4                      # Print msg4 
+  la $a0, msg4 
+  syscall 
+  
+  li $v0, 3                      # Print max number 
+  mov.d $f12, $f4 
+  syscall 
+
+  i $v0, 4    # Print newline 
+  la $a0, newline 
+  syscall 
+  
+  li $v0, 4    # Print msg5 
+  la $a0, msg5 
+  syscall 
+  
+  li $v0, 3    # Print min number 
+  mov.d $f12, $f6 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Data Conversion Instructions

Conversion within floating point

InstructionSyntaxRemarks
Convert single to doublecvt.d.s fdest, fsrcThe single floating-point stored in fsrc is converted to double and stored in fdest
Convert double to singlecvt.s.d fdest, fsrcThe double floating-point stored in fsrc is converted to single and stored in fdest

Conversion to integers

InstructionSyntaxRemarks
Convert single to integercvt.w.s fdest, fsrcThe single floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point)
and stored in 2 ’s compliment form in fdest
Convert double to integercvt.w.d fdest, fsrcThe double floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point) and
stored in 2 ’s compliment form in fdest

Note

  • The output of the above two instructions is in 2 ’s compliment form, which should not be used with any other instructions other than mfc1. Other instructions always assume the data in registers are according to IEEE standards, which can cause errors.

Conversion from integers

InstructionSyntaxRemarks
Convert integer to singlecvt.s.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to single precision floating point and is stored in fdest
Convert integer to doublecvt.d.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to double precision floating point and is stored in fdest

Special Instructions

InstructionSyntaxRemarks
Ceilingceil.w.s fdest, fsrc
ceil.w.d fdest, fsrc
The smallest integer not greater than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Floorfloor.w.s fdest, fsrc
floor.w.d fdest, fsrc
The greatest integer not smaller than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Round to nearest integerround.w.s fdest, fsrc
round.w.d fdest, fsrc
The floating-point stored in fsrc is rounded off to
nearest integer and stored in fdest in 2 ’s compliment form

Sample Question 3

Given a floating point number and an integer n, round off the floating point number to n digits.

Hint-

Multiplty then given number with 10^n, and round off using round.w.d instruction. Divide the result by 10^n to obtain the final result.

data 
+  # Constants used for calculation 
+  const1: .double 0.0 
+  const2: .double 1.0 
+  const3: .double 10.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the number : " 
+  msg2: .asciiz "Enter number of digits to round off to: " 
+  msg3: .asciiz "The rounded off number is: " 
+  
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4     # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7     # Read number to round off 
+  syscall 
+  
+  mov.d $f12, $f0    # $f12 = user input 
+  
+  li $v0, 4     # Print msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 7     # Read n 
+  syscall 
+
+  mov.d $f16, $f0    # $f16 = n 
+  l.d $f4, const1    # $f4 used as a loop varibale 
+                     # initialised to 0 
+  l.d $f6, const2    # $f6 used to store 10^n 
+  l.d $f8, const3    # $f8 used to store 10 for  
+                     # calculating power 
+  l.d $f10, const2   # $f10 used to store 1 for  
+                     # incrementing loop variable 
+  loop1:    # Loop to compute 10^n 
+    c.eq.d $f4, $f16  # Ternminaltion Condition 
+    bc1t end_loop1 
+  
+    mul.d $f6, $f6, $f8 # $f6 = $f6 * 10 
+    add.d $f4, $f4, $f10  # $f4 = $f4 + 1 
+  
+    j loop1 
+  end_loop1: 
+  
+  mul.d $f12, $f12, $f6  # $f12 = $f12 * (10^n) 
+  round.w.d $f12, $f12   # $f12 is rounded to nearest 
+                         # integer 
+  cvt.d.w $f12, $f12     # Output after rounding,  
+                         # which is a word, is  
+                         # converted back to double 
+  div.d $f12, $f12, $f6  # $f12 = $f12 / (10^n) 
+  
+  li $v0, 4    # Print msg3 
+  la $a0, msg3 
+  syscall  
+ 
+  li $v0, 3    # Print final answer 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Integer Arrays

An Integer Array is continuous storage block in memory in which each element is an integer. Each element of an array is accessed using the base address of the array and the index of the element we must access.

Declaration and Initialization

Integer arrays can be initialized using .word or an empty array can be declared using the .space keyword inside the .data section of the program

data: 
+arr: .word 3,4,-5,6    #initialized an array arr=[3,4,-5,6] 
+arr2: .space 40  # empty array with 40 bytes reserved for arr2

Array Traversal

Array traversal refers to accessing each element of the array using its index.

Each integer element of an array occupies a word (4 bytes). Therefore,4 bytes needed to be added to the current address to obtain the address of the following element.

Address of arr [k] = base address of arr + 4 * k

Eg: To access arr[3] and store it to $t

a $s0,arr   # base add address of array is stored in $s0 
+addi $s0,$s0,12    #  $s0 = address of arr[3] = arr + 4 * 3 
+lw $t2,0($s0)    # $t2=arr[3]

or

a $s0,arr   # base add address of array is stored in $s0 
+lw $t2,12($s0)  # $t2=value of (arr + 12)

Array Input

The elements of an integer array can be received from the user using the syscall for integer input and a for loop.

An empty array must be declared in the .data by allocating the necessary space as shown before.

Each integer element of an array occupies 4 bytes. Therefore, 4 bytes needed to be added to the current address after each insertion.

The following code segment can be used to create an array of size n by receiving inputs from the user:

Assume $s1 contains n, $s0 contains base address of an empty array and $t0 is the loop counter

for: 
+  beq $t0,$s1,exit      # loop termination condition 
+  sll $t1,$t0,2         # $t1=i*4 
+  add $t1,$t1,$s0   # $t1 = arr + i * 4         
+       
+  li $v0,5           # input integer and store in $t2 
+  syscall 
+  move $t2,$v0 
+  sw $t2,0($t1)      # $t2 = arr[i] 
+  addi $t0,$t0,1     # increment loop counter i 
+  j for

Printing an Integer Array

Integer Array can be printed by traversing each element by using array traversal rules (as discussed above) and integer printing (code 1 is loaded to $v0).

Consider the following code snippet to print the array created in the previous example in which every element is provided by the user:

la $s0,arr             # store base address of array in $s0 
+li $t0,0 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       # array traversal to access arr[i] 
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)       # $t2=arr[i] 
+  li $v0,1            # 1 is the syscall number to print integers 
+  move $a0,$t2         # print $t2   
+  syscall 
+    
+  li $a0, 32     # 32 is the ASCII code for space  
+  li $v0, 11          # syscall number for printing character 
+  syscall 
+  addi $t0,$t0,1 # increment loop counter 
+  j print 
+end:                         
+li $v0,10   #end program        
+syscall

Negative Numbers as Elements

All integer operations in MIPS support both positive and negative numbers. No changes are required to the program for the input and output of positive integers.

enter array element: -5 
+enter array element: -6 
+enter array element: 8 
+enter array element: -2 
+The elements of the array are: -5.0 -6.0 8.0 -2.0  
+-- program is finished running --

Floating Point Numbers as Elements

An array of floating-point numbers can be created by replacing all the integer operations with floating-point operations. The following changes need to be made to convert an integer array into an array of floating-point numbers:

  1. Replace the integer code loaded to $v0 by floating-point code
  2. Replace the load, store and move operation by l.s , s.s and mov.s where floating point data type is used
# program to input array 
+for: 
+  beq $t0,$s1,exit
+  sll $t1,$t0,2
+  add $t1,$t1,$s0  
+  li $v0,6          # code for floating point input 
+  syscall
+  s.s $f0,0($t1)    # arr[i]=$f0 
+  addi $t0,$t0,1 
+  j for  
+exit: 
+
+# print array 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       
+  add $t1,$t1,$s0 
+  l.s $f0,0($t1)       # $f0=arr[i] 
+  li $v0,2           # code for printing floating point 
+  mov.s $f12,$f0   
+  syscall 
+  li $a0, 32           
+  li $v0, 11           
+  syscall 
+  addi $t0,$t0,1  
+  j print 
+end

One Dimensional Arrays

To calculate the sum of n numbers in an n sized array

li $t0,0 
+la $s0,arr 
+li $t3,0        #t3 stores the sum 
+sum:          #for loop 
+  beq $t0,$s1,end     # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)      # $t2=arr[i] 
+  add $t3,$t3,$t2    # $t3=$t3+$t2 
+  addi $t0,$t0,1     # increment loop counter 
+  j sum 
+end:                 
+li $v0,1              # print sum 
+move $a0,$t3                 
+syscall

To find the largest element in an n sized array

la $s0,arr 
+li $t0,0 
+li $t3,-1000 # $t3=max 
+  # initialized to -1000   
+max: 
+  beq $t0,$s1,end # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)  # $t2=arr[i] 
+  ble $t2,$t3,inc_i  # if arr[i]<=max, jump to inc_count 
+  move $t3,$t2   # if arr[i]>max, max=arr[i] 
+  inc_count: 
+    addi $t0,$t0,1  # increment loop counter 
+    j max 
+end:  
+li $v0,1                  # print max 
+move $a0,$t3                 
+syscall

String Operations (Advanced)

Null Character and Newline

When strings are created by using user input (code 8 passed to $vo), it forms a sequence of ASCII characters that are terminated by a newline character, followed by a NULL character. The newline character is triggered by the pressing of enter key during user input.

The ASCII code for newline is 10. Hence beq, $t1,10,label can be used as a comparing instruction

MIPS treats NULL character as a zero. Hence beqz, $t1, label can be used as a comparing instruction

String Traversal

Strings are made up of characters, in which each character occupies a byte. Hence, unlike an Integer Array, each character must be traversed byte by byte.

Eg:

To find the length of a string (newline character need not be included)

# assume string is input to str1 
+li $s1,0 #loop counter (i) 
+  
+len: 
+  lb $t1,str1($s1)  # $t1=str[i] 
+  beq $t1,10,start  # termination condition 
+  addi $s1,$s1,1    # i=i+1 
+  j len 
+start: 
+  li $v0,1          # print len=i  
+  move $a0,$s1 
+  syscall

If the newline character needs to be included in the length, beq should be replaced with beqz

String Concatenation

Concatenation of two strings can be done using 2 loops. The presence of newline and null character at the end of each string should be considered.

Consider two strings, str1 and str2. The following is the pseudocode and the code snippet for concatenating str2 to str1:
Pseudo Code:

c
i=0
+
+j=0
+
+while str1[i]!='\\n'
+
+i++
+
+while str2[j]!='\\0'
+
+str1[i]=str2[j]
+
+i++
+
+j++

MIPS:

mips
i $s1,0           # i=0 
+li $s2,0           # j=0 
+loop1: 
+   lb $t1,str1($s1)  
+  beq $t1,10,loop2 
+  addi $s1,$s1,1           # i++ 
+  j loop1 
+loop2: 
+  lb $t1,str2($s2) 
+  beqz $t1,end 
+  sb $t1,str1($s1)    # str1[i]=str[j] 
+  addi $s1,$s1,1      # i++ 
+  addi $s2,$s2,1      # j++ 
+  j loop2 
+end:

Palindrome Checking

A palindrome sequence that reads the same backwards as forwards. Palindrome checking uses two pointer method.

Assume a string str, whole length is n. Length of the string is calculated by previous method and stored in $s1. The following code snippet shows the while loop necessary for checking whether the string is palindrome

addi $s1,$s1,-1 # j=n-1  
+li $s0,0        # i=0 
+for: 
+  lb $t1,str($s0)   
+  lb $t2,str($s1)   
+  bge $s0,$s1,true    # if i>=j,exit loop. return True 
+  bne $t1,$t2,false   # if str[i]!=str[j], return False 
+  addi $s0,$s0,1      #  i++ 
+  addi $s1,$s1,-1     #  j-- 
+  j for

Two Dimensional Arrays

2-DArrays/Matrices/Tables aren’t stored in memory the way it is normally visualized; rather it is stored as a single array in either row major form or column major form. Let’s see how a matrix is represented in memory in both the forms with a starting address of 1230. (Remember:In an array each element is 4 bytes long).

a) Row Major form : Here elements are stored row wise. First we store the first row, then the second and soon.

b) Column Major form: Here elements are stored column wise. First we store the first column, then the second and soon.

Row Major form ( starting address 1000 )

Column Major form ( starting address 1000 )

Sample code

->Insertion of elements and printing the 2D-array

**We are using row major form to input the elements as a string

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D array
+Print the matrix:
+- Loop through rows:
+- Loop through columns:
+- Print each element of the matrix
+- Print a space between elements
+- Print a newline after each row
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+mat1: .space 100
+input: .space 100
+
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0         # $t0 = number of rows
+
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+
+  li $v0, 5
+  syscall
+  move $t1, $v0         # $t1 = number of columns
+
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+
+  li $t5, 0
+
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+# when a space is detected add the number calculated to the array and continue
+
+  beq $t4, $zero , end_input
+
+#In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+
+#This loop exist to calculate numbers that have more than one digit , since our input is a string.
+
+# We need to iterate and add the characters until we detect a space
+
+  sub $t6 , $t4, 48 # converts character to integer
+
+# for calculating the number
+  
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  
+  j input_loop
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+print_loop:
+  beq $t6 , $t0 , end_programme
+  li $t7 , 0 # Initialize column index
+
+print_column_loop:
+  lw $t9 , 0($t8) # Load number from array
+  li $v0, 1 # syscall for print_int
+  move $a0, $t9 # Load number to print
+  syscall
+
+  li $v0, 4 # syscall for print_str 
+  la $a0, space # Load address of space
+  syscall
+
+  addi $t7, $t7, 1 # Increment column index
+  addi $t8 , $t8 , 4 # Increment base address
+  blt $t7, $t1 , print_column_loop # Print all elements in the row
+
+# Print newline after each row
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+
+# Reset column index for next row
+  li $t7 , 0
+
+# Increment row index
+  addi $t6 , $t6 , 1
+
+  j print_loop
+  
+end_programme :
+  li $v0, 10 # syscall for exit
+  syscall

Output

  Enter number of rows:3
+  Enter number of columns:3
+  Enter matrix(row major form) : 1 2 3 4 5 6 7 8 9
+
+  1 2 3 
+  4 5 6 
+  7 8 9

->To find maximum and minimum element in a 2-D array

**We are using row major form to input the elements as a string

**same process of taking the input as the above

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D
+array
+Find minimum and maximum elements in the matrix:
+- Initialize minimum element to maximum possible value
+and maximum element to 0
+- Loop through each element of the matrix:
+- Update minimum and maximum elements if necessary
+Print the maximum element message:
+- Print "The maximum element is: "
+- Print the maximum element
+Print the minimum element message:
+- Print "The minimum element is: "
+- Print the minimum element
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+maximum : .asciiz"The maximum element is : "
+minimum : .asciiz"The minimum element is :
+mat1: .space 100
+input: .space 100
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0 # $t0 = number of rows
+  
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+  
+  li $v0, 5
+  syscall 
+  move $t1, $v0 # $t1 = number of columns
+  
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+  
+  li $t5, 0
+  
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+  # when a space is detected add the number calculated to the array and continue
+  
+  beq $t4, $zero , end_input
+  
+  #In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+  
+  #This loop exist to calculate numbers that have more than one digit , since our input is a string
+  # we need to iterate and add the characters until we detect a space
+  
+  sub $t6 , $t4, 48 # converts character to integer
+
+  # for calculating the number
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  j input_loop
+
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+find_min_max:
+  li $t3 , 0 # Initialize max element to 0
+  li $t2 , 0xffffffff
+  li $t6 , 0 # Initialize row index to 0
+  la $a0 , mat1
+
+row_loop:
+  beq $t6, $t0 , end # If all rows have been checked, exit
+  
+  li $t7, 0 # Initialize column index to 0 
+
+column_loop:
+  beq $t7, $t1 , end_column_loop # If all columns have been checked, move to next row
+  
+  lw $t8, 0($a0) # Load current element from array
+
+# Compare current element with max element and the min element
+  bgt $t8, $t3, update_max # if element greater than max element , update max
+  
+  min :
+  blt $t8 , $t2 , update_min # if element smaller than min element, update min
+  
+  increment :
+  addi $a0, $a0, 4 # Move to next column
+  addi $t7, $t7, 1 # Increment column index
+  j column_loop
+
+update_max:
+  move $t3 , $t8 # Update max element
+  j min # control goes back to checking for the conditional statement of min element
+
+update_min:
+  move $t2 , $t8 # Update min element
+  j increment # control goes back to incrementing the looping values
+
+End_column_loop:
+  addi $t6, $t6, 1 # Increment row index
+  j row_loop
+
+end :
+  li $v0 , 4
+  la $a0 , maximum  
+  syscall
+  
+  li $v0 , 1
+  move $a0 , $t3 # printing the maximum element
+  syscall
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+  
+  li $v0 , 4
+  la $a0 , minimum # printing the minimum element
+  syscall
+
+  li $v0 , 1
+  move $a0 , $t2
+  syscall
+  
+  li $v0 , 10
+  syscall

Output

  Enter number of rows :3
+  Enter number of columns :3
+  Enter matrix ( row major form ) : 1 2 34 5 6 7 300 9 22
+
+  The maximum element is : 300
+  The minimum element is : 1

More Questions

->Searching in Matrix: Write a MIPS assembly program to search for a given element in a matrix and return its position if found.

->Matrix Addition: Write a MIPS program to add two matrices of the same size

->Transpose of a Matrix: Write a MIPS assembly program to find the transpose of a given matrix.

MIPS code for if statements ● Iftheconditionisanequalityusebeq,bne ● Iftheconditionisacomparisoncombinebeq/bnewithset-on-less-than

Why not blt or bge? While blt and bge (pseudo-instructions) are available in MIPS, beq and bne are favoured inconditional statements for their efficiency making them the common choice.

Example 1) Given,f:$s0, g:$s1, h:$s2, i:$s3, j:$s4

  if(i==j)
+    f = g + h;
+  else
+    f = g - h;

Solution 1 :- Corresponding MIPS code

  bne $s3, $s4, else
+  add $s0, $s1, $s2
+  j endif
+else: sub $s0, $s1, $s2
+endif: ...........

Example 2) Given,f:$s0 ,g:$s1 ,h:$s2 ,i:$s3 ,j:$s4

  if(i<j)
+    f=g+h;
+  else
+    f=g-h;

Solution 2 :- Corresponding MIPS code

  slt $to, $s3, $s4
+  beq $to, $zero, else
+  add $s0, $s1, $s2
+  j endif
+else:
+  sub $s0, $s1, $s2
+endif: .......

MIPS code for Loop statements

Although there are said to be 3 different types of loops in C namely, do/while, while and for loop, they are all functionally identical. In other words, you can take any for-loop and easily turn it into a while-loop.

asm
  int i;
+  for(i= 0 ;i< 10 ;i++){
+    loopbody;
+  }

or

asm
  inti=0;
+  while(i< 10 ){
+    loopbody;
+    i++;
+  }

Example 1) Given, i:$s3, k:$s

asm
  while(i < j)
+    i+=1;

Solution 1 :- Corresponding MIPS code

asm
loop:
+  slt $t0, $s3, $s4
+  beq $t0, $zero, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit: ...

Example 2) Given i:$t0, k is some integer

asm
  int i;
+  for(i = 0; i < k; i++){
+    // loop body
+  }

Solution 2 :- Corresponding MIPS code

asm
  add $t0, $zero, $zero # i is initialized to 0, $t0 = 0
+Loop: // loop body
+  addi $t0, $t0, 1 # i ++
+  slti $t1, $t0, 4 # $t1 = 1 if i < 4
+  bne $t1, $zero, Loop # go to Loop if i < 4

Example 3) Given, i:$s3, base address of arr:$s6, k:$s

asm
  while(arr[i] == k)
+    i+=3;

Solution 3 :- Corresponding MIPS code

asm
loop:
+  sll $t0, $s3, 3
+  add $t1, $t0, $s6
+  lw $t2, 0($t1)
+  bne $t2, $s5, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit:...

Procedures in MIPS

Understanding the memory layout and the way procedures are called is crucial for writing efficient and correct MIPS assembly code.

➢ Memory Layout

➢ Stack allocation (refer Recursion in MIPS(non-leaf procedure) for detailed explanation)

(a) before, (b) during, and (c) after a procedure call.

There are two types of procedure calling-

1) Leaf Procedures: These procedures do not call other procedures.

When a leaf procedure is called:

● There turn address is saved on the stack. ● A stack frame/procedure frame/activation record is setup to store local variables. ● Arguments may be passed in registers or on the stack. ● The procedure executes its code.

Upon completion,it restores the stack pointer and returns to the saved return address.

2) Non-leaf Procedures(NestedProcedures): These procedures call other procedures, eg.- Recursive Functions/Procedures.

In addition to the steps for leaf procedures, non-leaf procedures must manage:

● Saving and restoring additional registers beyond there turn address. ● Managing multiple levels of procedure calls and returns. ● Ensuring that data in registers is preserved a cross nested calls. ● Properly handling there turn value from called procedures.

Function (Procedure) calling in MIPS

In MIPS assembly language, passing parameters to functions involves using registers. Unlike high-level languages where parameters are often passed on the stack,MIPS typically uses specific registers for passing arguments.

● $a0 to $a3 :These are argument registers and are used to pass the first four arguments to a function. If a function has more than four parameters,additional parameters are typically passed on the stack.

● $v0 and $v1: These are value registers and are used to return values from functions. Functions can return up to two values using these registers.

(Note:-i) Register 1, called $at, is reserved for the assembler.
ii) Registers 26–27, called $k0–$k1 are reserved for the operating system.)

Steps in calling a procedure (function)

In MIPS assembly language, procedure calling follows a similar structure to function calls in high-level languages like C.

The following steps typically occur in both leaf and non-leaf functions but there are some nuances in how they're implemented, especially regarding the handling of the stack:

Arguments Passing :.Arguments to the function can be passed via registers or the stack, depending on the calling convention. In register-based passing, arguments are loaded in to designated argument registers like $a0-$a3. If there are more arguments than available registers, excess arguments are typically passed on the stack.

Jump and Link (jal) :jal makes the control jump to the given address while storing there turn address at PC+4 in the $ra register This effectively sets up the return mechanism for the function call.

Function Prologue (Non-leaf functions): Non-leaf functions need to setup a stack frame. This involves: Saving the return address($ra) on to the stack, saving any callee- saved registers on to the stack(these are typically $s0-$s7),setting up the frame pointer($fp) to establish a reference point for accessing local variables and saved registers.

Function Execution :The function performs its task, accessing arguments, local variables, and performing computations.

● Function Epilogue (Non-leaf functions): Before returning, non-leaf functions need to cleanup the stack frame and restore the state of callee-saved registers.This involves restoring callee-saved registers from the stack, restoring the return address($ra) from the stack resetting the stack pointer($sp) to deallocate the stack frame, jumping back to the return address using the jr $ra instruction.

● Return: Upon completing its task, the function returns control to the caller. If it's a leaf function, it typically involves jumping back to the return address stored in $ra using the jr $ra instruction. For non-leaf functions, the return sequence includes restoring the stack frame and registers before jumping back to the caller.

(Note:-For simplicity we will only use $sp and extend the stack at procedure entry/exit)

Example 1) Calling a procedure which prints a string

asm
//code
+printFunction();
+a=a+2;
+//code

Solution 1 :- Corresponding MIPS code

asm
data
+hello_string: .asciiz "Hello, world!\\n" # String definition
+
+.text
+main:
+....previous code
+jal printFunction #jump to printFunction
+addi $s2, 2
+....further code
+li $v0, 10 # Set syscall code 10 for exit
+syscall # Perform syscall to exit the program
+
+printFunction:
+li $v0, 4 # Set syscall code 4 to print a string
+la $a0, hello_string # Load the address of the string
+syscall # Perform syscall to print
+jr $ra # Return control to PC + 4

● When jal is used, the control moves to the address specified in the instruction and the address of the next instruction is stored in $ra.

● When the procedure ends jr $ra is used to return control back to the next address from where it jumped.

● These procedures are usually placed after the “main” procedure to avoid instruction overlap.

Example 1) Calling a function with parameters

asm
//code
+result=addNumbers(5,7);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load first parameter (5) into $a0
+  li $a1, 7 # Load second parameter (7) into $a1
+  jal addNumbers # Jump to addNumbers function
+  move $s0, $v0 # Store the result returned by addNumbers in $s0
+  # Further code
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+addNumbers:
+  add $v0, $a0, $a1 # Add the values of $a0 and $a1 and store the result in $v0
+  jr $ra # Return control to the next instruction after jal

● In the main function, parameters are passed to the addNumbers function by loading values into registers $a0 and $a1.

● The jal instruction is used to jump to the addNumbers function.

● Inside the addNumbers function, the parameters are accessed from the $a0 and $a1 registers.

● The result of the addition is stored in register $v0, which is commonly used to return function results in MIPS.

● Finally, jr $ra is used to return control back to the instruction after the jal in the main function.

Recursion in MIPS (non-leaf procedure)

In MIPS assembly language, implementing recursion involves understanding function calls and stack manipulation.

The Stack in MIPS Assembly

● The stack is a crucial data structure used in MIPS assembly language for managing function calls, local variables, and return addresses. ● It operates based on the Last-In-First-Out (LIFO) principle, meaning the last item pushed onto the stack is the first item to be popped off.

Stack Operations:

Stack Pointer ($sp): ● The stack pointer register, $sp, points to the top of the stack. It keeps track of the current position in memory where new items are pushed onto or popped off the stack.

Push Operation: ● To push data onto the stack, the stack pointer is decremented to reserve space for the new item, and then the data is stored at the memory location pointed to by the stack pointer.

Pop Operation: ● To pop data off the stack,the data is retrieved from the memory location pointed to by the stack pointer, and then the stack pointer is incremented to remove the item from the stack.

Stack Usage in Function Calls:Function Prologue: ● When a function is called, the current contents of relevant registers (such as there turn address and callee-saved registers) are typically saved on the stack to ensure they are preserved. ● This process is often referred to as the function prologue.

Function Epilogue: ● Upon completion of the function, the saved values on the stack are restored to their original registers. ● This process is known as the function epilogue.

Example) Function Call and Stack Usage

● When main calls my Function using jal, the return address (the address of the instruction following the function call) is automatically saved in register $ra. ● Inside my Function, the function prologue allocates space on the stack to save the return address. ● The function body executes the desired operations. ● Finally, in the function epilogue, the return address is restored, and the stack space allocated in the prologue is deallocated before returning control to the caller.

To understand recursion in MIPS, a good understanding of the stack pointer and how it operates on memory is imperative. Recursion involves careful management of the function call stack, ensuring that return addresses and local variables are properly saved and restored. This example illustrates the process of calculating the factorial of a number using recursion in MIPS assembly language.

Example) Calculating the factorial of a number using recursion

asm
//code
+result = factorial(5);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load the value 5 (number whose factorial is to be calculated) into $a0
+  jal factorial # Jump to the factorial function
+  move $s0, $v0 # Store the result returned by factorial in $s0
+  
+  # Further code using the result stored in $s0
+  # ...
+
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+factorial:
+  # Function prologue
+  addi $sp, $sp, -4 # Allocate space on the stack for local variables
+  sw $ra, 0($sp) # Save the return address on the stack
+  
+  # Check for base case: if n <= 1, return 1
+  li $t0, 1 # Load the value 1 into $t0
+  ble $a0, $t0, base_case # Branch to base_case if $a0 (n) <= $t0 (1)
+
+  # Recursive case: n * factorial(n - 1)
+  addi $a0, $a0, -1 # Decrement $a0 (n) by 1
+  jal factorial # Recursive call to factorial function
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  mul $v0, $a0, $v0 # Multiply n by the result of factorial(n - 1)
+  jr $ra # Return control to the caller
+
+base_case:
+  # Base case: n <= 1, return 1
+  li $v0, 1 # Load the value 1 into $v0
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  jr $ra # Return control to the caller

● In the main function, the value 5 is loaded into register $a0 to calculate its factorial.
● The factorial function is then called using the jal instruction.
● Inside the factorial function, the base case checks if the input value n is less than or equal to 1.If so,it returns 1.
● Otherwise,the function decrements n by 1 and recursively calls itself with the decremented value.
● The result of the recursive call is then multiplied by n to compute the factorial. ● Finally,the result is returned to the caller using register $v0.

References

J.L.Hennessy and D.A.Patterson Computer Organization and Design:The Hardware/Software Interface, Fifth Edition
“Digital Logic and Computer Design” by M.Morris Mano “Digital Fundamentals” by Thomas L.Flyod http://www.cs.missouristate.edu/MARS/https://www.d.umn.edu/~gshute/mips/directives-registers.pdfhttps://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.htmlhttps://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.htmlhttps://riptutorial.com/mips/example/29993/mars-mips-simulatorhttps://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf

`,322);function D(I,T,_,M,B,j){return $(),E("div",null,[w,s(" Run the program. If a breakpoint has been set the program will stop at the next breakpoint. "),C,s(" Trace (Step) Into. Executes a single instruction. If the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. "),F,s(" Backstep. Undo the last step taken in the code. "),x,s(" Pause the currently running program. Press the run button to continue execution. "),q,s(" Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. "),A,s(" Reset. Resets the simulator, reinitializing the registers, program counter, and memory. "),S,s(" Adjusts the speed that the simulator runs at. "),P])}const N=y(v,[["render",D]]);export{L as __pageData,N as default}; diff --git a/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.lean.js b/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.lean.js new file mode 100644 index 0000000..1706636 --- /dev/null +++ b/docs/.vitepress/dist/assets/MIPS_mips.md.C9wrwHux.lean.js @@ -0,0 +1 @@ +import{_ as e,a as t,b as i,c as p,d as l,e as r,f as o,g as d,h,i as c,j as g,k as u,l as k,m,n as b,o as f}from"./chunks/mips2-image-0009.DFxqnWYO.js";import{_ as y,c as E,a as s,a4 as n,j as a,o as $}from"./chunks/framework.DRnJpP2i.js";const L=JSON.parse('{"title":"MIPS","description":"","frontmatter":{},"headers":[],"relativePath":"MIPS/mips.md","filePath":"MIPS/mips.md"}'),v={name:"MIPS/mips.md"},w=n("",70),C=a("img",{src:e},null,-1),F=a("img",{src:t},null,-1),x=a("img",{src:i},null,-1),q=a("img",{src:p},null,-1),A=a("img",{src:l},null,-1),S=a("img",{src:r},null,-1),P=n("",322);function D(I,T,_,M,B,j){return $(),E("div",null,[w,s(" Run the program. If a breakpoint has been set the program will stop at the next breakpoint. "),C,s(" Trace (Step) Into. Executes a single instruction. If the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. "),F,s(" Backstep. Undo the last step taken in the code. "),x,s(" Pause the currently running program. Press the run button to continue execution. "),q,s(" Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. "),A,s(" Reset. Resets the simulator, reinitializing the registers, program counter, and memory. "),S,s(" Adjusts the speed that the simulator runs at. "),P])}const N=y(v,[["render",D]]);export{L as __pageData,N as default}; diff --git a/docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.js b/docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.js similarity index 75% rename from docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.js rename to docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.js index fa333ea..9f4014c 100644 --- a/docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.js +++ b/docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.js @@ -1,4 +1,4 @@ -import{_ as e,c as t,o as a,a4 as i}from"./chunks/framework.CgMb17D3.js";const s="/assets/image-0026.DNX3PK74.png",o="/assets/image-0027.DCQ2xQ9f.png",n="/assets/image-0031.B_mT3BDL.png",r="/assets/image-0032.ChKJiHmt.png",l="/assets/image-0035.BrUwU0Bp.png",h="/assets/image-0036.DCBkwOrC.png",p="data:image/png;base64,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",c="/assets/image-0040.DKdyuEGL.png",d="/assets/image-0041.CJRl9WSq.png",u="/assets/image-0042.ASAb8rKQ.png",m="/assets/image-0045.DKA8LCPF.png",g="/assets/image-0048.CXFSDcsL.png",f="/assets/image-0049.CaB_GLup.png",b="/assets/image-0052.CeZf0k_U.png",y="/assets/image-0055.BznlVoEq.png",k="/assets/image-0059.CDTcKoGy.png",E="/assets/image-0060.BTMDQZS7.png",w="/assets/image-0064.DEPkAGIj.png",T="/assets/image-0063.-T7xou76.png",A="/assets/image-0067.kRnoR35N.png",v="/assets/image-0068.BNfWNNPe.png",q="/assets/image-0072.CZUwEFTz.png",R="/assets/image-0074.BtbiBWee.png",I="/assets/image-0080.CWgQUcCR.png",C="/assets/image-0081.ZQTlBiC1.png",D="/assets/image-0084.t63l2fqn.png",P="/assets/image-0085.BO8FHxRy.png",x="/assets/image-0086.B8hrsyHq.png",O="/assets/image-0087.D_NyusXi.png",L="/assets/image-0090.Dtqh212C.png",S="/assets/image-0093.Yx-dLxl3.png",B="/assets/image-0094.CNW38p_o.png",N="/assets/image-0097.CLv_nq1A.png",_="/assets/image-0098.Cz9uMbND.png",F="/assets/image-0101.BKebmNSJ.png",U="/assets/image-0102.DfvrZGFz.png",H="/assets/image-0105.ConyJ9Hl.png",M="/assets/image-0108.CL7_SOqE.png",X="/assets/image-0111.CT4EKmBo.png",G="/assets/image-0114.D0l2Ru4M.png",Y="/assets/image-0117.UIdfm-bL.png",Q="/assets/image-0129.P6Nm-_48.png",J="/assets/image-0128.0Z4zBPKF.png",W="/assets/image-0135.Dl4qpNtZ.png",j="/assets/image-0136.DAwnM7ha.png",V="/assets/image-0140.DtUUAxH6.png",K="/assets/image-0139.C8yBWyoR.png",Z="/assets/image-0143.iygO5Cn8.png",z="/assets/image-0144.Dbqd2IFG.png",$="/assets/image-0147.Bj9TP7vb.png",ee="/assets/image-0155.-g-TLIgC.png",te="/assets/image-0156.LHrPgR_q.png",ae="/assets/image-0159.B4EncYw_.png",ie="/assets/image-0160.rxx4vuVx.png",se="/assets/image-0164.B0g6Zvvw.png",oe="/assets/image-0169.B5kUrRJQ.png",ne="/assets/image-0170.BneR4vJ3.png",re="/assets/image-0173.Cei7Z2HS.png",le="/assets/image-0176.DBmnazxa.png",he="/assets/image-0179.C1YNNUMf.png",we=JSON.parse('{"title":"INTRODUCTION","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/Intro/README.md","filePath":"Verilog/Intro/README.md"}'),pe={name:"Verilog/Intro/README.md"},ce=i(`

INTRODUCTION

Table of Contents

TopicPage
Introduction1
Verilog Syntax2
Basic Logic Gates3
Binary Adders and Subtractors4
Latches5
Flip Flops6
Multiplexers7
Demultiplexers8
Parity Generator9
Code converter10
Comparators11
Registers12
Counters13
Arithmetic Logic Unit14
Encoders15
Decoders16
How ro make a verilog program17
Bibliography18

Verilog is a Hardware Description Language (HDL). They resemble programming languages specifically oriented to describe hardware structures and their behavior. This language describes an interconnection of components. Such a structural description, a netlist, can be used as input to logic simulation just as a schematic is used.

A typical procedure is used in employing an HDL description as simulation input. The steps in the procedure are analysis, elaboration, and initialization, followed by the simulation.
Analysis checks the description for violations of the syntax and semantic rules for the HDL and produces an intermediate representation of the design.

Elaboration traverses the design hierarchy represented by the description; in this process, the design hierarchy is flattened to an interconnection of modules described only by their behaviors.
The result of the analysis and elaboration performed by the compiler is a simulation model of the original HDL description. This model is then passed to the simulator for execution. Initialization sets all of the variables in the simulation model to specified or default values.
Simulation executes the simulation model in either batch or interactive mode with inputs specified by the user.

The testbench is a description that includes the design to be tested, typically referred to as the Device Under Test (DUT). The testbench describes a collection of hardware and software functions that apply inputs to the DUT and analyze the outputs for correctness.
Verilog supports a design at many levels of abstraction. The primary three kinds of abstraction in Verilog are

  • Behavioral level
  • Register-transfer level
  • Gate level

Behavioral:

This is the highest level of abstraction provided by Verilog HDL. It describes the circuit using procedural statements (the "always" block, case, and if statements). At the behavioral level, the circuit is described using an algorithm consisting of a set of instructions executed one after the other sequentially.

Data-Flow:

This is the second highest level of abstraction. It specifies the circuit as a combination of operations and data transfer between the registers. In this approach, the 'assign' statement is used. An assign statement is a continuous statement where any signal changes on the right-hand side will update the output signal. Changes in the inputs are continuously monitored. Any synthesizable code is called RTL code. Gate-Level: This is the lowest level of abstraction. Here, the circuit is described by logical links and their timing properties. The module is implemented in terms of logic gates and interconnections between these gates. It resembles a schematic drawing with components connected with signals.

Functional Differences

Behavioral Level

Pros

  • Easy to write and understand, especially for complex algorithms and high-level functionality.
  • Portability: the same behavioral code can be synthesized into different hardware architectures.
  • Enables early verification, allowing designers to test system functionality before committing to a particular hardware architecture.

Cons

  • Less control over the hardware implementation details.
  • Can be less efficient in terms of area, power, and performance than lower levels of abstraction.
  • More difficult to optimize for timing and power.

Register Transfer Level (RTL)

Pros

  • Offers greater control over the hardware implementation details.
  • Can be optimized for timing, power, and area, allowing for more efficient designs.
  • Can be used for both simulation and synthesis. Cons
  • More difficult to write and understand than the behavioral level.
  • Can be less portable than the behavioral level, since the same code may not synthesize correctly across different architectures.
  • Can be more difficult to verify than the behavioral level, since the design is more complex.

Gate Level

Pros

  • Provides the most detailed view of the hardware implementation.
  • Highly optimized for area, power, and performance.
  • Ideal for final verification of the design. Cons
  • Very difficult to write and understand.
  • Not portable: The code is specific to a particular hardware architecture.
  • Verification can be very time-consuming and difficult, especially for complex designs.

VERILOG SYNTAX

CODE:

v
module half_adder(output s, c, input a, b);
+import{_ as e,c as t,o as i,a4 as a}from"./chunks/framework.DRnJpP2i.js";const s="/hwlabnitc.github.io/assets/image-0026.DNX3PK74.png",o="/hwlabnitc.github.io/assets/image-0027.DCQ2xQ9f.png",n="/hwlabnitc.github.io/assets/image-0031.B_mT3BDL.png",r="/hwlabnitc.github.io/assets/image-0032.ChKJiHmt.png",l="/hwlabnitc.github.io/assets/image-0035.BrUwU0Bp.png",h="/hwlabnitc.github.io/assets/image-0036.DCBkwOrC.png",c="data:image/png;base64,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",p="/hwlabnitc.github.io/assets/image-0040.DKdyuEGL.png",d="/hwlabnitc.github.io/assets/image-0041.CJRl9WSq.png",u="/hwlabnitc.github.io/assets/image-0042.ASAb8rKQ.png",g="/hwlabnitc.github.io/assets/image-0045.DKA8LCPF.png",b="/hwlabnitc.github.io/assets/image-0048.CXFSDcsL.png",m="/hwlabnitc.github.io/assets/image-0049.CaB_GLup.png",f="/hwlabnitc.github.io/assets/image-0052.CeZf0k_U.png",y="/hwlabnitc.github.io/assets/image-0055.BznlVoEq.png",k="/hwlabnitc.github.io/assets/image-0059.CDTcKoGy.png",w="/hwlabnitc.github.io/assets/image-0060.BTMDQZS7.png",E="/hwlabnitc.github.io/assets/image-0064.DEPkAGIj.png",T="/hwlabnitc.github.io/assets/image-0063.-T7xou76.png",A="/hwlabnitc.github.io/assets/image-0067.kRnoR35N.png",v="/hwlabnitc.github.io/assets/image-0068.BNfWNNPe.png",q="/hwlabnitc.github.io/assets/image-0072.CZUwEFTz.png",R="/hwlabnitc.github.io/assets/image-0074.BtbiBWee.png",I="/hwlabnitc.github.io/assets/image-0080.CWgQUcCR.png",C="/hwlabnitc.github.io/assets/image-0081.ZQTlBiC1.png",D="/hwlabnitc.github.io/assets/image-0084.t63l2fqn.png",P="/hwlabnitc.github.io/assets/image-0085.BO8FHxRy.png",x="/hwlabnitc.github.io/assets/image-0086.B8hrsyHq.png",O="/hwlabnitc.github.io/assets/image-0087.D_NyusXi.png",L="/hwlabnitc.github.io/assets/image-0090.Dtqh212C.png",S="/hwlabnitc.github.io/assets/image-0093.Yx-dLxl3.png",B="/hwlabnitc.github.io/assets/image-0094.CNW38p_o.png",N="/hwlabnitc.github.io/assets/image-0097.CLv_nq1A.png",_="/hwlabnitc.github.io/assets/image-0098.Cz9uMbND.png",F="/hwlabnitc.github.io/assets/image-0101.BKebmNSJ.png",U="/hwlabnitc.github.io/assets/image-0102.DfvrZGFz.png",H="/hwlabnitc.github.io/assets/image-0105.ConyJ9Hl.png",M="/hwlabnitc.github.io/assets/image-0108.CL7_SOqE.png",X="/hwlabnitc.github.io/assets/image-0111.CT4EKmBo.png",G="/hwlabnitc.github.io/assets/image-0114.D0l2Ru4M.png",Y="/hwlabnitc.github.io/assets/image-0117.UIdfm-bL.png",Q="/hwlabnitc.github.io/assets/image-0129.P6Nm-_48.png",J="/hwlabnitc.github.io/assets/image-0128.0Z4zBPKF.png",W="/hwlabnitc.github.io/assets/image-0135.Dl4qpNtZ.png",j="/hwlabnitc.github.io/assets/image-0136.DAwnM7ha.png",V="/hwlabnitc.github.io/assets/image-0140.DtUUAxH6.png",K="/hwlabnitc.github.io/assets/image-0139.C8yBWyoR.png",Z="/hwlabnitc.github.io/assets/image-0143.iygO5Cn8.png",z="/hwlabnitc.github.io/assets/image-0144.Dbqd2IFG.png",$="/hwlabnitc.github.io/assets/image-0147.Bj9TP7vb.png",ee="/hwlabnitc.github.io/assets/image-0155.-g-TLIgC.png",te="/hwlabnitc.github.io/assets/image-0156.LHrPgR_q.png",ie="/hwlabnitc.github.io/assets/image-0159.B4EncYw_.png",ae="/hwlabnitc.github.io/assets/image-0160.rxx4vuVx.png",se="/hwlabnitc.github.io/assets/image-0164.B0g6Zvvw.png",oe="/hwlabnitc.github.io/assets/image-0169.B5kUrRJQ.png",ne="/hwlabnitc.github.io/assets/image-0170.BneR4vJ3.png",re="/hwlabnitc.github.io/assets/image-0173.Cei7Z2HS.png",le="/hwlabnitc.github.io/assets/image-0176.DBmnazxa.png",he="/hwlabnitc.github.io/assets/image-0179.C1YNNUMf.png",Ee=JSON.parse('{"title":"INTRODUCTION","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/Intro/README.md","filePath":"Verilog/Intro/README.md"}'),ce={name:"Verilog/Intro/README.md"},pe=a(`

INTRODUCTION

Table of Contents

TopicPage
Introduction1
Verilog Syntax2
Basic Logic Gates3
Binary Adders and Subtractors4
Latches5
Flip Flops6
Multiplexers7
Demultiplexers8
Parity Generator9
Code converter10
Comparators11
Registers12
Counters13
Arithmetic Logic Unit14
Encoders15
Decoders16
How ro make a verilog program17
Bibliography18

Verilog is a Hardware Description Language (HDL). They resemble programming languages specifically oriented to describe hardware structures and their behavior. This language describes an interconnection of components. Such a structural description, a netlist, can be used as input to logic simulation just as a schematic is used.

A typical procedure is used in employing an HDL description as simulation input. The steps in the procedure are analysis, elaboration, and initialization, followed by the simulation.
Analysis checks the description for violations of the syntax and semantic rules for the HDL and produces an intermediate representation of the design.

Elaboration traverses the design hierarchy represented by the description; in this process, the design hierarchy is flattened to an interconnection of modules described only by their behaviors.
The result of the analysis and elaboration performed by the compiler is a simulation model of the original HDL description. This model is then passed to the simulator for execution. Initialization sets all of the variables in the simulation model to specified or default values.
Simulation executes the simulation model in either batch or interactive mode with inputs specified by the user.

The testbench is a description that includes the design to be tested, typically referred to as the Device Under Test (DUT). The testbench describes a collection of hardware and software functions that apply inputs to the DUT and analyze the outputs for correctness.
Verilog supports a design at many levels of abstraction. The primary three kinds of abstraction in Verilog are

  • Behavioral level
  • Register-transfer level
  • Gate level

Behavioral:

This is the highest level of abstraction provided by Verilog HDL. It describes the circuit using procedural statements (the "always" block, case, and if statements). At the behavioral level, the circuit is described using an algorithm consisting of a set of instructions executed one after the other sequentially.

Data-Flow:

This is the second highest level of abstraction. It specifies the circuit as a combination of operations and data transfer between the registers. In this approach, the 'assign' statement is used. An assign statement is a continuous statement where any signal changes on the right-hand side will update the output signal. Changes in the inputs are continuously monitored. Any synthesizable code is called RTL code. Gate-Level: This is the lowest level of abstraction. Here, the circuit is described by logical links and their timing properties. The module is implemented in terms of logic gates and interconnections between these gates. It resembles a schematic drawing with components connected with signals.

Functional Differences

Behavioral Level

Pros

  • Easy to write and understand, especially for complex algorithms and high-level functionality.
  • Portability: the same behavioral code can be synthesized into different hardware architectures.
  • Enables early verification, allowing designers to test system functionality before committing to a particular hardware architecture.

Cons

  • Less control over the hardware implementation details.
  • Can be less efficient in terms of area, power, and performance than lower levels of abstraction.
  • More difficult to optimize for timing and power.

Register Transfer Level (RTL)

Pros

  • Offers greater control over the hardware implementation details.
  • Can be optimized for timing, power, and area, allowing for more efficient designs.
  • Can be used for both simulation and synthesis. Cons
  • More difficult to write and understand than the behavioral level.
  • Can be less portable than the behavioral level, since the same code may not synthesize correctly across different architectures.
  • Can be more difficult to verify than the behavioral level, since the design is more complex.

Gate Level

Pros

  • Provides the most detailed view of the hardware implementation.
  • Highly optimized for area, power, and performance.
  • Ideal for final verification of the design. Cons
  • Very difficult to write and understand.
  • Not portable: The code is specific to a particular hardware architecture.
  • Verification can be very time-consuming and difficult, especially for complex designs.

VERILOG SYNTAX

CODE:

v
module half_adder(output s, c, input a, b);
     assign s = a ^ b;
     assign c = a & b;
 endmodule

module is always terminated by endmodule. Always specify output first and then input.

TESTBENCH:

v
module half_adder_test;
@@ -13,4 +13,4 @@ import{_ as e,c as t,o as a,a4 as i}from"./chunks/framework.CgMb17D3.js";const s
         #20;
         end
     end
-endmodule

reg: store input values
wire: store output values begin end is used to specify a block of code.


BASIC LOGIC GATES

Logic gates are basic building blocks of digital electronics circuits that perform logical operations on one or more binary inputs and produce a binary output. In other words, a logic gate is an electronic device that operates on logical signals (digital signals) to perform a Boolean function.

There are several types of logic gates, including:

AND gate: It has two or more input signals and produces a HIGH output only if all inputs are HIGH. The AND gate symbol is the dot or multiplication symbol.

OR gate: It has two or more input signals and produces a HIGH output if at least one input is HIGH. The OR gate symbol is the plus symbol.

NOT gate (Inverter): It has one input signal and produces the complement of the input signal at its output. The NOT gate symbol is a triangle with a circle at the output.

NAND gate: It is a combination of an AND gate and a NOT gate. It produces a LOW output only if all inputs are HIGH. The NAND gate symbol is an AND gate with a small circle at its output.

NOR gate: It is a combination of an OR gate and a NOT gate. It produces a HIGH output only if all inputs are LOW. The NOR gate symbol is an OR gate with a small circle at its output.

XOR gate: It has two input signals and produces a HIGH output if the two inputs are different (i.e., one input is HIGH and the other is LOW). The XOR gate symbol is the addition symbol with a circle around it.

XNOR gate: It is a combination of an XOR gate and a NOT gate. It produces a HIGH output if the two inputs are the same (i.e., both inputs are HIGH or both inputs are LOW). The XNOR gate symbol is an XOR gate with a small circle at its output.

Binary Adders and Subtractors

HALF ADDER

A half-adder is an arithmetic circuit that generates the sum of two binary digits. The circuit has two inputs and two outputs. The input variables are the augend and addend bits to be added, and the output variables produce the sum and carry.

The Boolean functions for the two outputs, easily obtained from the truth table, are

S = XY + XY = X ⊕ Y

C = XY

xyCS
0000
0101
1001
1110

FULL ADDER

A full adder is a combinational circuit that forms the arithmetic sum of three input bits. Two input variables, denoted by X and Y, represent the two significant bits to be added. The third input, Z, represents the carry from the previous lower significant position.
The simplified sum-of-product functions for the two outputs are :

S = XYZ + XYZ + XY Z + XYZ
C = XY + XZ + YZ

S = (X ⊕ Y) ⊕ Z
C = XY + Z(X ⊕ Y)

RIPPLE CARRY ADDER

A parallel binary adder is a digital circuit that produces the arithmetic sum of two binary numbers using only combinational logic. The parallel adder uses n full adders in parallel, with all input bits applied simultaneously to produce the sum.
The full adders are connected in cascade, with the carry output from one full adder connected to the carry input of the next full adder. Since a 1 carry may appear near the least significant bit of the adder and yet propagate through many full adders to the most significant bit, just as a wave ripples outward from a pebble dropped in a pond, the parallel adder is referred to as a ripple carry adder.

CARRY LOOK AHEAD ADDER

Define two new binary variables

Pi = Ai XOR Bi
Gi = Ai AND Bi

the output sum and carry can respectively be expressed as

Si = Pi XOR Ci
Ci+1 = Gi + (Pi AND Ci)

Each sum output requires two exclusive-OR gates. The output of the first exclusive-OR gate generates the Pi variable, and the AND gate generates the Gi variable. The carries are propagated through the carry lookahead generator and applied as inputs to the second exclusive-OR gate. All output carries are generated after a delay through two levels of gates. Thus, outputs S1 through S3 have equal propagation delay times.

HALF SUBTRACTOR

A half subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) and produces two output bits: the difference (D) and the borrow (B). The half subtractor is called "half" because it can only subtract two single-bit binary numbers, unlike a full subtractor that can subtract two multi-bit binary numbers. D= A XOR B B’ = Abar AND B

FULL SUBTRACTOR

A full subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) along with a borrow (Bin) and produces two output bits: the difference (D) and the borrow (Bout). The full subtractor is an extension of the half subtractor, which can only subtract two single-bit binary numbers.

D = A XOR B XOR Bin
B’ = Abar AND B OR ((A XOR B)bar)Bin

BINARY ADDER AND SUBTRACTOR

M-N where M-minuend and N is subtrahend When performing a subtraction we complement the subtrahend N, and when performing an addition we do not complement N.

The 2s complement can be obtained by taking the 1s complement and adding 1 to the least significant bit. The 1s complement can be implemented easily with inverter circuits, and we can add 1 to the sum by making the input carry of the parallel adder equal to 1. The input carry C0 must be equal to 1. The operation that is performed becomes A plus the 1s complement of B plus 1. This is equal to A plus the 2s complement of B. For unsigned numbers, it gives A - B if A >= B or the 2s complement of B - A if A []( B

LATCHES

SR LATCH

The SR latch is a circuit constructed from two cross- coupled NOR gates. The latch has two inputs, labeled S for set and R for reset, and two useful states. When output Q = 1 and Q = 0, the latch is said to be in the set state. When Q = 0 and Q = 1, it is in the reset state. Outputs Q and Q are normally the complements of each other. When both inputs are equal to 1 at the same time, an undefined state with both outputs equal to 0 occurs.

S̅R̅ LATCH

The SR latch with two cross- coupled NAND gates. It operates with both inputs normally at 1, unless the state of the latch has to be changed. The application of a 0 to the S input causes output Q to go to 1, putting the latch in the set state. When the S input goes back to 1, the circuit remains in the set state. With both inputs at 1, the state of the latch is changed by placing a 0 on the R input. This causes the circuit to go to the reset state and stay there, even after both inputs return to 1. The condition that is undefined for this NAND latch is when both inputs are equal to 0 at the same time, an input combination that should be avoided. When the NAND latch requires a 0 signal to change its state, it is referred to as an SR latch.

SR LATCH WITH CONTROL INPUT

It consists of the basic NAND latch and two additional NAND gates. The control input C acts as an enable signal for the other two inputs. The output of the NAND gates stays at the logic- 1 level as long as the control input remains at 0.
When the control input goes to 1, information from the S and R inputs is allowed to affect the S R latch. The set state is reached with S = 1, R = 0, and C = 1. To change to the reset state, the inputs must be S = 0, R = 1, and C = 1. In either case, when C returns to 0, the circuit remains in its current state. Control input C = 0 disables the circuit so that the state of the output does not change, regardless of the values of S and R. Moreover, when C = 1 and both the S and R inputs are equal to 0, the state of the circuit does not change.An undefined state occurs when all three inputs are equal to 1. This condition places 0s on both inputs of the basic S R latch, giving an undefined state.

D LATCH

One way to eliminate the undesirable undefined state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done in the D latch, shown in Figure 4-8. This latch has only two inputs: D (data) and C (control). The complement of the D input goes directly to the S input, and D is applied to the R input.
As long as the control input is 0, the S R latch has both inputs at the 1 level, and the circuit cannot change state regardless of the value of D. The D input is sampled when C = 1. If D is 1, the Q output goes to 1, placing the circuit in the set state. If D is 0, output Q goes to 0, placing the circuit in the reset state. The D latch receives its designation from its ability to hold data in its internal storage. The binary information present at the data input of the D latch is transferred to the Q output when the control input is enabled (1). The output follows changes in the data input, as long as the control input is enabled. When the control input is disabled (0), the binary information that was present at the data input at the time the transition in C occurred is retained at the Q output until the control input C is enabled again.

FLIP FLOPS

There are basically 4 types of flip-flops:

  1. SR Flip-Flop
  2. JK Flip-Flop
  3. D Flip-Flop
  4. T Flip-Flop

SR FLIP-FLOP

SR flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, SR latch operates with an enable signal. The circuit diagram of SR flip-flop is shown in the following figure.This circuit has two inputs S & R and two outputs QN and Qn+1, present and next state respectively. The operation of the SR flip-flop is similar to SR Latch. But, this flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. Qn+1 = S + R’Qn

JK FLIP-FLOP

JK flip-flop is the modified version of SR flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has two inputs J & K and two outputs Qn and Qn+1, present and next state respectively, JK flip-flop can be used for one of these four functions such as Hold, Reset, Set & Complement of present state based on the input conditions, when positive transition of clock signal is applied.

Qn+1 = J(Qn)’ + K’(Qn)

D FLIP-FLOP

D flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, D latch operates with an enable signal. That means, the output of D flip-flop is insensitive to the changes in the input, D except for active transition of the clock signal. This circuit has single input D and two outputs Qn and Qn+1. This flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. D flip-flop always holds the information, which is available on data input, D of earlier positive transition of clock signal. Next state of D flip-flop is always equal to data input, D for every positive transition of the clock signal.

Qn+1 = D

T FLIP-FLOP

T flip-flop is the simplified version of JK flip-flop. It is obtained by connecting the same input ‘T’ to both inputs of JK flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has single input T and two outputs Qn and Qn+1. The operation of the T flip-flop is the same as that of the JK flip-flop. Here, we considered the inputs of JK flip-flop as J = T and K = T in order to utilize the modified JK flip-flop for 2 combinations of inputs. So, we eliminated the other two combinations of J & K, for which those two values complement each other in a T flip-flop.

Qn+1 = T’Q(n) + (Q(n))’T = T XOR Q(n)

MULTIPLEXERS

2-1 MUX

It selects binary information from one of many input lines and directs the information to a single output line. The selection of a particular input line is controlled by a set of input variables, called selection inputs. there are 2^n input lines and n selection inputs whose bit combinations determine which input is selected. , if the select input S = 0, the output of the multiplexer takes on the values of I 0 , and, if input S = 1, the output of the multiplexer takes on the values of I 1 . Thus, S selects either input I 0 or input I 1 to appear at output Y.
We can see that the equation for the 2–to–1-line multiplexer output Y is
Y = S’I0 + SI1

4-1 MUX

The function Y depends on four inputs I 0 , I 1 , I 2 , and I 3 and two select inputs S1 and S0 . By placing the values of I 0 through I 3 in the Y column. Since there are six variables, and only S1 and S0 are fixed, this single row represents 16 rows of the corresponding full truth table. From the table, we can write the equation for Y as
Y = S1’S0’ I0 + S1’S0 I1 + S1S0’I2 + S1S0 I3

S1S2Y
00I0
01I1
10I2
11I3

DEMULTIPLEXERS

1:2 DEMUX

A 1-to-2 demultiplexer consists of one input line, two output lines and one select line. The signal on the select line helps to switch the input to one of the two outputs. When the select input is LOW, then the input will be passed to Y0 and if the select input is HIGH, then the input will be passed to Y1
Y0 = S’ D
Y1 = S D

PARITY GENERATOR

Many systems use a parity bit as a means for bit error detection. Any group of bits contains either an even or an odd number of 1s. A parity bit is attached to a group of bits to make the total number of 1s in a group always even or always odd. An even parity bit makes the total number of 1s even, and an odd parity bit makes the total odd. A parity bit provides for the detection of a single bit error (or any odd number of errors, which is very unlikely) but cannot check for two errors in one group. For instance, let’s assume that we wish to transmit the BCD code 0101. (Parity can be used with any number of bits; we are using four for illustration.) The total code transmitted, including the even parity bit, is

Now let’s assume that an error occurs in the third bit from the left (the 1 becomes a 0)

When this code is received, the parity check circuitry determines that there is only a single 1 (odd number), when there should be an even number of 1s. Because an even number of 1s does not appear in the code when it is received, an error is indicated.

CODE CONVERTER

BCD to Binary Code converter

The binary numbers representing the weights of the BCD bits are summed to produce the total binary number. The binary equivalent of each BCD bit is a binary number representing the weight of that bit within the total BCD number.

Binary to Gray

Conversion between binary code and Gray code is sometimes useful. The following rules explain how to convert from a binary number to a Gray code word:

  1. The most significant bit (left-most) in the Gray code is the same as the corresponding MSB in the binary number.
  2. Going from left to right, add each adjacent pair of binary code bits to get the next Gray code bit. Discard carries

Gray to Binary

To convert from Gray code to binary, use a similar method; however, there are some differences. The following rules apply:

  1. The most significant bit (left-most) in the binary code is the same as the corresponding bit in the Gray code.
  2. Add each binary code bit generated to the Gray code bit in the next adjacent position. Discard carries.

COMPARATORS

The exclusive-NOR gate can be used as a basic comparator because its output is a 0 if the two input bits are not equal and a 1 if the input bits are equal. The basic comparator can be expanded to any number of bits. The AND gate sets the condition that all corresponding bits of the two numbers must be equal if the two numbers themselves are equal. To determine an inequality of binary numbers A and B, you first examine the highest order bit in each number. The following conditions are possible: 1. If A3 = 1 and B3 = 0, number A is greater than number B. 2. If A3 = 0 and B3 = 1, number A is less than number B. 3. If A3 = B3, then you must examine the next lower bit position for an inequality. These three operations are valid for each bit position in the numbers. The general procedure used in a comparator is to check for an inequality in a bit position, starting with the highest-order bits (MSBs). When such an inequality is found, the relationship of the two numbers is established, and any other inequalities in lower-order bit positions must be ignored because it is possible for an opposite indication to occur; the highest-order indication must take precedence.

Registers

A register is a group of flip‐flops, each one of which shares a common clock and is capable of storing one bit of information. An n ‐bit register consists of a group of n flip‐flops capable of storing n bits of binary information. In addition to the flip‐flops, a register may have combinational gates that perform certain data‐processing tasks.

Four‐bit register

The common clock input triggers all flip‐flops on the positive edge of each pulse, and the binary data available at the four inputs are transferred into the register. The value of (I3 , I2 , I1 , I0) immediately before the clock edge determines the value of (A3 , A2 , A1 , A0) after the clock edge. The four outputs can be sampled at any time to obtain the binary information stored in the register. The input Clear_b goes to the active‐low R (reset) input of all four flip‐flops. When this input goes to 0, all flip‐flops are reset asynchronously. The Clear_b input is useful for clearing the register to all 0’s prior to its clocked operation. The R inputs must be maintained at logic 1 (i.e., de-asserted) during normal clocked operation. Note that, depending on the flip‐flop, either Clear, Clear_b, reset, or reset_b can be used to indicate the transfer of the register to an all 0’s state.

Four bit register with parallel load**Gi = Ai AND Bi **

A four‐bit data‐storage register with a load control input that is directed through gates and into the D inputs of the flip‐flops. The additional gates implement a two‐channel mux whose output drives the input to the register with either the data bus or the output of the register. The load input to the register determines the action to be taken with each clock pulse.

SHIFT REGISTERS

SERIAL IN/SERIAL OUT REGISTERS

The serial in/serial out shift register accepts data serially—that is, one bit at a time on a single line. It produces the stored information on its output also in serial form .

Serial In/Parallel Out Shift Registers

Data bits are entered serially (least-significant bit first) into a serial in/parallel out shift register in the same manner as in serial in/serial out registers. The difference is the way in which the data bits are taken out of the register; in the parallel output register, the output of each stage is available. Once the data is stored, each bit appears on its respective output line, and all bits are available simultaneously, rather than on a bit-by-bit basis as with the serial output.

Parallel In/Serial Out Shift Registers

For a register with parallel data inputs, the bits are entered simultaneously into their respective stages on parallel lines rather than on a bit-by-bit basis on one line as with serial data inputs.

Parallel In/Parallel Out Shift Registers

Parallel entry and parallel output of data have been discussed. The parallel in/parallel out register employs both methods. Immediately following the simultaneous entry of all data bits, the bits appear on the parallel outputs.

COUNTERS

A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some external source and may occur at a fixed interval of time or at random. The sequence of states may follow the binary number sequence or any other sequence of states.

BINARY RIPPLE COUNTER

A binary ripple counter consists of a series connection of complementing flip‐flops, with the output of each flip‐flop connected to the C input of the next higher order flip‐flop. The flip‐flop holding the least significant bit receives the incoming count pulses. A complementing flip‐flop can be obtained from a JK flip‐flop with the J and K inputs tied together or from a T flip‐flop. A third possibility is to use a D flip‐flop with the complement output connected to the D input.The output of each flip‐flop is connected to the C input of the next flip‐flop in sequence. The flip‐flop holding the least significant bit receives the incoming count pulses. The bubble in front of the dynamic indicator symbol next to C indicates that the flip‐flops respond to the negative‐edge transition of the input. The negative transition occurs when the output of the previous flip‐flop to which C is connected goes from 1 to 0.

BCD RIPPLE COUNTER

A decimal counter follows a sequence of 10 states and returns to 0 after the count of 9. Such a counter must have at least four flip‐flops to represent each decimal digit, since a decimal digit is represented by a binary code with at least four bits. The sequence of states in a decimal counter is dictated by the binary code used to represent a decimal digit. The four outputs are designated by the letter symbol Q, with a numeric subscript equal to the binary weight of the corresponding bit in the BCD code. Note that the output of Q1 is applied to the C inputs of both Q2 and Q8 and the output of Q2 is applied to the C input of Q4. The J and K inputs are connected either to a permanent 1 signal or to outputs of other flip‐flops.

4 BIT SYNCHRONOUS BINARY COUNTER

The flip‐flop in the least significant position is complemented with every pulse. A flip‐flop in any other position is complemented when all the bits in the lower significant positions are equal to 1 . Synchronous binary counters have a regular pattern and can be constructed with complementing flip‐flops and gates. The C inputs of all flip‐flops are connected to a common clock. The counter is enabled by Count_enable. If the enable input is 0, all J and K inputs are equal to 0 and the clock does not change the state of the counter. The first stage, A0, has its J and K equal to 1 if the counter is enabled. The other J and K inputs are equal to 1 if all previous least significant stages are equal to 1 and the count is enabled. The chain of AND gates generates the required logic for the J and K inputs in each stage. The counter can be extended to any number of stages, with each stage having an additional flip‐flop and an AND gate that gives an output of 1 if all previous flip‐flop outputs are 1. Note that the flip‐flops trigger on the positive edge of the clock.

FOUR BIT UP-DOWN BINARY COUNTER

The two operations can be combined in one circuit to form a counter capable of counting either up or down. It has an up control input and a down control input. When the up input is 1, the circuit counts up, since the T inputs receive their signals from the values of the previous normal outputs of the flip‐flops.

BINARY COUNTER WITH PARALLEL LOAD

When equal to 1, the input load control disables the count operation and causes a transfer of data from the four data inputs into the four flip‐flops. If both control inputs are 0, clock pulses do not change the state of the register. The carry output becomes a 1 if all the flip‐flops are equal to 1 while the count input is enabled. This is the condition for complementing the flip‐flop that holds the next significant bit. The carry output is useful for expanding the counter to more than four bits. The speed of the counter is increased when the carry is generated directly from the outputs of all four flip‐flops, because the delay to generate the carry bit is reduced. A counter with a parallel load can be used to generate any desired count sequence.the Count control is set to 1 to enable the count through the CLK input. Also, recall that the Load control inhibits the count and that the clear operation is independent of other control inputs.

[]"(image-0120.png)

ARITHMETIC LOGIC UNIT (ALU)

The ALU, or arithmetic logic unit, is a crucial component of the CPU (central processing unit) in a computer system. It is responsible for carrying out arithmetic and logic operations. Also referred to as the integer unit (IU), it is an integrated circuit found in both CPUs and GPUs. The ALU is the final stage in the processor where calculations are performed. It possesses the capability to handle various tasks related to arithmetic and logic operations, including addition, subtraction, shifting operations, as well as Boolean comparisons such as XOR, OR, AND, and NOT operations. It operates on binary numbers and can execute mathematical and bitwise operations. The ALU consists of two units: the arithmetic unit (AU) and the logic unit (LU). By utilizing operands and codes, the ALU determines which operations to perform based on the input data provided. Once the ALU completes processing the input, the resulting information is sent to the computer's memory.

Here are the main functions of an ALU:

Arithmetic Operations: The ALU performs various arithmetic operations on binary numbers, such as addition, subtraction, multiplication, and division. It uses binary addition and subtraction circuits to handle these operations efficiently.

Logical Operations: The ALU executes logical operations on binary data, including AND, OR, XOR (exclusive OR), and NOT. These operations manipulate individual bits or binary values to determine logical relationships and produce logical results.

Comparison Operations: The ALU performs comparison operations to determine the relationship between two binary values. It can compare if two values are equal, not equal, greater than, less than, greater than or equal to, or less than or equal to each other. The result of these comparisons is typically represented by setting specific flags or registers in the CPU.

Bitwise Operations: The ALU carries out bitwise operations, which manipulate individual bits in binary numbers. These operations include shifting the bits left or right, rotating bits, and masking operations. Bitwise operations are commonly used in tasks such as data manipulation, encryption, and signal processing.

Conditional Operations: The ALU supports conditional operations, enabling the CPU to execute different instructions based on certain conditions. For example, it can perform conditional branching, where the CPU jumps to a specific instruction address based on the result of a comparison operation.

Data Movement: The ALU may also handle data movement operations within the CPU. This involves transferring data between registers, memory, and other CPU components.

ENCODER

An encoder is a digital circuit that performs the inverse operation of a decoder. An encoder has 2n (or fewer) input lines and n output lines.

PRIORITY ENCODER

A priority encoder is an encoder circuit that includes the priority function. The operation of the priority encoder is such that if two or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence. In addition to the two outputs x and y , the circuit has a third output designated by V ; this is a valid bit indicator that is set to 1 when one or more inputs are equal to 1. If all inputs are 0, there is no valid input and V is equal to 0. The other two outputs are not inspected when V equals 0 and are specified as don’t-care conditions.

x = D2+ D3
y = D3 + D1 D 2
V = D0 + D1 + D2 + D3

[]"(image-0132.png)

DECODER

A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2n unique output lines.The decoders presented here are called n -to- m -line decoders, where m … 2^n.

3-8 LINE DECODER

The three inputs are decoded into eight outputs, each representing one of the minterms of the three input variables. The three inverters provide the complement of the inputs, and each one of the eight AND gates generates one of the minterms.

2-4 LINE DECODER WITH ENABLE INPUT

The circuit operates with complemented outputs and a complement enable input. The decoder is enabled when E is equal to 0 (i.e., active-low enable). As indicated by the truth table, only one output can be equal to 0 at any given time; all other outputs are equal to 1. The output whose value is equal to 0 represents the minterm selected by inputs A and B . The circuit is disabled when E is equal to 1, regardless of the values of the other two inputs. When the circuit is disabled, none of the outputs are equal to 0 and none of the minterms are selected.

HOW TO MAKE A VERILOG PROGRAM

Create a New Project in your own version of Quartus Prime.

Select an appropriate directory for your Verilog project files and create an empty project file template.

[]" src=

Use the 10M50DAF484C7G Board from the MAX 10 Family.

Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.

Click on the file icon in the top left corner and create a new Verilog HDL file.

When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.

Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.

Create a new Test Bench if you are creating one for a new program that has not been made before.

Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.

After setting your testbench, you can now run and compile your program by clicking on the third play button.

You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.

BIBLIOGRAPHY

  1. Digital Design, 5th Edition by M Morris Mano and Michael Ciletti
  2. Digital Fundamentals by Floyd and Jain
  3. electronicshub.org/demultiplexerdemux
  4. tutorialspoint.com/digital_circuits/digital_circuits_flip_flops
  5. tutorialspoint.com/digital_circuits/digital_circuits_demultiplexers
',232),de=[ce];function ue(me,ge,fe,be,ye,ke){return a(),t("div",null,de)}const Te=e(pe,[["render",ue]]);export{we as __pageData,Te as default}; +endmodule

reg: store input values
wire: store output values begin end is used to specify a block of code.


BASIC LOGIC GATES

Logic gates are basic building blocks of digital electronics circuits that perform logical operations on one or more binary inputs and produce a binary output. In other words, a logic gate is an electronic device that operates on logical signals (digital signals) to perform a Boolean function.

There are several types of logic gates, including:

AND gate: It has two or more input signals and produces a HIGH output only if all inputs are HIGH. The AND gate symbol is the dot or multiplication symbol.

OR gate: It has two or more input signals and produces a HIGH output if at least one input is HIGH. The OR gate symbol is the plus symbol.

NOT gate (Inverter): It has one input signal and produces the complement of the input signal at its output. The NOT gate symbol is a triangle with a circle at the output.

NAND gate: It is a combination of an AND gate and a NOT gate. It produces a LOW output only if all inputs are HIGH. The NAND gate symbol is an AND gate with a small circle at its output.

NOR gate: It is a combination of an OR gate and a NOT gate. It produces a HIGH output only if all inputs are LOW. The NOR gate symbol is an OR gate with a small circle at its output.

XOR gate: It has two input signals and produces a HIGH output if the two inputs are different (i.e., one input is HIGH and the other is LOW). The XOR gate symbol is the addition symbol with a circle around it.

XNOR gate: It is a combination of an XOR gate and a NOT gate. It produces a HIGH output if the two inputs are the same (i.e., both inputs are HIGH or both inputs are LOW). The XNOR gate symbol is an XOR gate with a small circle at its output.

Binary Adders and Subtractors

HALF ADDER

A half-adder is an arithmetic circuit that generates the sum of two binary digits. The circuit has two inputs and two outputs. The input variables are the augend and addend bits to be added, and the output variables produce the sum and carry.

The Boolean functions for the two outputs, easily obtained from the truth table, are

S = XY + XY = X ⊕ Y

C = XY

xyCS
0000
0101
1001
1110

FULL ADDER

A full adder is a combinational circuit that forms the arithmetic sum of three input bits. Two input variables, denoted by X and Y, represent the two significant bits to be added. The third input, Z, represents the carry from the previous lower significant position.
The simplified sum-of-product functions for the two outputs are :

S = XYZ + XYZ + XY Z + XYZ
C = XY + XZ + YZ

S = (X ⊕ Y) ⊕ Z
C = XY + Z(X ⊕ Y)

RIPPLE CARRY ADDER

A parallel binary adder is a digital circuit that produces the arithmetic sum of two binary numbers using only combinational logic. The parallel adder uses n full adders in parallel, with all input bits applied simultaneously to produce the sum.
The full adders are connected in cascade, with the carry output from one full adder connected to the carry input of the next full adder. Since a 1 carry may appear near the least significant bit of the adder and yet propagate through many full adders to the most significant bit, just as a wave ripples outward from a pebble dropped in a pond, the parallel adder is referred to as a ripple carry adder.

CARRY LOOK AHEAD ADDER

Define two new binary variables

Pi = Ai XOR Bi
Gi = Ai AND Bi

the output sum and carry can respectively be expressed as

Si = Pi XOR Ci
Ci+1 = Gi + (Pi AND Ci)

Each sum output requires two exclusive-OR gates. The output of the first exclusive-OR gate generates the Pi variable, and the AND gate generates the Gi variable. The carries are propagated through the carry lookahead generator and applied as inputs to the second exclusive-OR gate. All output carries are generated after a delay through two levels of gates. Thus, outputs S1 through S3 have equal propagation delay times.

HALF SUBTRACTOR

A half subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) and produces two output bits: the difference (D) and the borrow (B). The half subtractor is called "half" because it can only subtract two single-bit binary numbers, unlike a full subtractor that can subtract two multi-bit binary numbers. D= A XOR B B’ = Abar AND B

FULL SUBTRACTOR

A full subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) along with a borrow (Bin) and produces two output bits: the difference (D) and the borrow (Bout). The full subtractor is an extension of the half subtractor, which can only subtract two single-bit binary numbers.

D = A XOR B XOR Bin
B’ = Abar AND B OR ((A XOR B)bar)Bin

BINARY ADDER AND SUBTRACTOR

M-N where M-minuend and N is subtrahend When performing a subtraction we complement the subtrahend N, and when performing an addition we do not complement N.

The 2s complement can be obtained by taking the 1s complement and adding 1 to the least significant bit. The 1s complement can be implemented easily with inverter circuits, and we can add 1 to the sum by making the input carry of the parallel adder equal to 1. The input carry C0 must be equal to 1. The operation that is performed becomes A plus the 1s complement of B plus 1. This is equal to A plus the 2s complement of B. For unsigned numbers, it gives A - B if A >= B or the 2s complement of B - A if A []( B

LATCHES

SR LATCH

The SR latch is a circuit constructed from two cross- coupled NOR gates. The latch has two inputs, labeled S for set and R for reset, and two useful states. When output Q = 1 and Q = 0, the latch is said to be in the set state. When Q = 0 and Q = 1, it is in the reset state. Outputs Q and Q are normally the complements of each other. When both inputs are equal to 1 at the same time, an undefined state with both outputs equal to 0 occurs.

S̅R̅ LATCH

The SR latch with two cross- coupled NAND gates. It operates with both inputs normally at 1, unless the state of the latch has to be changed. The application of a 0 to the S input causes output Q to go to 1, putting the latch in the set state. When the S input goes back to 1, the circuit remains in the set state. With both inputs at 1, the state of the latch is changed by placing a 0 on the R input. This causes the circuit to go to the reset state and stay there, even after both inputs return to 1. The condition that is undefined for this NAND latch is when both inputs are equal to 0 at the same time, an input combination that should be avoided. When the NAND latch requires a 0 signal to change its state, it is referred to as an SR latch.

SR LATCH WITH CONTROL INPUT

It consists of the basic NAND latch and two additional NAND gates. The control input C acts as an enable signal for the other two inputs. The output of the NAND gates stays at the logic- 1 level as long as the control input remains at 0.
When the control input goes to 1, information from the S and R inputs is allowed to affect the S R latch. The set state is reached with S = 1, R = 0, and C = 1. To change to the reset state, the inputs must be S = 0, R = 1, and C = 1. In either case, when C returns to 0, the circuit remains in its current state. Control input C = 0 disables the circuit so that the state of the output does not change, regardless of the values of S and R. Moreover, when C = 1 and both the S and R inputs are equal to 0, the state of the circuit does not change.An undefined state occurs when all three inputs are equal to 1. This condition places 0s on both inputs of the basic S R latch, giving an undefined state.

D LATCH

One way to eliminate the undesirable undefined state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done in the D latch, shown in Figure 4-8. This latch has only two inputs: D (data) and C (control). The complement of the D input goes directly to the S input, and D is applied to the R input.
As long as the control input is 0, the S R latch has both inputs at the 1 level, and the circuit cannot change state regardless of the value of D. The D input is sampled when C = 1. If D is 1, the Q output goes to 1, placing the circuit in the set state. If D is 0, output Q goes to 0, placing the circuit in the reset state. The D latch receives its designation from its ability to hold data in its internal storage. The binary information present at the data input of the D latch is transferred to the Q output when the control input is enabled (1). The output follows changes in the data input, as long as the control input is enabled. When the control input is disabled (0), the binary information that was present at the data input at the time the transition in C occurred is retained at the Q output until the control input C is enabled again.

FLIP FLOPS

There are basically 4 types of flip-flops:

  1. SR Flip-Flop
  2. JK Flip-Flop
  3. D Flip-Flop
  4. T Flip-Flop

SR FLIP-FLOP

SR flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, SR latch operates with an enable signal. The circuit diagram of SR flip-flop is shown in the following figure.This circuit has two inputs S & R and two outputs QN and Qn+1, present and next state respectively. The operation of the SR flip-flop is similar to SR Latch. But, this flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. Qn+1 = S + R’Qn

JK FLIP-FLOP

JK flip-flop is the modified version of SR flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has two inputs J & K and two outputs Qn and Qn+1, present and next state respectively, JK flip-flop can be used for one of these four functions such as Hold, Reset, Set & Complement of present state based on the input conditions, when positive transition of clock signal is applied.

Qn+1 = J(Qn)’ + K’(Qn)

D FLIP-FLOP

D flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, D latch operates with an enable signal. That means, the output of D flip-flop is insensitive to the changes in the input, D except for active transition of the clock signal. This circuit has single input D and two outputs Qn and Qn+1. This flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. D flip-flop always holds the information, which is available on data input, D of earlier positive transition of clock signal. Next state of D flip-flop is always equal to data input, D for every positive transition of the clock signal.

Qn+1 = D

T FLIP-FLOP

T flip-flop is the simplified version of JK flip-flop. It is obtained by connecting the same input ‘T’ to both inputs of JK flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has single input T and two outputs Qn and Qn+1. The operation of the T flip-flop is the same as that of the JK flip-flop. Here, we considered the inputs of JK flip-flop as J = T and K = T in order to utilize the modified JK flip-flop for 2 combinations of inputs. So, we eliminated the other two combinations of J & K, for which those two values complement each other in a T flip-flop.

Qn+1 = T’Q(n) + (Q(n))’T = T XOR Q(n)

MULTIPLEXERS

2-1 MUX

It selects binary information from one of many input lines and directs the information to a single output line. The selection of a particular input line is controlled by a set of input variables, called selection inputs. there are 2^n input lines and n selection inputs whose bit combinations determine which input is selected. , if the select input S = 0, the output of the multiplexer takes on the values of I 0 , and, if input S = 1, the output of the multiplexer takes on the values of I 1 . Thus, S selects either input I 0 or input I 1 to appear at output Y.
We can see that the equation for the 2–to–1-line multiplexer output Y is
Y = S’I0 + SI1

4-1 MUX

The function Y depends on four inputs I 0 , I 1 , I 2 , and I 3 and two select inputs S1 and S0 . By placing the values of I 0 through I 3 in the Y column. Since there are six variables, and only S1 and S0 are fixed, this single row represents 16 rows of the corresponding full truth table. From the table, we can write the equation for Y as
Y = S1’S0’ I0 + S1’S0 I1 + S1S0’I2 + S1S0 I3

S1S2Y
00I0
01I1
10I2
11I3

DEMULTIPLEXERS

1:2 DEMUX

A 1-to-2 demultiplexer consists of one input line, two output lines and one select line. The signal on the select line helps to switch the input to one of the two outputs. When the select input is LOW, then the input will be passed to Y0 and if the select input is HIGH, then the input will be passed to Y1
Y0 = S’ D
Y1 = S D

PARITY GENERATOR

Many systems use a parity bit as a means for bit error detection. Any group of bits contains either an even or an odd number of 1s. A parity bit is attached to a group of bits to make the total number of 1s in a group always even or always odd. An even parity bit makes the total number of 1s even, and an odd parity bit makes the total odd. A parity bit provides for the detection of a single bit error (or any odd number of errors, which is very unlikely) but cannot check for two errors in one group. For instance, let’s assume that we wish to transmit the BCD code 0101. (Parity can be used with any number of bits; we are using four for illustration.) The total code transmitted, including the even parity bit, is

Now let’s assume that an error occurs in the third bit from the left (the 1 becomes a 0)

When this code is received, the parity check circuitry determines that there is only a single 1 (odd number), when there should be an even number of 1s. Because an even number of 1s does not appear in the code when it is received, an error is indicated.

CODE CONVERTER

BCD to Binary Code converter

The binary numbers representing the weights of the BCD bits are summed to produce the total binary number. The binary equivalent of each BCD bit is a binary number representing the weight of that bit within the total BCD number.

Binary to Gray

Conversion between binary code and Gray code is sometimes useful. The following rules explain how to convert from a binary number to a Gray code word:

  1. The most significant bit (left-most) in the Gray code is the same as the corresponding MSB in the binary number.
  2. Going from left to right, add each adjacent pair of binary code bits to get the next Gray code bit. Discard carries

Gray to Binary

To convert from Gray code to binary, use a similar method; however, there are some differences. The following rules apply:

  1. The most significant bit (left-most) in the binary code is the same as the corresponding bit in the Gray code.
  2. Add each binary code bit generated to the Gray code bit in the next adjacent position. Discard carries.

COMPARATORS

The exclusive-NOR gate can be used as a basic comparator because its output is a 0 if the two input bits are not equal and a 1 if the input bits are equal. The basic comparator can be expanded to any number of bits. The AND gate sets the condition that all corresponding bits of the two numbers must be equal if the two numbers themselves are equal. To determine an inequality of binary numbers A and B, you first examine the highest order bit in each number. The following conditions are possible: 1. If A3 = 1 and B3 = 0, number A is greater than number B. 2. If A3 = 0 and B3 = 1, number A is less than number B. 3. If A3 = B3, then you must examine the next lower bit position for an inequality. These three operations are valid for each bit position in the numbers. The general procedure used in a comparator is to check for an inequality in a bit position, starting with the highest-order bits (MSBs). When such an inequality is found, the relationship of the two numbers is established, and any other inequalities in lower-order bit positions must be ignored because it is possible for an opposite indication to occur; the highest-order indication must take precedence.

Registers

A register is a group of flip‐flops, each one of which shares a common clock and is capable of storing one bit of information. An n ‐bit register consists of a group of n flip‐flops capable of storing n bits of binary information. In addition to the flip‐flops, a register may have combinational gates that perform certain data‐processing tasks.

Four‐bit register

The common clock input triggers all flip‐flops on the positive edge of each pulse, and the binary data available at the four inputs are transferred into the register. The value of (I3 , I2 , I1 , I0) immediately before the clock edge determines the value of (A3 , A2 , A1 , A0) after the clock edge. The four outputs can be sampled at any time to obtain the binary information stored in the register. The input Clear_b goes to the active‐low R (reset) input of all four flip‐flops. When this input goes to 0, all flip‐flops are reset asynchronously. The Clear_b input is useful for clearing the register to all 0’s prior to its clocked operation. The R inputs must be maintained at logic 1 (i.e., de-asserted) during normal clocked operation. Note that, depending on the flip‐flop, either Clear, Clear_b, reset, or reset_b can be used to indicate the transfer of the register to an all 0’s state.

Four bit register with parallel load**Gi = Ai AND Bi **

A four‐bit data‐storage register with a load control input that is directed through gates and into the D inputs of the flip‐flops. The additional gates implement a two‐channel mux whose output drives the input to the register with either the data bus or the output of the register. The load input to the register determines the action to be taken with each clock pulse.

SHIFT REGISTERS

SERIAL IN/SERIAL OUT REGISTERS

The serial in/serial out shift register accepts data serially—that is, one bit at a time on a single line. It produces the stored information on its output also in serial form .

Serial In/Parallel Out Shift Registers

Data bits are entered serially (least-significant bit first) into a serial in/parallel out shift register in the same manner as in serial in/serial out registers. The difference is the way in which the data bits are taken out of the register; in the parallel output register, the output of each stage is available. Once the data is stored, each bit appears on its respective output line, and all bits are available simultaneously, rather than on a bit-by-bit basis as with the serial output.

Parallel In/Serial Out Shift Registers

For a register with parallel data inputs, the bits are entered simultaneously into their respective stages on parallel lines rather than on a bit-by-bit basis on one line as with serial data inputs.

Parallel In/Parallel Out Shift Registers

Parallel entry and parallel output of data have been discussed. The parallel in/parallel out register employs both methods. Immediately following the simultaneous entry of all data bits, the bits appear on the parallel outputs.

COUNTERS

A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some external source and may occur at a fixed interval of time or at random. The sequence of states may follow the binary number sequence or any other sequence of states.

BINARY RIPPLE COUNTER

A binary ripple counter consists of a series connection of complementing flip‐flops, with the output of each flip‐flop connected to the C input of the next higher order flip‐flop. The flip‐flop holding the least significant bit receives the incoming count pulses. A complementing flip‐flop can be obtained from a JK flip‐flop with the J and K inputs tied together or from a T flip‐flop. A third possibility is to use a D flip‐flop with the complement output connected to the D input.The output of each flip‐flop is connected to the C input of the next flip‐flop in sequence. The flip‐flop holding the least significant bit receives the incoming count pulses. The bubble in front of the dynamic indicator symbol next to C indicates that the flip‐flops respond to the negative‐edge transition of the input. The negative transition occurs when the output of the previous flip‐flop to which C is connected goes from 1 to 0.

BCD RIPPLE COUNTER

A decimal counter follows a sequence of 10 states and returns to 0 after the count of 9. Such a counter must have at least four flip‐flops to represent each decimal digit, since a decimal digit is represented by a binary code with at least four bits. The sequence of states in a decimal counter is dictated by the binary code used to represent a decimal digit. The four outputs are designated by the letter symbol Q, with a numeric subscript equal to the binary weight of the corresponding bit in the BCD code. Note that the output of Q1 is applied to the C inputs of both Q2 and Q8 and the output of Q2 is applied to the C input of Q4. The J and K inputs are connected either to a permanent 1 signal or to outputs of other flip‐flops.

4 BIT SYNCHRONOUS BINARY COUNTER

The flip‐flop in the least significant position is complemented with every pulse. A flip‐flop in any other position is complemented when all the bits in the lower significant positions are equal to 1 . Synchronous binary counters have a regular pattern and can be constructed with complementing flip‐flops and gates. The C inputs of all flip‐flops are connected to a common clock. The counter is enabled by Count_enable. If the enable input is 0, all J and K inputs are equal to 0 and the clock does not change the state of the counter. The first stage, A0, has its J and K equal to 1 if the counter is enabled. The other J and K inputs are equal to 1 if all previous least significant stages are equal to 1 and the count is enabled. The chain of AND gates generates the required logic for the J and K inputs in each stage. The counter can be extended to any number of stages, with each stage having an additional flip‐flop and an AND gate that gives an output of 1 if all previous flip‐flop outputs are 1. Note that the flip‐flops trigger on the positive edge of the clock.

FOUR BIT UP-DOWN BINARY COUNTER

The two operations can be combined in one circuit to form a counter capable of counting either up or down. It has an up control input and a down control input. When the up input is 1, the circuit counts up, since the T inputs receive their signals from the values of the previous normal outputs of the flip‐flops.

BINARY COUNTER WITH PARALLEL LOAD

When equal to 1, the input load control disables the count operation and causes a transfer of data from the four data inputs into the four flip‐flops. If both control inputs are 0, clock pulses do not change the state of the register. The carry output becomes a 1 if all the flip‐flops are equal to 1 while the count input is enabled. This is the condition for complementing the flip‐flop that holds the next significant bit. The carry output is useful for expanding the counter to more than four bits. The speed of the counter is increased when the carry is generated directly from the outputs of all four flip‐flops, because the delay to generate the carry bit is reduced. A counter with a parallel load can be used to generate any desired count sequence.the Count control is set to 1 to enable the count through the CLK input. Also, recall that the Load control inhibits the count and that the clear operation is independent of other control inputs.

[]"(image-0120.png)

ARITHMETIC LOGIC UNIT (ALU)

The ALU, or arithmetic logic unit, is a crucial component of the CPU (central processing unit) in a computer system. It is responsible for carrying out arithmetic and logic operations. Also referred to as the integer unit (IU), it is an integrated circuit found in both CPUs and GPUs. The ALU is the final stage in the processor where calculations are performed. It possesses the capability to handle various tasks related to arithmetic and logic operations, including addition, subtraction, shifting operations, as well as Boolean comparisons such as XOR, OR, AND, and NOT operations. It operates on binary numbers and can execute mathematical and bitwise operations. The ALU consists of two units: the arithmetic unit (AU) and the logic unit (LU). By utilizing operands and codes, the ALU determines which operations to perform based on the input data provided. Once the ALU completes processing the input, the resulting information is sent to the computer's memory.

Here are the main functions of an ALU:

Arithmetic Operations: The ALU performs various arithmetic operations on binary numbers, such as addition, subtraction, multiplication, and division. It uses binary addition and subtraction circuits to handle these operations efficiently.

Logical Operations: The ALU executes logical operations on binary data, including AND, OR, XOR (exclusive OR), and NOT. These operations manipulate individual bits or binary values to determine logical relationships and produce logical results.

Comparison Operations: The ALU performs comparison operations to determine the relationship between two binary values. It can compare if two values are equal, not equal, greater than, less than, greater than or equal to, or less than or equal to each other. The result of these comparisons is typically represented by setting specific flags or registers in the CPU.

Bitwise Operations: The ALU carries out bitwise operations, which manipulate individual bits in binary numbers. These operations include shifting the bits left or right, rotating bits, and masking operations. Bitwise operations are commonly used in tasks such as data manipulation, encryption, and signal processing.

Conditional Operations: The ALU supports conditional operations, enabling the CPU to execute different instructions based on certain conditions. For example, it can perform conditional branching, where the CPU jumps to a specific instruction address based on the result of a comparison operation.

Data Movement: The ALU may also handle data movement operations within the CPU. This involves transferring data between registers, memory, and other CPU components.

ENCODER

An encoder is a digital circuit that performs the inverse operation of a decoder. An encoder has 2n (or fewer) input lines and n output lines.

PRIORITY ENCODER

A priority encoder is an encoder circuit that includes the priority function. The operation of the priority encoder is such that if two or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence. In addition to the two outputs x and y , the circuit has a third output designated by V ; this is a valid bit indicator that is set to 1 when one or more inputs are equal to 1. If all inputs are 0, there is no valid input and V is equal to 0. The other two outputs are not inspected when V equals 0 and are specified as don’t-care conditions.

x = D2+ D3
y = D3 + D1 D 2
V = D0 + D1 + D2 + D3

[]"(image-0132.png)

DECODER

A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2n unique output lines.The decoders presented here are called n -to- m -line decoders, where m … 2^n.

3-8 LINE DECODER

The three inputs are decoded into eight outputs, each representing one of the minterms of the three input variables. The three inverters provide the complement of the inputs, and each one of the eight AND gates generates one of the minterms.

2-4 LINE DECODER WITH ENABLE INPUT

The circuit operates with complemented outputs and a complement enable input. The decoder is enabled when E is equal to 0 (i.e., active-low enable). As indicated by the truth table, only one output can be equal to 0 at any given time; all other outputs are equal to 1. The output whose value is equal to 0 represents the minterm selected by inputs A and B . The circuit is disabled when E is equal to 1, regardless of the values of the other two inputs. When the circuit is disabled, none of the outputs are equal to 0 and none of the minterms are selected.

HOW TO MAKE A VERILOG PROGRAM

Create a New Project in your own version of Quartus Prime.

Select an appropriate directory for your Verilog project files and create an empty project file template.

[]" src=

Use the 10M50DAF484C7G Board from the MAX 10 Family.

Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.

Click on the file icon in the top left corner and create a new Verilog HDL file.

When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.

Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.

Create a new Test Bench if you are creating one for a new program that has not been made before.

Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.

After setting your testbench, you can now run and compile your program by clicking on the third play button.

You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.

BIBLIOGRAPHY

  1. Digital Design, 5th Edition by M Morris Mano and Michael Ciletti
  2. Digital Fundamentals by Floyd and Jain
  3. electronicshub.org/demultiplexerdemux
  4. tutorialspoint.com/digital_circuits/digital_circuits_flip_flops
  5. tutorialspoint.com/digital_circuits/digital_circuits_demultiplexers
',232),de=[pe];function ue(ge,be,me,fe,ye,ke){return i(),t("div",null,de)}const Te=e(ce,[["render",ue]]);export{Ee as __pageData,Te as default}; diff --git a/docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.lean.js b/docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.lean.js new file mode 100644 index 0000000..3ca73d1 --- /dev/null +++ b/docs/.vitepress/dist/assets/Verilog_Intro_README.md.B7knES9C.lean.js @@ -0,0 +1 @@ +import{_ as e,c as t,o as i,a4 as a}from"./chunks/framework.DRnJpP2i.js";const s="/hwlabnitc.github.io/assets/image-0026.DNX3PK74.png",o="/hwlabnitc.github.io/assets/image-0027.DCQ2xQ9f.png",n="/hwlabnitc.github.io/assets/image-0031.B_mT3BDL.png",r="/hwlabnitc.github.io/assets/image-0032.ChKJiHmt.png",l="/hwlabnitc.github.io/assets/image-0035.BrUwU0Bp.png",h="/hwlabnitc.github.io/assets/image-0036.DCBkwOrC.png",c="data:image/png;base64,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",p="/hwlabnitc.github.io/assets/image-0040.DKdyuEGL.png",d="/hwlabnitc.github.io/assets/image-0041.CJRl9WSq.png",u="/hwlabnitc.github.io/assets/image-0042.ASAb8rKQ.png",g="/hwlabnitc.github.io/assets/image-0045.DKA8LCPF.png",b="/hwlabnitc.github.io/assets/image-0048.CXFSDcsL.png",m="/hwlabnitc.github.io/assets/image-0049.CaB_GLup.png",f="/hwlabnitc.github.io/assets/image-0052.CeZf0k_U.png",y="/hwlabnitc.github.io/assets/image-0055.BznlVoEq.png",k="/hwlabnitc.github.io/assets/image-0059.CDTcKoGy.png",w="/hwlabnitc.github.io/assets/image-0060.BTMDQZS7.png",E="/hwlabnitc.github.io/assets/image-0064.DEPkAGIj.png",T="/hwlabnitc.github.io/assets/image-0063.-T7xou76.png",A="/hwlabnitc.github.io/assets/image-0067.kRnoR35N.png",v="/hwlabnitc.github.io/assets/image-0068.BNfWNNPe.png",q="/hwlabnitc.github.io/assets/image-0072.CZUwEFTz.png",R="/hwlabnitc.github.io/assets/image-0074.BtbiBWee.png",I="/hwlabnitc.github.io/assets/image-0080.CWgQUcCR.png",C="/hwlabnitc.github.io/assets/image-0081.ZQTlBiC1.png",D="/hwlabnitc.github.io/assets/image-0084.t63l2fqn.png",P="/hwlabnitc.github.io/assets/image-0085.BO8FHxRy.png",x="/hwlabnitc.github.io/assets/image-0086.B8hrsyHq.png",O="/hwlabnitc.github.io/assets/image-0087.D_NyusXi.png",L="/hwlabnitc.github.io/assets/image-0090.Dtqh212C.png",S="/hwlabnitc.github.io/assets/image-0093.Yx-dLxl3.png",B="/hwlabnitc.github.io/assets/image-0094.CNW38p_o.png",N="/hwlabnitc.github.io/assets/image-0097.CLv_nq1A.png",_="/hwlabnitc.github.io/assets/image-0098.Cz9uMbND.png",F="/hwlabnitc.github.io/assets/image-0101.BKebmNSJ.png",U="/hwlabnitc.github.io/assets/image-0102.DfvrZGFz.png",H="/hwlabnitc.github.io/assets/image-0105.ConyJ9Hl.png",M="/hwlabnitc.github.io/assets/image-0108.CL7_SOqE.png",X="/hwlabnitc.github.io/assets/image-0111.CT4EKmBo.png",G="/hwlabnitc.github.io/assets/image-0114.D0l2Ru4M.png",Y="/hwlabnitc.github.io/assets/image-0117.UIdfm-bL.png",Q="/hwlabnitc.github.io/assets/image-0129.P6Nm-_48.png",J="/hwlabnitc.github.io/assets/image-0128.0Z4zBPKF.png",W="/hwlabnitc.github.io/assets/image-0135.Dl4qpNtZ.png",j="/hwlabnitc.github.io/assets/image-0136.DAwnM7ha.png",V="/hwlabnitc.github.io/assets/image-0140.DtUUAxH6.png",K="/hwlabnitc.github.io/assets/image-0139.C8yBWyoR.png",Z="/hwlabnitc.github.io/assets/image-0143.iygO5Cn8.png",z="/hwlabnitc.github.io/assets/image-0144.Dbqd2IFG.png",$="/hwlabnitc.github.io/assets/image-0147.Bj9TP7vb.png",ee="/hwlabnitc.github.io/assets/image-0155.-g-TLIgC.png",te="/hwlabnitc.github.io/assets/image-0156.LHrPgR_q.png",ie="/hwlabnitc.github.io/assets/image-0159.B4EncYw_.png",ae="/hwlabnitc.github.io/assets/image-0160.rxx4vuVx.png",se="/hwlabnitc.github.io/assets/image-0164.B0g6Zvvw.png",oe="/hwlabnitc.github.io/assets/image-0169.B5kUrRJQ.png",ne="/hwlabnitc.github.io/assets/image-0170.BneR4vJ3.png",re="/hwlabnitc.github.io/assets/image-0173.Cei7Z2HS.png",le="/hwlabnitc.github.io/assets/image-0176.DBmnazxa.png",he="/hwlabnitc.github.io/assets/image-0179.C1YNNUMf.png",Ee=JSON.parse('{"title":"INTRODUCTION","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/Intro/README.md","filePath":"Verilog/Intro/README.md"}'),ce={name:"Verilog/Intro/README.md"},pe=a("",232),de=[pe];function ue(ge,be,me,fe,ye,ke){return i(),t("div",null,de)}const Te=e(ce,[["render",ue]]);export{Ee as __pageData,Te as default}; diff --git a/docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.lean.js b/docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.lean.js deleted file mode 100644 index 93ae9a3..0000000 --- a/docs/.vitepress/dist/assets/Verilog_Intro_README.md.CnTl2NNp.lean.js +++ /dev/null @@ -1 +0,0 @@ -import{_ as e,c as t,o as a,a4 as i}from"./chunks/framework.CgMb17D3.js";const s="/assets/image-0026.DNX3PK74.png",o="/assets/image-0027.DCQ2xQ9f.png",n="/assets/image-0031.B_mT3BDL.png",r="/assets/image-0032.ChKJiHmt.png",l="/assets/image-0035.BrUwU0Bp.png",h="/assets/image-0036.DCBkwOrC.png",p="data:image/png;base64,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",c="/assets/image-0040.DKdyuEGL.png",d="/assets/image-0041.CJRl9WSq.png",u="/assets/image-0042.ASAb8rKQ.png",m="/assets/image-0045.DKA8LCPF.png",g="/assets/image-0048.CXFSDcsL.png",f="/assets/image-0049.CaB_GLup.png",b="/assets/image-0052.CeZf0k_U.png",y="/assets/image-0055.BznlVoEq.png",k="/assets/image-0059.CDTcKoGy.png",E="/assets/image-0060.BTMDQZS7.png",w="/assets/image-0064.DEPkAGIj.png",T="/assets/image-0063.-T7xou76.png",A="/assets/image-0067.kRnoR35N.png",v="/assets/image-0068.BNfWNNPe.png",q="/assets/image-0072.CZUwEFTz.png",R="/assets/image-0074.BtbiBWee.png",I="/assets/image-0080.CWgQUcCR.png",C="/assets/image-0081.ZQTlBiC1.png",D="/assets/image-0084.t63l2fqn.png",P="/assets/image-0085.BO8FHxRy.png",x="/assets/image-0086.B8hrsyHq.png",O="/assets/image-0087.D_NyusXi.png",L="/assets/image-0090.Dtqh212C.png",S="/assets/image-0093.Yx-dLxl3.png",B="/assets/image-0094.CNW38p_o.png",N="/assets/image-0097.CLv_nq1A.png",_="/assets/image-0098.Cz9uMbND.png",F="/assets/image-0101.BKebmNSJ.png",U="/assets/image-0102.DfvrZGFz.png",H="/assets/image-0105.ConyJ9Hl.png",M="/assets/image-0108.CL7_SOqE.png",X="/assets/image-0111.CT4EKmBo.png",G="/assets/image-0114.D0l2Ru4M.png",Y="/assets/image-0117.UIdfm-bL.png",Q="/assets/image-0129.P6Nm-_48.png",J="/assets/image-0128.0Z4zBPKF.png",W="/assets/image-0135.Dl4qpNtZ.png",j="/assets/image-0136.DAwnM7ha.png",V="/assets/image-0140.DtUUAxH6.png",K="/assets/image-0139.C8yBWyoR.png",Z="/assets/image-0143.iygO5Cn8.png",z="/assets/image-0144.Dbqd2IFG.png",$="/assets/image-0147.Bj9TP7vb.png",ee="/assets/image-0155.-g-TLIgC.png",te="/assets/image-0156.LHrPgR_q.png",ae="/assets/image-0159.B4EncYw_.png",ie="/assets/image-0160.rxx4vuVx.png",se="/assets/image-0164.B0g6Zvvw.png",oe="/assets/image-0169.B5kUrRJQ.png",ne="/assets/image-0170.BneR4vJ3.png",re="/assets/image-0173.Cei7Z2HS.png",le="/assets/image-0176.DBmnazxa.png",he="/assets/image-0179.C1YNNUMf.png",we=JSON.parse('{"title":"INTRODUCTION","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/Intro/README.md","filePath":"Verilog/Intro/README.md"}'),pe={name:"Verilog/Intro/README.md"},ce=i("",232),de=[ce];function ue(me,ge,fe,be,ye,ke){return a(),t("div",null,de)}const Te=e(pe,[["render",ue]]);export{we as __pageData,Te as default}; diff --git a/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.js b/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.js similarity index 81% rename from docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.js rename to docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.js index 5bfb420..b16f59d 100644 --- a/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.js +++ b/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.js @@ -1,4 +1,4 @@ -import{_ as s,c as i,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const n="/assets/singlecycle-0013.D6xsb9Bh.png",e="/assets/singlecycle-0017.CsR-Gwit.png",l="/assets/singlecycle-0020.XlAfVWge.png",h="/assets/singlecycle-0023.z1LVUBzF.png",p="/assets/singlecycle-0026.C6JaUoQ9.png",k="/assets/singlecycle-0027.ChN4ySyT.png",r="/assets/singlecycle-0030.BEZcafmD.png",d="/assets/singlecycle-0033.C-B0skUE.png",E="/assets/singlecycle-0036.hCbdKgzO.png",o="/assets/singlecycle-0037.DN7JwD_b.png",c="/assets/singlecycle-0040.DzuCZnH4.png",g="/assets/singlecycle-0041.DguRb9xh.png",y="/assets/singlecycle-0044.Bh4VFCKF.png",u="/assets/singlecycle-0045.Bts3iwtV.png",F="/assets/singlecycle-0046.DMG-WAVD.png",m="/assets/singlecycle-0049.Bb3hbLru.png",C="/assets/singlecycle-0050.C0OQvrsm.png",b="/assets/singlecycle-0053.riT0reSK.png",f="/assets/singlecycle-0054.DtcVKxV5.png",B="/assets/singlecycle-0057._jB5dNCX.png",w="/assets/singlecycle-0058.kZmqGpg9.png",A="/assets/singlecycle-0061.DLiLLvpn.png",v="/assets/singlecycle-0065.P3xu36xq.png",D="/assets/singlecycle-0066.StzZ_r5K.png",x="/assets/singlecycle-0069.sFli2F5X.png",_="/assets/singlecycle-0072.CUr1uECj.png",q="/assets/singlecycle-0073.CxG6ZJA4.png",T="/assets/singlecycle-0076.C5bAn5jN.png",P="/assets/singlecycle-0079.gNbxYTcc.png",U="/assets/singlecycle-0082.DQiZ0qVK.jpg",I="/assets/singlecycle-0088.BSYfCwJb.jpg",L="/assets/singlecycle-0092.ChkEmr9X.jpg",M="/assets/singlecycle-0097.D48mp-L4.jpg",S="/assets/singlecycle-0102.NMndrpRj.jpg",$="/assets/singlecycle-0122._9sweLFO.jpg",R="/assets/singlecycle-0110.B09az6UL.png",O="/assets/singlecycle-0113.al9_Q5p9.png",W="/assets/singlecycle-0125.BY3On3Mc.png",j="/assets/singlecycle-0134.Qjaml-am.png",ss=JSON.parse('{"title":"Single Cycle Processor","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/SingleCycle.md","filePath":"Verilog/SingleCycle.md"}'),V={name:"Verilog/SingleCycle.md"},N=t('

Single Cycle Processor

Table of Contents

Sl No.TopicPage No.
1Overview1
2Prerequisites2
3Theory- Control Unit3
4Theory- Datapath8
5Implementation22
1Top Level Module22
2Data Memory24
3Instruction Memory25
4Microprocessor Top Level (MIPS)27
5Controller29
6Main Decoder31
7ALU Decoder33
8Datapath35
9Register File38
10Other Functional Units41
6How to Run Instructions?44
7Test Cases for Various Instructions46
8References53

1. Overview

Till now you have learned to design sequential and combinational logic, in this section you will learn how to create a single cycle processor, specifically the MIPS microprocessor.

This section combines almost every concept covered so far. Abstraction of block diagrams and Verilog HDLis used to describe the arrangement of each component. We exploit regularity and modularity by reusing already created blocks such as ALUs, multiplexers and register files. The microarchitecture is partitioned into datapath and control units. The MIPS microprocessor datapath uses the register file, ALU, memory unit, and instruction decoder to execute instructions. The register file stores data and instructions, the ALU performs operations, the memory unit accesses and stores data, and the instruction decoder controls data flow. The control unit of a MIPS microprocessor generates control signals that direct the flow of data between components in the datapath, ensuring that instructions are executed correctly. It receives instructions from the decoder, directs data flow to the correct components, and controls instruction timing.
Together, the datapath and control units work to execute instructions in the MIPS microprocessor.
We will focus on the single-cycle implementation of a subset of MIPS instructions. Additionally, we will compare single-cycle, multicycle, and pipelined microarchitectures for the MIPS processor.

2. Prerequisites

To create a Verilog MIPS single cycle processor, you should have a strong understanding of digital logic design, computer architecture, and Verilog programming.

Some of the specific prerequisites include-

  • Knowledge of digital logic design concepts, such as combinational and sequential circuits, logic gates, flip-flops, and registers and implementing these in Verilog HDL.

  • Understanding of computer architecture principles, including datapath and control unit design, memory organisation, instruction decoding, and input/output interfaces. These are also covered once more in the “Theory” section of our module.

  • Experience with Verilog programming, including the ability to write and understand Verilog code, testbenches, and simulation results.

  • Ability to use simulation and synthesis tools, such as ModelSim, Quartus, to simulate and synthesise Verilog code.

  • Knowledge of computer organisation and assembly language programming is also beneficial, as it provides context for understanding the MIPS single cycle processor and its operation.

Additionally, it would be helpful if you were familiar with the MIPS instruction set architecture, including its various instruction formats, opcode values, and functionality.

3. Control Unit

This section covers an implementation of our MIPS subset, which is created by adding a basic control function to the datapath discussed in the previous section. Support for load word (lw), store word (sw), branch equal (beq), and arithmetic-logical instructions like add, sub, AND, OR, and set on less than are all included in this version. It is implemented in 2 parts: the main control Unit and ALU Control Unit. Firstly we look at the instruction format.

CU

Instruction Format

The 32 bit MIPS instruction can be broken down into the following parts

fig 2

The op field, called the opcode, is always contained in bits 31:26. We will refer to this field as Op[5:0] by standard notation.

  • The two registers to be read are always specified by the rs and rt fields, at positions 25:21 and 20:16. This is true for the R-type instructions, branch equal, and store.

  • The base register for load and store instructions is always in bit positions 25:21 (rs).

  • The 16-bit offset for branch equal, load, and store is always in positions 15:0.

  • The destination register is in one of two places. For a load, it is in bit positions 20:16 (rt), while for an R-type instruction it is in bit positions 15:11 (rd). Thus, we will need to add a multiplexor to select which field of the instruction is used to indicate the register number to be written.

Main Control Unit (Main Decoder)

The control unit computes the control ignals based on the opcode and funct fields of the instruction, [31:26] and [5:0].

Most of the control information comes from the opcode, but R-type instructions also use the funct field to determine the ALU operation. The majority of the outputs from the opcode are computed by the main decoder. The 6 bits of the opcode are decoded into various control signals of the Main Decoder as shown in Fig. 3.

Simple PLA Implementation

Fig 3. Simple PLA Implementation

Table 1 explains each of the control signals in detail with all the important information. These nine control (two from ALUOp which are explained later) signals are set on the basis of six input signals to the control unit, which are the opcode bits 31 to 26.

Control SignalsDeassertedAsserted
RegDstThe write register number comes from the rt field (20:16)The write register number comes from the rd field (15:11)
RegWrite-The register on the write register input is written with the value of the Write data input.
ALUSrcSecond ALU Operand is the second register file output.Second ALU Operand is the sign extended offset (16 bit to 32 bits)
PCSrcPC = PC + 4 (For sequential exec.)PC is replaced by branch target.
MemRead-Data memory contents designated by address input are put in Read Data Output.
MemWrite-Data memory contents designated by address input are put in Write Data Output.
MemtoRegWrite Data is fed input from ALU.Write Data is fed input from Data Memory.

Table 1. Different Control Signals for the different MUXs

With the exception of the PCSrc control line, the control unit can set all of the control signals based only on the opcode field of the instruction. If the instruction is branch on equal and the ALU's Zero output is asserted, then the PCSrc control line should also be asserted. We must AND the Zero signal from the ALU with the Branch signal from the control unit in order to produce the PCSrc signal.

ALU Control Unit (ALU Decoder)

Depending on the instruction class, the ALU will need to perform one of these functions.

Table 2. ALU Control Lines

The main decoder determines a 2-bit ALUOp signal which is used as input for ALU Decoder along with the 6-bit funct (or function) field in the low-order bits of the instruction. The 4 bit output signal of the ALU Control Unit represents the operation to be carried out by the ALU. Fig 4. ALU Hardware Implementation

The 2 bit ALUOp sent by the Control Unit indicates whether the operation to be performed should be add (00) for loads and stores, subtract (01) for beq, or determined by the operation encoded in the funct field (10).

Understanding the optimal implementation:

Using multiple levels of control can reduce the size of the main control unit. Using several smaller control units may also potentially increase the speed of the control unit. Such optimizations are important, since the speed of the control unit is often critical to clock cycle time

ALU Control Truth Table

Input-Output Truth Table

4. Datapath

A datapath is the part of a computer processor that performs arithmetic and logic operations on data. It is a digital circuit that consists of registers, an arithmetic logic unit (ALU), and multiplexers.

The datapath receives instructions and data from the processor's memory and performs the necessary operations specified by the instructions. The ALU performs arithmetic and logical operations on the data, and the registers store intermediate and final results.

The datapath also includes multiplexers that allow the selection of different input values based on control signals. The control signals are generated by the control unit, which coordinates the operations of the datapath to execute instructions.

The datapath operates on words of data. MIPS is a 32-bit architecture, so we will use a 32-bit datapath. The datapath first decodes the instruction for the control unit which then sets the different multiplexers thereby fixing the datapath. We will split the datapath into different state elements and try to learn them one by one and finally piece them together to create a complete datapath.

State elements

There are 5 main state elements required for building the datapath.

a Instruction Memory

The program counter contains the address of the instruction to be executed. The first step is to read this instruction from the element called instruction memory. The instruction memory takes the address in PC as the input and fetches the 32 bit instruction, labelled instr.

The processor’s actions depend on the specific instruction that was fetched.

b Register File

The register file contains all the available registers. It has two read ports and one write port. Since MIPS architecture contains 32 registers, each register is identified by a unique 5 bit number (log2 32). This unique 5 bit number is given as the input in both read and write ports. The contents of the registers specified via the read ports are given as the output. If the control signal RegWrite is set, the data given in the data port is written into the register given in the write port.

c) ALU

ALU performs different arithmetic operations on the data depending on the signal received from the control unit. It has two data input ports and an input from the control unit which specifies the operation to be performed. The final result is output through ALU result and zero port is set to 1 if the result is zero.

d Data Memory

The memory unit is a state element with inputs for the address and the write data, and a single output for the read result.There are separate read and write controls, called MemRead and MemWrite. Only one of these may be asserted at a time.

  • If MemRead is set then value at the address given is fetched and outputted through the read data port.
  • If MemWrite is set then the value at the address is changed to write data.

e) Sign extension

To understand what sign extension is, let’s take an example. Consider the 4 bit number 1100. If we were to sign extend it to an 8 bit number, we take the MSB which in this case is 1 and extend it to make the upper half of the 8 bit number keeping the lower same as the initial 4 bits which gives us 1111 1100. Similarly, this element sign extends a 16 bit number into a 32 bit number.

Now that we have seen the different state elements involved in a datapath, let's see the datapath followed by different MIPS instructions. Since we are considering MIPS microprocessor, each instruction is 32 bits long.

  • add It is an R-type instruction of the form add $rd,$rs,$rt The R-type instruction format is

The datapath for add instruction is as follows :

  1. Grab the instruction address from the PC.

PC

  1. Decode instruction.

Decoder

  1. Pass rs,rt and rd into read register and write register ports.

Register

  1. Retrieve data from read register 1 and register 2 (rs and rt).

  1. Pass contents of rs and rt into the ALU as operands for the addition operation. The only difference between different arithmetic operations is in the ALU operation performed.

  1. Return back the ALU result to the register file as the Write data argument. The data will be written into the Write register specified by the rd field.

  1. Increment the value of PC to PC+4 to move on to the next instruction.

  • lw(load word)
    The lw instruction is of the form lw $rt immediate($rs). It has an I-type instruction format.

lw

The load word instruction copies the data stored at the address ‘immediate+value(rs)’ and stores it into the register rt.
The datapath for lw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. The control unit examines the most significant five bits of the instruction to determine the necessary datapath configuration.

  3. The register file receives the two register numbers rs and rt, with rs connected to the read port and rt to the write port. Read data 1 port outputs the data stored in rs.

  4. This output is then added to the sign-extended immediate using ALU.

  5. The ALU result is sent to the data memory unit, which reads the data stored at the address specified by the ALU result.

  6. Finally, the data read from the memory is returned to the register file, which writes it into register rt.

  7. PC is incremented to PC+4.

lw

  • sw(store word) sw instruction is of the form

    v
    sw $rt immediate($rs)

    The instruction format is again of the form I-type with an opcode 43.

The store word instruction writes the data stored in the register rt into the memory address ‘value(rs)+immediate ’.

The datapath for sw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration by setting the multiplexers.

  3. The register file receives two register numbers rs and rt, with rs connected to read port1 and rt connected to read port2. The data stored in registers rs and rt is output through the read data port1 and read data port2 respectively.

  4. The read data1 is then added to the sign-extended immediate using ALU.

  5. The ALU result along with read data2 (which contains the value stored in register rt) is sent to the data memory unit, which writes the read data2 into the address specified by the ALU result.

  6. PC is incremented to PC+4.

sw

  • beq(branch if equal)

The beq instruction is of the form

mips
    beq $rs ,$rt ,immediate

It has an I-type instruction format.

I-type

It compares the contents of rs and rt to check if they are equal and uses the 16-bit immediate field to compute the target address of the branch relative to the current address.

The datapath for beq instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five its and determines the necessary datapath configuration.

  3. The register file receives two register numbers rs and rt in the read register port and outputs the contents in rs and rt.

  4. ALU subtracts the value of rs from rt and sets the zero port to 1 if the result is 0.

  5. The immediate value is sign extended and then shifted left by 2 bits.

  6. PC is incremented to PC+4 and is added with the immediate value to give the branch target.

  7. PC is changed to the branch target if zero port was set to 1.

beq

  • j (jump)

The jump instruction is of the form j targaddr. It has a J-type instruction format with opcode 2.

This instruction uses the 26 bit targaddr to compute jump address and updates the value of PC to jump address.

J-type

The datapath for j instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration.

  3. The 26 bit targaddr is shifted left by 2 bits to create a 28 bit result

  4. Concatenate the result with the upper 4 bits of PC+4 to get the jump address.

  5. Finally, the PC gets updated to the jump address.

j

The Final Datapath

Final

Fig 4. Simple datapath with control unit (for R-type and I-type)

Here, the input to the control unit is the 6-bit opcode field from the instruction. The outputs are the control signals which serve various purposes :-

  • RegDst, ALUSrc, MemtoReg - 1-bit signals that control the multiplexors.

  • RegWrite, MemRead, MemWrite - Signals that control reads and writes in the data memory and register file.

  • Branch - Signal used in checking if a branch is required.

  • ALUOp - 2-bit control signal for the ALU.

Note that here, the AND gate is used to combine the Branch control signal with the Zero output from the ALU. This is responsible for the selection of the next PC.

Role of Multiplexors

As seen above, there are 4 multiplexors required at various stages of the datapath. They are needed in order to implement both R-type and I-type instructions using the same datapath. Their roles are explained below :-

  1. MUX 1 - This MUX determines which register needs to be written into using the RegDst control signal. If it’s 0, the write register number comes from the rt field (in the case of I-type), whereas if it’s 1, the write register number comes from the rd field (for R-type instructions)

  2. MUX 2 - This MUX is placed at the ALU input with ALUSrc as the select line. When it’s 0, an arithmetic-logical instruction is taking place, and the second ALU operand is the data read from the second register. When it’s 1, a memory instruction is taking place, with the second ALU operand being the sign-extended 16-bit immediate field from instruction.

  3. MUX 3 - It chooses which value is stored in the destination register using the MemtoReg control signal. This value comes from the ALU (for an R-type instruction) or the memory (for a load).

  4. MUX 4 - The final MUX is used to select if the PC moves onto the sequentially following instruction address (PC + 4) or branches to a target address. The control signal that achieves this is the output of the AND gate which is 1 in case of a branch instruction and 0 otherwise.

Final

Fig 4. Datapath with Jump implementation

Additionally to implement the Jump instruction in the same datapath, an additional MUX, controlled by the jump control signal, is used to determine whether to move to the jump target address or the next consequent instruction. This jump target is obtained by shifting the lower 26 bits of the jump instruction left 2 bits (ie. multiplying by 4) and then concatenating the upper 4 bits of PC + 4 as the high-order bits, thus yielding a 32-bit address.

5. Implementation

1. Top level module

Top

v
module top (input clk, reset, 
+import{_ as s,c as i,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const n="/hwlabnitc.github.io/assets/singlecycle-0013.D6xsb9Bh.png",e="/hwlabnitc.github.io/assets/singlecycle-0017.CsR-Gwit.png",l="/hwlabnitc.github.io/assets/singlecycle-0020.XlAfVWge.png",h="/hwlabnitc.github.io/assets/singlecycle-0023.z1LVUBzF.png",p="/hwlabnitc.github.io/assets/singlecycle-0026.C6JaUoQ9.png",k="/hwlabnitc.github.io/assets/singlecycle-0027.ChN4ySyT.png",r="/hwlabnitc.github.io/assets/singlecycle-0030.BEZcafmD.png",d="/hwlabnitc.github.io/assets/singlecycle-0033.C-B0skUE.png",E="/hwlabnitc.github.io/assets/singlecycle-0036.hCbdKgzO.png",o="/hwlabnitc.github.io/assets/singlecycle-0037.DN7JwD_b.png",c="/hwlabnitc.github.io/assets/singlecycle-0040.DzuCZnH4.png",g="/hwlabnitc.github.io/assets/singlecycle-0041.DguRb9xh.png",y="/hwlabnitc.github.io/assets/singlecycle-0044.Bh4VFCKF.png",u="/hwlabnitc.github.io/assets/singlecycle-0045.Bts3iwtV.png",F="/hwlabnitc.github.io/assets/singlecycle-0046.DMG-WAVD.png",m="/hwlabnitc.github.io/assets/singlecycle-0049.Bb3hbLru.png",C="/hwlabnitc.github.io/assets/singlecycle-0050.C0OQvrsm.png",b="/hwlabnitc.github.io/assets/singlecycle-0053.riT0reSK.png",f="/hwlabnitc.github.io/assets/singlecycle-0054.DtcVKxV5.png",w="/hwlabnitc.github.io/assets/singlecycle-0057._jB5dNCX.png",B="/hwlabnitc.github.io/assets/singlecycle-0058.kZmqGpg9.png",A="/hwlabnitc.github.io/assets/singlecycle-0061.DLiLLvpn.png",v="/hwlabnitc.github.io/assets/singlecycle-0065.P3xu36xq.png",D="/hwlabnitc.github.io/assets/singlecycle-0066.StzZ_r5K.png",x="/hwlabnitc.github.io/assets/singlecycle-0069.sFli2F5X.png",_="/hwlabnitc.github.io/assets/singlecycle-0072.CUr1uECj.png",q="/hwlabnitc.github.io/assets/singlecycle-0073.CxG6ZJA4.png",T="/hwlabnitc.github.io/assets/singlecycle-0076.C5bAn5jN.png",P="/hwlabnitc.github.io/assets/singlecycle-0079.gNbxYTcc.png",U="/hwlabnitc.github.io/assets/singlecycle-0082.DQiZ0qVK.jpg",I="/hwlabnitc.github.io/assets/singlecycle-0088.BSYfCwJb.jpg",L="/hwlabnitc.github.io/assets/singlecycle-0092.ChkEmr9X.jpg",M="/hwlabnitc.github.io/assets/singlecycle-0097.D48mp-L4.jpg",S="/hwlabnitc.github.io/assets/singlecycle-0102.NMndrpRj.jpg",$="/hwlabnitc.github.io/assets/singlecycle-0122._9sweLFO.jpg",R="/hwlabnitc.github.io/assets/singlecycle-0110.B09az6UL.png",O="/hwlabnitc.github.io/assets/singlecycle-0113.al9_Q5p9.png",W="/hwlabnitc.github.io/assets/singlecycle-0125.BY3On3Mc.png",j="/hwlabnitc.github.io/assets/singlecycle-0134.Qjaml-am.png",ss=JSON.parse('{"title":"Single Cycle Processor","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/SingleCycle.md","filePath":"Verilog/SingleCycle.md"}'),V={name:"Verilog/SingleCycle.md"},N=t('

Single Cycle Processor

Table of Contents

Sl No.TopicPage No.
1Overview1
2Prerequisites2
3Theory- Control Unit3
4Theory- Datapath8
5Implementation22
1Top Level Module22
2Data Memory24
3Instruction Memory25
4Microprocessor Top Level (MIPS)27
5Controller29
6Main Decoder31
7ALU Decoder33
8Datapath35
9Register File38
10Other Functional Units41
6How to Run Instructions?44
7Test Cases for Various Instructions46
8References53

1. Overview

Till now you have learned to design sequential and combinational logic, in this section you will learn how to create a single cycle processor, specifically the MIPS microprocessor.

This section combines almost every concept covered so far. Abstraction of block diagrams and Verilog HDLis used to describe the arrangement of each component. We exploit regularity and modularity by reusing already created blocks such as ALUs, multiplexers and register files. The microarchitecture is partitioned into datapath and control units. The MIPS microprocessor datapath uses the register file, ALU, memory unit, and instruction decoder to execute instructions. The register file stores data and instructions, the ALU performs operations, the memory unit accesses and stores data, and the instruction decoder controls data flow. The control unit of a MIPS microprocessor generates control signals that direct the flow of data between components in the datapath, ensuring that instructions are executed correctly. It receives instructions from the decoder, directs data flow to the correct components, and controls instruction timing.
Together, the datapath and control units work to execute instructions in the MIPS microprocessor.
We will focus on the single-cycle implementation of a subset of MIPS instructions. Additionally, we will compare single-cycle, multicycle, and pipelined microarchitectures for the MIPS processor.

2. Prerequisites

To create a Verilog MIPS single cycle processor, you should have a strong understanding of digital logic design, computer architecture, and Verilog programming.

Some of the specific prerequisites include-

  • Knowledge of digital logic design concepts, such as combinational and sequential circuits, logic gates, flip-flops, and registers and implementing these in Verilog HDL.

  • Understanding of computer architecture principles, including datapath and control unit design, memory organisation, instruction decoding, and input/output interfaces. These are also covered once more in the “Theory” section of our module.

  • Experience with Verilog programming, including the ability to write and understand Verilog code, testbenches, and simulation results.

  • Ability to use simulation and synthesis tools, such as ModelSim, Quartus, to simulate and synthesise Verilog code.

  • Knowledge of computer organisation and assembly language programming is also beneficial, as it provides context for understanding the MIPS single cycle processor and its operation.

Additionally, it would be helpful if you were familiar with the MIPS instruction set architecture, including its various instruction formats, opcode values, and functionality.

3. Control Unit

This section covers an implementation of our MIPS subset, which is created by adding a basic control function to the datapath discussed in the previous section. Support for load word (lw), store word (sw), branch equal (beq), and arithmetic-logical instructions like add, sub, AND, OR, and set on less than are all included in this version. It is implemented in 2 parts: the main control Unit and ALU Control Unit. Firstly we look at the instruction format.

CU

Instruction Format

The 32 bit MIPS instruction can be broken down into the following parts

fig 2

The op field, called the opcode, is always contained in bits 31:26. We will refer to this field as Op[5:0] by standard notation.

  • The two registers to be read are always specified by the rs and rt fields, at positions 25:21 and 20:16. This is true for the R-type instructions, branch equal, and store.

  • The base register for load and store instructions is always in bit positions 25:21 (rs).

  • The 16-bit offset for branch equal, load, and store is always in positions 15:0.

  • The destination register is in one of two places. For a load, it is in bit positions 20:16 (rt), while for an R-type instruction it is in bit positions 15:11 (rd). Thus, we will need to add a multiplexor to select which field of the instruction is used to indicate the register number to be written.

Main Control Unit (Main Decoder)

The control unit computes the control ignals based on the opcode and funct fields of the instruction, [31:26] and [5:0].

Most of the control information comes from the opcode, but R-type instructions also use the funct field to determine the ALU operation. The majority of the outputs from the opcode are computed by the main decoder. The 6 bits of the opcode are decoded into various control signals of the Main Decoder as shown in Fig. 3.

Simple PLA Implementation

Fig 3. Simple PLA Implementation

Table 1 explains each of the control signals in detail with all the important information. These nine control (two from ALUOp which are explained later) signals are set on the basis of six input signals to the control unit, which are the opcode bits 31 to 26.

Control SignalsDeassertedAsserted
RegDstThe write register number comes from the rt field (20:16)The write register number comes from the rd field (15:11)
RegWrite-The register on the write register input is written with the value of the Write data input.
ALUSrcSecond ALU Operand is the second register file output.Second ALU Operand is the sign extended offset (16 bit to 32 bits)
PCSrcPC = PC + 4 (For sequential exec.)PC is replaced by branch target.
MemRead-Data memory contents designated by address input are put in Read Data Output.
MemWrite-Data memory contents designated by address input are put in Write Data Output.
MemtoRegWrite Data is fed input from ALU.Write Data is fed input from Data Memory.

Table 1. Different Control Signals for the different MUXs

With the exception of the PCSrc control line, the control unit can set all of the control signals based only on the opcode field of the instruction. If the instruction is branch on equal and the ALU's Zero output is asserted, then the PCSrc control line should also be asserted. We must AND the Zero signal from the ALU with the Branch signal from the control unit in order to produce the PCSrc signal.

ALU Control Unit (ALU Decoder)

Depending on the instruction class, the ALU will need to perform one of these functions.

Table 2. ALU Control Lines

The main decoder determines a 2-bit ALUOp signal which is used as input for ALU Decoder along with the 6-bit funct (or function) field in the low-order bits of the instruction. The 4 bit output signal of the ALU Control Unit represents the operation to be carried out by the ALU. Fig 4. ALU Hardware Implementation

The 2 bit ALUOp sent by the Control Unit indicates whether the operation to be performed should be add (00) for loads and stores, subtract (01) for beq, or determined by the operation encoded in the funct field (10).

Understanding the optimal implementation:

Using multiple levels of control can reduce the size of the main control unit. Using several smaller control units may also potentially increase the speed of the control unit. Such optimizations are important, since the speed of the control unit is often critical to clock cycle time

ALU Control Truth Table

Input-Output Truth Table

4. Datapath

A datapath is the part of a computer processor that performs arithmetic and logic operations on data. It is a digital circuit that consists of registers, an arithmetic logic unit (ALU), and multiplexers.

The datapath receives instructions and data from the processor's memory and performs the necessary operations specified by the instructions. The ALU performs arithmetic and logical operations on the data, and the registers store intermediate and final results.

The datapath also includes multiplexers that allow the selection of different input values based on control signals. The control signals are generated by the control unit, which coordinates the operations of the datapath to execute instructions.

The datapath operates on words of data. MIPS is a 32-bit architecture, so we will use a 32-bit datapath. The datapath first decodes the instruction for the control unit which then sets the different multiplexers thereby fixing the datapath. We will split the datapath into different state elements and try to learn them one by one and finally piece them together to create a complete datapath.

State elements

There are 5 main state elements required for building the datapath.

a Instruction Memory

The program counter contains the address of the instruction to be executed. The first step is to read this instruction from the element called instruction memory. The instruction memory takes the address in PC as the input and fetches the 32 bit instruction, labelled instr.

The processor’s actions depend on the specific instruction that was fetched.

b Register File

The register file contains all the available registers. It has two read ports and one write port. Since MIPS architecture contains 32 registers, each register is identified by a unique 5 bit number (log2 32). This unique 5 bit number is given as the input in both read and write ports. The contents of the registers specified via the read ports are given as the output. If the control signal RegWrite is set, the data given in the data port is written into the register given in the write port.

c) ALU

ALU performs different arithmetic operations on the data depending on the signal received from the control unit. It has two data input ports and an input from the control unit which specifies the operation to be performed. The final result is output through ALU result and zero port is set to 1 if the result is zero.

d Data Memory

The memory unit is a state element with inputs for the address and the write data, and a single output for the read result.There are separate read and write controls, called MemRead and MemWrite. Only one of these may be asserted at a time.

  • If MemRead is set then value at the address given is fetched and outputted through the read data port.
  • If MemWrite is set then the value at the address is changed to write data.

e) Sign extension

To understand what sign extension is, let’s take an example. Consider the 4 bit number 1100. If we were to sign extend it to an 8 bit number, we take the MSB which in this case is 1 and extend it to make the upper half of the 8 bit number keeping the lower same as the initial 4 bits which gives us 1111 1100. Similarly, this element sign extends a 16 bit number into a 32 bit number.

Now that we have seen the different state elements involved in a datapath, let's see the datapath followed by different MIPS instructions. Since we are considering MIPS microprocessor, each instruction is 32 bits long.

  • add It is an R-type instruction of the form add $rd,$rs,$rt The R-type instruction format is

The datapath for add instruction is as follows :

  1. Grab the instruction address from the PC.

PC

  1. Decode instruction.

Decoder

  1. Pass rs,rt and rd into read register and write register ports.

Register

  1. Retrieve data from read register 1 and register 2 (rs and rt).

  1. Pass contents of rs and rt into the ALU as operands for the addition operation. The only difference between different arithmetic operations is in the ALU operation performed.

  1. Return back the ALU result to the register file as the Write data argument. The data will be written into the Write register specified by the rd field.

  1. Increment the value of PC to PC+4 to move on to the next instruction.

  • lw(load word)
    The lw instruction is of the form lw $rt immediate($rs). It has an I-type instruction format.

lw

The load word instruction copies the data stored at the address ‘immediate+value(rs)’ and stores it into the register rt.
The datapath for lw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. The control unit examines the most significant five bits of the instruction to determine the necessary datapath configuration.

  3. The register file receives the two register numbers rs and rt, with rs connected to the read port and rt to the write port. Read data 1 port outputs the data stored in rs.

  4. This output is then added to the sign-extended immediate using ALU.

  5. The ALU result is sent to the data memory unit, which reads the data stored at the address specified by the ALU result.

  6. Finally, the data read from the memory is returned to the register file, which writes it into register rt.

  7. PC is incremented to PC+4.

lw

  • sw(store word) sw instruction is of the form

    v
    sw $rt immediate($rs)

    The instruction format is again of the form I-type with an opcode 43.

The store word instruction writes the data stored in the register rt into the memory address ‘value(rs)+immediate ’.

The datapath for sw instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration by setting the multiplexers.

  3. The register file receives two register numbers rs and rt, with rs connected to read port1 and rt connected to read port2. The data stored in registers rs and rt is output through the read data port1 and read data port2 respectively.

  4. The read data1 is then added to the sign-extended immediate using ALU.

  5. The ALU result along with read data2 (which contains the value stored in register rt) is sent to the data memory unit, which writes the read data2 into the address specified by the ALU result.

  6. PC is incremented to PC+4.

sw

  • beq(branch if equal)

The beq instruction is of the form

mips
    beq $rs ,$rt ,immediate

It has an I-type instruction format.

I-type

It compares the contents of rs and rt to check if they are equal and uses the 16-bit immediate field to compute the target address of the branch relative to the current address.

The datapath for beq instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five its and determines the necessary datapath configuration.

  3. The register file receives two register numbers rs and rt in the read register port and outputs the contents in rs and rt.

  4. ALU subtracts the value of rs from rt and sets the zero port to 1 if the result is 0.

  5. The immediate value is sign extended and then shifted left by 2 bits.

  6. PC is incremented to PC+4 and is added with the immediate value to give the branch target.

  7. PC is changed to the branch target if zero port was set to 1.

beq

  • j (jump)

The jump instruction is of the form j targaddr. It has a J-type instruction format with opcode 2.

This instruction uses the 26 bit targaddr to compute jump address and updates the value of PC to jump address.

J-type

The datapath for j instruction is as follows :-

  1. The instruction memory reads the PC and outputs the instruction.

  2. Control unit examines the most significant five bits and determines the necessary datapath configuration.

  3. The 26 bit targaddr is shifted left by 2 bits to create a 28 bit result

  4. Concatenate the result with the upper 4 bits of PC+4 to get the jump address.

  5. Finally, the PC gets updated to the jump address.

j

The Final Datapath

Final

Fig 4. Simple datapath with control unit (for R-type and I-type)

Here, the input to the control unit is the 6-bit opcode field from the instruction. The outputs are the control signals which serve various purposes :-

  • RegDst, ALUSrc, MemtoReg - 1-bit signals that control the multiplexors.

  • RegWrite, MemRead, MemWrite - Signals that control reads and writes in the data memory and register file.

  • Branch - Signal used in checking if a branch is required.

  • ALUOp - 2-bit control signal for the ALU.

Note that here, the AND gate is used to combine the Branch control signal with the Zero output from the ALU. This is responsible for the selection of the next PC.

Role of Multiplexors

As seen above, there are 4 multiplexors required at various stages of the datapath. They are needed in order to implement both R-type and I-type instructions using the same datapath. Their roles are explained below :-

  1. MUX 1 - This MUX determines which register needs to be written into using the RegDst control signal. If it’s 0, the write register number comes from the rt field (in the case of I-type), whereas if it’s 1, the write register number comes from the rd field (for R-type instructions)

  2. MUX 2 - This MUX is placed at the ALU input with ALUSrc as the select line. When it’s 0, an arithmetic-logical instruction is taking place, and the second ALU operand is the data read from the second register. When it’s 1, a memory instruction is taking place, with the second ALU operand being the sign-extended 16-bit immediate field from instruction.

  3. MUX 3 - It chooses which value is stored in the destination register using the MemtoReg control signal. This value comes from the ALU (for an R-type instruction) or the memory (for a load).

  4. MUX 4 - The final MUX is used to select if the PC moves onto the sequentially following instruction address (PC + 4) or branches to a target address. The control signal that achieves this is the output of the AND gate which is 1 in case of a branch instruction and 0 otherwise.

Final

Fig 4. Datapath with Jump implementation

Additionally to implement the Jump instruction in the same datapath, an additional MUX, controlled by the jump control signal, is used to determine whether to move to the jump target address or the next consequent instruction. This jump target is obtained by shifting the lower 26 bits of the jump instruction left 2 bits (ie. multiplying by 4) and then concatenating the upper 4 bits of PC + 4 as the high-order bits, thus yielding a 32-bit address.

5. Implementation

1. Top level module

Top

v
module top (input clk, reset, 
     output [31:0] writedata, dataadr, 
     output memwrite); 
     wire [31:0] pc, instr, readdata; 
diff --git a/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.lean.js b/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.lean.js
new file mode 100644
index 0000000..9ace8bb
--- /dev/null
+++ b/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.7mWeb3Kr.lean.js
@@ -0,0 +1 @@
+import{_ as s,c as i,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const n="/hwlabnitc.github.io/assets/singlecycle-0013.D6xsb9Bh.png",e="/hwlabnitc.github.io/assets/singlecycle-0017.CsR-Gwit.png",l="/hwlabnitc.github.io/assets/singlecycle-0020.XlAfVWge.png",h="/hwlabnitc.github.io/assets/singlecycle-0023.z1LVUBzF.png",p="/hwlabnitc.github.io/assets/singlecycle-0026.C6JaUoQ9.png",k="/hwlabnitc.github.io/assets/singlecycle-0027.ChN4ySyT.png",r="/hwlabnitc.github.io/assets/singlecycle-0030.BEZcafmD.png",d="/hwlabnitc.github.io/assets/singlecycle-0033.C-B0skUE.png",E="/hwlabnitc.github.io/assets/singlecycle-0036.hCbdKgzO.png",o="/hwlabnitc.github.io/assets/singlecycle-0037.DN7JwD_b.png",c="/hwlabnitc.github.io/assets/singlecycle-0040.DzuCZnH4.png",g="/hwlabnitc.github.io/assets/singlecycle-0041.DguRb9xh.png",y="/hwlabnitc.github.io/assets/singlecycle-0044.Bh4VFCKF.png",u="/hwlabnitc.github.io/assets/singlecycle-0045.Bts3iwtV.png",F="/hwlabnitc.github.io/assets/singlecycle-0046.DMG-WAVD.png",m="/hwlabnitc.github.io/assets/singlecycle-0049.Bb3hbLru.png",C="/hwlabnitc.github.io/assets/singlecycle-0050.C0OQvrsm.png",b="/hwlabnitc.github.io/assets/singlecycle-0053.riT0reSK.png",f="/hwlabnitc.github.io/assets/singlecycle-0054.DtcVKxV5.png",w="/hwlabnitc.github.io/assets/singlecycle-0057._jB5dNCX.png",B="/hwlabnitc.github.io/assets/singlecycle-0058.kZmqGpg9.png",A="/hwlabnitc.github.io/assets/singlecycle-0061.DLiLLvpn.png",v="/hwlabnitc.github.io/assets/singlecycle-0065.P3xu36xq.png",D="/hwlabnitc.github.io/assets/singlecycle-0066.StzZ_r5K.png",x="/hwlabnitc.github.io/assets/singlecycle-0069.sFli2F5X.png",_="/hwlabnitc.github.io/assets/singlecycle-0072.CUr1uECj.png",q="/hwlabnitc.github.io/assets/singlecycle-0073.CxG6ZJA4.png",T="/hwlabnitc.github.io/assets/singlecycle-0076.C5bAn5jN.png",P="/hwlabnitc.github.io/assets/singlecycle-0079.gNbxYTcc.png",U="/hwlabnitc.github.io/assets/singlecycle-0082.DQiZ0qVK.jpg",I="/hwlabnitc.github.io/assets/singlecycle-0088.BSYfCwJb.jpg",L="/hwlabnitc.github.io/assets/singlecycle-0092.ChkEmr9X.jpg",M="/hwlabnitc.github.io/assets/singlecycle-0097.D48mp-L4.jpg",S="/hwlabnitc.github.io/assets/singlecycle-0102.NMndrpRj.jpg",$="/hwlabnitc.github.io/assets/singlecycle-0122._9sweLFO.jpg",R="/hwlabnitc.github.io/assets/singlecycle-0110.B09az6UL.png",O="/hwlabnitc.github.io/assets/singlecycle-0113.al9_Q5p9.png",W="/hwlabnitc.github.io/assets/singlecycle-0125.BY3On3Mc.png",j="/hwlabnitc.github.io/assets/singlecycle-0134.Qjaml-am.png",ss=JSON.parse('{"title":"Single Cycle Processor","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/SingleCycle.md","filePath":"Verilog/SingleCycle.md"}'),V={name:"Verilog/SingleCycle.md"},N=t("",279),z=[N];function H(X,Y,Z,J,G,K){return a(),i("div",null,z)}const is=s(V,[["render",H]]);export{ss as __pageData,is as default};
diff --git a/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.lean.js b/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.lean.js
deleted file mode 100644
index f732951..0000000
--- a/docs/.vitepress/dist/assets/Verilog_SingleCycle.md.DvYIvDh1.lean.js
+++ /dev/null
@@ -1 +0,0 @@
-import{_ as s,c as i,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const n="/assets/singlecycle-0013.D6xsb9Bh.png",e="/assets/singlecycle-0017.CsR-Gwit.png",l="/assets/singlecycle-0020.XlAfVWge.png",h="/assets/singlecycle-0023.z1LVUBzF.png",p="/assets/singlecycle-0026.C6JaUoQ9.png",k="/assets/singlecycle-0027.ChN4ySyT.png",r="/assets/singlecycle-0030.BEZcafmD.png",d="/assets/singlecycle-0033.C-B0skUE.png",E="/assets/singlecycle-0036.hCbdKgzO.png",o="/assets/singlecycle-0037.DN7JwD_b.png",c="/assets/singlecycle-0040.DzuCZnH4.png",g="/assets/singlecycle-0041.DguRb9xh.png",y="/assets/singlecycle-0044.Bh4VFCKF.png",u="/assets/singlecycle-0045.Bts3iwtV.png",F="/assets/singlecycle-0046.DMG-WAVD.png",m="/assets/singlecycle-0049.Bb3hbLru.png",C="/assets/singlecycle-0050.C0OQvrsm.png",b="/assets/singlecycle-0053.riT0reSK.png",f="/assets/singlecycle-0054.DtcVKxV5.png",B="/assets/singlecycle-0057._jB5dNCX.png",w="/assets/singlecycle-0058.kZmqGpg9.png",A="/assets/singlecycle-0061.DLiLLvpn.png",v="/assets/singlecycle-0065.P3xu36xq.png",D="/assets/singlecycle-0066.StzZ_r5K.png",x="/assets/singlecycle-0069.sFli2F5X.png",_="/assets/singlecycle-0072.CUr1uECj.png",q="/assets/singlecycle-0073.CxG6ZJA4.png",T="/assets/singlecycle-0076.C5bAn5jN.png",P="/assets/singlecycle-0079.gNbxYTcc.png",U="/assets/singlecycle-0082.DQiZ0qVK.jpg",I="/assets/singlecycle-0088.BSYfCwJb.jpg",L="/assets/singlecycle-0092.ChkEmr9X.jpg",M="/assets/singlecycle-0097.D48mp-L4.jpg",S="/assets/singlecycle-0102.NMndrpRj.jpg",$="/assets/singlecycle-0122._9sweLFO.jpg",R="/assets/singlecycle-0110.B09az6UL.png",O="/assets/singlecycle-0113.al9_Q5p9.png",W="/assets/singlecycle-0125.BY3On3Mc.png",j="/assets/singlecycle-0134.Qjaml-am.png",ss=JSON.parse('{"title":"Single Cycle Processor","description":"","frontmatter":{},"headers":[],"relativePath":"Verilog/SingleCycle.md","filePath":"Verilog/SingleCycle.md"}'),V={name:"Verilog/SingleCycle.md"},N=t("",279),z=[N];function H(X,Y,Z,J,G,K){return a(),i("div",null,z)}const is=s(V,[["render",H]]);export{ss as __pageData,is as default};
diff --git a/docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.js b/docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.js
similarity index 76%
rename from docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.js
rename to docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.js
index 02f8083..6f28a5e 100644
--- a/docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.js
+++ b/docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.js
@@ -1 +1 @@
-import{_ as e,c as r,o as t,a4 as o}from"./chunks/framework.CgMb17D3.js";const f=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the Verilog Guide","text":"","tagline":"This course covers the following topics : "},"features":[{"title":"Introduction To Verilog","details":"Learn the Basics of Verilog HDL and implement various circuits using Verilog.","link":"#introduction-to-verilog"},{"title":"Finite State Machines","details":"Design a Verilog-based FSM that enhances your circuits with efficient sequential control and decision-making capabilities.","link":"#finite-state-machines"},{"title":"MIPS Single Cycle Processor","details":"Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.","link":"#single-cycle-mips-processor"}]},"headers":[],"relativePath":"Verilog/index.md","filePath":"Verilog/index.md"}'),a={name:"Verilog/index.md"},n=o('

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ?
Sample Codes: Link to Sample Codes
Video Explanation of Select Codes:

  1. Verilog Syntax
  2. Adder Subtractor
  3. DEMUX
  4. Counter
  5. Decoder
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept
  2. Syntax (Optional)

Implementation :

Video Explanation of Code (From Documentation):
Examples of FSM along with Code explanation

Code Bank: Link to Sample Codes

Assignment 2: Download Here

Single Cycle MIPS Processor

Theory :

Documentation: Link to Main Documentation Video Explanation of Concept:

  1. Control Unit Theory
  2. Datapath Theory

Implementation :
Video Explanation of Code (From Documentation) :

  1. Code Modules 1 to 5 explained
  2. Code Modules 6 to 10 explained
  3. All Testbenches explained
    Code Bank:
    Link to Sample Codes
    Assignment 3: Download Here

',22),i=[n];function l(s,h,c,p,g,d){return t(),r("div",null,i)}const b=e(a,[["render",l]]);export{f as __pageData,b as default}; +import{_ as e,c as r,o as t,a4 as o}from"./chunks/framework.DRnJpP2i.js";const f=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the Verilog Guide","text":"","tagline":"This course covers the following topics : "},"features":[{"title":"Introduction To Verilog","details":"Learn the Basics of Verilog HDL and implement various circuits using Verilog.","link":"#introduction-to-verilog"},{"title":"Finite State Machines","details":"Design a Verilog-based FSM that enhances your circuits with efficient sequential control and decision-making capabilities.","link":"#finite-state-machines"},{"title":"MIPS Single Cycle Processor","details":"Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.","link":"#single-cycle-mips-processor"}]},"headers":[],"relativePath":"Verilog/index.md","filePath":"Verilog/index.md"}'),a={name:"Verilog/index.md"},n=o('

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ?
Sample Codes: Link to Sample Codes
Video Explanation of Select Codes:

  1. Verilog Syntax
  2. Adder Subtractor
  3. DEMUX
  4. Counter
  5. Decoder
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept
  2. Syntax (Optional)

Implementation :

Video Explanation of Code (From Documentation):
Examples of FSM along with Code explanation

Code Bank: Link to Sample Codes

Assignment 2: Download Here

Single Cycle MIPS Processor

Theory :

Documentation: Link to Main Documentation Video Explanation of Concept:

  1. Control Unit Theory
  2. Datapath Theory

Implementation :
Video Explanation of Code (From Documentation) :

  1. Code Modules 1 to 5 explained
  2. Code Modules 6 to 10 explained
  3. All Testbenches explained
    Code Bank:
    Link to Sample Codes
    Assignment 3: Download Here

',22),i=[n];function l(s,h,c,p,g,d){return t(),r("div",null,i)}const b=e(a,[["render",l]]);export{f as __pageData,b as default}; diff --git a/docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.lean.js b/docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.lean.js similarity index 88% rename from docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.lean.js rename to docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.lean.js index 8df49ef..34fe7b3 100644 --- a/docs/.vitepress/dist/assets/Verilog_index.md.BmIHPG-w.lean.js +++ b/docs/.vitepress/dist/assets/Verilog_index.md.CgSFKGIP.lean.js @@ -1 +1 @@ -import{_ as e,c as r,o as t,a4 as o}from"./chunks/framework.CgMb17D3.js";const f=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the Verilog Guide","text":"","tagline":"This course covers the following topics : "},"features":[{"title":"Introduction To Verilog","details":"Learn the Basics of Verilog HDL and implement various circuits using Verilog.","link":"#introduction-to-verilog"},{"title":"Finite State Machines","details":"Design a Verilog-based FSM that enhances your circuits with efficient sequential control and decision-making capabilities.","link":"#finite-state-machines"},{"title":"MIPS Single Cycle Processor","details":"Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.","link":"#single-cycle-mips-processor"}]},"headers":[],"relativePath":"Verilog/index.md","filePath":"Verilog/index.md"}'),a={name:"Verilog/index.md"},n=o("",22),i=[n];function l(s,h,c,p,g,d){return t(),r("div",null,i)}const b=e(a,[["render",l]]);export{f as __pageData,b as default}; +import{_ as e,c as r,o as t,a4 as o}from"./chunks/framework.DRnJpP2i.js";const f=JSON.parse('{"title":"","description":"","frontmatter":{"layout":"home","hero":{"name":"Welcome to the Verilog Guide","text":"","tagline":"This course covers the following topics : "},"features":[{"title":"Introduction To Verilog","details":"Learn the Basics of Verilog HDL and implement various circuits using Verilog.","link":"#introduction-to-verilog"},{"title":"Finite State Machines","details":"Design a Verilog-based FSM that enhances your circuits with efficient sequential control and decision-making capabilities.","link":"#finite-state-machines"},{"title":"MIPS Single Cycle Processor","details":"Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.","link":"#single-cycle-mips-processor"}]},"headers":[],"relativePath":"Verilog/index.md","filePath":"Verilog/index.md"}'),a={name:"Verilog/index.md"},n=o("",22),i=[n];function l(s,h,c,p,g,d){return t(),r("div",null,i)}const b=e(a,[["render",l]]);export{f as __pageData,b as default}; diff --git a/docs/.vitepress/dist/assets/about.md.CY5iuE6T.js b/docs/.vitepress/dist/assets/about.md.Dzg05ABX.js similarity index 97% rename from docs/.vitepress/dist/assets/about.md.CY5iuE6T.js rename to docs/.vitepress/dist/assets/about.md.Dzg05ABX.js index 255d016..2ee7dfa 100644 --- a/docs/.vitepress/dist/assets/about.md.CY5iuE6T.js +++ b/docs/.vitepress/dist/assets/about.md.Dzg05ABX.js @@ -1 +1 @@ -import{_ as e,c as a,o as r,a4 as t}from"./chunks/framework.CgMb17D3.js";const f=JSON.parse('{"title":"About Us","description":"","frontmatter":{},"headers":[],"relativePath":"about.md","filePath":"about.md"}'),i={name:"about.md"},o=t('

About Us

Welcome to the Hardware Lab at Computer Science and Engineering Department of National Institute of Technology, Calicut. We are a team of dedicated individuals who have come together to create a comprehensive resource for students studying computer hardware in the department.

Our Philosophy

Our mission is to provide students with a valuable tool that enhances their learning experience in the hardware lab course. We aim to simplify complex concepts, offer practical tips, and provide step-by-step guides to help students excel in their studies.

The Computer Science Department

The Computer Science Department of NITC is a leading academic department that fosters innovation, research, and excellence in the field of computer science. Our department offers a wide range of courses and programs designed to equip students with the knowledge and skills required to thrive in the ever-evolving world of technology.For more information visit https://minerva.nitc.ac.in/

The Hardware Lab Course Guide Team

Faculty :fontawesome-solid-computer:

The MIPS Team :simple-linkedin:

The Verilog Team :simple-linkedin:

Web and Repository Maintenance :simple-github:

Join Our Team

We are always looking to expand our team and welcome individuals who share our passion for computer hardware education. If you are interested in contributing to the Hardware Lab Course Guide or have any suggestions, we would love to hear from you. Please reach out to us at hwlab_csed@nitc.ac.in :octicons-mail-16:

Thank you for visiting the Computer Science Department's Hardware Lab Course Guide. We hope this resource serves as a valuable tool for your studies and contributes to your success in the fascinating world of computer hardware.

',18),n=[o];function l(s,h,c,d,u,m){return r(),a("div",null,n)}const b=e(i,[["render",l]]);export{f as __pageData,b as default}; +import{_ as e,c as a,o as r,a4 as t}from"./chunks/framework.DRnJpP2i.js";const f=JSON.parse('{"title":"About Us","description":"","frontmatter":{},"headers":[],"relativePath":"about.md","filePath":"about.md"}'),i={name:"about.md"},o=t('

About Us

Welcome to the Hardware Lab at Computer Science and Engineering Department of National Institute of Technology, Calicut. We are a team of dedicated individuals who have come together to create a comprehensive resource for students studying computer hardware in the department.

Our Philosophy

Our mission is to provide students with a valuable tool that enhances their learning experience in the hardware lab course. We aim to simplify complex concepts, offer practical tips, and provide step-by-step guides to help students excel in their studies.

The Computer Science Department

The Computer Science Department of NITC is a leading academic department that fosters innovation, research, and excellence in the field of computer science. Our department offers a wide range of courses and programs designed to equip students with the knowledge and skills required to thrive in the ever-evolving world of technology.For more information visit https://minerva.nitc.ac.in/

The Hardware Lab Course Guide Team

Faculty :fontawesome-solid-computer:

The MIPS Team :simple-linkedin:

The Verilog Team :simple-linkedin:

Web and Repository Maintenance :simple-github:

Join Our Team

We are always looking to expand our team and welcome individuals who share our passion for computer hardware education. If you are interested in contributing to the Hardware Lab Course Guide or have any suggestions, we would love to hear from you. Please reach out to us at hwlab_csed@nitc.ac.in :octicons-mail-16:

Thank you for visiting the Computer Science Department's Hardware Lab Course Guide. We hope this resource serves as a valuable tool for your studies and contributes to your success in the fascinating world of computer hardware.

',18),n=[o];function l(s,h,c,d,u,m){return r(),a("div",null,n)}const b=e(i,[["render",l]]);export{f as __pageData,b as default}; diff --git a/docs/.vitepress/dist/assets/about.md.CY5iuE6T.lean.js b/docs/.vitepress/dist/assets/about.md.Dzg05ABX.lean.js similarity index 64% rename from docs/.vitepress/dist/assets/about.md.CY5iuE6T.lean.js rename to docs/.vitepress/dist/assets/about.md.Dzg05ABX.lean.js index 6f03ca0..4279adf 100644 --- a/docs/.vitepress/dist/assets/about.md.CY5iuE6T.lean.js +++ b/docs/.vitepress/dist/assets/about.md.Dzg05ABX.lean.js @@ -1 +1 @@ -import{_ as e,c as a,o as r,a4 as t}from"./chunks/framework.CgMb17D3.js";const f=JSON.parse('{"title":"About Us","description":"","frontmatter":{},"headers":[],"relativePath":"about.md","filePath":"about.md"}'),i={name:"about.md"},o=t("",18),n=[o];function l(s,h,c,d,u,m){return r(),a("div",null,n)}const b=e(i,[["render",l]]);export{f as __pageData,b as default}; +import{_ as e,c as a,o as r,a4 as t}from"./chunks/framework.DRnJpP2i.js";const f=JSON.parse('{"title":"About Us","description":"","frontmatter":{},"headers":[],"relativePath":"about.md","filePath":"about.md"}'),i={name:"about.md"},o=t("",18),n=[o];function l(s,h,c,d,u,m){return r(),a("div",null,n)}const b=e(i,[["render",l]]);export{f as __pageData,b as default}; diff --git a/docs/.vitepress/dist/assets/app.BVAsbgWq.js b/docs/.vitepress/dist/assets/app.BfUHQ1UT.js similarity index 90% rename from docs/.vitepress/dist/assets/app.BVAsbgWq.js rename to docs/.vitepress/dist/assets/app.BfUHQ1UT.js index d9b187a..93c0d80 100644 --- a/docs/.vitepress/dist/assets/app.BVAsbgWq.js +++ b/docs/.vitepress/dist/assets/app.BfUHQ1UT.js @@ -1 +1 @@ -import{U as o,a5 as p,a6 as u,a7 as l,a8 as c,a9 as f,aa as d,ab as m,ac as h,ad as g,ae as A,d as P,u as v,y,x as w,af as C,ag as R,ah as b,a3 as E}from"./chunks/framework.CgMb17D3.js";import{R as S}from"./chunks/theme.B6pbfA9S.js";function i(e){if(e.extends){const a=i(e.extends);return{...a,...e,async enhanceApp(t){a.enhanceApp&&await a.enhanceApp(t),e.enhanceApp&&await e.enhanceApp(t)}}}return e}const s=i(S),T=P({name:"VitePressApp",setup(){const{site:e,lang:a,dir:t}=v();return y(()=>{w(()=>{document.documentElement.lang=a.value,document.documentElement.dir=t.value})}),e.value.router.prefetchLinks&&C(),R(),b(),s.setup&&s.setup(),()=>E(s.Layout)}});async function _(){globalThis.__VITEPRESS__=!0;const e=D(),a=x();a.provide(u,e);const t=l(e.route);return a.provide(c,t),a.component("Content",f),a.component("ClientOnly",d),Object.defineProperties(a.config.globalProperties,{$frontmatter:{get(){return t.frontmatter.value}},$params:{get(){return t.page.value.params}}}),s.enhanceApp&&await s.enhanceApp({app:a,router:e,siteData:m}),{app:a,router:e,data:t}}function x(){return h(T)}function D(){let e=o,a;return g(t=>{let n=A(t),r=null;return n&&(e&&(a=n),(e||a===n)&&(n=n.replace(/\.js$/,".lean.js")),r=import(n)),o&&(e=!1),r},s.NotFound)}o&&_().then(({app:e,router:a,data:t})=>{a.go().then(()=>{p(a.route,t.site),e.mount("#app")})});export{_ as createApp}; +import{U as o,a5 as p,a6 as u,a7 as l,a8 as c,a9 as f,aa as d,ab as m,ac as h,ad as g,ae as A,d as P,u as v,y,x as w,af as C,ag as R,ah as b,a3 as E}from"./chunks/framework.DRnJpP2i.js";import{R as S}from"./chunks/theme.CpY-xi-5.js";function i(e){if(e.extends){const a=i(e.extends);return{...a,...e,async enhanceApp(t){a.enhanceApp&&await a.enhanceApp(t),e.enhanceApp&&await e.enhanceApp(t)}}}return e}const s=i(S),T=P({name:"VitePressApp",setup(){const{site:e,lang:a,dir:t}=v();return y(()=>{w(()=>{document.documentElement.lang=a.value,document.documentElement.dir=t.value})}),e.value.router.prefetchLinks&&C(),R(),b(),s.setup&&s.setup(),()=>E(s.Layout)}});async function _(){globalThis.__VITEPRESS__=!0;const e=D(),a=x();a.provide(u,e);const t=l(e.route);return a.provide(c,t),a.component("Content",f),a.component("ClientOnly",d),Object.defineProperties(a.config.globalProperties,{$frontmatter:{get(){return t.frontmatter.value}},$params:{get(){return t.page.value.params}}}),s.enhanceApp&&await s.enhanceApp({app:a,router:e,siteData:m}),{app:a,router:e,data:t}}function x(){return h(T)}function D(){let e=o,a;return g(t=>{let n=A(t),r=null;return n&&(e&&(a=n),(e||a===n)&&(n=n.replace(/\.js$/,".lean.js")),r=import(n)),o&&(e=!1),r},s.NotFound)}o&&_().then(({app:e,router:a,data:t})=>{a.go().then(()=>{p(a.route,t.site),e.mount("#app")})});export{_ as createApp}; diff --git a/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DrpsX11j.js b/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DrpsX11j.js deleted file mode 100644 index 10543cd..0000000 --- a/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DrpsX11j.js +++ /dev/null @@ -1 +0,0 @@ -const e='{"documentCount":163,"nextId":163,"documentIds":{"0":"/MIPS/#welcome-to-the-mips-guide","1":"/MIPS/#introduction-to-mips","2":"/MIPS/#basic-integer-and-string-handling","3":"/MIPS/#floating-point-handling","4":"/MIPS/#integer-array-handling","5":"/MIPS/#_2-d-integer-array-handling","6":"/MIPS/#string-handling-advanced","7":"/Verilog/Intro/README.html#introduction","8":"/Verilog/Intro/README.html#table-of-contents","9":"/Verilog/Intro/README.html#behavioral","10":"/Verilog/Intro/README.html#data-flow","11":"/Verilog/Intro/README.html#functional-differences","12":"/Verilog/Intro/README.html#behavioral-level","13":"/Verilog/Intro/README.html#pros","14":"/Verilog/Intro/README.html#cons","15":"/Verilog/Intro/README.html#register-transfer-level-rtl","16":"/Verilog/Intro/README.html#pros-1","17":"/Verilog/Intro/README.html#gate-level","18":"/Verilog/Intro/README.html#pros-2","19":"/Verilog/Intro/README.html#verilog-syntax","20":"/Verilog/Intro/README.html#code","21":"/Verilog/Intro/README.html#testbench","22":"/Verilog/Intro/README.html#basic-logic-gates","23":"/Verilog/Intro/README.html#binary-adders-and-subtractors","24":"/Verilog/Intro/README.html#half-adder","25":"/Verilog/Intro/README.html#full-adder","26":"/Verilog/Intro/README.html#ripple-carry-adder","27":"/Verilog/Intro/README.html#carry-look-ahead-adder","28":"/Verilog/Intro/README.html#half-subtractor","29":"/Verilog/Intro/README.html#full-subtractor","30":"/Verilog/Intro/README.html#binary-adder-and-subtractor","31":"/Verilog/Intro/README.html#latches","32":"/Verilog/Intro/README.html#sr-latch","33":"/Verilog/Intro/README.html#sr-latch-1","34":"/Verilog/Intro/README.html#sr-latch-with-control-input","35":"/Verilog/Intro/README.html#d-latch","36":"/Verilog/Intro/README.html#flip-flops","37":"/Verilog/Intro/README.html#sr-flip-flop","38":"/Verilog/Intro/README.html#jk-flip-flop","39":"/Verilog/Intro/README.html#d-flip-flop","40":"/Verilog/Intro/README.html#t-flip-flop","41":"/Verilog/Intro/README.html#multiplexers","42":"/Verilog/Intro/README.html#_2-1-mux","43":"/Verilog/Intro/README.html#_4-1-mux","44":"/Verilog/Intro/README.html#demultiplexers","45":"/Verilog/Intro/README.html#_1-2-demux","46":"/Verilog/Intro/README.html#parity-generator","47":"/Verilog/Intro/README.html#code-converter","48":"/Verilog/Intro/README.html#bcd-to-binary-code-converter","49":"/Verilog/Intro/README.html#binary-to-gray","50":"/Verilog/Intro/README.html#gray-to-binary","51":"/Verilog/Intro/README.html#comparators","52":"/Verilog/Intro/README.html#registers","53":"/Verilog/Intro/README.html#four‐bit-register","54":"/Verilog/Intro/README.html#four-bit-register-with-parallel-load-gi-ai-and-bi","55":"/Verilog/Intro/README.html#shift-registers","56":"/Verilog/Intro/README.html#serial-in-serial-out-registers","57":"/Verilog/Intro/README.html#serial-in-parallel-out-shift-registers","58":"/Verilog/Intro/README.html#parallel-in-serial-out-shift-registers","59":"/Verilog/Intro/README.html#parallel-in-parallel-out-shift-registers","60":"/Verilog/Intro/README.html#counters","61":"/Verilog/Intro/README.html#binary-ripple-counter","62":"/Verilog/Intro/README.html#bcd-ripple-counter","63":"/Verilog/Intro/README.html#_4-bit-synchronous-binary-counter","64":"/Verilog/Intro/README.html#four-bit-up-down-binary-counter","65":"/Verilog/Intro/README.html#binary-counter-with-parallel-load","66":"/Verilog/Intro/README.html#arithmetic-logic-unit-alu","67":"/Verilog/Intro/README.html#encoder","68":"/Verilog/Intro/README.html#priority-encoder","69":"/Verilog/Intro/README.html#decoder","70":"/Verilog/Intro/README.html#_3-8-line-decoder","71":"/Verilog/Intro/README.html#_2-4-line-decoder-with-enable-input","72":"/Verilog/Intro/README.html#how-to-make-a-verilog-program","73":"/Verilog/Intro/README.html#create-a-new-project-in-your-own-version-of-quartus-prime","74":"/Verilog/Intro/README.html#select-an-appropriate-directory-for-your-verilog-project-files-and-create-an-empty-project-file-template","75":"/Verilog/Intro/README.html#use-the-10m50daf484c7g-board-from-the-max-10-family","76":"/Verilog/Intro/README.html#set-modelsim-as-your-simulation-tool-modelsim-must-be-installed-prior-and-finish-the-initialization-of-the-project","77":"/Verilog/Intro/README.html#click-on-the-file-icon-in-the-top-left-corner-and-create-a-new-verilog-hdl-file","78":"/Verilog/Intro/README.html#when-you-wish-to-save-your-program-simply-use-ctrl-s-or-click-the-save-icon-in-the-top-left-corner","79":"/Verilog/Intro/README.html#before-running-your-program-you-must-first-set-a-testbench-for-it-do-this-by-going-into-the-assignments-menu-and-clicking-settings","80":"/Verilog/Intro/README.html#change-the-nativelink-setting-to-compile-test-bench-and-select-the-test-bench-file-for-your-program","81":"/Verilog/Intro/README.html#create-a-new-test-bench-if-you-are-creating-one-for-a-new-program-that-has-not-been-made-before","82":"/Verilog/Intro/README.html#name-your-test-bench-appropriately-usually-just-the-name-of-the-test-bench-file-and-add-it-to-the-list-of-test-benches","83":"/Verilog/Intro/README.html#after-setting-your-testbench-you-can-now-run-and-compile-your-program-by-clicking-on-the-third-play-button","84":"/Verilog/Intro/README.html#you-will-be-able-to-view-your-circuit-design-and-how-it-is-structured-and-simulate-results-using-the-test-bench-by-going-to-the-tools-menu","85":"/Verilog/Intro/README.html#bibliography","86":"/Verilog/SingleCycle.html#single-cycle-processor","87":"/Verilog/SingleCycle.html#table-of-contents","88":"/Verilog/SingleCycle.html#_1-overview","89":"/Verilog/SingleCycle.html#_2-prerequisites","90":"/Verilog/SingleCycle.html#_3-control-unit","91":"/Verilog/SingleCycle.html#instruction-format","92":"/Verilog/SingleCycle.html#main-control-unit-main-decoder","93":"/Verilog/SingleCycle.html#alu-control-unit-alu-decoder","94":"/Verilog/SingleCycle.html#understanding-the-optimal-implementation","95":"/Verilog/SingleCycle.html#alu-control-truth-table","96":"/Verilog/SingleCycle.html#input-output-truth-table","97":"/Verilog/SingleCycle.html#_4-datapath","98":"/Verilog/SingleCycle.html#state-elements","99":"/Verilog/SingleCycle.html#a-instruction-memory","100":"/Verilog/SingleCycle.html#b-register-file","101":"/Verilog/SingleCycle.html#c-alu","102":"/Verilog/SingleCycle.html#d-data-memory","103":"/Verilog/SingleCycle.html#e-sign-extension","104":"/Verilog/SingleCycle.html#the-final-datapath","105":"/Verilog/SingleCycle.html#role-of-multiplexors","106":"/Verilog/SingleCycle.html#_5-implementation","107":"/Verilog/SingleCycle.html#_1-top-level-module","108":"/Verilog/SingleCycle.html#inputs","109":"/Verilog/SingleCycle.html#outputs","110":"/Verilog/SingleCycle.html#_2-data-memory","111":"/Verilog/SingleCycle.html#inputs-1","112":"/Verilog/SingleCycle.html#output","113":"/Verilog/SingleCycle.html#_3-instruction-memory","114":"/Verilog/SingleCycle.html#_4-mips","115":"/Verilog/SingleCycle.html#_5-controller","116":"/Verilog/SingleCycle.html#working","117":"/Verilog/SingleCycle.html#_6-main-decoder","118":"/Verilog/SingleCycle.html#temporary-variables","119":"/Verilog/SingleCycle.html#working-1","120":"/Verilog/SingleCycle.html#_7-alu-decoder","121":"/Verilog/SingleCycle.html#_8-datapath","122":"/Verilog/SingleCycle.html#_9-three-ported-register","123":"/Verilog/SingleCycle.html#_10-some-other-functional-units","124":"/Verilog/SingleCycle.html#_1-adder-module","125":"/Verilog/SingleCycle.html#_2-shift-left-by-2-module","126":"/Verilog/SingleCycle.html#_3-sign-extension-module","127":"/Verilog/SingleCycle.html#_4-d-flip-flop-module-with-variable-width","128":"/Verilog/SingleCycle.html#_5-2-way-mux-module-with-variable-width","129":"/Verilog/SingleCycle.html#_6-32-bit-alu-module","130":"/Verilog/SingleCycle.html#_6-how-to-run-instructions","131":"/Verilog/SingleCycle.html#_7-test-cases-for-various-instructions","132":"/Verilog/SingleCycle.html#_1-add","133":"/Verilog/SingleCycle.html#_2-sub","134":"/Verilog/SingleCycle.html#_3-sw","135":"/Verilog/SingleCycle.html#_4-lw","136":"/Verilog/SingleCycle.html#_5-beq","137":"/Verilog/SingleCycle.html#_8-references","138":"/Verilog/#introduction-to-verilog","139":"/Verilog/#finite-state-machines","140":"/Verilog/#single-cycle-mips-processor","141":"/about.html#about-us","142":"/about.html#our-philosophy","143":"/about.html#the-computer-science-department","144":"/about.html#the-hardware-lab-course-guide-team","145":"/about.html#faculty-fontawesome-solid-computer","146":"/about.html#the-mips-team-simple-linkedin","147":"/about.html#the-verilog-team-simple-linkedin","148":"/about.html#web-and-repository-maintenance-simple-github","149":"/about.html#join-our-team","150":"/#welcome-to-the-hardware-lab-guide","151":"/#course-layout","152":"/mips_main.html#welcome-to-the-mips-guide","153":"/mips_main.html#introduction-to-mips","154":"/mips_main.html#basic-integer-and-string-handling","155":"/mips_main.html#floating-point-handling","156":"/mips_main.html#integer-array-handling","157":"/mips_main.html#_2-d-integer-array-handling","158":"/mips_main.html#string-handling-advanced","159":"/verilog_main.html#welcome-to-the-verilog-guide","160":"/verilog_main.html#introduction-to-verilog","161":"/verilog_main.html#finite-state-machines","162":"/verilog_main.html#single-cycle-mips-processor"},"fieldIds":{"title":0,"titles":1,"text":2},"fieldLength":{"0":[5,1,7],"1":[3,5,17],"2":[5,5,25],"3":[3,5,23],"4":[3,5,41],"5":[5,8,24],"6":[4,8,19],"7":[1,1,1],"8":[3,1,183],"9":[2,4,38],"10":[3,4,77],"11":[2,1,1],"12":[2,3,1],"13":[1,5,37],"14":[1,5,29],"15":[5,3,1],"16":[1,8,50],"17":[2,3,1],"18":[1,5,44],"19":[2,1,1],"20":[2,5,25],"21":[2,5,39],"22":[3,1,85],"23":[4,3,1],"24":[2,7,53],"25":[2,7,54],"26":[3,7,67],"27":[4,7,62],"28":[2,7,40],"29":[2,7,43],"30":[4,7,67],"31":[1,3,1],"32":[2,4,55],"33":[2,4,77],"34":[5,4,85],"35":[2,4,89],"36":[2,3,15],"37":[3,5,57],"38":[3,5,59],"39":[3,5,58],"40":[3,5,67],"41":[1,3,1],"42":[3,4,66],"43":[3,4,58],"44":[1,3,1],"45":[3,4,37],"46":[2,3,95],"47":[2,3,1],"48":[5,5,24],"49":[3,5,43],"50":[3,5,39],"51":[1,3,98],"52":[1,3,39],"53":[3,4,92],"54":[12,4,45],"55":[2,4,1],"56":[4,6,29],"57":[6,6,50],"58":[6,6,28],"59":[5,6,27],"60":[1,3,42],"61":[3,4,73],"62":[3,4,75],"63":[5,4,91],"64":[6,4,45],"65":[5,4,95],"66":[5,3,179],"67":[1,3,23],"68":[2,4,81],"69":[1,3,31],"70":[4,4,24],"71":[7,4,59],"72":[6,1,1],"73":[12,6,1],"74":[15,6,1],"75":[9,6,1],"76":[17,6,1],"77":[16,6,1],"78":[20,6,1],"79":[24,6,1],"80":[15,6,1],"81":[19,6,1],"82":[20,6,1],"83":[19,6,1],"84":[25,6,1],"85":[1,6,25],"86":[3,1,1],"87":[3,3,61],"88":[2,3,114],"89":[2,3,106],"90":[3,3,67],"91":[2,6,90],"92":[5,6,155],"93":[5,6,73],"94":[5,9,32],"95":[4,9,1],"96":[4,9,1],"97":[2,3,89],"98":[2,5,12],"99":[3,7,41],"100":[3,7,52],"101":[2,7,39],"102":[3,7,47],"103":[3,7,226],"104":[3,5,74],"105":[3,7,148],"106":[2,3,1],"107":[4,5,56],"108":[1,9,11],"109":[1,9,55],"110":[3,5,37],"111":[2,8,25],"112":[2,8,40],"113":[3,5,91],"114":[2,5,94],"115":[2,5,48],"116":[1,6,36],"117":[3,5,73],"118":[2,5,17],"119":[1,7,57],"120":[3,3,95],"121":[2,3,146],"122":[4,5,73],"123":[5,3,22],"124":[3,8,14],"125":[5,8,16],"126":[4,8,28],"127":[9,8,23],"128":[9,8,17],"129":[5,8,68],"130":[5,3,133],"131":[6,3,13],"132":[2,9,92],"133":[2,9,90],"134":[2,9,80],"135":[2,9,86],"136":[2,9,97],"137":[2,3,35],"138":[3,1,42],"139":[3,1,29],"140":[4,1,31],"141":[2,1,37],"142":[2,2,36],"143":[4,2,50],"144":[6,2,1],"145":[5,2,7],"146":[6,7,13],"147":[6,7,11],"148":[7,7,5],"149":[3,2,71],"150":[6,1,87],"151":[2,6,84],"152":[5,1,7],"153":[3,5,20],"154":[5,5,27],"155":[3,5,25],"156":[3,5,42],"157":[5,5,26],"158":[4,5,21],"159":[5,1,52],"160":[3,5,45],"161":[3,5,32],"162":[4,5,35]},"averageFieldLength":[4.3067484662576705,4.865030674846625,45.29447852760735],"storedFields":{"0":{"title":"Welcome to the MIPS Guide","titles":[]},"1":{"title":"Introduction to MIPS","titles":["Welcome to the MIPS Guide"]},"2":{"title":"Basic Integer and String Handling","titles":["Welcome to the MIPS Guide"]},"3":{"title":"Floating Point Handling","titles":["Welcome to the MIPS Guide"]},"4":{"title":"Integer Array Handling","titles":["Welcome to the MIPS Guide"]},"5":{"title":"2-D Integer Array Handling","titles":["Welcome to the MIPS Guide","Integer Array Handling"]},"6":{"title":"String Handling (advanced)","titles":["Welcome to the MIPS Guide","Integer Array Handling"]},"7":{"title":"INTRODUCTION","titles":[]},"8":{"title":"Table of Contents","titles":["INTRODUCTION"]},"9":{"title":"Behavioral:","titles":["INTRODUCTION","Table of Contents"]},"10":{"title":"Data-Flow:","titles":["INTRODUCTION","Table of Contents"]},"11":{"title":"Functional Differences","titles":["INTRODUCTION"]},"12":{"title":"Behavioral Level","titles":["INTRODUCTION","Functional Differences"]},"13":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Behavioral Level"]},"14":{"title":"Cons","titles":["INTRODUCTION","Functional Differences","Behavioral Level"]},"15":{"title":"Register Transfer Level (RTL)","titles":["INTRODUCTION","Functional Differences"]},"16":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Register Transfer Level (RTL)"]},"17":{"title":"Gate Level","titles":["INTRODUCTION","Functional Differences"]},"18":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Gate Level"]},"19":{"title":"VERILOG SYNTAX","titles":["INTRODUCTION"]},"20":{"title":"CODE:","titles":["INTRODUCTION","VERILOG SYNTAX","Gate Level"]},"21":{"title":"TESTBENCH:","titles":["INTRODUCTION","VERILOG SYNTAX","Gate Level"]},"22":{"title":"BASIC LOGIC GATES","titles":[]},"23":{"title":"Binary Adders and Subtractors","titles":["BASIC LOGIC GATES"]},"24":{"title":"HALF ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"25":{"title":"FULL ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"26":{"title":"RIPPLE CARRY ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"27":{"title":"CARRY LOOK AHEAD ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"28":{"title":"HALF SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"29":{"title":"FULL SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"30":{"title":"BINARY ADDER AND SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"31":{"title":"LATCHES","titles":["BASIC LOGIC GATES"]},"32":{"title":"SR LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"33":{"title":"S̅R̅ LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"34":{"title":"SR LATCH WITH CONTROL INPUT","titles":["BASIC LOGIC GATES","LATCHES"]},"35":{"title":"D LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"36":{"title":"FLIP FLOPS","titles":["BASIC LOGIC GATES"]},"37":{"title":"SR FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"38":{"title":"JK FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"39":{"title":"D FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"40":{"title":"T FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"41":{"title":"MULTIPLEXERS","titles":["BASIC LOGIC GATES"]},"42":{"title":"2-1 MUX","titles":["BASIC LOGIC GATES","MULTIPLEXERS"]},"43":{"title":"4-1 MUX","titles":["BASIC LOGIC GATES","MULTIPLEXERS"]},"44":{"title":"DEMULTIPLEXERS","titles":["BASIC LOGIC GATES"]},"45":{"title":"1:2 DEMUX","titles":["BASIC LOGIC GATES","DEMULTIPLEXERS"]},"46":{"title":"PARITY GENERATOR","titles":["BASIC LOGIC GATES"]},"47":{"title":"CODE CONVERTER","titles":["BASIC LOGIC GATES"]},"48":{"title":"BCD to Binary Code converter","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"49":{"title":"Binary to Gray","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"50":{"title":"Gray to Binary","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"51":{"title":"COMPARATORS","titles":["BASIC LOGIC GATES"]},"52":{"title":"Registers","titles":["BASIC LOGIC GATES"]},"53":{"title":"Four‐bit register","titles":["BASIC LOGIC GATES","Registers"]},"54":{"title":"Four bit register with parallel load**Gi = Ai AND Bi **","titles":["BASIC LOGIC GATES","Registers"]},"55":{"title":"SHIFT REGISTERS","titles":["BASIC LOGIC GATES","Registers"]},"56":{"title":"SERIAL IN/SERIAL OUT REGISTERS","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"57":{"title":"Serial In/Parallel Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"58":{"title":"Parallel In/Serial Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"59":{"title":"Parallel In/Parallel Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"60":{"title":"COUNTERS","titles":["BASIC LOGIC GATES"]},"61":{"title":"BINARY RIPPLE COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"62":{"title":"BCD RIPPLE COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"63":{"title":"4 BIT SYNCHRONOUS BINARY COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"64":{"title":"FOUR BIT UP-DOWN BINARY COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"65":{"title":"BINARY COUNTER WITH PARALLEL LOAD","titles":["BASIC LOGIC GATES","COUNTERS"]},"66":{"title":"ARITHMETIC LOGIC UNIT (ALU)","titles":["BASIC LOGIC GATES"]},"67":{"title":"ENCODER","titles":["BASIC LOGIC GATES"]},"68":{"title":"PRIORITY ENCODER","titles":["BASIC LOGIC GATES","ENCODER"]},"69":{"title":"DECODER","titles":["BASIC LOGIC GATES"]},"70":{"title":"3-8 LINE DECODER","titles":["BASIC LOGIC GATES","DECODER"]},"71":{"title":"2-4 LINE DECODER WITH ENABLE INPUT","titles":["BASIC LOGIC GATES","DECODER"]},"72":{"title":"HOW TO MAKE A VERILOG PROGRAM","titles":[]},"73":{"title":"Create a New Project in your own version of Quartus Prime.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"74":{"title":"Select an appropriate directory for your Verilog project files and create an empty project file template.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"75":{"title":"Use the 10M50DAF484C7G Board from the MAX 10 Family.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"76":{"title":"Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"77":{"title":"Click on the file icon in the top left corner and create a new Verilog HDL file.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"78":{"title":"When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"79":{"title":"Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"80":{"title":"Change the NativeLink Setting to Compile Test Bench and select the Test Bench file for your program.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"81":{"title":"Create a new Test Bench if you are creating one for a new program that has not been made before.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"82":{"title":"Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"83":{"title":"After setting your testbench, you can now run and compile your program by clicking on the third play button.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"84":{"title":"You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"85":{"title":"BIBLIOGRAPHY","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"86":{"title":"Single Cycle Processor","titles":[]},"87":{"title":"Table of Contents","titles":["Single Cycle Processor"]},"88":{"title":"1. Overview","titles":["Single Cycle Processor"]},"89":{"title":"2. Prerequisites","titles":["Single Cycle Processor"]},"90":{"title":"3. Control Unit","titles":["Single Cycle Processor"]},"91":{"title":"Instruction Format","titles":["Single Cycle Processor","3. Control Unit"]},"92":{"title":"Main Control Unit (Main Decoder)","titles":["Single Cycle Processor","3. Control Unit"]},"93":{"title":"ALU Control Unit (ALU Decoder)","titles":["Single Cycle Processor","3. Control Unit"]},"94":{"title":"Understanding the optimal implementation:","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"95":{"title":"ALU Control Truth Table","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"96":{"title":"Input-Output Truth Table","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"97":{"title":"4. Datapath","titles":["Single Cycle Processor"]},"98":{"title":"State elements","titles":["Single Cycle Processor","4. Datapath"]},"99":{"title":"a Instruction Memory","titles":["Single Cycle Processor","4. Datapath","State elements"]},"100":{"title":"b Register File","titles":["Single Cycle Processor","4. Datapath","State elements"]},"101":{"title":"c) ALU","titles":["Single Cycle Processor","4. Datapath","State elements"]},"102":{"title":"d Data Memory","titles":["Single Cycle Processor","4. Datapath","State elements"]},"103":{"title":"e) Sign extension","titles":["Single Cycle Processor","4. Datapath","State elements"]},"104":{"title":"The Final Datapath","titles":["Single Cycle Processor","4. Datapath"]},"105":{"title":"Role of Multiplexors","titles":["Single Cycle Processor","4. Datapath","The Final Datapath"]},"106":{"title":"5. Implementation","titles":["Single Cycle Processor"]},"107":{"title":"1. Top level module","titles":["Single Cycle Processor","5. Implementation"]},"108":{"title":"Inputs","titles":["Single Cycle Processor","5. Implementation","1. Top level module"]},"109":{"title":"Outputs","titles":["Single Cycle Processor","5. Implementation","1. Top level module"]},"110":{"title":"2. Data Memory","titles":["Single Cycle Processor","5. Implementation"]},"111":{"title":"Inputs-","titles":["Single Cycle Processor","5. Implementation","2. Data Memory"]},"112":{"title":"Output-","titles":["Single Cycle Processor","5. Implementation","2. Data Memory"]},"113":{"title":"3. Instruction Memory","titles":["Single Cycle Processor","5. Implementation"]},"114":{"title":"4.MIPS","titles":["Single Cycle Processor","5. Implementation"]},"115":{"title":"5. Controller","titles":["Single Cycle Processor","5. Implementation"]},"116":{"title":"Working","titles":["Single Cycle Processor","5. Implementation","5. Controller"]},"117":{"title":"6. Main Decoder","titles":["Single Cycle Processor","5. Implementation"]},"118":{"title":"Temporary Variables","titles":["Single Cycle Processor","5. Implementation"]},"119":{"title":"Working","titles":["Single Cycle Processor","5. Implementation","Temporary Variables"]},"120":{"title":"7. ALU Decoder","titles":["Single Cycle Processor"]},"121":{"title":"8. Datapath","titles":["Single Cycle Processor"]},"122":{"title":"9. Three ported Register","titles":["Single Cycle Processor","8. Datapath"]},"123":{"title":"10. Some Other Functional Units","titles":["Single Cycle Processor"]},"124":{"title":"1. ADDER MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"125":{"title":"2. SHIFT LEFT BY 2 MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"126":{"title":"3. SIGN EXTENSION MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"127":{"title":"4. D FLIP FLOP MODULE (with variable width)","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"128":{"title":"5. 2 WAY MUX MODULE (with variable width)","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"129":{"title":"6. 32 BIT ALU MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"130":{"title":"6. How to Run Instructions","titles":["Single Cycle Processor"]},"131":{"title":"7. Test cases for Various Instructions","titles":["Single Cycle Processor"]},"132":{"title":"1. add","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"133":{"title":"2. sub","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"134":{"title":"3. sw","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"135":{"title":"4. lw","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"136":{"title":"5. beq","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"137":{"title":"8. References","titles":["Single Cycle Processor"]},"138":{"title":"Introduction to Verilog","titles":[]},"139":{"title":"Finite State Machines","titles":[]},"140":{"title":"Single Cycle MIPS Processor","titles":[]},"141":{"title":"About Us","titles":[]},"142":{"title":"Our Philosophy","titles":["About Us"]},"143":{"title":"The Computer Science Department","titles":["About Us"]},"144":{"title":"The Hardware Lab Course Guide Team","titles":["About Us"]},"145":{"title":"Faculty :fontawesome-solid-computer:","titles":["About Us"]},"146":{"title":"The MIPS Team :simple-linkedin:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"147":{"title":"The Verilog Team :simple-linkedin:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"148":{"title":"Web and Repository Maintenance :simple-github:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"149":{"title":"Join Our Team","titles":["About Us"]},"150":{"title":"Welcome to the Hardware Lab Guide","titles":[]},"151":{"title":"Course Layout","titles":["Welcome to the Hardware Lab Guide"]},"152":{"title":"Welcome to the MIPS Guide","titles":[]},"153":{"title":"Introduction to MIPS","titles":["Welcome to the MIPS Guide"]},"154":{"title":"Basic Integer and String Handling","titles":["Welcome to the MIPS Guide"]},"155":{"title":"Floating Point Handling","titles":["Welcome to the MIPS Guide"]},"156":{"title":"Integer Array Handling","titles":["Welcome to the MIPS Guide"]},"157":{"title":"2-D Integer Array Handling","titles":["Welcome to the MIPS Guide"]},"158":{"title":"String Handling (advanced)","titles":["Welcome to the MIPS Guide"]},"159":{"title":"Welcome to the Verilog Guide","titles":[]},"160":{"title":"Introduction to Verilog","titles":["Welcome to the Verilog Guide"]},"161":{"title":"Finite State Machines","titles":["Welcome to the Verilog Guide"]},"162":{"title":"Single Cycle MIPS Processor","titles":["Welcome to the Verilog Guide"]}},"dirtCount":0,"index":[["$5=$2+$3",{"2":{"136":1}}],["$5",{"2":{"136":6}}],["$stop",{"2":{"132":2,"133":2,"134":2,"135":2,"136":2}}],["$display",{"2":{"132":2,"133":2,"134":2,"135":2,"136":2}}],["$4=550",{"2":{"133":1}}],["$4=450+550=1000",{"2":{"132":1}}],["$4=$2+$3",{"2":{"132":1,"133":1}}],["$4",{"2":{"132":2,"133":2}}],["$3=",{"2":{"135":1}}],["$3",{"2":{"132":3,"133":3,"135":2,"136":3}}],["$0",{"2":{"132":3,"133":3,"134":2,"135":4,"136":6}}],["$2",{"2":{"132":3,"133":3,"134":3,"135":3,"136":3}}],["$readmemh",{"2":{"113":3}}],["$rt",{"2":{"103":4}}],["$rs",{"2":{"103":4}}],["$rd",{"2":{"103":1}}],["|",{"2":{"129":2}}],["~|o",{"2":{"129":1}}],["krishna",{"2":{"147":1}}],["kumar",{"2":{"145":1,"147":2}}],["keeping",{"2":{"103":1}}],["knowledge",{"2":{"89":2,"143":1}}],["k",{"2":{"38":2,"40":2,"61":1,"62":1,"63":4}}],["kinds",{"2":{"8":1}}],["join",{"0":{"149":1}}],["john",{"2":{"137":1}}],["jain",{"2":{"85":1}}],["jump==0",{"2":{"121":1}}],["jump",{"2":{"103":6,"105":6,"114":3,"115":3,"117":3,"121":4}}],["jumps",{"2":{"66":1}}],["just",{"0":{"82":1},"2":{"8":1,"26":1}}],["j",{"2":{"38":2,"40":2,"61":1,"62":1,"63":4,"103":4,"117":1}}],["jk",{"0":{"38":1},"2":{"36":1,"38":2,"40":5,"61":1}}],["q4",{"2":{"62":1}}],["q8",{"2":{"62":1}}],["q2",{"2":{"62":2}}],["q1",{"2":{"62":1}}],["qn+1",{"2":{"37":2,"38":2,"39":2,"40":2}}],["qn",{"2":{"37":2,"38":3,"39":1,"40":1}}],["q",{"2":{"32":6,"33":1,"35":4,"40":3,"62":1,"127":3}}],["quartus",{"0":{"73":1},"2":{"89":1,"138":1,"160":1}}],["quot",{"2":{"9":2,"28":2,"65":1,"68":1,"137":2}}],["quicksort",{"2":{"4":1,"156":1}}],["zerowidthspace",{"2":{"161":1}}],["zero",{"2":{"92":2,"101":2,"103":2,"104":1,"114":2,"115":3,"116":1,"121":3,"129":4}}],["z",{"2":{"25":4}}],["y=",{"2":{"126":1}}],["y=a",{"2":{"124":1}}],["yielding",{"2":{"105":1}}],["youtube",{"2":{"153":2,"154":1,"156":7,"157":1,"158":5,"160":6,"161":3,"162":5}}],["your",{"0":{"73":1,"74":1,"76":1,"78":1,"79":1,"80":1,"82":1,"83":2,"84":1},"2":{"130":4,"149":2,"150":2,"159":1}}],["you",{"0":{"78":1,"79":1,"81":1,"83":1,"84":1},"2":{"51":2,"88":2,"89":2,"130":2,"149":3,"150":4,"151":6,"159":1}}],["y1",{"2":{"45":2}}],["y0",{"2":{"45":2}}],["yet",{"2":{"26":1}}],["yz",{"2":{"25":1}}],["y",{"2":{"24":2,"25":3,"42":3,"43":5,"68":2,"124":1,"125":2,"126":2,"128":2,"130":2}}],["⊕",{"2":{"24":1,"25":3}}],["+immediate",{"2":{"103":1}}],["+",{"2":{"24":1,"25":6,"27":1,"37":1,"38":1,"40":1,"42":1,"43":3,"68":4,"92":1,"105":2,"124":1,"129":1}}],["xz",{"2":{"25":1}}],["x",{"2":{"24":2,"25":3,"68":2,"129":1,"130":2}}],["xyz",{"2":{"25":3}}],["xy",{"2":{"24":3,"25":3}}],["xnor",{"2":{"22":2}}],["xor",{"2":{"22":4,"27":2,"28":1,"29":3,"40":1,"66":2,"129":1}}],["x3c",{"2":{"21":1,"117":7,"120":8,"122":1,"127":2,"129":1,"132":4,"133":4,"134":4,"135":4,"136":4}}],["x26",{"2":{"20":1,"115":1,"121":2,"129":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["00432820",{"2":{"136":1}}],["00432022",{"2":{"133":1}}],["00432020",{"2":{"132":1}}],["00",{"2":{"93":1}}],["01",{"2":{"93":1,"125":1}}],["0132",{"2":{"68":1}}],["0120",{"2":{"65":1}}],["0101",{"2":{"46":1}}],["0s",{"2":{"34":1,"119":1}}],["0",{"2":{"21":2,"24":9,"32":3,"33":4,"34":6,"35":4,"42":3,"43":6,"46":1,"51":3,"53":3,"61":1,"62":1,"63":2,"65":1,"68":3,"71":4,"91":2,"92":1,"103":1,"105":3,"107":2,"110":4,"113":4,"114":6,"115":3,"117":3,"120":3,"121":14,"122":11,"124":2,"125":2,"126":2,"127":2,"128":2,"129":4,"132":3,"133":6,"134":3,"135":3,"136":7}}],["^",{"2":{"20":1}}],["=30",{"2":{"136":1}}],["=20",{"2":{"136":1}}],["=25",{"2":{"134":1}}],["=100",{"2":{"135":1}}],["==val",{"2":{"136":1}}],["==",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["===",{"2":{"130":2,"132":2,"133":2,"134":2,"135":2,"136":2}}],["=550",{"2":{"132":1,"133":2}}],["=450",{"2":{"132":1}}],["=0",{"2":{"127":1,"136":1}}],["=",{"0":{"54":1},"2":{"20":2,"21":2,"24":3,"25":4,"27":4,"28":1,"29":2,"30":1,"32":4,"34":8,"35":1,"37":1,"38":1,"39":1,"40":4,"42":3,"43":1,"45":2,"51":5,"68":3,"92":1,"113":1,"115":1,"117":8,"120":11,"122":5,"125":1,"127":2,"128":2,"129":6,"132":5,"133":5,"134":5,"135":7,"136":5}}],["wrmux",{"2":{"121":1}}],["writing",{"2":{"109":1}}],["written",{"2":{"91":1,"92":1,"100":1,"103":1,"105":1,"109":1,"111":1,"112":1,"122":1,"130":1}}],["writereg=instr",{"2":{"121":2}}],["writereg",{"2":{"121":3}}],["writedata",{"2":{"107":3,"109":1,"111":1,"114":3,"121":4,"130":3,"132":4,"133":4,"134":4,"135":4,"136":4}}],["writes",{"2":{"103":3,"104":1}}],["write",{"2":{"13":1,"16":1,"18":1,"43":1,"89":1,"92":7,"100":3,"102":3,"103":4,"105":2,"111":1,"121":2,"122":2,"130":1,"132":1,"133":1,"134":1,"135":2,"136":1,"150":1}}],["wd3",{"2":{"122":2}}],["wd",{"2":{"110":2,"111":1,"112":1}}],["would",{"2":{"89":1,"149":1}}],["world",{"2":{"143":1,"149":1}}],["works",{"2":{"120":1}}],["working",{"0":{"116":1,"119":1},"2":{"120":1,"121":1,"122":1,"132":1,"133":1,"134":1,"135":1,"151":1}}],["work",{"2":{"88":1,"114":1}}],["word",{"2":{"49":1,"90":2,"103":4,"110":1,"113":1,"130":4}}],["words",{"2":{"22":1,"97":1,"112":1}}],["want",{"2":{"130":2}}],["wa3",{"2":{"122":3}}],["was",{"2":{"35":1,"99":1,"103":1}}],["way",{"0":{"128":1},"2":{"35":1,"57":1}}],["wave",{"2":{"26":1}}],["web",{"0":{"148":1}}],["we3",{"2":{"122":3}}],["were",{"2":{"89":1,"103":1,"123":1}}],["well",{"2":{"66":1,"151":1}}],["welcome",{"0":{"0":1,"150":1,"152":1,"159":1},"1":{"1":1,"2":1,"3":1,"4":1,"5":1,"6":1,"151":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"160":1,"161":1,"162":1},"2":{"141":1,"149":1}}],["weight",{"2":{"48":1,"62":1}}],["weights",{"2":{"48":1}}],["we",{"2":{"30":3,"40":2,"42":1,"43":1,"46":2,"88":3,"90":1,"91":2,"92":1,"97":2,"103":4,"110":2,"111":1,"112":1,"130":3,"131":1,"141":1,"142":1,"149":3}}],["who",{"2":{"141":1,"149":1}}],["whose",{"2":{"42":1,"54":1,"71":1}}],["what",{"2":{"103":1,"119":1,"120":1}}],["while",{"2":{"65":1,"91":1}}],["which",{"2":{"29":1,"39":1,"40":1,"42":1,"46":1,"52":1,"57":1,"61":1,"66":2,"90":1,"91":1,"92":2,"93":1,"97":2,"101":1,"103":6,"104":1,"105":3,"107":2,"109":1,"113":1,"114":1,"117":1,"119":1,"120":1,"159":1}}],["whether",{"2":{"93":1,"105":1,"111":1}}],["when",{"0":{"78":1},"2":{"30":2,"32":3,"33":3,"34":4,"35":3,"37":1,"38":1,"39":1,"45":1,"46":3,"51":1,"53":1,"61":1,"63":1,"64":1,"65":2,"68":2,"71":3,"105":2,"112":1}}],["whereas",{"2":{"37":1,"39":1,"105":1}}],["where",{"2":{"10":1,"30":1,"66":2,"69":1}}],["wide",{"2":{"143":1}}],["width",{"0":{"127":1,"128":1},"2":{"127":3,"128":3}}],["wish",{"0":{"78":1},"2":{"46":1}}],["wire",{"2":{"21":2,"107":1,"114":2,"115":2,"121":5,"129":1,"132":2,"133":2,"134":2,"135":2,"136":2}}],["will",{"0":{"84":1},"2":{"10":1,"45":2,"68":1,"88":3,"91":2,"93":1,"97":2,"103":1,"120":2,"130":1,"131":1,"150":4,"151":5,"159":1}}],["without",{"2":{"150":1}}],["within",{"2":{"48":1,"66":1}}],["with",{"0":{"34":1,"54":1,"65":1,"71":1,"127":1,"128":1},"2":{"8":1,"10":2,"22":5,"26":2,"29":1,"30":1,"32":1,"33":3,"34":1,"37":2,"38":1,"39":2,"40":1,"46":1,"51":1,"54":3,"57":1,"58":2,"61":3,"62":2,"63":3,"65":1,"71":1,"89":2,"92":4,"93":1,"102":1,"103":7,"104":2,"105":3,"113":1,"120":1,"130":2,"139":1,"142":1,"143":1,"151":2,"159":1,"161":1}}],["ie",{"2":{"105":1}}],["ideas",{"2":{"151":1}}],["ideal",{"2":{"18":1}}],["identified",{"2":{"100":1}}],["ignals",{"2":{"92":1}}],["ignored",{"2":{"51":1}}],["icon",{"0":{"77":1,"78":1}}],["iu",{"2":{"66":1}}],["immsh",{"2":{"121":1}}],["immediate+value",{"2":{"103":1}}],["immediate",{"2":{"103":8,"105":1}}],["immediately",{"2":{"53":1,"59":1}}],["imem",{"2":{"107":4,"113":2,"130":1}}],["impact",{"2":{"119":1}}],["important",{"2":{"92":1,"94":1}}],["implements",{"2":{"131":1}}],["implementing",{"2":{"89":1}}],["implement",{"2":{"54":1,"105":2}}],["implementation",{"0":{"94":1,"106":1},"1":{"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":1,"117":1,"118":1,"119":1},"2":{"14":1,"16":1,"18":1,"87":1,"88":1,"90":1,"92":1,"93":1,"105":1,"130":2,"138":1,"139":1,"140":1,"151":2,"160":1,"161":1,"162":1}}],["implemented",{"2":{"10":1,"30":1,"90":1}}],["image",{"2":{"65":1,"68":1}}],["illustration",{"2":{"46":1}}],["i3",{"2":{"43":2,"53":1}}],["i2",{"2":{"43":2,"53":1}}],["i1",{"2":{"43":2,"53":1}}],["i0",{"2":{"42":1,"43":2,"53":1}}],["i",{"2":{"22":2,"42":4,"43":6,"53":1,"71":1,"103":3,"104":1,"105":2,"113":1,"114":1,"129":19,"132":1,"133":1,"134":1,"135":1,"136":1}}],["if",{"0":{"81":1},"2":{"9":1,"22":6,"30":2,"35":2,"42":2,"45":1,"51":6,"63":4,"65":2,"66":1,"68":2,"89":1,"92":1,"100":1,"101":1,"102":2,"103":5,"104":1,"105":3,"109":1,"110":1,"120":3,"121":4,"122":2,"127":1,"130":1,"131":1,"132":4,"133":4,"134":4,"135":4,"136":5,"149":1}}],["its",{"2":{"22":4,"33":1,"34":1,"35":3,"51":1,"53":1,"56":1,"57":1,"63":1,"89":2,"103":1,"130":1,"150":2}}],["it",{"0":{"79":1,"82":1,"84":1},"2":{"9":1,"10":2,"22":11,"28":1,"30":1,"32":1,"33":2,"34":1,"38":1,"40":2,"42":1,"46":1,"51":1,"56":1,"64":1,"66":7,"88":1,"89":2,"90":1,"91":2,"97":1,"100":1,"101":1,"103":9,"105":5,"109":1,"116":2,"118":1,"119":2,"120":4,"122":1,"130":1}}],["isa",{"2":{"150":1}}],["is",{"0":{"84":1},"2":{"8":7,"9":2,"10":7,"16":1,"18":1,"20":1,"21":1,"22":14,"24":1,"25":1,"26":2,"28":2,"29":2,"30":3,"32":3,"33":4,"34":2,"35":13,"37":3,"38":2,"39":4,"40":3,"42":3,"45":2,"46":7,"48":1,"49":2,"50":1,"51":7,"52":2,"53":1,"54":1,"56":1,"57":3,"60":1,"61":3,"62":4,"63":6,"64":1,"65":8,"66":6,"67":1,"68":6,"69":1,"71":6,"88":1,"89":1,"90":2,"91":8,"92":8,"93":1,"94":1,"97":3,"99":1,"100":4,"101":3,"102":5,"103":30,"104":4,"105":10,"107":6,"112":1,"113":6,"119":1,"121":3,"122":1,"123":1,"130":1,"132":3,"133":3,"134":3,"135":3,"136":3,"142":1,"143":1,"150":2,"151":1}}],["innovation",{"2":{"143":1}}],["ing",{"2":{"116":1}}],["infrastructure",{"2":{"109":1}}],["information",{"2":{"34":1,"35":2,"39":1,"42":2,"52":2,"53":1,"56":1,"66":1,"69":1,"92":2,"143":1}}],["involved",{"2":{"103":1}}],["involves",{"2":{"66":1}}],["inverse",{"2":{"67":1}}],["inverters",{"2":{"70":1}}],["inverter",{"2":{"22":1,"30":1}}],["index",{"2":{"113":1}}],["independent",{"2":{"65":1}}],["individuals",{"2":{"141":1,"149":1}}],["individual",{"2":{"66":2}}],["indicator",{"2":{"61":1,"68":1}}],["indicates",{"2":{"61":1,"93":1}}],["indicate",{"2":{"53":1,"91":1}}],["indicated",{"2":{"46":1,"71":1}}],["indication",{"2":{"51":2}}],["inhibits",{"2":{"65":1}}],["incremented",{"2":{"103":3}}],["increment",{"2":{"103":1}}],["increase",{"2":{"94":1}}],["increased",{"2":{"65":1}}],["incoming",{"2":{"61":2}}],["included",{"2":{"90":1}}],["include",{"2":{"66":1,"89":1}}],["includes",{"2":{"8":1,"68":1,"97":1}}],["including",{"2":{"22":1,"46":1,"66":2,"89":3,"150":2}}],["inequalities",{"2":{"51":1}}],["inequality",{"2":{"51":4}}],["inside",{"2":{"119":1}}],["inspected",{"2":{"68":1}}],["insensitive",{"2":{"39":1}}],["institute",{"2":{"141":1}}],["instr",{"2":{"99":1,"107":3,"109":1,"114":5,"121":10}}],["instruction",{"0":{"91":1,"99":1,"113":1},"2":{"66":1,"87":1,"88":3,"89":3,"90":1,"91":3,"92":3,"93":2,"97":1,"99":6,"103":31,"104":1,"105":9,"107":1,"109":2,"113":4,"114":1,"119":1,"120":1,"121":1,"130":6,"132":1,"133":1,"134":1,"135":1,"136":1,"150":2}}],["instructions",{"0":{"130":1,"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"9":1,"66":1,"87":2,"88":6,"90":1,"91":2,"92":1,"97":3,"103":1,"105":2,"107":3,"109":1,"113":1,"114":2,"130":1,"131":1,"150":1}}],["instantiates",{"2":{"107":1,"114":1}}],["instantiate",{"2":{"107":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["instance",{"2":{"46":1,"130":1}}],["installed",{"0":{"76":1}}],["instead",{"2":{"37":1,"39":1}}],["initially",{"2":{"113":1}}],["initialize",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["initialization",{"0":{"76":1},"2":{"8":2,"129":1}}],["initialises",{"2":{"113":1}}],["initialise",{"2":{"108":1,"132":2,"133":2,"134":1,"135":1,"136":3}}],["initial",{"2":{"21":1,"103":1,"113":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["in2",{"2":{"21":3}}],["in1",{"2":{"21":3}}],["inputs",{"0":{"108":1,"111":1},"2":{"8":2,"10":1,"22":8,"24":1,"27":1,"32":2,"33":4,"34":6,"35":3,"37":1,"38":1,"40":3,"42":2,"43":2,"53":2,"54":1,"58":2,"61":1,"62":2,"63":4,"64":1,"65":3,"68":3,"70":2,"71":2,"102":1,"114":1,"115":1,"120":1,"121":2,"122":1}}],["input",{"0":{"34":1,"71":1,"96":1},"2":{"5":1,"6":1,"8":2,"20":2,"21":1,"22":7,"24":1,"25":3,"26":2,"30":2,"33":4,"34":4,"35":12,"38":1,"39":4,"40":2,"42":9,"45":6,"51":2,"53":5,"54":3,"60":2,"61":4,"62":1,"63":1,"64":3,"65":3,"66":2,"67":1,"68":2,"69":1,"70":1,"71":1,"89":1,"92":7,"93":1,"97":1,"99":1,"100":1,"101":2,"104":1,"105":1,"107":2,"110":2,"112":1,"113":3,"114":3,"115":2,"117":2,"120":2,"121":7,"122":4,"124":1,"125":1,"126":1,"127":2,"128":2,"129":3,"157":1,"158":1}}],["in",{"0":{"56":1,"57":1,"58":1,"59":1,"73":1,"77":1,"78":1},"2":{"3":1,"4":2,"8":6,"10":3,"14":1,"22":1,"26":3,"32":2,"33":2,"34":2,"35":8,"37":1,"39":1,"40":2,"43":1,"46":4,"49":2,"50":3,"51":5,"52":1,"53":1,"56":2,"57":6,"59":1,"61":2,"62":2,"63":4,"64":1,"66":6,"68":1,"88":3,"89":2,"90":3,"91":6,"92":5,"93":2,"99":1,"100":3,"103":9,"104":2,"105":5,"113":2,"114":2,"117":1,"121":2,"123":1,"130":10,"138":1,"141":1,"142":2,"143":3,"149":3,"150":4,"151":1,"155":1,"156":2,"160":1}}],["intro",{"2":{"138":1,"160":1}}],["introduction",{"0":{"1":1,"7":1,"138":1,"153":1,"160":1},"1":{"8":1,"9":1,"10":1,"11":1,"12":1,"13":1,"14":1,"15":1,"16":1,"17":1,"18":1,"19":1,"20":1,"21":1},"2":{"4":1,"8":1,"150":2,"156":1,"159":1}}],["into",{"0":{"79":1},"2":{"13":1,"53":1,"54":1,"57":1,"58":1,"65":1,"70":1,"88":1,"91":1,"92":1,"97":1,"100":1,"103":8,"105":1,"109":1,"112":1,"113":2,"121":1,"130":1,"150":1,"151":1}}],["integrated",{"2":{"66":1}}],["integer",{"0":{"2":1,"4":1,"5":1,"154":1,"156":1,"157":1},"1":{"5":1,"6":1},"2":{"4":1,"66":1,"113":1,"132":1,"133":1,"134":1,"135":1,"136":1,"156":1}}],["interlocked",{"2":{"150":1}}],["interested",{"2":{"149":1}}],["interface",{"2":{"137":1}}],["interfaces",{"2":{"89":1}}],["interval",{"2":{"60":1}}],["internal",{"2":{"35":1}}],["interactive",{"2":{"8":1}}],["intermediate",{"2":{"8":1,"97":1,"116":1}}],["interconnections",{"2":{"10":1}}],["interconnection",{"2":{"8":2}}],["utilizing",{"2":{"66":1}}],["utilize",{"2":{"40":1}}],["us",{"0":{"141":1},"1":{"142":1,"143":1,"144":1,"145":1,"146":1,"147":1,"148":1,"149":1},"2":{"103":1,"149":1}}],["usually",{"0":{"82":1}}],["using",{"0":{"84":1},"2":{"9":2,"26":1,"46":1,"94":2,"103":2,"105":3,"113":1,"150":1,"151":1}}],["use",{"0":{"75":1,"78":1},"2":{"46":1,"50":1,"61":1,"89":1,"92":1,"97":1,"130":1}}],["useful",{"2":{"32":1,"49":1,"53":1,"65":1}}],["uses",{"2":{"26":1,"66":1,"88":1,"103":2,"119":1}}],["user",{"2":{"8":1}}],["used",{"2":{"8":3,"10":1,"16":1,"21":1,"38":1,"46":1,"51":2,"53":1,"62":1,"65":1,"66":1,"88":1,"91":1,"93":1,"104":2,"105":2,"107":1,"108":2,"113":1,"119":1,"130":1,"150":2}}],["unique",{"2":{"69":1,"100":2}}],["units",{"0":{"123":1},"1":{"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"2":{"66":1,"87":1,"88":2,"94":1,"123":1}}],["unit",{"0":{"66":1,"90":1,"92":1,"93":1},"1":{"91":1,"92":1,"93":1,"94":2,"95":2,"96":2},"2":{"8":1,"66":5,"87":1,"88":3,"89":1,"90":2,"92":4,"93":2,"94":3,"97":3,"101":2,"102":1,"103":6,"104":2,"117":1,"140":1,"162":1}}],["until",{"2":{"35":1}}],["unless",{"2":{"33":1}}],["unlikely",{"2":{"46":1}}],["unlike",{"2":{"28":1}}],["undesirable",{"2":{"35":1}}],["undefined",{"2":{"32":1,"33":1,"34":2,"35":1}}],["understanding",{"0":{"94":1},"2":{"89":3,"151":2}}],["understand",{"2":{"13":1,"16":1,"18":1,"89":1,"103":1,"150":2}}],["under",{"2":{"8":1}}],["unsigned",{"2":{"30":1}}],["upcoming",{"2":{"114":1}}],["upper",{"2":{"103":2,"105":1}}],["upon",{"2":{"60":1}}],["updated",{"2":{"103":1}}],["updates",{"2":{"103":1}}],["update",{"2":{"10":1,"130":1}}],["up",{"0":{"64":1},"2":{"1":1,"64":4,"153":1}}],["9",{"0":{"122":1},"2":{"8":1,"62":1,"87":1,"117":7,"118":1}}],["8c03001e",{"2":{"135":1}}],["80",{"2":{"132":1,"133":1,"134":1,"136":1}}],["8",{"0":{"70":1,"121":1,"137":1},"1":{"122":1},"2":{"8":1,"35":1,"87":3,"103":2,"117":1,"127":1,"128":1}}],["7",{"0":{"120":1,"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"8":1,"87":2,"107":1,"115":1,"117":2}}],["64",{"2":{"112":1}}],["63",{"2":{"110":1,"113":1}}],["6",{"0":{"117":1,"129":1,"130":1},"2":{"8":1,"87":2,"92":1,"93":1,"104":1,"113":1,"115":2,"117":7,"120":6,"130":1,"140":1,"162":1}}],["50",{"2":{"133":4}}],["550=0",{"2":{"133":1}}],["550",{"2":{"132":1,"133":2}}],["53",{"2":{"87":1}}],["5th",{"2":{"85":1}}],["5",{"0":{"106":1,"115":1,"128":1,"136":1},"1":{"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":2,"117":1,"118":1,"119":1},"2":{"8":1,"87":2,"91":1,"92":1,"98":1,"100":2,"113":1,"114":1,"115":1,"117":1,"120":1,"121":1,"132":2,"133":2,"134":2,"135":2,"136":2,"140":1,"162":1}}],["450",{"2":{"132":1}}],["43",{"2":{"103":1}}],["46",{"2":{"87":1}}],["44",{"2":{"87":1}}],["41",{"2":{"87":1}}],["4",{"0":{"43":1,"63":1,"71":1,"97":1,"114":1,"127":1,"135":1},"1":{"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1},"2":{"8":1,"21":1,"35":1,"36":1,"87":2,"92":1,"93":2,"103":3,"104":1,"105":7,"121":1,"122":1,"129":7}}],["30",{"2":{"134":4,"135":5,"136":1}}],["32b100",{"2":{"121":1}}],["32",{"0":{"129":1},"2":{"91":1,"92":1,"97":2,"99":1,"100":2,"103":2,"105":1,"110":1,"111":2,"112":3,"113":1,"114":4,"120":1,"121":11,"122":2,"126":1,"129":3,"130":1}}],["38",{"2":{"87":1}}],["35",{"2":{"87":1}}],["33",{"2":{"87":1}}],["31",{"2":{"87":1,"91":1,"92":2,"107":2,"110":5,"113":2,"114":5,"121":10,"122":4,"124":2,"125":2,"126":1,"129":3,"132":1,"133":1,"134":1,"135":1,"136":1}}],["3",{"0":{"70":1,"90":1,"113":1,"126":1,"134":1},"1":{"91":1,"92":1,"93":1,"94":1,"95":1,"96":1},"2":{"8":1,"43":2,"51":1,"87":3,"92":2,"105":1,"107":1,"115":1,"120":10,"121":2,"129":1,"140":1,"162":1}}],["v$readmemh",{"2":{"113":1}}],["vsw",{"2":{"103":1}}],["v",{"2":{"68":4,"121":2,"146":1}}],["vmodule",{"2":{"20":1,"21":1,"107":1,"110":1,"113":1,"114":1,"115":1,"117":1,"120":1,"121":1,"122":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["version",{"0":{"73":1},"2":{"38":1,"40":1,"90":1}}],["very",{"2":{"18":2,"46":1}}],["verify",{"2":{"16":1}}],["verification",{"2":{"13":1,"18":2}}],["verilog",{"0":{"19":1,"72":1,"74":1,"77":1,"138":1,"147":1,"159":1,"160":1},"1":{"20":1,"21":1,"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"160":1,"161":1,"162":1},"2":{"8":5,"9":1,"88":1,"89":6,"130":2,"137":1,"138":3,"150":4,"151":4,"159":3,"160":3}}],["various",{"0":{"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"66":2,"87":1,"89":1,"92":1,"104":1,"105":1}}],["variable",{"0":{"127":1,"128":1},"2":{"27":2}}],["variables",{"0":{"118":1},"1":{"119":1},"2":{"8":1,"24":2,"25":1,"27":1,"42":1,"43":1,"70":1,"115":1,"122":1}}],["valuable",{"2":{"142":1,"149":1}}],["value",{"2":{"35":1,"53":2,"71":1,"92":1,"102":2,"103":7,"105":2,"119":1,"121":1,"122":1,"126":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["values",{"2":{"8":1,"21":2,"34":1,"40":1,"42":2,"43":1,"64":1,"66":3,"71":1,"89":1,"97":1,"110":1,"113":1,"119":1}}],["val",{"2":{"136":1}}],["valid",{"2":{"51":1,"68":2}}],["visiting",{"2":{"149":1}}],["visit",{"2":{"143":1}}],["vid",{"2":{"138":2,"160":2}}],["video▶️",{"2":{"4":2}}],["video",{"2":{"1":2,"2":1,"4":5,"5":1,"6":5,"138":2,"139":2,"140":2,"151":2,"153":2,"154":1,"156":7,"157":1,"158":5,"160":2,"161":2,"162":2}}],["via",{"2":{"100":1}}],["view",{"0":{"84":1},"2":{"18":1,"109":1,"113":1,"114":1,"116":1,"119":1,"120":1,"121":1,"122":1}}],["violations",{"2":{"8":1}}],["1s",{"2":{"30":3,"46":5,"119":1}}],["18",{"2":{"8":1}}],["17",{"2":{"8":1}}],["16",{"2":{"8":1,"43":1,"91":3,"92":2,"103":2,"105":1,"120":1,"121":4,"126":3,"149":1}}],["15",{"2":{"8":1,"91":2,"92":1,"121":4,"126":3}}],["14",{"2":{"8":1}}],["13",{"2":{"8":1}}],["12",{"2":{"8":1}}],["1111",{"2":{"103":1}}],["1100",{"2":{"103":2}}],["11",{"2":{"8":1,"91":1,"92":1,"121":2}}],["10a00002",{"2":{"136":1}}],["100",{"2":{"135":6}}],["1000",{"2":{"132":3}}],["10m50daf484c7g",{"0":{"75":1}}],["10",{"0":{"75":1,"123":1},"1":{"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"2":{"8":1,"62":1,"87":1,"93":1,"140":1,"162":1}}],["1",{"0":{"42":1,"43":1,"45":1,"88":1,"107":1,"124":1,"132":1},"1":{"108":1,"109":1},"2":{"8":1,"21":1,"24":7,"26":1,"30":5,"32":3,"33":5,"34":8,"35":6,"42":4,"43":5,"45":1,"46":2,"51":4,"53":1,"61":1,"62":1,"63":6,"64":1,"65":4,"68":3,"71":2,"87":3,"92":2,"101":1,"103":5,"104":1,"105":4,"112":1,"115":1,"117":3,"120":2,"122":2,"127":2,"128":2,"129":1,"132":2,"133":2,"134":2,"135":2,"136":2,"138":2,"140":1,"160":2,"162":1}}],["p",{"2":{"147":1}}],["philosophy",{"0":{"142":1}}],["pseudocode",{"2":{"121":2}}],["pc=pcnext",{"2":{"121":1}}],["pcmux",{"2":{"121":1}}],["pcbrmux",{"2":{"121":1}}],["pcbranch=pcplus4+signimmsh",{"2":{"121":1}}],["pcbranch",{"2":{"121":3}}],["pcadd2",{"2":{"121":1}}],["pcadd1",{"2":{"121":1}}],["pcreg",{"2":{"121":1}}],["pcplus4=pc+4",{"2":{"121":1}}],["pcplus4",{"2":{"121":6}}],["pcnext=jump",{"2":{"121":1}}],["pcnext=pcnextbr",{"2":{"121":1}}],["pcnextbr=pcbranch",{"2":{"121":1}}],["pcnextbr=pcplus4",{"2":{"121":1}}],["pcnextbr",{"2":{"121":3}}],["pcnext",{"2":{"121":3}}],["pc+4",{"2":{"103":5}}],["pc",{"2":{"92":3,"99":1,"103":12,"104":1,"105":3,"107":3,"109":1,"114":3,"121":6}}],["pcsrc==0",{"2":{"121":1}}],["pcsrc",{"2":{"92":4,"114":2,"115":4,"116":1,"121":3}}],["png",{"2":{"65":1,"68":1}}],["purposes",{"2":{"104":1}}],["put",{"2":{"92":2}}],["putting",{"2":{"33":1}}],["pulses",{"2":{"60":3,"61":2,"65":1}}],["pulse",{"2":{"53":1,"54":1,"63":1}}],["please",{"2":{"149":1}}],["pla",{"2":{"92":1}}],["play",{"0":{"83":1}}],["place",{"2":{"105":2}}],["placed",{"2":{"105":1}}],["places",{"2":{"34":1,"91":1}}],["placing",{"2":{"33":1,"35":2,"43":1}}],["plus",{"2":{"22":1,"30":3}}],["pipeline",{"2":{"150":1}}],["pipelined",{"2":{"88":1}}],["piece",{"2":{"97":1}}],["pi",{"2":{"27":4}}],["perspective",{"2":{"151":1}}],["permanent",{"2":{"62":1}}],["performs",{"2":{"66":2,"67":1,"88":1,"97":3,"101":1,"121":1}}],["performing",{"2":{"30":2}}],["perform",{"2":{"22":2,"52":1,"66":2,"93":1,"120":2}}],["performance",{"2":{"14":1,"18":1}}],["performed",{"2":{"8":1,"30":1,"66":1,"93":1,"101":1,"103":1}}],["pebble",{"2":{"26":1}}],["ported",{"0":{"122":1}}],["port2",{"2":{"103":2}}],["port1",{"2":{"103":2}}],["port",{"2":{"100":3,"101":1,"102":1,"103":6,"122":2}}],["ports",{"2":{"100":3,"101":1,"103":1,"107":1,"122":1}}],["portable",{"2":{"16":1,"18":1}}],["portability",{"2":{"13":1}}],["potentially",{"2":{"94":1}}],["poseedge",{"2":{"121":2}}],["posedge",{"2":{"110":1,"122":1,"127":2}}],["possesses",{"2":{"66":1}}],["possibility",{"2":{"61":1}}],["possible",{"2":{"51":2}}],["positive",{"2":{"37":2,"38":2,"39":4,"40":1,"53":1,"63":1,"112":1}}],["positions",{"2":{"51":1,"63":1,"91":5}}],["position",{"2":{"25":1,"50":1,"51":3,"63":2}}],["pond",{"2":{"26":1}}],["power",{"2":{"14":2,"16":1,"18":1}}],["point",{"0":{"3":1,"155":1}}],["practices",{"2":{"151":1}}],["practical",{"2":{"142":1,"151":3}}],["preferred",{"2":{"130":1}}],["prerequisites",{"0":{"89":1},"2":{"87":1,"89":1}}],["prescribed",{"2":{"60":1}}],["presented",{"2":{"69":1}}],["present",{"2":{"35":2,"37":1,"38":2}}],["precedence",{"2":{"51":1,"68":1}}],["previous",{"2":{"25":1,"61":1,"63":2,"64":1,"90":1,"123":1}}],["principles",{"2":{"89":1,"151":1}}],["printing",{"2":{"5":1,"157":1}}],["prime",{"0":{"73":1}}],["primary",{"2":{"8":1}}],["priority",{"0":{"68":1},"2":{"68":4}}],["prior",{"0":{"76":1},"2":{"53":1}}],["prof",{"2":{"145":2}}],["project",{"0":{"73":1,"74":2,"76":1}}],["properly",{"2":{"131":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["properties",{"2":{"10":1}}],["propagation",{"2":{"27":1}}],["propagated",{"2":{"27":1}}],["propagate",{"2":{"26":1}}],["product",{"2":{"25":1}}],["produce",{"2":{"22":1,"24":1,"26":1,"48":1,"66":1,"92":1}}],["produces",{"2":{"8":1,"22":7,"26":1,"28":1,"29":1,"56":1}}],["provide",{"2":{"70":1,"142":2,"151":1}}],["provides",{"2":{"18":1,"46":1,"89":1,"109":1}}],["provided",{"2":{"9":1,"66":1}}],["pros",{"0":{"13":1,"16":1,"18":1}}],["procedural",{"2":{"9":1,"119":1}}],["procedure",{"2":{"8":2,"51":1}}],["processor",{"0":{"86":1,"140":1,"162":1},"1":{"87":1,"88":1,"89":1,"90":1,"91":1,"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":1,"117":1,"118":1,"119":1,"120":1,"121":1,"122":1,"123":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"136":1,"137":1},"2":{"66":1,"88":2,"89":2,"97":2,"99":1,"107":2,"108":2,"109":1,"114":1,"159":2}}],["processing",{"2":{"52":1,"66":3}}],["process",{"2":{"8":1}}],["programs",{"2":{"109":1,"143":1}}],["programming",{"2":{"8":1,"89":3}}],["program",{"0":{"72":1,"78":1,"79":1,"80":1,"81":1,"83":1},"1":{"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1},"2":{"8":1,"99":1,"107":1,"109":2,"114":1,"150":1}}],["palnitkar",{"2":{"137":1}}],["palindrome",{"2":{"6":1,"158":1}}],["patterson",{"2":{"137":1}}],["pattern",{"2":{"63":1}}],["path",{"2":{"130":2}}],["passion",{"2":{"149":1}}],["pass",{"2":{"103":2}}],["passed",{"2":{"8":1,"45":2}}],["pair",{"2":{"49":1}}],["parameter",{"2":{"127":1,"128":1}}],["parallel",{"0":{"54":1,"57":1,"58":1,"59":2,"65":1},"2":{"26":4,"30":1,"57":2,"58":2,"59":5,"65":1}}],["part",{"2":{"97":1,"138":2,"151":2,"160":2}}],["parts",{"2":{"90":1,"91":1,"151":1}}],["partitioned",{"2":{"88":1}}],["particular",{"2":{"13":1,"18":1,"42":1}}],["parity",{"0":{"46":1},"2":{"8":1,"46":8,"138":1,"160":1}}],["page",{"2":{"8":1,"87":1}}],["bx",{"2":{"129":1}}],["bxxx",{"2":{"120":1}}],["bxxxxxxxxx",{"2":{"117":1}}],["b0111",{"2":{"129":1}}],["b0110",{"2":{"129":1}}],["b01",{"2":{"120":1}}],["b0100",{"2":{"129":1}}],["b010",{"2":{"120":2}}],["b0011",{"2":{"129":1}}],["b001",{"2":{"120":1}}],["b0010",{"2":{"129":1}}],["b001000",{"2":{"117":1}}],["b001010000",{"2":{"117":1}}],["b00",{"2":{"120":1,"125":1}}],["b0001",{"2":{"129":1}}],["b000100001",{"2":{"117":1}}],["b000100",{"2":{"117":1}}],["b000",{"2":{"120":1}}],["b0000",{"2":{"129":1}}],["b000010",{"2":{"117":1}}],["b000000100",{"2":{"117":1}}],["b000000",{"2":{"117":1}}],["b111",{"2":{"120":1}}],["b110",{"2":{"120":2}}],["b110000010",{"2":{"117":1}}],["b100101",{"2":{"120":1}}],["b100100",{"2":{"120":1}}],["b100010",{"2":{"120":1}}],["b100011",{"2":{"117":1}}],["b100000",{"2":{"120":1}}],["b101010",{"2":{"120":1}}],["b101011",{"2":{"117":1}}],["b101000000",{"2":{"117":1}}],["b101001000",{"2":{"117":1}}],["brief",{"2":{"107":1}}],["broken",{"2":{"91":1}}],["branches",{"2":{"105":1}}],["branch",{"2":{"90":1,"91":2,"92":3,"103":4,"104":3,"105":1,"114":1,"115":4,"116":1,"117":3,"136":1}}],["branching",{"2":{"66":1}}],["b3",{"2":{"51":3}}],["bcd",{"0":{"48":1,"62":1},"2":{"46":1,"48":3,"62":1}}],["build",{"2":{"159":1}}],["building",{"2":{"22":1,"98":1}}],["bubble",{"2":{"61":1}}],["bus",{"2":{"54":1}}],["button",{"0":{"83":1}}],["but",{"2":{"37":1,"46":1,"92":1,"130":1}}],["boran",{"2":{"145":1}}],["borrow",{"2":{"28":1,"29":2}}],["board",{"0":{"75":1}}],["bout",{"2":{"29":1}}],["boolean",{"2":{"22":1,"24":1,"66":1}}],["both",{"2":{"16":1,"22":2,"32":2,"33":4,"34":2,"35":1,"40":1,"59":1,"62":1,"65":1,"66":1,"100":1,"105":1,"114":1,"151":1}}],["b",{"0":{"100":1},"2":{"20":3,"28":5,"29":5,"30":6,"51":3,"53":4,"71":1,"124":2,"129":10}}],["blocks",{"2":{"22":1,"88":1,"119":1}}],["block",{"2":{"9":1,"21":1,"88":1,"110":1,"113":1,"119":2}}],["by",{"0":{"79":1,"83":1,"84":1,"125":1},"2":{"8":5,"9":1,"10":1,"20":1,"25":1,"30":2,"33":1,"40":1,"42":1,"43":1,"57":1,"58":1,"62":3,"63":1,"66":2,"68":1,"71":2,"85":2,"88":1,"90":1,"91":2,"92":4,"93":3,"97":3,"100":1,"103":7,"105":3,"111":1,"113":2,"116":1,"119":1,"122":1,"126":1,"137":4,"142":1,"151":1}}],["best",{"2":{"151":1}}],["bellary",{"2":{"146":1}}],["below",{"2":{"105":1}}],["being",{"2":{"105":1,"109":1,"114":1,"130":1}}],["beq",{"0":{"136":1},"2":{"90":1,"93":1,"103":4,"117":1,"120":1,"136":2}}],["beneficial",{"2":{"89":1}}],["benches",{"0":{"82":1}}],["bench",{"0":{"80":2,"81":1,"82":2,"84":1},"2":{"130":1}}],["been",{"0":{"81":1},"2":{"59":1}}],["becomes",{"2":{"30":1,"46":1,"65":1}}],["because",{"2":{"28":1,"46":1,"51":2,"65":1}}],["begin",{"2":{"21":3,"113":1,"129":9,"132":6,"133":6,"134":6,"135":6,"136":6}}],["before",{"0":{"79":1,"81":1},"2":{"13":1,"53":1}}],["between",{"2":{"10":2,"49":1,"66":2,"88":1,"103":1,"114":1}}],["be",{"0":{"76":1,"84":1},"2":{"8":2,"13":1,"14":1,"16":4,"18":1,"24":1,"25":1,"27":1,"30":3,"32":1,"33":2,"34":1,"38":1,"45":2,"46":2,"51":4,"53":3,"54":1,"60":1,"61":1,"63":2,"64":1,"65":1,"71":1,"89":1,"91":3,"92":1,"93":3,"99":1,"101":1,"102":1,"103":1,"105":1,"109":1,"111":1,"122":1,"123":1,"130":3,"132":1,"133":1,"134":1,"135":1,"136":1}}],["behavioral",{"0":{"9":1,"12":1},"1":{"13":1,"14":1},"2":{"8":1,"9":1,"13":1,"16":3,"150":1}}],["behaviors",{"2":{"8":1}}],["behavior",{"2":{"8":1}}],["bin",{"2":{"29":3}}],["binary",{"0":{"23":1,"30":1,"48":1,"49":1,"50":1,"61":1,"63":1,"64":1,"65":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1},"2":{"4":1,"8":1,"22":2,"24":1,"26":2,"27":1,"28":3,"29":2,"35":2,"42":1,"48":4,"49":4,"50":3,"51":1,"52":1,"53":2,"60":1,"61":1,"62":3,"63":1,"66":7,"69":1,"156":1}}],["bi",{"0":{"54":1},"2":{"27":2}}],["bitwise",{"2":{"66":4}}],["bit",{"0":{"53":1,"54":1,"63":1,"64":1,"129":1},"2":{"26":2,"28":3,"29":2,"30":1,"42":1,"46":9,"48":2,"49":2,"50":4,"51":5,"52":2,"54":1,"56":1,"57":4,"58":2,"61":2,"62":1,"65":2,"68":1,"91":5,"92":1,"93":4,"97":2,"99":1,"100":2,"103":9,"104":3,"105":2,"110":1,"111":2,"112":2,"113":2,"114":4,"115":6,"117":2,"118":1,"120":5,"121":6,"122":1,"126":1,"130":1}}],["bits",{"2":{"24":1,"25":2,"26":1,"28":1,"29":1,"46":3,"48":1,"49":1,"51":5,"52":1,"57":3,"58":1,"59":2,"62":1,"63":1,"65":1,"66":4,"91":1,"92":3,"93":1,"103":8,"105":4,"112":1,"121":1,"126":2}}],["bibliography",{"0":{"85":1},"2":{"8":1}}],["base",{"2":{"91":1}}],["based",{"2":{"38":1,"66":3,"92":2,"97":1,"159":1}}],["basis",{"2":{"57":1,"58":1,"92":1}}],["basics",{"2":{"159":1}}],["basically",{"2":{"36":1}}],["basic",{"0":{"2":1,"22":1,"154":1},"1":{"23":1,"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1},"2":{"8":1,"22":1,"34":2,"51":2,"90":1,"150":2}}],["back",{"2":{"33":1,"103":1,"109":1}}],["bar",{"2":{"29":1}}],["batch",{"2":{"8":1}}],["bank",{"2":{"2":1,"5":1,"139":1,"140":1,"154":1,"157":1,"161":1,"162":1}}],["▶️",{"2":{"4":3,"6":5}}],["octicons",{"2":{"149":1}}],["occur",{"2":{"51":1,"60":1}}],["occurred",{"2":{"35":1}}],["occurrence",{"2":{"4":1,"156":1}}],["occurs",{"2":{"32":1,"34":1,"46":1,"61":1}}],["o",{"2":{"129":13}}],["our",{"0":{"142":1,"149":1},"2":{"89":1,"90":1,"130":2,"131":1,"142":1,"143":1,"149":2}}],["out",{"0":{"56":1,"57":1,"58":1,"59":1},"2":{"56":1,"57":3,"59":1,"66":2,"93":1,"120":1,"149":1}}],["outward",{"2":{"26":1}}],["out2",{"2":{"21":2}}],["out1",{"2":{"21":2}}],["outputted",{"2":{"102":1}}],["outputs",{"0":{"109":1},"2":{"8":1,"24":2,"25":1,"27":1,"32":2,"37":2,"38":1,"39":2,"40":1,"45":1,"53":1,"59":1,"62":2,"63":1,"64":1,"65":1,"68":2,"70":1,"71":3,"92":1,"103":6,"104":1,"114":1,"115":1,"117":1,"121":1,"122":1}}],["output",{"0":{"96":1,"112":1},"2":{"6":1,"10":1,"20":2,"21":1,"22":12,"24":1,"26":1,"27":4,"28":1,"29":1,"32":1,"33":1,"34":2,"35":5,"39":1,"42":5,"45":1,"51":1,"54":2,"56":1,"57":4,"59":1,"61":4,"62":2,"63":1,"65":2,"67":1,"68":1,"69":1,"71":2,"89":1,"92":4,"93":1,"100":1,"101":1,"102":1,"103":2,"104":1,"105":1,"107":3,"110":1,"113":2,"114":3,"115":5,"117":5,"120":2,"121":7,"122":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":2,"130":2,"158":1}}],["own",{"0":{"73":1},"2":{"150":1}}],["obtain",{"2":{"53":1}}],["obtained",{"2":{"24":1,"30":1,"40":1,"61":1,"105":1}}],["odd",{"2":{"46":6}}],["optional",{"2":{"113":1,"139":1,"161":1}}],["optimizations",{"2":{"94":1}}],["optimized",{"2":{"16":1,"18":1}}],["optimize",{"2":{"14":1}}],["optimal",{"0":{"94":1}}],["op",{"2":{"91":2,"115":2,"117":2}}],["opcode",{"2":{"89":1,"91":1,"92":6,"103":2,"104":1,"115":1,"117":1,"119":1}}],["operand",{"2":{"92":2,"105":2,"129":2}}],["operands",{"2":{"66":1,"103":1}}],["operation",{"2":{"30":1,"37":1,"40":1,"53":2,"65":2,"66":1,"67":1,"68":1,"89":1,"92":1,"93":3,"101":1,"103":2,"114":1}}],["operations",{"2":{"6":1,"10":1,"22":1,"51":1,"64":1,"66":22,"88":1,"97":4,"101":1,"103":1,"121":1,"158":1}}],["operates",{"2":{"22":1,"33":1,"37":2,"38":1,"39":2,"40":1,"66":1,"71":1,"97":1}}],["opposite",{"2":{"51":1}}],["overall",{"2":{"109":1,"114":1}}],["overview",{"0":{"88":1},"2":{"87":1}}],["over",{"2":{"14":1,"16":1}}],["otherwise",{"2":{"105":1}}],["other",{"0":{"123":1},"1":{"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"2":{"9":1,"22":2,"32":1,"34":1,"40":2,"51":1,"60":1,"62":1,"63":2,"65":1,"66":2,"68":1,"71":2,"87":1,"114":1}}],["online",{"2":{"130":1}}],["only",{"2":{"8":1,"22":3,"26":1,"28":1,"29":1,"35":1,"37":2,"38":1,"39":2,"40":1,"43":1,"46":1,"71":1,"92":1,"102":1,"103":1}}],["onto",{"2":{"105":1}}],["once",{"2":{"57":1,"66":1,"89":1}}],["on",{"0":{"77":1,"83":1},"2":{"10":1,"22":2,"33":1,"34":1,"38":1,"39":1,"42":2,"43":1,"45":1,"53":2,"56":2,"57":2,"58":3,"59":1,"63":1,"66":6,"88":1,"90":1,"92":5,"93":1,"97":4,"99":1,"101":2,"103":1,"109":1,"119":1,"120":1,"121":3,"122":1,"130":1,"151":2}}],["one",{"0":{"81":1},"2":{"9":1,"22":4,"26":1,"35":1,"38":1,"42":1,"45":3,"46":1,"52":2,"56":1,"58":1,"64":1,"68":1,"70":3,"71":1,"91":1,"93":1,"97":2,"100":1,"102":1}}],["organization",{"2":{"137":1,"150":2}}],["organisation",{"2":{"89":2}}],["org",{"2":{"85":1}}],["order",{"2":{"40":1,"51":4,"61":1,"92":1,"93":1,"105":2}}],["or",{"0":{"78":1},"2":{"8":2,"22":9,"27":3,"29":1,"30":1,"37":1,"38":1,"39":1,"40":1,"42":1,"46":3,"53":1,"54":1,"60":3,"61":1,"62":1,"64":1,"66":10,"67":1,"68":2,"90":1,"93":2,"105":3,"119":1,"120":2,"129":1,"130":1,"149":1}}],["originate",{"2":{"60":1,"117":1}}],["original",{"2":{"8":1}}],["oriented",{"2":{"8":1}}],["often",{"2":{"94":1}}],["of",{"0":{"8":1,"73":1,"76":1,"82":2,"87":1,"105":1},"1":{"9":1,"10":1},"2":{"4":3,"5":1,"6":4,"8":10,"9":3,"10":4,"14":2,"18":2,"21":1,"22":6,"24":1,"25":2,"26":3,"27":2,"29":1,"30":4,"32":1,"33":3,"34":7,"35":4,"36":1,"37":4,"38":4,"39":8,"40":7,"42":7,"43":2,"45":2,"46":10,"48":3,"49":1,"51":4,"52":8,"53":5,"54":2,"57":2,"59":2,"60":5,"61":9,"62":9,"63":6,"64":3,"65":5,"66":5,"67":1,"68":1,"69":1,"70":5,"71":4,"88":6,"89":6,"90":1,"91":2,"92":11,"93":3,"94":4,"97":5,"99":1,"100":1,"102":1,"103":15,"104":1,"105":6,"107":2,"109":2,"112":2,"113":1,"114":3,"116":1,"119":2,"120":1,"121":7,"122":4,"126":2,"130":11,"138":2,"139":3,"140":2,"141":3,"143":4,"149":1,"150":2,"151":5,"156":3,"157":1,"158":4,"159":1,"160":2,"161":3,"162":2}}],["offer",{"2":{"142":1}}],["offers",{"2":{"16":1,"143":1}}],["offset",{"2":{"91":1,"92":1}}],["off",{"2":{"3":1,"155":1}}],["l",{"2":{"137":1}}],["lw",{"0":{"135":1},"2":{"90":1,"103":4,"117":1,"120":1,"135":2}}],["like",{"2":{"90":1,"114":1}}],["list",{"0":{"82":1},"2":{"119":1}}],["line",{"0":{"70":1,"71":1},"2":{"42":3,"45":3,"56":1,"57":1,"58":1,"69":1,"92":2,"105":1,"130":2}}],["lines",{"2":{"42":2,"45":1,"58":1,"67":2,"69":2,"93":1}}],["linkedin",{"0":{"146":1,"147":1}}],["links",{"2":{"10":1}}],["link",{"2":{"1":2,"2":1,"5":1,"138":2,"139":2,"140":2,"153":2,"154":1,"157":1,"160":2,"161":2,"162":2}}],["lu",{"2":{"66":1}}],["leading",{"2":{"143":1}}],["learning",{"2":{"142":1}}],["learn",{"2":{"88":1,"97":1,"150":2,"159":1}}],["learned",{"2":{"88":1}}],["least",{"2":{"22":1,"26":1,"30":1,"57":1,"61":2,"62":2,"63":2}}],["left",{"0":{"77":1,"78":1,"125":1},"2":{"46":1,"49":2,"50":1,"66":1,"103":2,"105":1,"121":1}}],["letter",{"2":{"62":1}}],["let",{"2":{"46":2,"103":2}}],["less",{"2":{"14":2,"16":1,"51":1,"66":2,"90":1}}],["level",{"0":{"12":1,"15":1,"17":1,"107":1},"1":{"13":1,"14":1,"16":1,"18":1,"20":1,"21":1,"108":1,"109":1},"2":{"8":3,"9":2,"10":3,"13":1,"16":3,"34":1,"35":1,"87":2,"107":1,"109":1,"116":1}}],["levels",{"2":{"8":1,"14":1,"27":1,"94":1}}],["length",{"2":{"6":1,"158":1}}],["layout",{"0":{"151":1},"2":{"151":1}}],["lab",{"0":{"144":1,"150":1},"1":{"151":1},"2":{"141":1,"142":1,"149":2}}],["labelled",{"2":{"99":1}}],["labeled",{"2":{"32":1}}],["last",{"2":{"130":3}}],["later",{"2":{"92":1}}],["latch",{"0":{"32":1,"33":1,"34":1,"35":1},"2":{"32":3,"33":7,"34":3,"35":6,"37":2,"39":1}}],["latches",{"0":{"31":1},"1":{"32":1,"33":1,"34":1,"35":1},"2":{"8":1}}],["languages",{"2":{"8":1}}],["language",{"2":{"8":2,"89":1,"150":1}}],["love",{"2":{"149":1}}],["located",{"2":{"113":1}}],["location",{"2":{"111":1,"112":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["log2",{"2":{"100":1}}],["logical",{"2":{"10":1,"22":2,"66":4,"90":1,"97":1,"105":1}}],["logic",{"0":{"22":1,"66":1},"1":{"23":1,"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1},"2":{"8":3,"10":1,"22":3,"26":1,"34":1,"53":1,"63":1,"66":4,"88":1,"89":3,"97":2,"113":1,"116":1,"119":2,"121":5,"137":1,"138":1,"160":1}}],["loaded",{"2":{"113":1}}],["loads",{"2":{"93":1,"109":1}}],["load",{"0":{"54":1,"65":1},"2":{"54":2,"65":3,"90":1,"91":3,"103":2,"105":1,"107":1}}],["long",{"2":{"34":1,"35":2,"103":1}}],["looking",{"2":{"149":1}}],["lookahead",{"2":{"27":1}}],["look",{"0":{"27":1},"2":{"90":1}}],["low",{"2":{"22":4,"45":1,"53":1,"71":1,"93":1}}],["lower",{"2":{"14":1,"25":1,"51":2,"63":1,"103":1,"105":1}}],["lowest",{"2":{"4":1,"10":1,"156":1}}],["embedded",{"2":{"150":1}}],["emphasizes",{"2":{"151":1}}],["empty",{"0":{"74":1}}],["employs",{"2":{"59":1}}],["employing",{"2":{"8":1}}],["evolving",{"2":{"143":1}}],["ever",{"2":{"143":1}}],["every",{"2":{"39":1,"63":1,"88":1}}],["even",{"2":{"33":1,"46":7}}],["educational",{"2":{"150":1}}],["education",{"2":{"149":1}}],["edition",{"2":{"85":1}}],["edge",{"2":{"53":3,"61":1,"63":1,"112":1,"122":1}}],["eight",{"2":{"70":2}}],["either",{"2":{"8":1,"34":1,"42":1,"46":1,"53":1,"54":1,"62":1,"64":1}}],["established",{"2":{"51":1}}],["especially",{"2":{"13":1,"18":1}}],["equip",{"2":{"143":1}}],["equivalent",{"2":{"48":1}}],["equation",{"2":{"42":1,"43":1}}],["equals",{"2":{"68":1}}],["equal",{"2":{"27":1,"30":3,"32":2,"33":1,"34":2,"35":1,"39":1,"51":4,"62":1,"63":5,"65":2,"66":4,"68":3,"71":6,"90":1,"91":2,"92":1,"103":2}}],["errors",{"2":{"46":2}}],["error",{"2":{"46":4}}],["e",{"0":{"103":1},"2":{"22":2,"53":1,"71":3,"113":1}}],["efficiently",{"2":{"66":1}}],["efficient",{"2":{"14":1,"16":1,"159":1}}],["earlier",{"2":{"39":1,"114":1}}],["early",{"2":{"13":1}}],["each",{"2":{"27":1,"32":1,"40":1,"48":1,"49":1,"50":1,"51":2,"52":1,"53":1,"54":1,"57":2,"61":2,"62":1,"63":2,"66":1,"70":2,"88":1,"92":1,"100":1,"103":1,"112":1,"151":1}}],["easier",{"2":{"118":1}}],["easily",{"2":{"24":1,"30":1}}],["easy",{"2":{"13":1}}],["environments",{"2":{"150":1}}],["enhances",{"2":{"142":1,"159":1}}],["engineering",{"2":{"141":1}}],["ensuring",{"2":{"88":1}}],["ensure",{"2":{"35":1}}],["enabling",{"2":{"66":1}}],["enabled",{"2":{"35":3,"63":3,"65":1,"71":1}}],["enable",{"0":{"71":1},"2":{"34":1,"37":2,"39":2,"63":2,"65":1,"71":2}}],["enables",{"2":{"13":1}}],["encoded",{"2":{"93":1}}],["encoder",{"0":{"67":1,"68":1},"1":{"68":1},"2":{"67":2,"68":3}}],["encoders",{"2":{"8":1}}],["encryption",{"2":{"66":1}}],["entry",{"2":{"59":2}}],["entered",{"2":{"57":1,"58":1}}],["ends",{"2":{"130":1}}],["endcase",{"2":{"117":1,"120":2,"129":1}}],["end",{"2":{"21":3,"113":3,"129":9,"132":6,"133":6,"134":6,"135":6,"136":9,"138":1,"160":1}}],["endmodule",{"2":{"20":2,"21":1,"107":1,"110":1,"113":1,"114":1,"115":1,"117":1,"120":1,"121":1,"122":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["exec",{"2":{"92":1}}],["executing",{"2":{"107":1}}],["execution",{"2":{"8":1,"150":1}}],["execute",{"2":{"66":2,"88":2,"97":1,"109":1,"114":2,"130":1}}],["executed",{"2":{"9":1,"88":1,"99":1,"109":1,"114":1,"130":1,"136":1}}],["executes",{"2":{"8":1,"66":1,"109":1}}],["examples",{"2":{"139":1,"161":1}}],["example",{"2":{"66":1,"103":1}}],["examines",{"2":{"103":4}}],["examine",{"2":{"51":2}}],["extending",{"2":{"126":1}}],["extends",{"2":{"103":1}}],["extend",{"2":{"103":2}}],["extended",{"2":{"63":1,"92":1,"103":3,"105":1}}],["extension",{"0":{"103":1,"126":1},"2":{"29":1,"103":1}}],["external",{"2":{"60":1,"121":1}}],["exciting",{"2":{"159":1}}],["excellence",{"2":{"143":1}}],["excel",{"2":{"142":1}}],["exception",{"2":{"92":1}}],["except",{"2":{"39":1}}],["exclusive",{"2":{"27":3,"51":1,"66":1}}],["experience",{"2":{"89":1,"142":1,"151":1}}],["exploit",{"2":{"88":1}}],["explained",{"2":{"92":1,"105":1,"117":1,"123":1,"140":3,"162":3}}],["explains",{"2":{"92":1}}],["explain",{"2":{"49":1,"130":1}}],["explanation",{"2":{"1":1,"2":1,"5":1,"123":1,"138":2,"139":3,"140":2,"151":1,"153":1,"154":1,"157":1,"160":2,"161":3,"162":2}}],["expand",{"2":{"149":1}}],["expanding",{"2":{"65":1}}],["expanded",{"2":{"51":1}}],["expressed",{"2":{"27":1}}],["else",{"2":{"121":4,"127":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["eliminated",{"2":{"40":1}}],["eliminate",{"2":{"35":1}}],["electronic",{"2":{"22":1}}],["electronicshub",{"2":{"85":1}}],["electronics",{"2":{"22":1}}],["element",{"2":{"4":2,"99":1,"102":1,"103":1,"122":1,"156":2}}],["elements",{"0":{"98":1},"1":{"99":1,"100":1,"101":1,"102":1,"103":1},"2":{"4":1,"97":1,"98":1,"103":1,"156":1}}],["elaboration",{"2":{"8":3}}],["niaz",{"2":{"146":1}}],["nirmal",{"2":{"145":1}}],["nitc",{"2":{"143":2,"149":1}}],["nine",{"2":{"92":1}}],["naeem",{"2":{"146":1}}],["national",{"2":{"141":1}}],["nativelink",{"0":{"80":1}}],["name",{"0":{"82":2}}],["nand",{"2":{"22":2,"33":3,"34":3}}],["numeric",{"2":{"62":1}}],["numbers",{"2":{"26":1,"28":3,"29":2,"30":1,"48":1,"51":5,"66":3,"103":3}}],["number",{"2":{"2":2,"46":8,"48":3,"49":2,"51":6,"60":1,"63":1,"91":1,"92":2,"100":2,"103":5,"105":2,"154":2}}],["negedge",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["negative",{"2":{"37":1,"38":1,"39":1,"40":1,"61":2}}],["nested",{"2":{"120":1}}],["necessary",{"2":{"97":1,"103":4,"109":1}}],["needs",{"2":{"105":1}}],["needed",{"2":{"105":1}}],["need",{"2":{"91":1,"93":1}}],["never",{"2":{"35":1}}],["new",{"0":{"73":1,"77":1,"81":2},"2":{"27":1}}],["near",{"2":{"26":1}}],["next",{"2":{"26":1,"37":1,"38":1,"39":1,"49":1,"50":1,"51":1,"61":3,"65":1,"103":1,"104":1,"105":1,"121":2}}],["netlist",{"2":{"8":1}}],["none",{"2":{"71":2}}],["no",{"2":{"68":1,"87":2}}],["now",{"0":{"83":1},"2":{"46":1,"88":1,"103":1,"130":1,"131":1}}],["nor0",{"2":{"129":1}}],["nor1",{"2":{"129":1}}],["normal",{"2":{"53":1,"64":1}}],["normally",{"2":{"32":1,"33":1}}],["nor",{"2":{"22":2,"32":1,"51":1,"129":1}}],["notation",{"2":{"91":1}}],["note",{"2":{"53":1,"62":1,"63":1,"104":1,"119":1}}],["not",{"0":{"81":1},"2":{"16":1,"18":1,"22":5,"30":1,"34":2,"46":1,"51":1,"63":1,"65":1,"66":3,"68":1,"123":1,"130":1}}],["n",{"2":{"3":1,"26":1,"30":4,"40":3,"42":1,"52":3,"67":1,"69":2,"155":1}}],["rf",{"2":{"121":1,"122":4}}],["rd2",{"2":{"122":3}}],["rd1",{"2":{"122":3}}],["rd",{"2":{"91":1,"92":1,"103":2,"105":1,"110":2,"112":1,"113":4}}],["rtype",{"2":{"117":1,"120":1}}],["rt",{"2":{"91":2,"92":1,"103":16,"105":1}}],["rtl",{"0":{"15":1},"1":{"16":1},"2":{"10":1,"109":1,"113":1,"114":1,"116":1,"119":1,"120":1,"121":1,"122":1,"130":1}}],["rs",{"2":{"91":2,"103":15}}],["run",{"0":{"83":1,"130":1},"2":{"87":1,"130":3,"138":2,"150":1,"159":1,"160":2}}],["running",{"0":{"79":1}}],["rules",{"2":{"8":1,"49":1,"50":1}}],["range",{"2":{"143":1}}],["random",{"2":{"60":1,"110":1}}],["ra2",{"2":{"122":4}}],["ra1",{"2":{"122":4}}],["ram",{"2":{"110":4,"112":1,"113":6}}],["rather",{"2":{"57":1,"58":1}}],["r",{"2":{"32":1,"33":1,"34":7,"35":3,"37":2,"53":2,"91":2,"92":1,"103":2,"104":1,"105":3,"120":1}}],["risc",{"2":{"150":1}}],["rising",{"2":{"122":1}}],["ripples",{"2":{"26":1}}],["ripple",{"0":{"26":1,"61":1,"62":1},"2":{"26":1,"61":1}}],["right",{"2":{"10":1,"49":1,"66":1}}],["roy",{"2":{"146":1}}],["roles",{"2":{"105":1}}],["role",{"0":{"105":1}}],["rotating",{"2":{"66":1}}],["rows",{"2":{"43":1}}],["row",{"2":{"43":1}}],["ro",{"2":{"8":1}}],["rounded",{"2":{"151":1}}],["round",{"2":{"3":1,"155":1}}],["reduce",{"2":{"94":1}}],["reduced",{"2":{"65":1,"150":1}}],["repository",{"0":{"148":1}}],["repeated",{"2":{"123":1}}],["replaced",{"2":{"92":1}}],["representing",{"2":{"48":2,"70":1}}],["represents",{"2":{"25":1,"43":1,"71":1,"93":1,"110":1,"118":1}}],["represent",{"2":{"25":1,"62":2,"117":1}}],["represented",{"2":{"8":1,"62":1,"66":1}}],["representation",{"2":{"8":1}}],["reach",{"2":{"149":1}}],["reached",{"2":{"34":1}}],["ready",{"2":{"130":1}}],["readdata",{"2":{"107":3,"109":1,"112":1,"114":3,"121":3}}],["reads",{"2":{"103":5,"104":1,"113":1}}],["read",{"2":{"91":1,"92":1,"99":1,"100":3,"102":3,"103":13,"105":1,"109":1,"112":1,"114":1,"121":2,"122":5}}],["reusing",{"2":{"88":1}}],["refer",{"2":{"91":1}}],["references",{"0":{"137":1},"2":{"87":1}}],["referred",{"2":{"8":1,"26":1,"33":1,"66":1,"123":1}}],["relative",{"2":{"103":1}}],["relationships",{"2":{"66":1}}],["relationship",{"2":{"51":1,"66":1}}],["related",{"2":{"66":1,"151":1}}],["recall",{"2":{"65":1}}],["receive",{"2":{"64":1}}],["received",{"2":{"46":2,"101":1}}],["receives",{"2":{"35":1,"61":2,"88":1,"97":1,"103":3}}],["required",{"2":{"63":1,"98":1,"104":1,"105":1,"143":1}}],["requires",{"2":{"27":1,"33":1}}],["retrieve",{"2":{"103":1,"110":1,"113":1}}],["retained",{"2":{"35":1}}],["returned",{"2":{"103":1}}],["returns",{"2":{"34":1,"62":1}}],["return",{"2":{"33":1,"103":1}}],["remains",{"2":{"33":1,"34":2}}],["regfile",{"2":{"121":1,"122":1}}],["regwrite",{"2":{"92":1,"100":1,"104":1,"114":3,"115":3,"117":3,"121":3}}],["regdst==0",{"2":{"121":1}}],["regdst",{"2":{"92":1,"104":1,"105":1,"114":3,"115":3,"117":3,"121":3}}],["regularity",{"2":{"88":1}}],["regular",{"2":{"63":1}}],["regardless",{"2":{"34":1,"35":1,"71":1}}],["reg",{"2":{"21":3,"110":1,"113":1,"117":1,"120":1,"122":1,"127":1,"129":1,"132":2,"133":2,"134":2,"135":2,"136":2}}],["register=writereg",{"2":{"121":1}}],["register2=instr",{"2":{"121":1}}],["register1=instr",{"2":{"121":1}}],["registerfile",{"2":{"121":1}}],["register",{"0":{"15":1,"53":1,"54":1,"100":1,"122":1},"1":{"16":1},"2":{"8":1,"52":3,"53":4,"54":4,"56":1,"57":3,"58":1,"59":1,"60":1,"65":1,"87":1,"88":3,"91":3,"92":5,"100":3,"103":18,"104":1,"105":5,"118":1,"121":3,"122":4}}],["registers",{"0":{"52":1,"55":1,"56":1,"57":1,"58":1,"59":1},"1":{"53":1,"54":1,"55":1,"56":2,"57":2,"58":2,"59":2},"2":{"8":1,"10":1,"57":1,"66":2,"89":1,"91":1,"97":2,"100":3,"103":1,"122":2,"150":1}}],["resources",{"2":{"151":1}}],["resource",{"2":{"141":1,"149":1}}],["resmux",{"2":{"121":1}}],["responsible",{"2":{"66":1,"104":1,"107":1}}],["respond",{"2":{"61":1}}],["respective",{"2":{"57":1,"58":1}}],["respectively",{"2":{"27":1,"37":1,"38":1,"103":1}}],["research",{"2":{"143":1}}],["reset",{"2":{"32":2,"33":1,"34":1,"35":1,"38":1,"53":4,"107":2,"108":2,"114":3,"121":4,"127":3,"132":4,"133":4,"134":4,"135":4,"136":4}}],["resembles",{"2":{"10":1}}],["resemble",{"2":{"8":1}}],["result=",{"2":{"129":1}}],["result=~",{"2":{"129":1}}],["result=i",{"2":{"129":1}}],["result=readdata",{"2":{"121":1}}],["result=aluout",{"2":{"121":1}}],["results",{"0":{"84":1},"2":{"66":1,"89":1,"97":1,"109":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["resulting",{"2":{"66":1}}],["result",{"2":{"8":1,"66":2,"101":3,"102":1,"103":8,"114":1,"121":3,"129":9}}],["reversing",{"2":{"2":1,"154":1}}],["fsm",{"2":{"139":1,"159":1,"161":1}}],["fetched",{"2":{"99":1,"102":1}}],["fetches",{"2":{"99":1}}],["fed",{"2":{"92":2}}],["fewer",{"2":{"67":1}}],["fascinating",{"2":{"149":1}}],["faculty",{"0":{"145":1},"1":{"146":1,"147":1,"148":1}}],["failed",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["familiar",{"2":{"89":1}}],["family",{"0":{"75":1}}],["far",{"2":{"88":1}}],["farenheit",{"2":{"3":1,"155":1}}],["front",{"2":{"61":1}}],["from",{"0":{"75":1},"2":{"24":1,"25":1,"26":2,"32":1,"34":1,"35":1,"42":1,"43":1,"46":1,"49":2,"50":1,"60":1,"61":3,"64":1,"65":2,"69":1,"88":1,"92":9,"97":1,"99":1,"101":2,"103":4,"104":2,"105":5,"109":2,"112":1,"114":1,"116":2,"117":1,"120":2,"121":1,"123":1,"139":1,"140":1,"149":1,"151":1,"161":1,"162":1}}],["further",{"2":{"150":1}}],["fundamental",{"2":{"151":1}}],["fundamentals",{"2":{"85":1}}],["funct",{"2":{"92":2,"93":2,"115":3,"120":4}}],["function",{"2":{"22":1,"43":1,"68":1,"90":1,"93":1,"120":1}}],["functionality",{"2":{"13":2,"89":1}}],["functional",{"0":{"11":1,"123":1},"1":{"12":1,"13":1,"14":1,"15":1,"16":1,"17":1,"18":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"2":{"87":1}}],["functions",{"2":{"8":1,"24":1,"25":1,"38":1,"66":1,"93":1}}],["full",{"0":{"25":1,"29":1},"2":{"25":1,"26":5,"28":1,"29":2,"43":1}}],["five",{"2":{"103":4}}],["fixing",{"2":{"97":1}}],["fixed",{"2":{"43":1,"60":1}}],["fields",{"2":{"91":1,"92":1}}],["field",{"2":{"91":3,"92":4,"93":2,"103":2,"104":1,"105":3,"143":1}}],["fig",{"2":{"91":1,"92":2,"93":1,"104":1,"105":1,"130":1}}],["figure",{"2":{"35":1,"37":1}}],["file",{"0":{"74":1,"77":2,"80":1,"82":1,"100":1},"2":{"87":1,"88":2,"92":1,"100":1,"103":5,"104":1,"113":4,"121":3,"122":1}}],["files",{"0":{"74":1},"2":{"88":1,"138":1,"160":1}}],["firstly",{"2":{"90":1}}],["first",{"0":{"79":1},"2":{"20":1,"27":1,"51":1,"57":1,"63":1,"97":1,"99":1,"120":1,"126":1}}],["find",{"2":{"151":2}}],["finding",{"2":{"2":1,"154":1}}],["finite",{"0":{"139":1,"161":1},"2":{"159":2}}],["finish",{"0":{"76":1}}],["finally",{"2":{"97":1,"103":2}}],["final",{"0":{"104":1},"1":{"105":1},"2":{"18":1,"66":1,"97":1,"101":1,"105":1}}],["fontawesome",{"0":{"145":1},"1":{"146":1,"147":1,"148":1}}],["fosters",{"2":{"143":1}}],["focuses",{"2":{"151":1}}],["focus",{"2":{"88":1}}],["found",{"2":{"51":1,"66":1}}],["four",{"0":{"53":1,"54":1,"64":1},"2":{"38":1,"43":1,"46":1,"53":3,"54":1,"62":3,"65":4}}],["format",{"0":{"91":1},"2":{"90":1,"103":5,"113":1}}],["formats",{"2":{"89":1}}],["form",{"2":{"56":1,"64":1,"103":6}}],["forms",{"2":{"25":1}}],["for",{"0":{"74":1,"79":1,"80":1,"81":1,"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"8":4,"13":1,"14":1,"16":3,"18":3,"21":1,"24":1,"25":1,"30":1,"32":2,"33":1,"34":1,"38":1,"39":2,"40":2,"42":1,"43":1,"46":5,"51":4,"53":1,"58":1,"63":1,"65":2,"66":2,"87":1,"88":1,"89":1,"90":1,"91":5,"92":2,"93":3,"97":1,"98":1,"102":2,"103":6,"104":3,"105":3,"107":2,"109":1,"115":1,"118":1,"121":2,"122":1,"130":1,"136":1,"141":1,"143":1,"149":3,"150":1,"151":1}}],["follow",{"2":{"60":1}}],["follows",{"2":{"35":1,"62":1,"103":5}}],["followed",{"2":{"8":1,"103":1}}],["following",{"2":{"0":1,"37":1,"49":1,"50":1,"51":1,"59":1,"91":1,"105":1,"130":2,"150":1,"151":1,"152":1,"159":1}}],["flag",{"2":{"129":4}}],["flags",{"2":{"66":1}}],["flattened",{"2":{"8":1}}],["floyd",{"2":{"85":1}}],["flopr",{"2":{"121":1,"127":1}}],["flop",{"0":{"37":1,"38":1,"39":1,"40":1,"127":1},"2":{"36":4,"37":4,"38":3,"39":5,"40":8,"53":1,"61":11,"63":4,"65":1}}],["flops",{"0":{"36":1},"1":{"37":1,"38":1,"39":1,"40":1},"2":{"8":1,"36":1,"52":3,"53":3,"54":1,"61":2,"62":2,"63":3,"64":1,"65":3,"85":1,"89":1}}],["flow",{"0":{"10":1},"2":{"88":3,"114":1,"150":1}}],["float",{"2":{"3":1,"155":1}}],["floating",{"0":{"3":1,"155":1}}],["flip",{"0":{"36":1,"37":1,"38":1,"39":1,"40":1,"127":1},"1":{"37":1,"38":1,"39":1,"40":1},"2":{"8":1,"36":5,"37":4,"38":3,"39":5,"40":8,"52":3,"53":4,"54":1,"61":13,"62":2,"63":7,"64":1,"65":4,"85":1,"89":1}}],["akshit",{"2":{"147":1}}],["a^i",{"2":{"129":1}}],["au",{"2":{"66":1}}],["augend",{"2":{"24":1}}],["a0",{"2":{"53":1,"63":1}}],["a2",{"2":{"53":1}}],["a3",{"2":{"51":3,"53":1}}],["amp",{"2":{"37":1,"38":2,"40":1,"130":1,"137":1}}],["again",{"2":{"35":1,"103":1}}],["affects",{"2":{"37":1,"39":1}}],["affect",{"2":{"34":1}}],["after",{"0":{"83":1},"2":{"9":1,"27":1,"33":1,"53":1,"62":1}}],["ac",{"2":{"143":1,"149":1}}],["academic",{"2":{"143":1}}],["ac050014",{"2":{"136":1}}],["ac030014",{"2":{"135":1}}],["ac02001e",{"2":{"134":1,"135":1}}],["ac040032",{"2":{"133":1}}],["ac040014",{"2":{"132":1}}],["according",{"2":{"119":1}}],["access",{"2":{"109":1,"110":1}}],["accesses",{"2":{"88":1}}],["accepts",{"2":{"56":1}}],["achieves",{"2":{"105":1}}],["actions",{"2":{"99":1}}],["action",{"2":{"54":1}}],["active",{"2":{"37":1,"39":2,"53":1,"71":1}}],["acts",{"2":{"34":1,"114":1,"116":1}}],["across",{"2":{"16":1}}],["avinash",{"2":{"147":1}}],["available",{"2":{"39":1,"53":1,"57":2,"100":1}}],["avoided",{"2":{"33":1}}],["average",{"2":{"2":1,"154":1}}],["abel",{"2":{"146":1}}],["about",{"0":{"141":1},"1":{"142":1,"143":1,"144":1,"145":1,"146":1,"147":1,"148":1,"149":1},"2":{"150":1}}],["above",{"2":{"105":1}}],["absolute",{"2":{"130":1}}],["abstraction",{"2":{"8":2,"9":1,"10":2,"14":1,"88":1}}],["able",{"0":{"84":1}}],["ability",{"2":{"35":1,"89":2}}],["abar",{"2":{"28":1,"29":1}}],["aim",{"2":{"142":1}}],["ai",{"0":{"54":1},"2":{"27":2}}],["ahead",{"0":{"27":1}}],["a1",{"2":{"21":1,"53":1}}],["appropriately",{"0":{"82":1}}],["appropriate",{"0":{"74":1}}],["approach",{"2":{"10":1,"151":1}}],["appears",{"2":{"57":1}}],["appear",{"2":{"26":1,"42":1,"46":1,"59":1}}],["applicable",{"2":{"114":1}}],["applications",{"2":{"151":1}}],["application",{"2":{"33":1,"60":1}}],["applied",{"2":{"26":1,"27":1,"35":1,"37":1,"38":1,"39":1,"62":2}}],["apply",{"2":{"8":1,"50":1}}],["attached",{"2":{"46":1}}],["at",{"2":{"8":1,"9":1,"22":6,"32":1,"33":3,"34":2,"35":6,"42":1,"53":3,"56":1,"60":2,"62":2,"68":1,"71":1,"90":1,"91":1,"102":3,"103":2,"105":2,"112":1,"113":1,"132":1,"133":1,"134":1,"135":1,"136":1,"141":1,"149":1}}],["aligned",{"2":{"110":1,"113":1}}],["already",{"2":{"88":1}}],["almost",{"2":{"88":1}}],["aludec",{"2":{"115":1,"120":1}}],["alucontrol",{"2":{"114":3,"115":2,"120":9,"121":4}}],["aluout",{"2":{"114":3,"121":4}}],["aluop",{"2":{"92":1,"93":2,"104":1,"115":4,"117":3,"120":7}}],["alusrc",{"2":{"92":1,"104":1,"105":1,"114":3,"115":3,"117":3,"121":4}}],["alus",{"2":{"88":1}}],["alu",{"0":{"66":1,"93":2,"95":1,"101":1,"120":1,"129":1},"1":{"94":2,"95":2,"96":2},"2":{"66":12,"87":1,"88":2,"90":1,"92":6,"93":6,"97":2,"101":2,"103":10,"104":2,"105":4,"114":1,"115":1,"116":2,"120":5,"121":6,"129":5}}],["along",{"2":{"29":1,"93":1,"103":1,"139":1,"161":1}}],["algorithms",{"2":{"13":1}}],["algorithm",{"2":{"9":1}}],["always",{"2":{"9":1,"20":2,"39":2,"46":2,"91":4,"110":1,"117":1,"119":4,"120":1,"121":1,"122":1,"127":1,"129":1,"132":2,"133":2,"134":2,"135":2,"136":2,"149":1}}],["allow",{"2":{"97":1,"159":1}}],["allowed",{"2":{"34":1}}],["allowing",{"2":{"13":1,"16":1}}],["all",{"2":{"8":1,"22":3,"26":1,"27":1,"34":1,"51":1,"53":5,"57":1,"59":1,"63":5,"65":2,"68":1,"71":1,"90":1,"92":2,"100":1,"118":1,"140":1,"162":1}}],["also",{"2":{"4":1,"56":1,"65":1,"66":2,"89":2,"92":2,"94":1,"97":1,"150":2,"156":1}}],["aspect",{"2":{"151":1}}],["asynchronously",{"2":{"53":1}}],["assembly",{"2":{"89":1,"130":2,"150":1,"151":1}}],["asserted",{"2":{"53":1,"92":3,"102":1}}],["assume",{"2":{"46":2}}],["assignment",{"2":{"118":1,"138":1,"139":1,"140":1,"160":1,"161":1,"162":1}}],["assignments",{"0":{"79":1}}],["assigned",{"2":{"113":1,"126":1}}],["assign",{"2":{"10":2,"20":2,"110":1,"113":1,"115":1,"117":1,"122":2,"124":1,"125":1,"126":1,"128":1,"129":1}}],["as",{"0":{"76":1},"2":{"8":4,"10":1,"26":2,"27":2,"33":1,"34":3,"35":4,"38":1,"40":2,"43":1,"46":1,"49":1,"50":1,"51":1,"57":2,"58":1,"66":6,"68":1,"71":1,"88":1,"89":3,"91":1,"92":1,"93":1,"99":1,"100":2,"103":8,"105":3,"116":1,"123":1,"130":2,"149":1}}],["arjun",{"2":{"147":1}}],["aritro",{"2":{"147":1,"148":1}}],["arithmetic",{"0":{"66":1},"2":{"8":1,"24":1,"25":1,"26":1,"66":6,"90":1,"97":3,"101":1,"103":1,"105":1}}],["arshiya",{"2":{"147":1}}],["arguments",{"2":{"113":1}}],["argument",{"2":{"103":1}}],["arrangement",{"2":{"88":1}}],["arrays",{"2":{"4":2,"156":2}}],["array",{"0":{"4":1,"5":1,"156":1,"157":1},"1":{"5":1,"6":1},"2":{"3":1,"4":3,"113":5,"122":1,"155":1,"156":3}}],["around",{"2":{"22":1}}],["architecture",{"2":{"13":1,"18":1,"89":3,"97":1,"100":1,"137":1,"150":3}}],["architectures",{"2":{"13":1,"16":1}}],["area",{"2":{"14":1,"16":1,"18":1}}],["are",{"0":{"81":1},"2":{"8":2,"10":1,"22":9,"24":2,"25":1,"26":1,"27":2,"32":2,"33":1,"34":2,"35":1,"36":1,"42":1,"43":2,"46":1,"48":1,"50":1,"51":5,"53":2,"57":3,"58":1,"62":2,"63":6,"65":2,"66":4,"68":5,"69":1,"70":1,"71":3,"88":1,"89":1,"90":1,"91":1,"92":7,"94":1,"97":1,"98":1,"100":1,"102":1,"103":2,"104":1,"105":3,"113":2,"114":1,"117":1,"119":1,"121":2,"122":1,"126":1,"141":1,"149":2}}],["ad",{"2":{"115":1}}],["adjacent",{"2":{"49":1,"50":1}}],["address=",{"2":{"121":1}}],["address",{"2":{"66":1,"92":2,"99":2,"102":3,"103":11,"105":4,"109":2,"111":1,"113":6,"121":1,"130":1,"132":2,"133":2,"134":2,"135":3,"136":2}}],["add",{"0":{"82":1,"132":1},"2":{"30":1,"49":1,"50":1,"90":1,"91":1,"93":1,"103":3,"120":3,"129":1,"132":2,"136":1}}],["addi",{"2":{"117":1,"132":1,"133":1,"136":3}}],["adding",{"2":{"30":1,"90":1}}],["additionally",{"2":{"88":1,"89":1,"105":1}}],["additional",{"2":{"34":1,"54":1,"63":1,"105":1,"116":1}}],["addition",{"2":{"22":1,"30":1,"52":1,"66":3,"68":1,"103":1,"120":1}}],["added",{"2":{"24":1,"25":1,"103":3}}],["addend",{"2":{"24":1}}],["adder",{"0":{"24":1,"25":1,"26":1,"27":1,"30":1,"124":1},"2":{"20":1,"21":2,"24":1,"25":1,"26":7,"30":1,"121":2,"124":1,"138":1,"160":1}}],["adders",{"0":{"23":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1},"2":{"8":1,"26":3,"138":1,"160":1}}],["advanced",{"0":{"6":1,"158":1},"2":{"4":1,"6":1,"156":1,"158":1}}],["a",{"0":{"72":1,"73":1,"77":1,"79":1,"81":2,"99":1},"1":{"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1},"2":{"5":1,"8":10,"9":1,"10":3,"13":1,"18":1,"20":3,"21":1,"22":21,"24":1,"25":2,"26":7,"27":1,"28":5,"29":6,"30":7,"32":1,"33":3,"40":1,"42":3,"45":1,"46":9,"48":1,"49":2,"50":1,"51":8,"52":5,"54":3,"56":2,"57":2,"58":2,"60":4,"61":7,"62":9,"63":3,"64":2,"65":4,"66":4,"67":2,"68":3,"69":3,"71":2,"88":3,"89":2,"90":1,"91":2,"93":1,"97":6,"100":1,"102":3,"103":5,"104":1,"105":5,"107":1,"110":4,"111":2,"112":3,"113":7,"114":1,"116":1,"119":3,"120":1,"124":1,"125":2,"126":5,"129":9,"130":4,"137":1,"141":2,"142":1,"143":2,"149":1,"150":2,"151":4,"157":1,"159":1}}],["any",{"2":{"10":2,"46":3,"51":2,"53":1,"60":1,"63":2,"65":1,"71":1,"119":1,"122":1,"149":1}}],["analyze",{"2":{"8":1}}],["analysis",{"2":{"8":3}}],["an",{"0":{"74":2},"2":{"4":3,"8":4,"9":1,"10":1,"22":7,"24":1,"29":1,"30":1,"32":1,"33":2,"34":3,"37":1,"39":1,"46":8,"51":5,"52":1,"53":1,"63":3,"64":1,"66":2,"67":2,"68":1,"90":1,"91":1,"97":1,"101":1,"103":6,"105":3,"119":1,"130":2,"156":3,"159":1}}],["and",{"0":{"2":1,"23":1,"30":1,"54":1,"74":1,"76":1,"77":1,"79":1,"80":1,"82":1,"83":1,"84":2,"148":1,"154":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1},"2":{"3":1,"4":1,"8":8,"9":1,"10":3,"13":2,"14":2,"16":3,"18":3,"20":1,"22":13,"24":4,"25":1,"26":1,"27":6,"28":4,"29":4,"30":4,"32":5,"33":1,"34":7,"35":4,"37":3,"38":3,"39":2,"40":3,"42":3,"43":5,"45":2,"46":1,"49":1,"51":6,"52":1,"53":1,"54":1,"57":1,"59":1,"60":1,"61":1,"62":4,"63":11,"64":1,"65":2,"66":17,"67":1,"68":3,"70":2,"71":3,"85":2,"88":12,"89":13,"90":4,"91":5,"92":4,"93":1,"97":9,"99":1,"100":2,"101":2,"102":5,"103":29,"104":4,"105":5,"107":3,"109":1,"110":1,"113":2,"114":4,"116":3,"117":1,"119":1,"120":2,"121":1,"123":2,"129":1,"130":3,"132":1,"133":1,"134":1,"135":1,"136":1,"137":5,"141":1,"142":1,"143":3,"149":2,"150":9,"151":12,"155":1,"156":1,"159":1}}],["2b00",{"2":{"121":2}}],["28",{"2":{"103":1,"121":2}}],["21",{"2":{"91":2,"121":2}}],["26",{"2":{"91":1,"92":2,"103":2,"105":1,"114":1}}],["29",{"2":{"87":1,"125":1}}],["27",{"2":{"87":1}}],["25",{"2":{"87":1,"91":2,"121":4,"134":4}}],["24",{"2":{"87":1}}],["22",{"2":{"87":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["2n",{"2":{"67":1,"69":1}}],["2^n",{"2":{"42":1,"69":1}}],["2s",{"2":{"30":3}}],["20050000",{"2":{"136":1}}],["2003001e",{"2":{"136":1}}],["20030226",{"2":{"132":1,"133":1}}],["20",{"2":{"21":1,"91":2,"92":1,"121":4,"132":4,"135":4,"136":5}}],["2",{"0":{"5":1,"42":1,"45":1,"71":1,"89":1,"110":1,"125":2,"128":1,"133":1,"157":1},"1":{"111":1,"112":1},"2":{"2":1,"4":1,"8":1,"21":1,"40":1,"42":1,"43":1,"45":1,"51":1,"68":1,"87":3,"90":1,"91":1,"93":3,"103":4,"104":1,"105":2,"107":1,"110":2,"114":1,"115":2,"117":1,"120":5,"121":2,"122":2,"125":1,"138":1,"139":1,"151":1,"154":1,"156":1,"160":1,"161":1}}],["hwlab",{"2":{"149":1}}],["https",{"2":{"143":1}}],["h",{"2":{"132":2,"133":2,"134":2,"135":2,"136":2}}],["h00000000",{"2":{"129":1}}],["h00000001",{"2":{"129":1}}],["hear",{"2":{"149":1}}],["hennessy",{"2":{"137":1}}],["hehe",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["hex20020014",{"2":{"136":1}}],["hex20020019",{"2":{"134":1}}],["hex20020064",{"2":{"135":1}}],["hex20020226",{"2":{"133":1}}],["hex200201c2",{"2":{"132":1}}],["hex",{"2":{"113":2}}],["help",{"2":{"130":1,"142":1,"151":1}}],["helpful",{"2":{"89":1}}],["helps",{"2":{"45":1}}],["here",{"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"10":1,"40":1,"66":1,"69":1,"104":2,"107":1,"138":1,"139":1,"140":1,"153":1,"154":1,"155":1,"156":1,"157":1,"160":1,"161":1,"162":1}}],["hope",{"2":{"149":1}}],["holding",{"2":{"61":2}}],["holds",{"2":{"39":1,"65":1}}],["hold",{"2":{"35":1,"38":1}}],["however",{"2":{"50":1}}],["how",{"0":{"72":1,"84":1,"130":1},"1":{"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1},"2":{"8":1,"49":1,"87":1,"88":1,"130":1,"138":2,"150":2,"160":2}}],["higher",{"2":{"61":1}}],["highest",{"2":{"4":1,"9":1,"10":1,"51":3,"68":1,"156":1}}],["highly",{"2":{"18":1}}],["high",{"2":{"13":1,"22":10,"45":1,"105":1}}],["hierarchy",{"2":{"8":2}}],["hdlis",{"2":{"88":1}}],["hdl",{"0":{"77":1},"2":{"8":4,"9":1,"89":1,"137":1,"150":1,"159":1}}],["hafis",{"2":{"147":1}}],["harris",{"2":{"137":2}}],["hardwired",{"2":{"122":1}}],["hardware",{"0":{"144":1,"150":1},"1":{"151":1},"2":{"8":3,"13":2,"14":1,"16":1,"18":2,"93":1,"137":1,"141":2,"142":1,"149":4,"150":1,"159":1}}],["having",{"2":{"63":1,"68":1}}],["have",{"2":{"27":1,"52":1,"59":1,"62":1,"63":1,"88":1,"89":1,"103":1,"119":1,"130":2,"141":1,"149":1,"151":1}}],["has",{"0":{"81":1},"2":{"22":4,"24":1,"32":1,"33":1,"35":2,"37":1,"38":1,"39":1,"40":1,"63":1,"64":1,"67":1,"68":1,"100":1,"101":1,"103":3,"116":1,"120":2}}],["half",{"0":{"24":1,"28":1},"2":{"20":1,"21":2,"24":1,"28":3,"29":1,"103":1}}],["hands",{"2":{"151":1}}],["handle",{"2":{"66":3}}],["handling",{"0":{"2":1,"3":1,"4":1,"5":1,"6":1,"154":1,"155":1,"156":1,"157":1,"158":1},"1":{"5":1,"6":1}}],["hand",{"2":{"10":1}}],["skills",{"2":{"143":1,"151":1}}],["science",{"0":{"143":1},"2":{"141":1,"143":2,"149":1}}],["schematic",{"2":{"8":1,"10":1}}],["sw",{"0":{"134":1},"2":{"90":1,"103":3,"117":1,"120":1,"130":1,"132":1,"133":1,"134":2,"135":2,"136":1}}],["switch",{"2":{"45":1,"119":1,"120":1}}],["sl2",{"2":{"121":1,"125":1}}],["slt",{"2":{"120":2,"129":1}}],["sl",{"2":{"87":1}}],["share",{"2":{"149":1}}],["shares",{"2":{"52":1}}],["shall",{"2":{"130":1}}],["shifted",{"2":{"103":2}}],["shifting",{"2":{"66":2,"105":1}}],["shift",{"0":{"55":1,"57":1,"58":1,"59":1,"125":1},"1":{"56":1,"57":1,"58":1,"59":1},"2":{"56":1,"57":1}}],["shown",{"2":{"35":1,"37":1,"92":1}}],["should",{"2":{"33":1,"46":1,"89":1,"92":1,"93":1,"130":5}}],["s2",{"2":{"43":1}}],["s0",{"2":{"43":4}}],["s̅r̅",{"0":{"33":1}}],["saad",{"2":{"146":1,"148":1}}],["sarah",{"2":{"137":1}}],["save",{"0":{"78":2},"2":{"130":2}}],["said",{"2":{"32":1}}],["samir",{"2":{"137":1}}],["same",{"2":{"13":1,"16":1,"22":1,"32":1,"33":1,"35":1,"40":2,"49":1,"50":1,"57":1,"68":1,"103":1,"105":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["sampled",{"2":{"35":1,"53":1}}],["sample",{"2":{"1":1,"2":1,"3":3,"4":7,"5":1,"6":5,"130":1,"138":2,"139":1,"140":1,"153":1,"154":1,"155":3,"156":7,"157":1,"158":5,"160":2,"161":1,"162":1}}],["srinivasa",{"2":{"145":1}}],["srcbmux",{"2":{"121":1}}],["srcb",{"2":{"121":4}}],["srca",{"2":{"121":4}}],["sr",{"0":{"32":1,"34":1,"37":1},"2":{"32":1,"33":2,"35":1,"36":1,"37":5,"38":1}}],["s3",{"2":{"27":1}}],["s1s0",{"2":{"43":2}}],["s1",{"2":{"27":1,"43":5}}],["smaller",{"2":{"94":1}}],["small",{"2":{"22":3}}],["s",{"2":{"20":2,"24":2,"25":2,"32":1,"33":2,"34":7,"35":3,"37":2,"42":4,"45":2,"46":2,"53":2,"66":1,"92":1,"97":1,"99":1,"103":2,"105":4,"114":1,"119":1,"128":2,"149":1}}],["synchronise",{"2":{"108":1}}],["synchronous",{"0":{"63":1},"2":{"63":1}}],["synthesise",{"2":{"89":1}}],["synthesis",{"2":{"16":1,"89":1,"137":1}}],["synthesize",{"2":{"16":1}}],["synthesized",{"2":{"13":1}}],["synthesizable",{"2":{"10":1}}],["syntax",{"0":{"19":1},"1":{"20":1,"21":1},"2":{"8":2,"113":1,"138":1,"139":1,"150":1,"160":1,"161":1}}],["symbol",{"2":{"22":10,"61":1,"62":1}}],["systems",{"2":{"46":1,"150":2,"151":1}}],["system",{"2":{"13":1,"66":1,"113":2}}],["size",{"2":{"94":1,"112":1}}],["six",{"2":{"43":1,"92":1}}],["si1",{"2":{"42":1}}],["single",{"0":{"86":1,"140":1,"162":1},"1":{"87":1,"88":1,"89":1,"90":1,"91":1,"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":1,"117":1,"118":1,"119":1,"120":1,"121":1,"122":1,"123":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"136":1,"137":1},"2":{"28":2,"29":2,"39":1,"40":1,"42":1,"43":1,"46":2,"56":1,"88":3,"89":2,"102":1,"130":1,"150":2,"159":2}}],["since",{"2":{"16":2,"26":1,"43":1,"62":1,"64":1,"94":1,"100":1,"103":1,"130":1}}],["si",{"2":{"27":1}}],["simplify",{"2":{"142":1}}],["simplified",{"2":{"25":1,"40":1}}],["simple",{"0":{"146":1,"147":1,"148":1},"2":{"92":1,"104":1,"139":1,"153":3,"154":1,"155":3,"156":14,"157":1,"158":10,"160":7,"161":5,"162":7}}],["simply",{"0":{"78":1}}],["similarly",{"2":{"103":1}}],["similar",{"2":{"37":1,"50":1}}],["simultaneous",{"2":{"59":1}}],["simultaneously",{"2":{"26":1,"57":1,"58":1}}],["simulating",{"2":{"150":1}}],["simulation",{"0":{"76":1},"2":{"8":7,"16":1,"89":2,"130":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["simulate",{"0":{"84":1},"2":{"89":1,"150":1}}],["simulator",{"2":{"8":1}}],["signext",{"2":{"121":1,"126":1}}],["signimm=signextend",{"2":{"121":1}}],["signimmsh=shift",{"2":{"121":1}}],["signimmsh",{"2":{"121":4}}],["signimm",{"2":{"121":4}}],["significant",{"2":{"25":2,"26":2,"30":1,"49":1,"50":1,"57":1,"61":2,"63":3,"65":1,"103":4}}],["sign",{"0":{"103":1,"126":1},"2":{"92":1,"103":6,"105":1}}],["signals",{"2":{"10":1,"22":5,"64":1,"88":1,"92":7,"97":2,"104":3,"114":1,"115":1,"117":1,"118":1,"119":1,"121":1}}],["signal",{"2":{"10":2,"22":2,"33":1,"34":1,"37":2,"38":1,"39":5,"45":1,"62":1,"66":1,"92":3,"93":2,"100":1,"101":1,"104":3,"105":4,"108":2,"109":1,"111":1,"114":2,"116":2,"121":1,"122":1,"129":1}}],["side",{"2":{"10":1}}],["solid",{"0":{"145":1},"1":{"146":1,"147":1,"148":1},"2":{"151":1}}],["source",{"2":{"60":1}}],["some",{"0":{"123":1},"1":{"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"2":{"50":1,"60":1,"89":1,"116":1}}],["sometimes",{"2":{"49":1}}],["so",{"2":{"34":1,"40":1,"88":1,"97":1,"130":1}}],["software",{"2":{"8":1,"137":1}}],["sorted",{"2":{"4":1,"156":1}}],["studies",{"2":{"142":1,"149":1}}],["studying",{"2":{"141":1}}],["students",{"2":{"141":1,"142":2,"143":1}}],["step",{"2":{"99":1,"142":2}}],["steps",{"2":{"8":1,"130":2}}],["storing",{"2":{"52":2}}],["storage",{"2":{"35":1,"54":1}}],["stores",{"2":{"88":2,"93":1,"103":1,"109":1,"112":1}}],["stored",{"2":{"53":1,"56":1,"57":1,"103":6,"105":1,"113":1}}],["store",{"2":{"21":2,"90":1,"91":3,"97":1,"103":2,"107":1,"110":1,"130":4}}],["start",{"2":{"113":2,"129":1}}],["starting",{"2":{"51":1}}],["standard",{"2":{"91":1}}],["stages",{"2":{"58":1,"63":2,"105":1,"150":1}}],["stage",{"2":{"57":1,"63":3,"66":1}}],["stays",{"2":{"34":1}}],["stay",{"2":{"33":1}}],["state",{"0":{"98":1,"139":1,"161":1},"1":{"99":1,"100":1,"101":1,"102":1,"103":1},"2":{"32":3,"33":6,"34":7,"35":4,"37":1,"38":2,"39":1,"53":1,"63":1,"65":1,"97":1,"98":1,"102":1,"103":1,"114":1,"129":1,"159":2}}],["states",{"2":{"32":1,"60":3,"62":2}}],["statement",{"2":{"10":3,"118":1,"119":1,"120":1}}],["statements",{"2":{"9":2}}],["strong",{"2":{"89":1}}],["structured",{"0":{"84":1}}],["structures",{"2":{"8":1}}],["structural",{"2":{"8":1,"150":1}}],["strings",{"2":{"6":3,"158":3}}],["string",{"0":{"2":1,"6":1,"154":1,"158":1},"2":{"4":1,"6":2,"156":1,"158":2}}],["split",{"2":{"97":1,"151":1}}],["speed",{"2":{"65":1,"94":2}}],["specify",{"2":{"20":1,"21":1}}],["specific",{"2":{"18":1,"66":2,"89":1,"99":1}}],["specifically",{"2":{"8":1,"88":1}}],["specifies",{"2":{"10":1,"101":1}}],["specified",{"2":{"8":2,"68":1,"91":1,"97":1,"100":1,"103":3,"111":1}}],["spy",{"2":{"2":1,"154":1}}],["suggestions",{"2":{"149":1}}],["success",{"2":{"149":1}}],["succeeded",{"2":{"130":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["such",{"2":{"8":1,"38":1,"51":1,"62":1,"66":3,"68":1,"88":1,"89":2,"94":1,"123":1}}],["sure",{"2":{"130":1}}],["support",{"2":{"90":1}}],["supports",{"2":{"8":1,"66":1}}],["sub",{"0":{"133":1},"2":{"90":1,"107":1,"120":3,"129":1,"133":2}}],["subset",{"2":{"88":1,"90":1}}],["subscript",{"2":{"62":1}}],["subtrahend",{"2":{"30":2}}],["subtraction",{"2":{"30":1,"66":3,"120":1}}],["subtract",{"2":{"28":2,"29":1,"93":1}}],["subtracts",{"2":{"28":1,"29":1,"103":1}}],["subtractor",{"0":{"28":1,"29":1,"30":1},"2":{"28":3,"29":3,"138":1,"160":1}}],["subtractors",{"0":{"23":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1},"2":{"8":1,"138":1,"160":1}}],["summed",{"2":{"48":1}}],["sum",{"2":{"4":1,"5":1,"24":2,"25":2,"26":2,"27":2,"30":1,"156":1,"157":1}}],["se",{"2":{"121":1}}],["sensitivity",{"2":{"119":1}}],["sent",{"2":{"66":1,"93":1,"103":2}}],["serves",{"2":{"149":1}}],["serve",{"2":{"104":1}}],["series",{"2":{"61":1}}],["serially",{"2":{"56":1,"57":1}}],["serial",{"0":{"56":2,"57":1,"58":1},"2":{"56":3,"57":4,"58":1}}],["separated",{"2":{"121":1}}],["separate",{"2":{"102":1}}],["sections",{"2":{"114":1,"121":1}}],["section",{"2":{"88":2,"89":1,"90":2,"117":1,"121":3,"130":1}}],["second",{"2":{"10":1,"27":1,"92":3,"105":3}}],["sequential",{"2":{"88":1,"89":1,"92":1,"159":1}}],["sequentially",{"2":{"9":1,"105":1}}],["sequence",{"2":{"60":4,"61":1,"62":2,"65":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["seen",{"2":{"103":1,"105":1}}],["see",{"2":{"42":1,"103":1}}],["select",{"0":{"74":1,"80":1},"2":{"42":1,"43":1,"45":4,"91":1,"105":2,"138":1,"160":1}}],["selected",{"2":{"42":1,"71":2}}],["selection",{"2":{"42":3,"97":1,"104":1}}],["selects",{"2":{"42":2}}],["several",{"2":{"22":1,"94":1}}],["set",{"0":{"76":1,"79":1},"2":{"9":1,"32":2,"33":2,"34":1,"35":1,"38":1,"42":1,"65":1,"68":1,"89":1,"90":1,"92":2,"100":1,"101":1,"102":2,"103":1,"112":1,"119":1,"130":1,"150":2}}],["sets",{"2":{"8":1,"51":1,"97":1,"103":1,"119":2}}],["settings",{"0":{"79":1}}],["setting",{"0":{"80":1,"83":1},"2":{"1":1,"66":1,"103":1,"153":1}}],["semantic",{"2":{"8":1}}],["search",{"2":{"4":1,"156":1}}],["csed",{"2":{"149":1}}],["cycle",{"0":{"86":1,"140":1,"162":1},"1":{"87":1,"88":1,"89":1,"90":1,"91":1,"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":1,"117":1,"118":1,"119":1,"120":1,"121":1,"122":1,"123":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"136":1,"137":1},"2":{"88":3,"89":2,"94":1,"130":1,"150":2,"159":2}}],["ctrl+s",{"0":{"78":1}}],["cpus",{"2":{"66":1}}],["cpu",{"2":{"66":6}}],["critical",{"2":{"94":1}}],["creating",{"0":{"81":1}}],["created",{"2":{"88":1,"90":1}}],["create",{"0":{"73":1,"74":1,"77":1,"81":1},"2":{"88":1,"89":1,"97":1,"103":1,"141":1}}],["crucial",{"2":{"66":1}}],["cross",{"2":{"32":1,"33":1}}],["central",{"2":{"66":1}}],["certain",{"2":{"52":1,"66":1}}],["celcius",{"2":{"3":1,"155":1}}],["class",{"2":{"93":1}}],["clk",{"2":{"65":1,"107":3,"108":1,"110":2,"111":1,"112":1,"114":3,"121":5,"122":3,"127":2,"132":5,"133":5,"134":5,"135":5,"136":5}}],["clearing",{"2":{"53":1}}],["clear",{"2":{"53":4,"65":1}}],["clocked",{"2":{"53":2}}],["clock",{"2":{"37":3,"38":3,"39":6,"40":2,"52":1,"53":3,"54":1,"60":1,"63":3,"65":1,"94":1,"108":1,"122":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["clicking",{"0":{"79":1,"83":1}}],["click",{"0":{"77":1,"78":1},"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"153":1,"154":1,"155":1,"156":1,"157":1}}],["current",{"2":{"34":1,"103":1,"109":2,"114":2}}],["c0",{"2":{"30":1}}],["ciletti",{"2":{"85":1}}],["ci+1",{"2":{"27":1}}],["ci",{"2":{"27":2}}],["circle",{"2":{"22":5}}],["circuitry",{"2":{"46":1}}],["circuits",{"2":{"22":1,"30":1,"66":1,"85":4,"89":1,"159":1}}],["circuit",{"0":{"84":1},"2":{"9":2,"10":2,"24":2,"25":1,"26":1,"28":1,"29":1,"32":1,"33":2,"34":3,"35":3,"37":2,"38":1,"39":1,"40":1,"64":2,"66":1,"67":1,"68":2,"69":1,"71":3,"97":1}}],["c",{"0":{"101":1},"2":{"20":2,"24":2,"25":2,"34":6,"35":4,"61":4,"62":2,"63":1,"114":1}}],["chooses",{"2":{"105":1}}],["chain",{"2":{"63":1}}],["chandrakant",{"2":{"146":1}}],["channel",{"2":{"54":1}}],["change",{"0":{"80":1},"2":{"33":1,"34":3,"35":1,"63":1,"65":1,"130":2}}],["changed",{"2":{"33":2,"102":1,"103":1}}],["changes",{"2":{"10":2,"35":1,"39":1}}],["checking",{"2":{"104":1,"130":1}}],["checks",{"2":{"8":1,"120":1}}],["check",{"2":{"6":1,"46":2,"51":1,"103":1,"130":1,"131":1,"132":2,"133":2,"134":2,"135":2,"136":1,"158":1}}],["care",{"2":{"68":1}}],["carried",{"2":{"93":1}}],["carries",{"2":{"27":2,"49":1,"50":1,"66":1}}],["carrying",{"2":{"66":1}}],["carry",{"0":{"26":1,"27":1},"2":{"24":1,"25":1,"26":4,"27":2,"30":2,"65":4,"120":1}}],["capabilities",{"2":{"159":1}}],["capability",{"2":{"66":1}}],["capable",{"2":{"52":2,"64":1}}],["causes",{"2":{"33":2,"65":1}}],["cascade",{"2":{"26":1}}],["casex",{"2":{"129":1}}],["cases",{"0":{"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"87":1}}],["case",{"2":{"9":1,"34":1,"103":1,"105":2,"117":1,"118":1,"119":1,"120":3,"130":1}}],["calicut",{"2":{"141":1}}],["calculations",{"2":{"66":1}}],["calculating",{"2":{"2":1,"154":1}}],["called",{"2":{"10":1,"28":1,"42":1,"60":1,"69":1,"91":1,"99":1,"102":1,"113":1}}],["cannot",{"2":{"35":1,"46":1}}],["can",{"0":{"83":1},"2":{"8":1,"13":1,"14":1,"16":4,"18":1,"27":1,"28":2,"29":1,"30":3,"38":1,"42":1,"43":1,"46":1,"51":2,"53":2,"61":1,"63":2,"64":1,"65":1,"66":3,"71":1,"91":1,"92":1,"94":1,"110":1,"119":1,"123":1}}],["copies",{"2":{"103":1}}],["coordinates",{"2":{"97":1}}],["covered",{"2":{"88":1,"89":1}}],["covers",{"2":{"0":1,"90":1,"150":1,"151":1,"152":1,"159":1}}],["corner",{"0":{"77":1,"78":1}}],["corresponding",{"2":{"43":1,"49":1,"50":1,"51":1,"62":1}}],["correct",{"2":{"88":1,"130":1}}],["correctly",{"2":{"16":1,"88":1,"130":1}}],["correctness",{"2":{"8":1}}],["column",{"2":{"43":1}}],["collection",{"2":{"8":1}}],["come",{"2":{"141":1}}],["comes",{"2":{"92":3,"105":3}}],["coming",{"2":{"120":1}}],["com",{"2":{"85":2}}],["combine",{"2":{"104":1}}],["combines",{"2":{"88":1}}],["combined",{"2":{"64":1}}],["combinations",{"2":{"40":2,"42":1}}],["combinationally",{"2":{"122":1}}],["combinational",{"2":{"25":1,"26":1,"28":1,"29":1,"52":1,"69":1,"88":1,"89":1,"113":1,"119":1}}],["combination",{"2":{"10":1,"22":3,"33":1}}],["commands",{"2":{"159":1}}],["commonly",{"2":{"66":1,"150":1}}],["common",{"2":{"52":1,"53":1,"63":1}}],["committing",{"2":{"13":1}}],["comprehensive",{"2":{"141":1,"151":1}}],["compute",{"2":{"103":2}}],["computed",{"2":{"92":1}}],["computes",{"2":{"92":1}}],["computer",{"0":{"143":1,"145":1},"1":{"146":1,"147":1,"148":1},"2":{"66":2,"89":3,"97":1,"137":3,"141":2,"143":2,"149":3,"150":2}}],["compile",{"0":{"80":1,"83":1},"2":{"130":1}}],["compiler",{"2":{"8":1}}],["compares",{"2":{"103":1}}],["compare",{"2":{"66":1,"88":1}}],["comparison",{"2":{"66":3}}],["comparisons",{"2":{"66":2}}],["comparator",{"2":{"51":3}}],["comparators",{"0":{"51":1},"2":{"8":1}}],["component",{"2":{"66":1,"88":1}}],["components",{"2":{"8":1,"10":1,"66":1,"88":2}}],["complete",{"2":{"97":1}}],["completes",{"2":{"66":1}}],["complemented",{"2":{"63":2,"71":1}}],["complementing",{"2":{"61":2,"63":1,"65":1}}],["complements",{"2":{"32":1}}],["complement",{"2":{"22":1,"30":8,"35":1,"38":1,"40":1,"61":1,"70":1,"71":1}}],["complex",{"2":{"13":1,"16":1,"18":1,"142":1}}],["coupled",{"2":{"32":1,"33":1}}],["counts",{"2":{"64":1}}],["counting",{"2":{"64":1}}],["counter",{"0":{"61":1,"62":1,"63":1,"64":1,"65":1},"2":{"60":1,"61":1,"62":3,"63":4,"64":1,"65":3,"99":1,"109":1,"114":1,"138":1,"160":1}}],["counters",{"0":{"60":1},"1":{"61":1,"62":1,"63":1,"64":1,"65":1},"2":{"8":1,"63":1}}],["count+1",{"2":{"21":1}}],["count=0",{"2":{"21":1}}],["count",{"2":{"21":4,"61":2,"62":1,"63":2,"65":6}}],["courses",{"2":{"143":1}}],["course",{"0":{"144":1,"151":1},"2":{"0":1,"142":1,"149":2,"150":3,"151":2,"152":1,"159":1}}],["configuration",{"2":{"103":4}}],["concatenating",{"2":{"105":1}}],["concatenation",{"2":{"6":1,"158":1}}],["concatenate",{"2":{"103":1}}],["concepts",{"2":{"89":1,"142":1,"150":1,"151":1}}],["concept",{"2":{"88":1,"139":2,"140":1,"161":2,"162":1}}],["convertor",{"2":{"130":1}}],["converts",{"2":{"69":1}}],["convert",{"2":{"49":1,"50":1,"130":1}}],["converter",{"0":{"47":1,"48":1},"1":{"48":1,"49":1,"50":1},"2":{"8":1}}],["conversion",{"2":{"49":1}}],["connection",{"2":{"61":1}}],["connecting",{"2":{"40":1,"116":1}}],["connected",{"2":{"10":1,"26":2,"61":4,"62":1,"63":1,"103":3}}],["conditional",{"2":{"66":3}}],["conditions",{"2":{"38":1,"51":1,"66":1,"68":1}}],["condition",{"2":{"33":1,"34":1,"51":1,"65":1}}],["consequent",{"2":{"105":1}}],["considering",{"2":{"103":1}}],["consider",{"2":{"103":1}}],["considered",{"2":{"40":1}}],["consists",{"2":{"34":1,"45":1,"52":1,"61":1,"66":1,"97":1}}],["consisting",{"2":{"9":1}}],["constructed",{"2":{"32":1,"63":1}}],["consuming",{"2":{"18":1}}],["cons",{"0":{"14":1},"2":{"16":1,"18":1}}],["contributes",{"2":{"149":1}}],["contributing",{"2":{"149":1}}],["controls",{"2":{"88":2,"102":1,"117":9}}],["controller",{"0":{"115":1},"1":{"116":1},"2":{"87":1,"114":3,"115":1,"116":1}}],["controlled",{"2":{"42":1,"105":1}}],["control",{"0":{"34":1,"90":1,"92":1,"93":1,"95":1},"1":{"91":1,"92":1,"93":1,"94":2,"95":2,"96":2},"2":{"14":1,"16":1,"34":4,"35":6,"54":1,"64":2,"65":5,"87":1,"88":4,"89":1,"90":3,"92":14,"93":3,"94":5,"97":4,"100":1,"101":2,"103":4,"104":7,"105":4,"109":1,"111":1,"114":2,"115":2,"116":1,"117":2,"118":2,"119":2,"120":1,"121":2,"129":4,"140":1,"159":1,"162":1}}],["contain",{"2":{"130":3}}],["contained",{"2":{"91":1}}],["contains",{"2":{"4":1,"46":1,"99":1,"100":2,"103":1,"107":1,"109":1,"112":1,"156":1}}],["context",{"2":{"89":1}}],["contents",{"0":{"8":1,"87":1},"1":{"9":1,"10":1},"2":{"92":2,"100":1,"103":3}}],["continuously",{"2":{"10":1}}],["continuous",{"2":{"10":1}}],["codes",{"2":{"66":1,"138":3,"139":1,"140":1,"160":3,"161":1,"162":1}}],["code",{"0":{"20":1,"47":1,"48":1},"1":{"48":1,"49":1,"50":1},"2":{"1":1,"2":1,"3":3,"4":7,"5":1,"6":5,"8":1,"10":2,"13":1,"16":1,"18":1,"21":1,"46":4,"49":6,"50":5,"62":3,"89":2,"130":11,"132":1,"133":1,"134":1,"135":1,"136":1,"139":3,"140":4,"150":1,"151":1,"153":1,"154":1,"155":3,"156":7,"157":1,"158":5,"161":3,"162":4}}],["david",{"2":{"137":2}}],["dat",{"2":{"113":2,"130":4}}],["dataaddr",{"2":{"130":2}}],["dataadr",{"2":{"107":3,"109":1,"130":1,"132":5,"133":5,"134":5,"135":5,"136":5}}],["data=result",{"2":{"121":1}}],["data2",{"2":{"103":2}}],["data1",{"2":{"103":1}}],["datapath",{"0":{"97":1,"104":1,"121":1},"1":{"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":2,"122":1},"2":{"87":2,"88":4,"89":1,"90":1,"97":10,"98":1,"103":11,"104":1,"105":4,"114":3,"121":3,"140":1,"162":1}}],["data",{"0":{"10":1,"102":1,"110":1},"1":{"111":1,"112":1},"2":{"10":1,"35":5,"39":2,"52":1,"53":1,"54":2,"56":1,"57":3,"58":2,"59":2,"65":2,"66":6,"87":1,"88":5,"92":8,"97":4,"100":2,"101":2,"102":3,"103":14,"104":1,"105":1,"107":1,"109":2,"110":1,"111":2,"112":2,"114":2,"121":1,"122":3,"129":18,"130":1,"150":1}}],["dp",{"2":{"114":1}}],["dmem",{"2":{"107":4,"110":2,"112":1}}],["d2",{"2":{"68":1}}],["d2+",{"2":{"68":1}}],["d0",{"2":{"68":1,"128":2}}],["d1",{"2":{"68":2,"128":2}}],["d3",{"2":{"68":3}}],["dynamic",{"2":{"61":1}}],["during",{"2":{"53":1,"118":1}}],["dut",{"2":{"8":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["d=",{"2":{"28":1}}],["don",{"2":{"68":1}}],["done",{"2":{"35":1}}],["download",{"2":{"138":1,"139":1,"140":1,"160":1,"161":1,"162":1}}],["down",{"0":{"64":1},"2":{"64":2,"91":1,"130":1}}],["does",{"2":{"34":2,"46":1,"63":1}}],["do",{"0":{"79":1},"2":{"30":1,"65":1,"130":1}}],["dot",{"2":{"22":1}}],["documentation",{"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"138":2,"139":3,"140":3,"151":2,"153":1,"154":1,"155":1,"156":1,"157":1,"160":2,"161":3,"162":3}}],["dive",{"2":{"150":1}}],["division",{"2":{"66":1}}],["dictated",{"2":{"62":1}}],["discussed",{"2":{"59":1,"90":1,"114":2}}],["discard",{"2":{"49":1,"50":1}}],["disabled",{"2":{"35":1,"71":2}}],["disables",{"2":{"34":1,"65":1}}],["directing",{"2":{"114":1}}],["direct",{"2":{"88":1}}],["directory",{"0":{"74":1},"2":{"130":1}}],["directed",{"2":{"54":1}}],["directs",{"2":{"42":1,"88":1}}],["directly",{"2":{"35":1,"65":1}}],["diagrams",{"2":{"88":1}}],["diagram",{"2":{"37":1}}],["difficult",{"2":{"14":1,"16":2,"18":2}}],["difference",{"2":{"28":1,"29":1,"57":1,"103":1}}],["differences",{"0":{"11":1},"1":{"12":1,"13":1,"14":1,"15":1,"16":1,"17":1,"18":1},"2":{"50":1}}],["different",{"2":{"13":1,"16":1,"22":1,"66":1,"92":2,"97":3,"101":1,"103":3}}],["digital",{"2":{"22":2,"26":1,"67":1,"85":6,"89":2,"97":1,"137":3,"150":1,"151":1}}],["digits",{"2":{"3":1,"24":1,"155":1}}],["digit",{"2":{"2":1,"62":3,"154":1}}],["dropped",{"2":{"26":1}}],["drawing",{"2":{"10":1}}],["driven",{"2":{"113":1}}],["drives",{"2":{"54":1}}],["drive",{"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"153":1,"154":1,"155":1,"156":1,"157":1}}],["dedicated",{"2":{"141":1}}],["department",{"0":{"143":1},"2":{"141":2,"143":3,"149":1}}],["dependent",{"2":{"130":1}}],["depend",{"2":{"99":1}}],["depending",{"2":{"53":1,"93":1,"101":1,"121":1}}],["depends",{"2":{"43":1,"120":1,"121":1}}],["deasserted",{"2":{"92":1}}],["decision",{"2":{"159":1}}],["decides",{"2":{"120":1}}],["decide",{"2":{"119":1}}],["decimal",{"2":{"62":5}}],["decoding",{"2":{"89":1}}],["decode",{"2":{"103":1}}],["decodes",{"2":{"97":1}}],["decoded",{"2":{"70":1,"92":1}}],["decoder",{"0":{"69":1,"70":1,"71":1,"92":1,"93":1,"117":1,"120":1},"1":{"70":1,"71":1,"94":1,"95":1,"96":1},"2":{"67":1,"69":1,"71":1,"87":2,"88":3,"92":2,"93":2,"116":3,"119":2,"120":2,"121":2,"138":1,"160":1}}],["decoders",{"2":{"8":1,"69":2}}],["de",{"2":{"53":1}}],["demultiplexerdemux",{"2":{"85":1}}],["demultiplexer",{"2":{"45":1}}],["demultiplexers",{"0":{"44":1},"1":{"45":1},"2":{"8":1,"85":1}}],["demux",{"0":{"45":1},"2":{"138":1,"160":1}}],["detection",{"2":{"46":2}}],["determined",{"2":{"93":1,"119":1}}],["determines",{"2":{"46":1,"53":1,"54":1,"66":1,"93":1,"103":3,"105":1,"111":1}}],["determine",{"2":{"42":1,"51":1,"66":2,"92":1,"103":1,"105":1}}],["detail",{"2":{"92":1,"114":1,"130":1,"150":1}}],["detailed",{"2":{"18":1}}],["details",{"2":{"14":1,"16":1,"150":1}}],["delay",{"2":{"27":2,"65":1}}],["define",{"2":{"27":1}}],["default",{"2":{"8":1,"117":1,"120":2,"122":1,"129":1}}],["denoted",{"2":{"25":1}}],["device",{"2":{"8":1,"22":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["destination",{"2":{"91":1,"105":1}}],["desired",{"2":{"65":1}}],["designing",{"2":{"150":1,"151":1}}],["designed",{"2":{"143":1}}],["designers",{"2":{"13":1}}],["designated",{"2":{"62":1,"68":1,"92":2}}],["designation",{"2":{"35":1}}],["designs",{"2":{"16":1,"18":1,"150":1,"151":1}}],["design",{"0":{"84":1},"2":{"8":5,"16":1,"18":1,"85":1,"88":1,"89":3,"137":4,"159":1}}],["described",{"2":{"8":1,"9":1,"10":1}}],["describes",{"2":{"8":2,"9":1}}],["describe",{"2":{"8":1,"88":1,"119":1}}],["description",{"2":{"8":7,"107":1,"150":1}}],["d",{"0":{"5":1,"35":1,"39":1,"102":1,"127":1,"157":1},"2":{"28":1,"29":2,"35":10,"36":1,"39":10,"45":2,"54":1,"61":2,"68":1,"127":2}}],["gain",{"2":{"151":1}}],["gate",{"0":{"17":1},"1":{"18":1,"20":1,"21":1},"2":{"8":1,"10":1,"22":24,"27":3,"51":2,"63":1,"104":1,"105":1}}],["gates",{"0":{"22":1},"1":{"23":1,"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1},"2":{"8":1,"10":2,"22":2,"27":2,"32":1,"33":1,"34":2,"52":1,"54":2,"63":2,"70":1,"89":1,"119":1,"138":1,"160":1}}],["ghosh",{"2":{"147":1,"148":1}}],["gpus",{"2":{"66":1}}],["general",{"2":{"51":1}}],["generate",{"2":{"65":2,"132":1,"133":1,"134":1,"135":1,"136":1}}],["generated",{"2":{"27":1,"50":1,"65":1,"97":1,"113":1}}],["generates",{"2":{"24":1,"27":2,"63":1,"70":1,"88":1}}],["generator",{"0":{"46":1},"2":{"8":1,"27":1}}],["gets",{"2":{"103":1,"112":1,"113":1}}],["get",{"2":{"49":1,"103":1}}],["great",{"2":{"130":1}}],["greater",{"2":{"16":1,"51":1,"66":2}}],["greatest",{"2":{"4":1,"156":1}}],["grab",{"2":{"103":1}}],["gray",{"0":{"49":1,"50":1},"2":{"49":4,"50":3}}],["group",{"2":{"46":4,"52":2}}],["going",{"0":{"79":1,"84":1},"2":{"49":1}}],["goes",{"2":{"33":1,"34":1,"35":3,"53":2,"60":1,"61":1}}],["go",{"2":{"33":2}}],["googledrive",{"2":{"139":1,"161":1,"162":1}}],["google",{"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"153":1,"154":1,"155":1,"156":1,"157":1}}],["gt",{"2":{"30":1,"120":3}}],["github",{"0":{"148":1},"2":{"153":1,"155":3,"156":7,"158":5,"160":1,"161":1,"162":1}}],["give",{"2":{"103":1}}],["given",{"2":{"71":1,"100":4,"102":1}}],["gives",{"2":{"30":1,"63":1,"103":1}}],["giving",{"2":{"34":1}}],["gi",{"0":{"54":1},"2":{"27":3}}],["guides",{"2":{"142":1}}],["guide",{"0":{"0":1,"144":1,"150":1,"152":1,"159":1},"1":{"1":1,"2":1,"3":1,"4":1,"5":1,"6":1,"151":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"160":1,"161":1,"162":1},"2":{"137":1,"149":2,"151":1}}],["md",{"2":{"115":1}}],["msbs",{"2":{"51":1}}],["msb",{"2":{"49":1,"103":1}}],["mem",{"2":{"113":1}}],["memfile",{"2":{"113":2,"130":4}}],["memories",{"2":{"107":1}}],["memory",{"0":{"99":1,"102":1,"110":1,"113":1},"1":{"111":1,"112":1},"2":{"66":2,"87":2,"88":2,"89":1,"92":3,"97":1,"99":2,"102":1,"103":8,"104":1,"105":2,"107":2,"109":7,"110":1,"111":2,"112":2,"113":5,"114":1,"121":2,"130":2,"150":1}}],["memtoreg==0",{"2":{"121":1}}],["memtoreg",{"2":{"92":1,"104":1,"105":1,"114":3,"115":3,"117":3,"121":3}}],["memwrite",{"2":{"92":1,"102":2,"104":1,"107":3,"109":1,"111":1,"114":3,"115":3,"117":3,"121":1,"132":3,"133":3,"134":3,"135":3,"136":3}}],["memread",{"2":{"92":1,"102":2,"104":1}}],["menu",{"0":{"79":1,"84":1}}],["methods",{"2":{"59":1}}],["method",{"2":{"50":1}}],["means",{"2":{"39":1,"46":1}}],["merge",{"2":{"4":1,"156":1}}],["mux2",{"2":{"121":5,"128":1}}],["muxs",{"2":{"92":1}}],["mux",{"0":{"42":1,"43":1,"128":1},"2":{"54":1,"105":8}}],["must",{"0":{"76":1,"79":1},"2":{"30":1,"34":1,"51":4,"53":1,"62":1,"92":1}}],["multicycle",{"2":{"88":1}}],["multi",{"2":{"28":1}}],["multiplying",{"2":{"105":1}}],["multiple",{"2":{"94":1}}],["multiplexors",{"0":{"105":1},"2":{"104":1,"105":1}}],["multiplexor",{"2":{"91":1}}],["multiplexer",{"2":{"42":3}}],["multiplexers",{"0":{"41":1},"1":{"42":1,"43":1},"2":{"8":1,"88":1,"97":3,"103":1}}],["multiplication",{"2":{"22":1,"66":1}}],["m",{"2":{"30":2,"69":2,"85":1,"137":1,"146":1}}],["mohammad",{"2":{"146":1,"148":1}}],["money",{"2":{"137":1}}],["monitored",{"2":{"10":1}}],["moves",{"2":{"105":1}}],["move",{"2":{"103":1,"105":1}}],["movement",{"2":{"66":2}}],["morris",{"2":{"85":1,"137":1}}],["moreover",{"2":{"34":1}}],["more",{"2":{"14":1,"16":4,"22":3,"65":1,"68":2,"89":1,"143":1}}],["most",{"2":{"18":1,"26":1,"49":2,"50":2,"92":1,"103":4}}],["modularity",{"2":{"88":1}}],["module",{"0":{"107":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1},"1":{"108":1,"109":1},"2":{"10":1,"20":1,"87":1,"89":1,"107":5,"109":2,"112":1,"113":2,"114":3,"116":1,"130":2}}],["modules",{"2":{"8":1,"107":1,"114":3,"123":2,"140":2,"150":1,"162":2}}],["modified",{"2":{"38":1,"40":1}}],["mode",{"2":{"8":1}}],["modeling",{"2":{"150":2}}],["modelsim",{"0":{"76":2},"2":{"89":1}}],["model",{"2":{"8":4}}],["mithunraj",{"2":{"146":1}}],["mission",{"2":{"142":1}}],["microarchitectures",{"2":{"88":1}}],["microarchitecture",{"2":{"88":1}}],["microprocessor",{"2":{"87":1,"88":4,"103":1,"130":2,"131":1,"150":1}}],["michael",{"2":{"85":1}}],["minerva",{"2":{"143":1}}],["minterm",{"2":{"71":1}}],["minterms",{"2":{"70":2,"71":1}}],["minuend",{"2":{"30":1}}],["minimum",{"2":{"3":1,"155":1}}],["mipsmain",{"2":{"136":1}}],["mipsaddi",{"2":{"132":1,"133":1,"134":1,"135":1}}],["mips",{"0":{"0":1,"1":1,"114":1,"140":1,"146":1,"152":1,"153":1,"162":1},"1":{"1":1,"2":1,"3":1,"4":1,"5":1,"6":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1},"2":{"87":1,"88":6,"89":3,"90":1,"91":1,"97":1,"100":1,"103":3,"107":4,"109":1,"113":1,"114":4,"120":1,"130":4,"150":5,"151":4,"159":3}}],["mail",{"2":{"149":1}}],["maintenance",{"0":{"148":1}}],["maintain",{"2":{"114":1}}],["maintained",{"2":{"53":1}}],["maindec",{"2":{"115":1,"117":1}}],["main",{"0":{"92":2,"117":1},"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"66":1,"87":1,"90":1,"92":2,"93":1,"94":1,"98":1,"107":1,"116":3,"119":2,"120":1,"121":1,"138":1,"139":2,"140":1,"153":1,"154":1,"155":1,"156":1,"157":1,"160":1,"161":2,"162":1}}],["machines",{"0":{"139":1,"161":1},"2":{"159":1}}],["machine",{"2":{"130":3,"132":1,"133":1,"134":1,"135":1,"136":1,"159":1}}],["majority",{"2":{"92":1}}],["made",{"0":{"81":1}}],["max",{"0":{"75":1}}],["maximum",{"2":{"3":1,"69":1,"155":1}}],["masking",{"2":{"66":1}}],["mani",{"2":{"146":1}}],["manipulation",{"2":{"66":1}}],["manipulate",{"2":{"66":2}}],["mano",{"2":{"85":1,"137":1}}],["manner",{"2":{"57":1}}],["many",{"2":{"8":1,"26":1,"42":1,"46":1}}],["making",{"2":{"30":1,"159":1}}],["makes",{"2":{"46":2}}],["make",{"0":{"72":1},"1":{"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1},"2":{"8":1,"46":1,"103":1,"116":1,"130":2}}],["may",{"2":{"16":1,"26":1,"52":1,"60":4,"66":1,"94":1,"102":1}}],["matching",{"2":{"130":1}}],["mathematical",{"2":{"66":1}}],["matrices",{"2":{"5":1,"157":1}}],["matrix",{"2":{"5":1,"157":1}}],["material",{"2":{"1":1,"2":1,"3":1,"4":1,"5":1,"153":1,"154":1,"155":1,"156":1,"157":1}}],["marks",{"2":{"2":1,"154":1}}],["mars",{"2":{"1":1,"153":1}}],["tutorials",{"2":{"151":1}}],["tutorialspoint",{"2":{"85":2}}],["tutorial",{"2":{"151":1}}],["tm",{"2":{"145":1}}],["tips",{"2":{"142":1}}],["till",{"2":{"88":1,"138":1,"160":1}}],["tied",{"2":{"61":1}}],["times",{"2":{"27":1}}],["time",{"2":{"18":1,"32":1,"33":1,"35":2,"53":1,"56":1,"60":1,"68":1,"71":1,"94":1,"102":1}}],["timing",{"2":{"10":1,"14":1,"16":1,"88":1}}],["t",{"0":{"40":1},"2":{"36":1,"40":10,"61":1,"64":1,"68":1}}],["try",{"2":{"97":1,"131":1}}],["true",{"2":{"91":1}}],["truth",{"0":{"95":1,"96":1},"2":{"24":1,"43":1,"71":1}}],["trigger",{"2":{"63":1}}],["triggers",{"2":{"53":1}}],["triangle",{"2":{"22":1}}],["traffic",{"2":{"114":1}}],["transcript",{"2":{"130":2}}],["transmitted",{"2":{"46":1}}],["transmit",{"2":{"46":1}}],["transitions",{"2":{"37":2,"38":2,"39":2,"40":2}}],["transition",{"2":{"35":1,"37":1,"38":1,"39":4,"61":2}}],["transferring",{"2":{"66":1}}],["transferred",{"2":{"35":1,"53":1}}],["transfer",{"0":{"15":1},"1":{"16":1},"2":{"8":1,"10":1,"53":1,"65":1}}],["traverses",{"2":{"8":1}}],["traversal",{"2":{"6":1,"158":1}}],["type",{"2":{"91":2,"92":1,"103":6,"104":2,"105":5,"120":1}}],["types",{"2":{"22":1,"36":1,"150":1}}],["typically",{"2":{"8":1,"66":1}}],["typical",{"2":{"8":1}}],["techniques",{"2":{"151":1}}],["technology",{"2":{"141":1,"143":1}}],["team",{"0":{"144":1,"146":1,"147":1,"149":1},"2":{"141":1,"149":1}}],["temporary",{"0":{"118":1},"1":{"119":1},"2":{"115":1,"122":1}}],["template",{"0":{"74":1}}],["terminated",{"2":{"20":1}}],["terms",{"2":{"10":1,"14":1}}],["tests",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["test",{"0":{"80":2,"81":1,"82":3,"84":1,"131":1},"1":{"132":1,"133":1,"134":1,"135":1,"136":1},"2":{"8":1,"13":1,"21":1,"87":1,"130":2,"132":1,"133":1,"134":1,"135":1,"136":1,"150":1}}],["tested",{"2":{"8":1,"130":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["testbenchv1",{"2":{"132":1,"133":1,"134":1,"135":1,"136":1}}],["testbenches",{"2":{"89":1,"140":1,"162":1}}],["testbench",{"0":{"21":1,"79":1,"83":1},"2":{"8":2,"130":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["task",{"2":{"113":2}}],["tasks",{"2":{"52":1,"66":2}}],["targaddr",{"2":{"103":3}}],["target",{"2":{"92":1,"103":3,"105":3}}],["taken",{"2":{"54":1,"57":1}}],["take",{"2":{"51":1,"68":1,"103":2}}],["takes",{"2":{"42":2,"99":1}}],["taking",{"2":{"5":1,"30":1,"105":2,"157":1}}],["table",{"0":{"8":1,"87":1,"95":1,"96":1},"1":{"9":1,"10":1},"2":{"24":1,"43":2,"71":1,"92":2,"93":1,"117":1}}],["two",{"2":{"5":1,"22":5,"24":4,"25":3,"26":1,"27":3,"28":4,"29":3,"32":3,"33":1,"34":2,"35":1,"37":2,"38":2,"39":1,"40":3,"43":1,"45":2,"46":1,"51":4,"54":1,"64":1,"66":3,"68":3,"71":1,"91":2,"92":1,"100":1,"101":1,"103":3,"114":1,"122":1,"157":1}}],["those",{"2":{"40":1,"123":1}}],["thus",{"2":{"27":1,"42":1,"91":1,"105":1,"126":1}}],["thrive",{"2":{"143":1}}],["through",{"2":{"26":1,"27":3,"43":1,"54":1,"60":1,"65":1,"101":1,"102":1,"103":1,"151":1}}],["three",{"0":{"122":1},"2":{"8":1,"25":1,"34":1,"51":1,"70":3}}],["third",{"0":{"83":1},"2":{"25":1,"46":1,"61":1,"68":1,"122":2}}],["this",{"0":{"79":1},"2":{"0":1,"8":3,"9":1,"10":3,"30":1,"33":2,"34":1,"35":2,"37":2,"38":1,"39":2,"40":1,"43":1,"46":1,"53":1,"65":1,"66":1,"68":1,"88":2,"90":2,"91":2,"99":1,"100":1,"103":4,"104":1,"105":5,"113":2,"121":3,"130":2,"149":1,"150":3,"151":5,"152":1,"159":1}}],["thank",{"2":{"149":1}}],["than",{"2":{"14":1,"16":3,"51":2,"57":1,"58":1,"65":1,"66":4,"90":1}}],["that",{"0":{"81":1},"2":{"8":2,"22":2,"24":1,"25":1,"26":1,"28":2,"29":1,"30":1,"33":2,"34":1,"35":2,"39":1,"40":1,"42":1,"46":3,"48":1,"51":1,"52":1,"53":1,"54":1,"56":1,"60":1,"61":1,"62":1,"63":2,"65":3,"67":1,"68":3,"69":1,"88":2,"97":3,"99":1,"103":1,"104":3,"105":1,"107":1,"110":1,"111":1,"112":1,"113":1,"119":1,"130":1,"142":1,"143":1,"151":2,"159":1}}],["theoretical",{"2":{"151":2}}],["theory",{"2":{"1":1,"2":1,"5":1,"87":2,"89":1,"117":1,"123":1,"138":4,"139":1,"140":3,"151":1,"153":1,"154":1,"157":1,"160":4,"161":1,"162":3}}],["them",{"2":{"97":2,"109":1}}],["themselves",{"2":{"51":1}}],["thereby",{"2":{"97":1}}],["there",{"2":{"22":1,"33":1,"36":1,"42":1,"43":1,"46":2,"50":1,"68":1,"98":1,"102":1,"105":1}}],["these",{"2":{"10":1,"38":1,"51":1,"66":4,"89":2,"92":1,"93":1,"102":1,"113":1,"114":1,"117":1,"123":1,"151":1}}],["then",{"2":{"8":1,"20":1,"45":2,"51":1,"92":1,"97":1,"102":2,"103":3,"105":1,"113":1,"132":1,"133":1,"135":1,"136":1}}],["their",{"2":{"8":2,"10":1,"58":1,"64":1,"105":1,"142":2,"151":1}}],["they",{"2":{"8":1,"60":1,"103":1,"105":1,"121":2}}],["the",{"0":{"0":1,"75":2,"76":2,"77":2,"78":2,"79":1,"80":2,"82":3,"83":1,"84":2,"94":1,"104":1,"143":1,"144":1,"146":1,"147":1,"150":1,"152":1,"159":1},"1":{"1":1,"2":1,"3":1,"4":1,"5":1,"6":1,"105":1,"151":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"160":1,"161":1,"162":1},"2":{"0":1,"8":26,"9":6,"10":10,"13":1,"14":1,"16":6,"18":4,"22":17,"24":9,"25":7,"26":11,"27":9,"28":3,"29":4,"30":13,"32":7,"33":18,"34":22,"35":35,"37":6,"38":2,"39":8,"40":9,"42":11,"43":6,"45":8,"46":12,"48":7,"49":7,"50":8,"51":17,"52":1,"53":20,"54":11,"56":2,"57":9,"58":1,"59":4,"60":4,"61":23,"62":13,"63":21,"64":7,"65":26,"66":31,"67":1,"68":9,"69":1,"70":8,"71":11,"88":18,"89":5,"90":4,"91":12,"92":45,"93":15,"94":6,"97":21,"98":1,"99":11,"100":12,"101":7,"102":8,"103":117,"104":15,"105":34,"107":10,"108":4,"109":13,"111":1,"112":5,"113":14,"114":7,"116":6,"117":2,"118":2,"119":6,"120":3,"121":10,"122":3,"126":1,"130":27,"132":4,"133":4,"134":4,"135":3,"136":4,"137":1,"141":2,"142":1,"143":4,"149":3,"150":4,"151":7,"152":1,"159":2}}],["top",{"0":{"77":1,"78":1,"107":1},"1":{"108":1,"109":1},"2":{"87":2,"107":3,"109":2,"116":1,"132":1,"133":1,"134":1,"135":1,"136":1}}],["topic",{"2":{"8":1,"87":1,"151":2}}],["topics",{"2":{"0":1,"150":1,"151":1,"152":1,"159":1}}],["tools",{"0":{"84":1},"2":{"89":1}}],["tool",{"0":{"76":1},"2":{"142":1,"149":1}}],["together",{"2":{"61":1,"88":1,"97":1,"114":1,"118":1,"141":1}}],["total",{"2":{"46":4,"48":2}}],["to",{"0":{"0":1,"1":1,"48":1,"49":1,"50":1,"72":1,"78":1,"80":1,"82":1,"84":2,"130":1,"138":1,"150":1,"152":1,"153":1,"159":1,"160":1},"1":{"1":1,"2":1,"3":1,"4":1,"5":1,"6":1,"73":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"151":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"160":1,"161":1,"162":1},"2":{"3":2,"4":1,"8":8,"13":3,"14":1,"16":2,"18":2,"21":1,"22":1,"24":1,"25":1,"26":4,"27":1,"30":5,"32":3,"33":11,"34":7,"35":9,"37":1,"39":2,"40":2,"42":3,"45":5,"46":3,"48":1,"49":4,"50":3,"51":4,"52":1,"53":7,"54":3,"61":8,"62":8,"63":7,"64":1,"65":7,"66":12,"68":5,"69":2,"71":6,"87":1,"88":6,"89":4,"90":1,"91":6,"92":5,"93":3,"94":1,"97":3,"99":2,"101":2,"102":1,"103":33,"104":2,"105":8,"108":2,"109":5,"111":4,"112":1,"113":3,"114":2,"116":1,"119":6,"120":2,"121":1,"122":1,"123":1,"130":6,"131":1,"132":3,"133":3,"134":3,"135":3,"136":3,"137":1,"138":5,"139":2,"140":4,"141":2,"142":3,"143":2,"149":5,"150":4,"151":1,"155":2,"156":1,"159":2,"160":5,"161":2,"162":4}}]],"serializationVersion":2}';export{e as default}; diff --git a/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DvcNBxBO.js b/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DvcNBxBO.js new file mode 100644 index 0000000..ca742c6 --- /dev/null +++ b/docs/.vitepress/dist/assets/chunks/@localSearchIndexroot.DvcNBxBO.js @@ -0,0 +1 @@ +const t='{"documentCount":348,"nextId":348,"documentIds":{"0":"/hwlabnitc.github.io/MIPS/#introduction-to-mips","1":"/hwlabnitc.github.io/MIPS/#setting-up-mars","2":"/hwlabnitc.github.io/MIPS/#basic-integer-and-string-handling","3":"/hwlabnitc.github.io/MIPS/#sample-code-bank","4":"/hwlabnitc.github.io/MIPS/#floating-point-handling","5":"/hwlabnitc.github.io/MIPS/#integer-array-handling","6":"/hwlabnitc.github.io/MIPS/#_2-d-integer-array-handling","7":"/hwlabnitc.github.io/MIPS/#string-handling-advanced","8":"/hwlabnitc.github.io/Verilog/Intro/README.html#introduction","9":"/hwlabnitc.github.io/Verilog/Intro/README.html#table-of-contents","10":"/hwlabnitc.github.io/Verilog/Intro/README.html#behavioral","11":"/hwlabnitc.github.io/Verilog/Intro/README.html#data-flow","12":"/hwlabnitc.github.io/Verilog/Intro/README.html#functional-differences","13":"/hwlabnitc.github.io/Verilog/Intro/README.html#behavioral-level","14":"/hwlabnitc.github.io/Verilog/Intro/README.html#pros","15":"/hwlabnitc.github.io/Verilog/Intro/README.html#cons","16":"/hwlabnitc.github.io/Verilog/Intro/README.html#register-transfer-level-rtl","17":"/hwlabnitc.github.io/Verilog/Intro/README.html#pros-1","18":"/hwlabnitc.github.io/Verilog/Intro/README.html#gate-level","19":"/hwlabnitc.github.io/Verilog/Intro/README.html#pros-2","20":"/hwlabnitc.github.io/Verilog/Intro/README.html#verilog-syntax","21":"/hwlabnitc.github.io/Verilog/Intro/README.html#code","22":"/hwlabnitc.github.io/Verilog/Intro/README.html#testbench","23":"/hwlabnitc.github.io/Verilog/Intro/README.html#basic-logic-gates","24":"/hwlabnitc.github.io/Verilog/Intro/README.html#binary-adders-and-subtractors","25":"/hwlabnitc.github.io/Verilog/Intro/README.html#half-adder","26":"/hwlabnitc.github.io/Verilog/Intro/README.html#full-adder","27":"/hwlabnitc.github.io/Verilog/Intro/README.html#ripple-carry-adder","28":"/hwlabnitc.github.io/Verilog/Intro/README.html#carry-look-ahead-adder","29":"/hwlabnitc.github.io/Verilog/Intro/README.html#half-subtractor","30":"/hwlabnitc.github.io/Verilog/Intro/README.html#full-subtractor","31":"/hwlabnitc.github.io/Verilog/Intro/README.html#binary-adder-and-subtractor","32":"/hwlabnitc.github.io/Verilog/Intro/README.html#latches","33":"/hwlabnitc.github.io/Verilog/Intro/README.html#sr-latch","34":"/hwlabnitc.github.io/Verilog/Intro/README.html#sr-latch-1","35":"/hwlabnitc.github.io/Verilog/Intro/README.html#sr-latch-with-control-input","36":"/hwlabnitc.github.io/Verilog/Intro/README.html#d-latch","37":"/hwlabnitc.github.io/Verilog/Intro/README.html#flip-flops","38":"/hwlabnitc.github.io/Verilog/Intro/README.html#sr-flip-flop","39":"/hwlabnitc.github.io/Verilog/Intro/README.html#jk-flip-flop","40":"/hwlabnitc.github.io/Verilog/Intro/README.html#d-flip-flop","41":"/hwlabnitc.github.io/Verilog/Intro/README.html#t-flip-flop","42":"/hwlabnitc.github.io/Verilog/Intro/README.html#multiplexers","43":"/hwlabnitc.github.io/Verilog/Intro/README.html#_2-1-mux","44":"/hwlabnitc.github.io/Verilog/Intro/README.html#_4-1-mux","45":"/hwlabnitc.github.io/Verilog/Intro/README.html#demultiplexers","46":"/hwlabnitc.github.io/Verilog/Intro/README.html#_1-2-demux","47":"/hwlabnitc.github.io/Verilog/Intro/README.html#parity-generator","48":"/hwlabnitc.github.io/Verilog/Intro/README.html#code-converter","49":"/hwlabnitc.github.io/Verilog/Intro/README.html#bcd-to-binary-code-converter","50":"/hwlabnitc.github.io/Verilog/Intro/README.html#binary-to-gray","51":"/hwlabnitc.github.io/Verilog/Intro/README.html#gray-to-binary","52":"/hwlabnitc.github.io/Verilog/Intro/README.html#comparators","53":"/hwlabnitc.github.io/Verilog/Intro/README.html#registers","54":"/hwlabnitc.github.io/Verilog/Intro/README.html#four‐bit-register","55":"/hwlabnitc.github.io/Verilog/Intro/README.html#four-bit-register-with-parallel-load-gi-ai-and-bi","56":"/hwlabnitc.github.io/Verilog/Intro/README.html#shift-registers","57":"/hwlabnitc.github.io/Verilog/Intro/README.html#serial-in-serial-out-registers","58":"/hwlabnitc.github.io/Verilog/Intro/README.html#serial-in-parallel-out-shift-registers","59":"/hwlabnitc.github.io/Verilog/Intro/README.html#parallel-in-serial-out-shift-registers","60":"/hwlabnitc.github.io/Verilog/Intro/README.html#parallel-in-parallel-out-shift-registers","61":"/hwlabnitc.github.io/Verilog/Intro/README.html#counters","62":"/hwlabnitc.github.io/Verilog/Intro/README.html#binary-ripple-counter","63":"/hwlabnitc.github.io/Verilog/Intro/README.html#bcd-ripple-counter","64":"/hwlabnitc.github.io/Verilog/Intro/README.html#_4-bit-synchronous-binary-counter","65":"/hwlabnitc.github.io/Verilog/Intro/README.html#four-bit-up-down-binary-counter","66":"/hwlabnitc.github.io/Verilog/Intro/README.html#binary-counter-with-parallel-load","67":"/hwlabnitc.github.io/Verilog/Intro/README.html#arithmetic-logic-unit-alu","68":"/hwlabnitc.github.io/Verilog/Intro/README.html#encoder","69":"/hwlabnitc.github.io/Verilog/Intro/README.html#priority-encoder","70":"/hwlabnitc.github.io/Verilog/Intro/README.html#decoder","71":"/hwlabnitc.github.io/Verilog/Intro/README.html#_3-8-line-decoder","72":"/hwlabnitc.github.io/Verilog/Intro/README.html#_2-4-line-decoder-with-enable-input","73":"/hwlabnitc.github.io/Verilog/Intro/README.html#how-to-make-a-verilog-program","74":"/hwlabnitc.github.io/Verilog/Intro/README.html#create-a-new-project-in-your-own-version-of-quartus-prime","75":"/hwlabnitc.github.io/Verilog/Intro/README.html#select-an-appropriate-directory-for-your-verilog-project-files-and-create-an-empty-project-file-template","76":"/hwlabnitc.github.io/Verilog/Intro/README.html#use-the-10m50daf484c7g-board-from-the-max-10-family","77":"/hwlabnitc.github.io/Verilog/Intro/README.html#set-modelsim-as-your-simulation-tool-modelsim-must-be-installed-prior-and-finish-the-initialization-of-the-project","78":"/hwlabnitc.github.io/Verilog/Intro/README.html#click-on-the-file-icon-in-the-top-left-corner-and-create-a-new-verilog-hdl-file","79":"/hwlabnitc.github.io/Verilog/Intro/README.html#when-you-wish-to-save-your-program-simply-use-ctrl-s-or-click-the-save-icon-in-the-top-left-corner","80":"/hwlabnitc.github.io/Verilog/Intro/README.html#before-running-your-program-you-must-first-set-a-testbench-for-it-do-this-by-going-into-the-assignments-menu-and-clicking-settings","81":"/hwlabnitc.github.io/Verilog/Intro/README.html#change-the-nativelink-setting-to-compile-test-bench-and-select-the-test-bench-file-for-your-program","82":"/hwlabnitc.github.io/Verilog/Intro/README.html#create-a-new-test-bench-if-you-are-creating-one-for-a-new-program-that-has-not-been-made-before","83":"/hwlabnitc.github.io/Verilog/Intro/README.html#name-your-test-bench-appropriately-usually-just-the-name-of-the-test-bench-file-and-add-it-to-the-list-of-test-benches","84":"/hwlabnitc.github.io/Verilog/Intro/README.html#after-setting-your-testbench-you-can-now-run-and-compile-your-program-by-clicking-on-the-third-play-button","85":"/hwlabnitc.github.io/Verilog/Intro/README.html#you-will-be-able-to-view-your-circuit-design-and-how-it-is-structured-and-simulate-results-using-the-test-bench-by-going-to-the-tools-menu","86":"/hwlabnitc.github.io/Verilog/Intro/README.html#bibliography","87":"/hwlabnitc.github.io/Verilog/#introduction-to-verilog","88":"/hwlabnitc.github.io/Verilog/#finite-state-machines","89":"/hwlabnitc.github.io/Verilog/#single-cycle-mips-processor","90":"/hwlabnitc.github.io/MIPS/mips.html#mips","91":"/hwlabnitc.github.io/MIPS/mips.html#setting-up-the-mars-code-editor","92":"/hwlabnitc.github.io/MIPS/mips.html#introduction-to-mars-simulator","93":"/hwlabnitc.github.io/MIPS/mips.html#setting-up-java-sdk","94":"/hwlabnitc.github.io/MIPS/mips.html#downloading-java-sdk-on-macos","95":"/hwlabnitc.github.io/MIPS/mips.html#downloading-java-sdk-on-windows","96":"/hwlabnitc.github.io/MIPS/mips.html#downloading-java-sdk-on-windows-1","97":"/hwlabnitc.github.io/MIPS/mips.html#setting-up-mars-simulator","98":"/hwlabnitc.github.io/MIPS/mips.html#downloading-mips-mars-simulator-on-macos","99":"/hwlabnitc.github.io/MIPS/mips.html#downloading-mips-mars-simulator-on-windows","100":"/hwlabnitc.github.io/MIPS/mips.html#downloading-mips-mars-simulator-on-linux","101":"/hwlabnitc.github.io/MIPS/mips.html#mars-assembly-and-system-calls","102":"/hwlabnitc.github.io/MIPS/mips.html#pseudo-instructions","103":"/hwlabnitc.github.io/MIPS/mips.html#arithmetic-instructions","104":"/hwlabnitc.github.io/MIPS/mips.html#logical","105":"/hwlabnitc.github.io/MIPS/mips.html#data-transfer","106":"/hwlabnitc.github.io/MIPS/mips.html#conditional-branch","107":"/hwlabnitc.github.io/MIPS/mips.html#comparison","108":"/hwlabnitc.github.io/MIPS/mips.html#unconditional-jump","109":"/hwlabnitc.github.io/MIPS/mips.html#system-calls","110":"/hwlabnitc.github.io/MIPS/mips.html#assembler-directives","111":"/hwlabnitc.github.io/MIPS/mips.html#registers","112":"/hwlabnitc.github.io/MIPS/mips.html#mars-mips-assembler-simulator-tutorial","113":"/hwlabnitc.github.io/MIPS/mips.html#integer-handling","114":"/hwlabnitc.github.io/MIPS/mips.html#points-to-note","115":"/hwlabnitc.github.io/MIPS/mips.html#string-operations","116":"/hwlabnitc.github.io/MIPS/mips.html#points-to-note-1","117":"/hwlabnitc.github.io/MIPS/mips.html#floating-point-numbers","118":"/hwlabnitc.github.io/MIPS/mips.html#floating-point-number-representation","119":"/hwlabnitc.github.io/MIPS/mips.html#mips-floating-point-architecture","120":"/hwlabnitc.github.io/MIPS/mips.html#floating-point-registers-in-mips","121":"/hwlabnitc.github.io/MIPS/mips.html#declaring-a-floating-point-number","122":"/hwlabnitc.github.io/MIPS/mips.html#reading-and-printing-floating-point-numbers","123":"/hwlabnitc.github.io/MIPS/mips.html#single-precision","124":"/hwlabnitc.github.io/MIPS/mips.html#double-precision","125":"/hwlabnitc.github.io/MIPS/mips.html#data-movement-instructions","126":"/hwlabnitc.github.io/MIPS/mips.html#arithmetic-instructions-1","127":"/hwlabnitc.github.io/MIPS/mips.html#sample-question-1","128":"/hwlabnitc.github.io/MIPS/mips.html#comparison-branch-instructions","129":"/hwlabnitc.github.io/MIPS/mips.html#sample-question-2","130":"/hwlabnitc.github.io/MIPS/mips.html#data-conversion-instructions","131":"/hwlabnitc.github.io/MIPS/mips.html#conversion-within-floating-point","132":"/hwlabnitc.github.io/MIPS/mips.html#conversion-to-integers","133":"/hwlabnitc.github.io/MIPS/mips.html#conversion-from-integers","134":"/hwlabnitc.github.io/MIPS/mips.html#special-instructions","135":"/hwlabnitc.github.io/MIPS/mips.html#sample-question-3","136":"/hwlabnitc.github.io/MIPS/mips.html#integer-arrays","137":"/hwlabnitc.github.io/MIPS/mips.html#declaration-and-initialization","138":"/hwlabnitc.github.io/MIPS/mips.html#array-traversal","139":"/hwlabnitc.github.io/MIPS/mips.html#array-input","140":"/hwlabnitc.github.io/MIPS/mips.html#printing-an-integer-array","141":"/hwlabnitc.github.io/MIPS/mips.html#negative-numbers-as-elements","142":"/hwlabnitc.github.io/MIPS/mips.html#floating-point-numbers-as-elements","143":"/hwlabnitc.github.io/MIPS/mips.html#one-dimensional-arrays","144":"/hwlabnitc.github.io/MIPS/mips.html#to-calculate-the-sum-of-n-numbers-in-an-n-sized-array","145":"/hwlabnitc.github.io/MIPS/mips.html#to-find-the-largest-element-in-an-n-sized-array","146":"/hwlabnitc.github.io/MIPS/mips.html#string-operations-advanced","147":"/hwlabnitc.github.io/MIPS/mips.html#null-character-and-newline","148":"/hwlabnitc.github.io/MIPS/mips.html#string-traversal","149":"/hwlabnitc.github.io/MIPS/mips.html#string-concatenation","150":"/hwlabnitc.github.io/MIPS/mips.html#palindrome-checking","151":"/hwlabnitc.github.io/MIPS/mips.html#two-dimensional-arrays","152":"/hwlabnitc.github.io/MIPS/mips.html#sample-code","153":"/hwlabnitc.github.io/MIPS/mips.html#pseudo-code","154":"/hwlabnitc.github.io/MIPS/mips.html#mips-code","155":"/hwlabnitc.github.io/MIPS/mips.html#output","156":"/hwlabnitc.github.io/MIPS/mips.html#to-find-maximum-and-minimum-element-in-a-2-d-array","157":"/hwlabnitc.github.io/MIPS/mips.html#pseudo-code-1","158":"/hwlabnitc.github.io/MIPS/mips.html#mips-code-1","159":"/hwlabnitc.github.io/MIPS/mips.html#output-1","160":"/hwlabnitc.github.io/MIPS/mips.html#more-questions","161":"/hwlabnitc.github.io/MIPS/mips.html#references","162":"/hwlabnitc.github.io/about.html#about-us","163":"/hwlabnitc.github.io/about.html#our-philosophy","164":"/hwlabnitc.github.io/about.html#the-computer-science-department","165":"/hwlabnitc.github.io/about.html#the-hardware-lab-course-guide-team","166":"/hwlabnitc.github.io/about.html#faculty-fontawesome-solid-computer","167":"/hwlabnitc.github.io/about.html#the-mips-team-simple-linkedin","168":"/hwlabnitc.github.io/about.html#the-verilog-team-simple-linkedin","169":"/hwlabnitc.github.io/about.html#web-and-repository-maintenance-simple-github","170":"/hwlabnitc.github.io/about.html#join-our-team","171":"/hwlabnitc.github.io/Verilog/SingleCycle.html#single-cycle-processor","172":"/hwlabnitc.github.io/Verilog/SingleCycle.html#table-of-contents","173":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_1-overview","174":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_2-prerequisites","175":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_3-control-unit","176":"/hwlabnitc.github.io/Verilog/SingleCycle.html#instruction-format","177":"/hwlabnitc.github.io/Verilog/SingleCycle.html#main-control-unit-main-decoder","178":"/hwlabnitc.github.io/Verilog/SingleCycle.html#alu-control-unit-alu-decoder","179":"/hwlabnitc.github.io/Verilog/SingleCycle.html#understanding-the-optimal-implementation","180":"/hwlabnitc.github.io/Verilog/SingleCycle.html#alu-control-truth-table","181":"/hwlabnitc.github.io/Verilog/SingleCycle.html#input-output-truth-table","182":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_4-datapath","183":"/hwlabnitc.github.io/Verilog/SingleCycle.html#state-elements","184":"/hwlabnitc.github.io/Verilog/SingleCycle.html#a-instruction-memory","185":"/hwlabnitc.github.io/Verilog/SingleCycle.html#b-register-file","186":"/hwlabnitc.github.io/Verilog/SingleCycle.html#c-alu","187":"/hwlabnitc.github.io/Verilog/SingleCycle.html#d-data-memory","188":"/hwlabnitc.github.io/Verilog/SingleCycle.html#e-sign-extension","189":"/hwlabnitc.github.io/Verilog/SingleCycle.html#the-final-datapath","190":"/hwlabnitc.github.io/Verilog/SingleCycle.html#role-of-multiplexors","191":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_5-implementation","192":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_1-top-level-module","193":"/hwlabnitc.github.io/Verilog/SingleCycle.html#inputs","194":"/hwlabnitc.github.io/Verilog/SingleCycle.html#outputs","195":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_2-data-memory","196":"/hwlabnitc.github.io/Verilog/SingleCycle.html#inputs-1","197":"/hwlabnitc.github.io/Verilog/SingleCycle.html#output","198":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_3-instruction-memory","199":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_4-mips","200":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_5-controller","201":"/hwlabnitc.github.io/Verilog/SingleCycle.html#working","202":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_6-main-decoder","203":"/hwlabnitc.github.io/Verilog/SingleCycle.html#temporary-variables","204":"/hwlabnitc.github.io/Verilog/SingleCycle.html#working-1","205":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_7-alu-decoder","206":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_8-datapath","207":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_9-three-ported-register","208":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_10-some-other-functional-units","209":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_1-adder-module","210":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_2-shift-left-by-2-module","211":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_3-sign-extension-module","212":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_4-d-flip-flop-module-with-variable-width","213":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_5-2-way-mux-module-with-variable-width","214":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_6-32-bit-alu-module","215":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_6-how-to-run-instructions","216":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_7-test-cases-for-various-instructions","217":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_1-add","218":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_2-sub","219":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_3-sw","220":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_4-lw","221":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_5-beq","222":"/hwlabnitc.github.io/Verilog/SingleCycle.html#_8-references","223":"/hwlabnitc.github.io/fsm.html#introduction-to-finite-state-machines","224":"/hwlabnitc.github.io/fsm.html#basic-definition-and-formulations","225":"/hwlabnitc.github.io/fsm.html#state-table","226":"/hwlabnitc.github.io/fsm.html#state-equations","227":"/hwlabnitc.github.io/fsm.html#state-diagram","228":"/hwlabnitc.github.io/fsm.html#types-of-finite-state-machines","229":"/hwlabnitc.github.io/fsm.html#mealy-model","230":"/hwlabnitc.github.io/fsm.html#moore-model","231":"/hwlabnitc.github.io/fsm.html#pre-requisite-verilog-commands","232":"/hwlabnitc.github.io/fsm.html#initial","233":"/hwlabnitc.github.io/fsm.html#always","234":"/hwlabnitc.github.io/fsm.html#begin-end","235":"/hwlabnitc.github.io/fsm.html#fork-join","236":"/hwlabnitc.github.io/fsm.html#blocking-statements","237":"/hwlabnitc.github.io/fsm.html#non-blocking-statements","238":"/hwlabnitc.github.io/fsm.html#posedge-and-negedge","239":"/hwlabnitc.github.io/fsm.html#case-statement","240":"/hwlabnitc.github.io/fsm.html#examples-of-implementing-state-machines-in-verilog","241":"/hwlabnitc.github.io/fsm.html#mealy-machine-implementations","242":"/hwlabnitc.github.io/fsm.html#verilog-code","243":"/hwlabnitc.github.io/fsm.html#testbench","244":"/hwlabnitc.github.io/fsm.html#simulation-waveform","245":"/hwlabnitc.github.io/fsm.html#moore-machine-implememtation","246":"/hwlabnitc.github.io/fsm.html#verilog-code-1","247":"/hwlabnitc.github.io/fsm.html#testbench-1","248":"/hwlabnitc.github.io/fsm.html#simulation-waveform-1","249":"/hwlabnitc.github.io/fsm.html#chess-clock-controller","250":"/hwlabnitc.github.io/fsm.html#verilog-code-2","251":"/hwlabnitc.github.io/fsm.html#testbench-2","252":"/hwlabnitc.github.io/fsm.html#simulation-waveform-2","253":"/hwlabnitc.github.io/fsm.html#vending-machine","254":"/hwlabnitc.github.io/fsm.html#verilog-code-3","255":"/hwlabnitc.github.io/fsm.html#testbench-3","256":"/hwlabnitc.github.io/fsm.html#references","257":"/hwlabnitc.github.io/#welcome-to-the-hardware-lab-guide","258":"/hwlabnitc.github.io/#course-layout","259":"/hwlabnitc.github.io/mips_main.html#welcome-to-the-mips-guide","260":"/hwlabnitc.github.io/mips_main.html#introduction-to-mips","261":"/hwlabnitc.github.io/mips_main.html#basic-integer-and-string-handling","262":"/hwlabnitc.github.io/mips_main.html#floating-point-handling","263":"/hwlabnitc.github.io/mips_main.html#integer-array-handling","264":"/hwlabnitc.github.io/mips_main.html#_2-d-integer-array-handling","265":"/hwlabnitc.github.io/mips_main.html#string-handling-advanced","266":"/hwlabnitc.github.io/registers.html#introduction-to-registers","267":"/hwlabnitc.github.io/registers.html#registers","268":"/hwlabnitc.github.io/registers.html#register-files","269":"/hwlabnitc.github.io/registers.html#shift-registers","270":"/hwlabnitc.github.io/registers.html#some-useful-short-notes","271":"/hwlabnitc.github.io/registers.html#references","272":"/hwlabnitc.github.io/mips.html#mips","273":"/hwlabnitc.github.io/mips.html#setting-up-the-mars-code-editor","274":"/hwlabnitc.github.io/mips.html#introduction-to-mars-simulator","275":"/hwlabnitc.github.io/mips.html#setting-up-java-sdk","276":"/hwlabnitc.github.io/mips.html#downloading-java-sdk-on-macos","277":"/hwlabnitc.github.io/mips.html#downloading-java-sdk-on-windows","278":"/hwlabnitc.github.io/mips.html#downloading-java-sdk-on-windows-1","279":"/hwlabnitc.github.io/mips.html#setting-up-mars-simulator","280":"/hwlabnitc.github.io/mips.html#downloading-mips-mars-simulator-on-macos","281":"/hwlabnitc.github.io/mips.html#downloading-mips-mars-simulator-on-windows","282":"/hwlabnitc.github.io/mips.html#downloading-mips-mars-simulator-on-linux","283":"/hwlabnitc.github.io/mips.html#mars-assembly-and-system-calls","284":"/hwlabnitc.github.io/mips.html#pseudo-instructions","285":"/hwlabnitc.github.io/mips.html#arithmetic-instructions","286":"/hwlabnitc.github.io/mips.html#logical","287":"/hwlabnitc.github.io/mips.html#data-transfer","288":"/hwlabnitc.github.io/mips.html#conditional-branch","289":"/hwlabnitc.github.io/mips.html#comparison","290":"/hwlabnitc.github.io/mips.html#unconditional-jump","291":"/hwlabnitc.github.io/mips.html#system-calls","292":"/hwlabnitc.github.io/mips.html#assembler-directives","293":"/hwlabnitc.github.io/mips.html#registers","294":"/hwlabnitc.github.io/mips.html#mars-mips-assembler-simulator-tutorial","295":"/hwlabnitc.github.io/mips.html#integer-handling","296":"/hwlabnitc.github.io/mips.html#points-to-note","297":"/hwlabnitc.github.io/mips.html#string-operations","298":"/hwlabnitc.github.io/mips.html#points-to-note-1","299":"/hwlabnitc.github.io/mips.html#floating-point-numbers","300":"/hwlabnitc.github.io/mips.html#floating-point-number-representation","301":"/hwlabnitc.github.io/mips.html#mips-floating-point-architecture","302":"/hwlabnitc.github.io/mips.html#floating-point-registers-in-mips","303":"/hwlabnitc.github.io/mips.html#declaring-a-floating-point-number","304":"/hwlabnitc.github.io/mips.html#reading-and-printing-floating-point-numbers","305":"/hwlabnitc.github.io/mips.html#single-precision","306":"/hwlabnitc.github.io/mips.html#double-precision","307":"/hwlabnitc.github.io/mips.html#data-movement-instructions","308":"/hwlabnitc.github.io/mips.html#arithmetic-instructions-1","309":"/hwlabnitc.github.io/mips.html#sample-question-1","310":"/hwlabnitc.github.io/mips.html#comparison-branch-instructions","311":"/hwlabnitc.github.io/mips.html#sample-question-2","312":"/hwlabnitc.github.io/mips.html#data-conversion-instructions","313":"/hwlabnitc.github.io/mips.html#conversion-within-floating-point","314":"/hwlabnitc.github.io/mips.html#conversion-to-integers","315":"/hwlabnitc.github.io/mips.html#conversion-from-integers","316":"/hwlabnitc.github.io/mips.html#special-instructions","317":"/hwlabnitc.github.io/mips.html#sample-question-3","318":"/hwlabnitc.github.io/mips.html#integer-arrays","319":"/hwlabnitc.github.io/mips.html#declaration-and-initialization","320":"/hwlabnitc.github.io/mips.html#array-traversal","321":"/hwlabnitc.github.io/mips.html#array-input","322":"/hwlabnitc.github.io/mips.html#printing-an-integer-array","323":"/hwlabnitc.github.io/mips.html#negative-numbers-as-elements","324":"/hwlabnitc.github.io/mips.html#floating-point-numbers-as-elements","325":"/hwlabnitc.github.io/mips.html#one-dimensional-arrays","326":"/hwlabnitc.github.io/mips.html#to-calculate-the-sum-of-n-numbers-in-an-n-sized-array","327":"/hwlabnitc.github.io/mips.html#to-find-the-largest-element-in-an-n-sized-array","328":"/hwlabnitc.github.io/mips.html#string-operations-advanced","329":"/hwlabnitc.github.io/mips.html#null-character-and-newline","330":"/hwlabnitc.github.io/mips.html#string-traversal","331":"/hwlabnitc.github.io/mips.html#string-concatenation","332":"/hwlabnitc.github.io/mips.html#palindrome-checking","333":"/hwlabnitc.github.io/mips.html#two-dimensional-arrays","334":"/hwlabnitc.github.io/mips.html#sample-code","335":"/hwlabnitc.github.io/mips.html#pseudo-code","336":"/hwlabnitc.github.io/mips.html#mips-code","337":"/hwlabnitc.github.io/mips.html#output","338":"/hwlabnitc.github.io/mips.html#to-find-maximum-and-minimum-element-in-a-2-d-array","339":"/hwlabnitc.github.io/mips.html#pseudo-code-1","340":"/hwlabnitc.github.io/mips.html#mips-code-1","341":"/hwlabnitc.github.io/mips.html#output-1","342":"/hwlabnitc.github.io/mips.html#more-questions","343":"/hwlabnitc.github.io/mips.html#references","344":"/hwlabnitc.github.io/verilog_main.html#welcome-to-the-verilog-guide","345":"/hwlabnitc.github.io/verilog_main.html#introduction-to-verilog","346":"/hwlabnitc.github.io/verilog_main.html#finite-state-machines","347":"/hwlabnitc.github.io/verilog_main.html#single-cycle-mips-processor"},"fieldIds":{"title":0,"titles":1,"text":2},"fieldLength":{"0":[3,1,9],"1":[4,3,5],"2":[5,1,9],"3":[4,5,11],"4":[3,1,20],"5":[3,1,38],"6":[5,3,21],"7":[4,3,19],"8":[1,1,1],"9":[3,1,183],"10":[2,4,38],"11":[3,4,77],"12":[2,1,1],"13":[2,3,1],"14":[1,5,37],"15":[1,5,29],"16":[5,3,1],"17":[1,8,50],"18":[2,3,1],"19":[1,5,44],"20":[2,1,1],"21":[2,5,25],"22":[2,5,39],"23":[3,1,85],"24":[4,3,1],"25":[2,7,53],"26":[2,7,54],"27":[3,7,67],"28":[4,7,62],"29":[2,7,40],"30":[2,7,43],"31":[4,7,67],"32":[1,3,1],"33":[2,4,55],"34":[2,4,77],"35":[5,4,85],"36":[2,4,89],"37":[2,3,15],"38":[3,5,57],"39":[3,5,59],"40":[3,5,58],"41":[3,5,67],"42":[1,3,1],"43":[3,4,66],"44":[3,4,58],"45":[1,3,1],"46":[3,4,37],"47":[2,3,95],"48":[2,3,1],"49":[5,5,24],"50":[3,5,43],"51":[3,5,39],"52":[1,3,98],"53":[1,3,39],"54":[3,4,92],"55":[12,4,45],"56":[2,4,1],"57":[4,6,29],"58":[6,6,50],"59":[6,6,28],"60":[5,6,27],"61":[1,3,42],"62":[3,4,73],"63":[3,4,75],"64":[5,4,91],"65":[6,4,45],"66":[5,4,95],"67":[5,3,179],"68":[1,3,23],"69":[2,4,81],"70":[1,3,31],"71":[4,4,24],"72":[7,4,59],"73":[6,1,1],"74":[12,6,1],"75":[15,6,1],"76":[9,6,1],"77":[17,6,1],"78":[16,6,1],"79":[20,6,1],"80":[24,6,1],"81":[15,6,1],"82":[19,6,1],"83":[20,6,1],"84":[19,6,1],"85":[25,6,1],"86":[1,6,25],"87":[3,1,42],"88":[3,1,29],"89":[4,1,31],"90":[1,1,1],"91":[6,1,1],"92":[4,7,14],"93":[4,10,11],"94":[6,12,90],"95":[6,12,97],"96":[6,12,118],"97":[4,10,38],"98":[7,11,97],"99":[7,11,109],"100":[7,11,103],"101":[5,7,103],"102":[2,13,99],"103":[2,13,61],"104":[1,11,35],"105":[2,11,65],"106":[2,11,35],"107":[1,11,30],"108":[2,11,34],"109":[2,11,108],"110":[2,11,65],"111":[1,11,51],"112":[5,11,298],"113":[2,1,233],"114":[3,3,125],"115":[2,1,137],"116":[3,3,62],"117":[3,1,21],"118":[4,4,101],"119":[4,4,67],"120":[5,7,70],"121":[5,4,23],"122":[6,4,26],"123":[2,9,32],"124":[2,9,34],"125":[3,4,65],"126":[2,4,52],"127":[3,4,72],"128":[3,1,87],"129":[3,4,123],"130":[3,4,1],"131":[4,6,21],"132":[3,4,61],"133":[3,7,31],"134":[2,4,38],"135":[3,4,112],"136":[2,1,28],"137":[3,3,33],"138":[2,3,57],"139":[2,3,89],"140":[4,3,79],"141":[4,3,37],"142":[5,3,74],"143":[3,3,1],"144":[11,5,38],"145":[10,5,46],"146":[4,1,1],"147":[4,5,51],"148":[2,5,69],"149":[2,5,65],"150":[2,5,68],"151":[3,1,65],"152":[2,4,32],"153":[4,4,44],"154":[4,4,141],"155":[1,4,19],"156":[13,4,30],"157":[4,16,51],"158":[4,4,160],"159":[1,4,25],"160":[2,4,531],"161":[1,4,58],"162":[2,1,37],"163":[2,2,36],"164":[4,2,50],"165":[6,2,1],"166":[5,2,7],"167":[6,7,13],"168":[6,7,11],"169":[7,7,5],"170":[3,2,71],"171":[3,1,1],"172":[3,3,61],"173":[2,3,114],"174":[2,3,106],"175":[3,3,67],"176":[2,6,90],"177":[5,6,155],"178":[5,6,73],"179":[5,9,32],"180":[4,9,1],"181":[4,9,1],"182":[2,3,89],"183":[2,5,12],"184":[3,7,41],"185":[3,7,52],"186":[2,7,39],"187":[3,7,47],"188":[3,7,226],"189":[3,5,74],"190":[3,7,148],"191":[2,3,1],"192":[4,5,56],"193":[1,9,11],"194":[1,9,55],"195":[3,5,37],"196":[2,8,25],"197":[2,8,40],"198":[3,5,91],"199":[2,5,94],"200":[2,5,48],"201":[1,6,36],"202":[3,5,73],"203":[2,5,17],"204":[1,7,57],"205":[3,3,95],"206":[2,3,146],"207":[4,5,73],"208":[5,3,22],"209":[3,8,14],"210":[5,8,16],"211":[4,8,28],"212":[9,8,23],"213":[9,8,17],"214":[5,8,68],"215":[5,3,133],"216":[6,3,13],"217":[2,9,92],"218":[2,9,90],"219":[2,9,80],"220":[2,9,86],"221":[2,9,97],"222":[2,3,35],"223":[5,1,1],"224":[5,5,94],"225":[2,10,58],"226":[2,10,82],"227":[2,10,88],"228":[6,5,1],"229":[2,8,63],"230":[2,8,47],"231":[5,5,1],"232":[1,10,79],"233":[1,10,110],"234":[2,10,48],"235":[2,10,40],"236":[2,10,92],"237":[3,10,84],"238":[3,10,59],"239":[2,10,98],"240":[8,5,73],"241":[3,11,35],"242":[3,11,43],"243":[2,11,50],"244":[3,11,1],"245":[3,11,29],"246":[3,11,40],"247":[2,11,52],"248":[3,11,1],"249":[3,11,172],"250":[3,11,55],"251":[2,11,44],"252":[3,11,1],"253":[2,11,64],"254":[2,11,73],"255":[2,11,112],"256":[1,5,46],"257":[6,1,87],"258":[2,6,84],"259":[5,1,7],"260":[3,5,20],"261":[5,5,27],"262":[3,5,25],"263":[3,5,42],"264":[5,5,26],"265":[4,5,21],"266":[3,1,1],"267":[1,3,265],"268":[2,3,206],"269":[2,3,220],"270":[4,3,83],"271":[1,3,24],"272":[1,1,1],"273":[6,1,1],"274":[4,7,14],"275":[4,10,11],"276":[6,12,90],"277":[6,12,97],"278":[6,12,118],"279":[4,10,38],"280":[7,11,97],"281":[7,11,109],"282":[7,11,103],"283":[5,7,103],"284":[2,13,99],"285":[2,13,61],"286":[1,11,35],"287":[2,11,65],"288":[2,11,35],"289":[1,11,30],"290":[2,11,34],"291":[2,11,108],"292":[2,11,65],"293":[1,11,51],"294":[5,11,298],"295":[2,1,233],"296":[3,3,125],"297":[2,1,137],"298":[3,3,62],"299":[3,1,21],"300":[4,4,101],"301":[4,4,67],"302":[5,7,70],"303":[5,4,23],"304":[6,4,26],"305":[2,9,32],"306":[2,9,34],"307":[3,4,65],"308":[2,4,52],"309":[3,4,72],"310":[3,1,87],"311":[3,4,123],"312":[3,4,1],"313":[4,6,21],"314":[3,4,61],"315":[3,7,31],"316":[2,4,38],"317":[3,4,112],"318":[2,1,28],"319":[3,3,33],"320":[2,3,57],"321":[2,3,89],"322":[4,3,79],"323":[4,3,37],"324":[5,3,74],"325":[3,3,1],"326":[11,5,38],"327":[10,5,46],"328":[4,1,1],"329":[4,5,51],"330":[2,5,69],"331":[2,5,65],"332":[2,5,68],"333":[3,1,65],"334":[2,4,32],"335":[4,4,44],"336":[4,4,141],"337":[1,4,19],"338":[13,4,30],"339":[4,16,51],"340":[4,4,160],"341":[1,4,25],"342":[2,4,531],"343":[1,4,58],"344":[5,1,52],"345":[3,5,45],"346":[3,5,32],"347":[4,5,35]},"averageFieldLength":[3.813218390804599,5.663793103448272,58.5977011494253],"storedFields":{"0":{"title":"Introduction to MIPS","titles":[]},"1":{"title":"Setting up MARS:","titles":["Introduction to MIPS"]},"2":{"title":"Basic Integer and String Handling","titles":[]},"3":{"title":"Sample Code Bank:","titles":["Basic Integer and String Handling"]},"4":{"title":"Floating Point Handling","titles":[]},"5":{"title":"Integer Array Handling","titles":[]},"6":{"title":"2-D Integer Array Handling","titles":["Integer Array Handling"]},"7":{"title":"String Handling (advanced)","titles":["Integer Array Handling"]},"8":{"title":"INTRODUCTION","titles":[]},"9":{"title":"Table of Contents","titles":["INTRODUCTION"]},"10":{"title":"Behavioral:","titles":["INTRODUCTION","Table of Contents"]},"11":{"title":"Data-Flow:","titles":["INTRODUCTION","Table of Contents"]},"12":{"title":"Functional Differences","titles":["INTRODUCTION"]},"13":{"title":"Behavioral Level","titles":["INTRODUCTION","Functional Differences"]},"14":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Behavioral Level"]},"15":{"title":"Cons","titles":["INTRODUCTION","Functional Differences","Behavioral Level"]},"16":{"title":"Register Transfer Level (RTL)","titles":["INTRODUCTION","Functional Differences"]},"17":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Register Transfer Level (RTL)"]},"18":{"title":"Gate Level","titles":["INTRODUCTION","Functional Differences"]},"19":{"title":"Pros","titles":["INTRODUCTION","Functional Differences","Gate Level"]},"20":{"title":"VERILOG SYNTAX","titles":["INTRODUCTION"]},"21":{"title":"CODE:","titles":["INTRODUCTION","VERILOG SYNTAX","Gate Level"]},"22":{"title":"TESTBENCH:","titles":["INTRODUCTION","VERILOG SYNTAX","Gate Level"]},"23":{"title":"BASIC LOGIC GATES","titles":[]},"24":{"title":"Binary Adders and Subtractors","titles":["BASIC LOGIC GATES"]},"25":{"title":"HALF ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"26":{"title":"FULL ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"27":{"title":"RIPPLE CARRY ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"28":{"title":"CARRY LOOK AHEAD ADDER","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"29":{"title":"HALF SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"30":{"title":"FULL SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"31":{"title":"BINARY ADDER AND SUBTRACTOR","titles":["BASIC LOGIC GATES","Binary Adders and Subtractors"]},"32":{"title":"LATCHES","titles":["BASIC LOGIC GATES"]},"33":{"title":"SR LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"34":{"title":"S̅R̅ LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"35":{"title":"SR LATCH WITH CONTROL INPUT","titles":["BASIC LOGIC GATES","LATCHES"]},"36":{"title":"D LATCH","titles":["BASIC LOGIC GATES","LATCHES"]},"37":{"title":"FLIP FLOPS","titles":["BASIC LOGIC GATES"]},"38":{"title":"SR FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"39":{"title":"JK FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"40":{"title":"D FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"41":{"title":"T FLIP-FLOP","titles":["BASIC LOGIC GATES","FLIP FLOPS"]},"42":{"title":"MULTIPLEXERS","titles":["BASIC LOGIC GATES"]},"43":{"title":"2-1 MUX","titles":["BASIC LOGIC GATES","MULTIPLEXERS"]},"44":{"title":"4-1 MUX","titles":["BASIC LOGIC GATES","MULTIPLEXERS"]},"45":{"title":"DEMULTIPLEXERS","titles":["BASIC LOGIC GATES"]},"46":{"title":"1:2 DEMUX","titles":["BASIC LOGIC GATES","DEMULTIPLEXERS"]},"47":{"title":"PARITY GENERATOR","titles":["BASIC LOGIC GATES"]},"48":{"title":"CODE CONVERTER","titles":["BASIC LOGIC GATES"]},"49":{"title":"BCD to Binary Code converter","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"50":{"title":"Binary to Gray","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"51":{"title":"Gray to Binary","titles":["BASIC LOGIC GATES","CODE CONVERTER"]},"52":{"title":"COMPARATORS","titles":["BASIC LOGIC GATES"]},"53":{"title":"Registers","titles":["BASIC LOGIC GATES"]},"54":{"title":"Four‐bit register","titles":["BASIC LOGIC GATES","Registers"]},"55":{"title":"Four bit register with parallel load**Gi = Ai AND Bi **","titles":["BASIC LOGIC GATES","Registers"]},"56":{"title":"SHIFT REGISTERS","titles":["BASIC LOGIC GATES","Registers"]},"57":{"title":"SERIAL IN/SERIAL OUT REGISTERS","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"58":{"title":"Serial In/Parallel Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"59":{"title":"Parallel In/Serial Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"60":{"title":"Parallel In/Parallel Out Shift Registers","titles":["BASIC LOGIC GATES","Registers","SHIFT REGISTERS"]},"61":{"title":"COUNTERS","titles":["BASIC LOGIC GATES"]},"62":{"title":"BINARY RIPPLE COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"63":{"title":"BCD RIPPLE COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"64":{"title":"4 BIT SYNCHRONOUS BINARY COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"65":{"title":"FOUR BIT UP-DOWN BINARY COUNTER","titles":["BASIC LOGIC GATES","COUNTERS"]},"66":{"title":"BINARY COUNTER WITH PARALLEL LOAD","titles":["BASIC LOGIC GATES","COUNTERS"]},"67":{"title":"ARITHMETIC LOGIC UNIT (ALU)","titles":["BASIC LOGIC GATES"]},"68":{"title":"ENCODER","titles":["BASIC LOGIC GATES"]},"69":{"title":"PRIORITY ENCODER","titles":["BASIC LOGIC GATES","ENCODER"]},"70":{"title":"DECODER","titles":["BASIC LOGIC GATES"]},"71":{"title":"3-8 LINE DECODER","titles":["BASIC LOGIC GATES","DECODER"]},"72":{"title":"2-4 LINE DECODER WITH ENABLE INPUT","titles":["BASIC LOGIC GATES","DECODER"]},"73":{"title":"HOW TO MAKE A VERILOG PROGRAM","titles":[]},"74":{"title":"Create a New Project in your own version of Quartus Prime.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"75":{"title":"Select an appropriate directory for your Verilog project files and create an empty project file template.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"76":{"title":"Use the 10M50DAF484C7G Board from the MAX 10 Family.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"77":{"title":"Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"78":{"title":"Click on the file icon in the top left corner and create a new Verilog HDL file.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"79":{"title":"When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"80":{"title":"Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"81":{"title":"Change the NativeLink Setting to Compile Test Bench and select the Test Bench file for your program.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"82":{"title":"Create a new Test Bench if you are creating one for a new program that has not been made before.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"83":{"title":"Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"84":{"title":"After setting your testbench, you can now run and compile your program by clicking on the third play button.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"85":{"title":"You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"86":{"title":"BIBLIOGRAPHY","titles":["HOW TO MAKE A VERILOG PROGRAM"]},"87":{"title":"Introduction to Verilog","titles":[]},"88":{"title":"Finite State Machines","titles":[]},"89":{"title":"Single Cycle MIPS Processor","titles":[]},"90":{"title":"MIPS","titles":[]},"91":{"title":"Setting up the MARS Code Editor","titles":["MIPS"]},"92":{"title":"Introduction to MARS Simulator","titles":["MIPS","Setting up the MARS Code Editor"]},"93":{"title":"Setting up JAVA SDK","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator"]},"94":{"title":"Downloading Java SDK on MacOS:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"95":{"title":"Downloading Java SDK on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"96":{"title":"Downloading Java SDK on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"97":{"title":"Setting Up MARS Simulator","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator"]},"98":{"title":"Downloading MIPS MARS Simulator on macOS:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"99":{"title":"Downloading MIPS MARS Simulator on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"100":{"title":"Downloading MIPS MARS Simulator on Linux:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"101":{"title":"MARS ASSEMBLY AND SYSTEM CALLS","titles":["MIPS","Setting up the MARS Code Editor"]},"102":{"title":"Pseudo instructions","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS","Setting Up MARS Simulator"]},"103":{"title":"Arithmetic Instructions","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS","Setting Up MARS Simulator"]},"104":{"title":"Logical","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"105":{"title":"Data Transfer","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"106":{"title":"Conditional Branch","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"107":{"title":"Comparison","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"108":{"title":"Unconditional Jump","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"109":{"title":"System Calls","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"110":{"title":"Assembler Directives","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"111":{"title":"Registers","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"112":{"title":"MARS(MIPS Assembler/Simulator) Tutorial","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"113":{"title":"Integer Handling","titles":["MIPS"]},"114":{"title":"Points To Note","titles":["MIPS","Integer Handling"]},"115":{"title":"String Operations","titles":["MIPS"]},"116":{"title":"Points To Note","titles":["MIPS","String Operations"]},"117":{"title":"Floating Point Numbers","titles":["MIPS"]},"118":{"title":"Floating point number representation","titles":["MIPS","Floating Point Numbers"]},"119":{"title":"MIPS floating point architecture","titles":["MIPS","Floating Point Numbers"]},"120":{"title":"Floating point registers in MIPS","titles":["MIPS","Floating Point Numbers","MIPS floating point architecture"]},"121":{"title":"Declaring a Floating point number","titles":["MIPS","Floating Point Numbers"]},"122":{"title":"Reading and Printing Floating point numbers","titles":["MIPS","Floating Point Numbers"]},"123":{"title":"Single precision","titles":["MIPS","Floating Point Numbers","Reading and Printing Floating point numbers"]},"124":{"title":"Double precision","titles":["MIPS","Floating Point Numbers","Reading and Printing Floating point numbers"]},"125":{"title":"Data Movement Instructions","titles":["MIPS","Floating Point Numbers"]},"126":{"title":"Arithmetic Instructions","titles":["MIPS","Floating Point Numbers"]},"127":{"title":"Sample Question 1","titles":["MIPS","Floating Point Numbers"]},"128":{"title":"Comparison/Branch Instructions","titles":["MIPS"]},"129":{"title":"Sample Question 2","titles":["MIPS","Comparison/Branch Instructions"]},"130":{"title":"Data Conversion Instructions","titles":["MIPS","Comparison/Branch Instructions"]},"131":{"title":"Conversion within floating point","titles":["MIPS","Comparison/Branch Instructions","Data Conversion Instructions"]},"132":{"title":"Conversion to integers","titles":["MIPS","Comparison/Branch Instructions"]},"133":{"title":"Conversion from integers","titles":["MIPS","Comparison/Branch Instructions","Conversion to integers"]},"134":{"title":"Special Instructions","titles":["MIPS","Comparison/Branch Instructions"]},"135":{"title":"Sample Question 3","titles":["MIPS","Comparison/Branch Instructions"]},"136":{"title":"Integer Arrays","titles":["MIPS"]},"137":{"title":"Declaration and Initialization","titles":["MIPS","Integer Arrays"]},"138":{"title":"Array Traversal","titles":["MIPS","Integer Arrays"]},"139":{"title":"Array Input","titles":["MIPS","Integer Arrays"]},"140":{"title":"Printing an Integer Array","titles":["MIPS","Integer Arrays"]},"141":{"title":"Negative Numbers as Elements","titles":["MIPS","Integer Arrays"]},"142":{"title":"Floating Point Numbers as Elements","titles":["MIPS","Integer Arrays"]},"143":{"title":"One Dimensional Arrays","titles":["MIPS","Integer Arrays"]},"144":{"title":"To calculate the sum of n numbers in an n sized array","titles":["MIPS","Integer Arrays","One Dimensional Arrays"]},"145":{"title":"To find the largest element in an n sized array","titles":["MIPS","Integer Arrays","One Dimensional Arrays"]},"146":{"title":"String Operations (Advanced)","titles":["MIPS"]},"147":{"title":"Null Character and Newline","titles":["MIPS","String Operations (Advanced)"]},"148":{"title":"String Traversal","titles":["MIPS","String Operations (Advanced)"]},"149":{"title":"String Concatenation","titles":["MIPS","String Operations (Advanced)"]},"150":{"title":"Palindrome Checking","titles":["MIPS","String Operations (Advanced)"]},"151":{"title":"Two Dimensional Arrays","titles":["MIPS"]},"152":{"title":"Sample code","titles":["MIPS","Two Dimensional Arrays"]},"153":{"title":"Pseudo code->","titles":["MIPS","Two Dimensional Arrays"]},"154":{"title":"MIPS Code->","titles":["MIPS","Two Dimensional Arrays"]},"155":{"title":"Output","titles":["MIPS","Two Dimensional Arrays"]},"156":{"title":"->To find maximum and minimum element in a 2-D array","titles":["MIPS","Two Dimensional Arrays"]},"157":{"title":"Pseudo code->","titles":["MIPS","Two Dimensional Arrays","->To find maximum and minimum element in a 2-D array"]},"158":{"title":"MIPS Code->","titles":["MIPS","Two Dimensional Arrays"]},"159":{"title":"Output","titles":["MIPS","Two Dimensional Arrays"]},"160":{"title":"More Questions","titles":["MIPS","Two Dimensional Arrays"]},"161":{"title":"References","titles":["MIPS","Two Dimensional Arrays"]},"162":{"title":"About Us","titles":[]},"163":{"title":"Our Philosophy","titles":["About Us"]},"164":{"title":"The Computer Science Department","titles":["About Us"]},"165":{"title":"The Hardware Lab Course Guide Team","titles":["About Us"]},"166":{"title":"Faculty :fontawesome-solid-computer:","titles":["About Us"]},"167":{"title":"The MIPS Team :simple-linkedin:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"168":{"title":"The Verilog Team :simple-linkedin:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"169":{"title":"Web and Repository Maintenance :simple-github:","titles":["About Us","Faculty :fontawesome-solid-computer:"]},"170":{"title":"Join Our Team","titles":["About Us"]},"171":{"title":"Single Cycle Processor","titles":[]},"172":{"title":"Table of Contents","titles":["Single Cycle Processor"]},"173":{"title":"1. Overview","titles":["Single Cycle Processor"]},"174":{"title":"2. Prerequisites","titles":["Single Cycle Processor"]},"175":{"title":"3. Control Unit","titles":["Single Cycle Processor"]},"176":{"title":"Instruction Format","titles":["Single Cycle Processor","3. Control Unit"]},"177":{"title":"Main Control Unit (Main Decoder)","titles":["Single Cycle Processor","3. Control Unit"]},"178":{"title":"ALU Control Unit (ALU Decoder)","titles":["Single Cycle Processor","3. Control Unit"]},"179":{"title":"Understanding the optimal implementation:","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"180":{"title":"ALU Control Truth Table","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"181":{"title":"Input-Output Truth Table","titles":["Single Cycle Processor","3. Control Unit","ALU Control Unit (ALU Decoder)"]},"182":{"title":"4. Datapath","titles":["Single Cycle Processor"]},"183":{"title":"State elements","titles":["Single Cycle Processor","4. Datapath"]},"184":{"title":"a Instruction Memory","titles":["Single Cycle Processor","4. Datapath","State elements"]},"185":{"title":"b Register File","titles":["Single Cycle Processor","4. Datapath","State elements"]},"186":{"title":"c) ALU","titles":["Single Cycle Processor","4. Datapath","State elements"]},"187":{"title":"d Data Memory","titles":["Single Cycle Processor","4. Datapath","State elements"]},"188":{"title":"e) Sign extension","titles":["Single Cycle Processor","4. Datapath","State elements"]},"189":{"title":"The Final Datapath","titles":["Single Cycle Processor","4. Datapath"]},"190":{"title":"Role of Multiplexors","titles":["Single Cycle Processor","4. Datapath","The Final Datapath"]},"191":{"title":"5. Implementation","titles":["Single Cycle Processor"]},"192":{"title":"1. Top level module","titles":["Single Cycle Processor","5. Implementation"]},"193":{"title":"Inputs","titles":["Single Cycle Processor","5. Implementation","1. Top level module"]},"194":{"title":"Outputs","titles":["Single Cycle Processor","5. Implementation","1. Top level module"]},"195":{"title":"2. Data Memory","titles":["Single Cycle Processor","5. Implementation"]},"196":{"title":"Inputs-","titles":["Single Cycle Processor","5. Implementation","2. Data Memory"]},"197":{"title":"Output-","titles":["Single Cycle Processor","5. Implementation","2. Data Memory"]},"198":{"title":"3. Instruction Memory","titles":["Single Cycle Processor","5. Implementation"]},"199":{"title":"4.MIPS","titles":["Single Cycle Processor","5. Implementation"]},"200":{"title":"5. Controller","titles":["Single Cycle Processor","5. Implementation"]},"201":{"title":"Working","titles":["Single Cycle Processor","5. Implementation","5. Controller"]},"202":{"title":"6. Main Decoder","titles":["Single Cycle Processor","5. Implementation"]},"203":{"title":"Temporary Variables","titles":["Single Cycle Processor","5. Implementation"]},"204":{"title":"Working","titles":["Single Cycle Processor","5. Implementation","Temporary Variables"]},"205":{"title":"7. ALU Decoder","titles":["Single Cycle Processor"]},"206":{"title":"8. Datapath","titles":["Single Cycle Processor"]},"207":{"title":"9. Three ported Register","titles":["Single Cycle Processor","8. Datapath"]},"208":{"title":"10. Some Other Functional Units","titles":["Single Cycle Processor"]},"209":{"title":"1. ADDER MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"210":{"title":"2. SHIFT LEFT BY 2 MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"211":{"title":"3. SIGN EXTENSION MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"212":{"title":"4. D FLIP FLOP MODULE (with variable width)","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"213":{"title":"5. 2 WAY MUX MODULE (with variable width)","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"214":{"title":"6. 32 BIT ALU MODULE","titles":["Single Cycle Processor","10. Some Other Functional Units"]},"215":{"title":"6. How to Run Instructions","titles":["Single Cycle Processor"]},"216":{"title":"7. Test cases for Various Instructions","titles":["Single Cycle Processor"]},"217":{"title":"1. add","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"218":{"title":"2. sub","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"219":{"title":"3. sw","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"220":{"title":"4. lw","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"221":{"title":"5. beq","titles":["Single Cycle Processor","7. Test cases for Various Instructions"]},"222":{"title":"8. References","titles":["Single Cycle Processor"]},"223":{"title":"Introduction To Finite State Machines","titles":[]},"224":{"title":"Basic Definition And Formulations:","titles":["Introduction To Finite State Machines"]},"225":{"title":"State Table","titles":["Introduction To Finite State Machines","Basic Definition And Formulations:"]},"226":{"title":"State Equations","titles":["Introduction To Finite State Machines","Basic Definition And Formulations:"]},"227":{"title":"State Diagram","titles":["Introduction To Finite State Machines","Basic Definition And Formulations:"]},"228":{"title":"Types of Finite State Machines:","titles":["Introduction To Finite State Machines"]},"229":{"title":"Mealy Model","titles":["Introduction To Finite State Machines","Types of Finite State Machines:"]},"230":{"title":"Moore Model","titles":["Introduction To Finite State Machines","Types of Finite State Machines:"]},"231":{"title":"Pre-Requisite Verilog Commands:","titles":["Introduction To Finite State Machines"]},"232":{"title":"Initial","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"233":{"title":"Always","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"234":{"title":"Begin..End","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"235":{"title":"Fork..Join","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"236":{"title":"Blocking Statements","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"237":{"title":"Non-Blocking Statements","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"238":{"title":"Posedge and Negedge","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"239":{"title":"Case Statement","titles":["Introduction To Finite State Machines","Pre-Requisite Verilog Commands:"]},"240":{"title":"Examples of Implementing State Machines in Verilog:","titles":["Introduction To Finite State Machines"]},"241":{"title":"Mealy Machine Implementations","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"242":{"title":"Verilog Code:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"243":{"title":"TestBench:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"244":{"title":"Simulation Waveform:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"245":{"title":"Moore Machine Implememtation","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"246":{"title":"Verilog Code:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"247":{"title":"Testbench:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"248":{"title":"Simulation Waveform:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"249":{"title":"Chess Clock Controller","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"250":{"title":"Verilog Code:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"251":{"title":"Testbench:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"252":{"title":"Simulation Waveform:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"253":{"title":"Vending Machine","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"254":{"title":"Verilog Code","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"255":{"title":"TestBench:","titles":["Introduction To Finite State Machines","Examples of Implementing State Machines in Verilog:"]},"256":{"title":"References","titles":["Introduction To Finite State Machines"]},"257":{"title":"Welcome to the Hardware Lab Guide","titles":[]},"258":{"title":"Course Layout","titles":["Welcome to the Hardware Lab Guide"]},"259":{"title":"Welcome to the MIPS Guide","titles":[]},"260":{"title":"Introduction to MIPS","titles":["Welcome to the MIPS Guide"]},"261":{"title":"Basic Integer and String Handling","titles":["Welcome to the MIPS Guide"]},"262":{"title":"Floating Point Handling","titles":["Welcome to the MIPS Guide"]},"263":{"title":"Integer Array Handling","titles":["Welcome to the MIPS Guide"]},"264":{"title":"2-D Integer Array Handling","titles":["Welcome to the MIPS Guide"]},"265":{"title":"String Handling (advanced)","titles":["Welcome to the MIPS Guide"]},"266":{"title":"Introduction To Registers","titles":[]},"267":{"title":"Registers","titles":["Introduction To Registers"]},"268":{"title":"Register Files","titles":["Introduction To Registers"]},"269":{"title":"Shift Registers","titles":["Introduction To Registers"]},"270":{"title":"Some useful short notes","titles":["Introduction To Registers"]},"271":{"title":"References","titles":["Introduction To Registers"]},"272":{"title":"MIPS","titles":[]},"273":{"title":"Setting up the MARS Code Editor","titles":["MIPS"]},"274":{"title":"Introduction to MARS Simulator","titles":["MIPS","Setting up the MARS Code Editor"]},"275":{"title":"Setting up JAVA SDK","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator"]},"276":{"title":"Downloading Java SDK on MacOS:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"277":{"title":"Downloading Java SDK on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"278":{"title":"Downloading Java SDK on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting up JAVA SDK"]},"279":{"title":"Setting Up MARS Simulator","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator"]},"280":{"title":"Downloading MIPS MARS Simulator on macOS:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"281":{"title":"Downloading MIPS MARS Simulator on Windows:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"282":{"title":"Downloading MIPS MARS Simulator on Linux:","titles":["MIPS","Setting up the MARS Code Editor","Introduction to MARS Simulator","Setting Up MARS Simulator"]},"283":{"title":"MARS ASSEMBLY AND SYSTEM CALLS","titles":["MIPS","Setting up the MARS Code Editor"]},"284":{"title":"Pseudo instructions","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS","Setting Up MARS Simulator"]},"285":{"title":"Arithmetic Instructions","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS","Setting Up MARS Simulator"]},"286":{"title":"Logical","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"287":{"title":"Data Transfer","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"288":{"title":"Conditional Branch","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"289":{"title":"Comparison","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"290":{"title":"Unconditional Jump","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"291":{"title":"System Calls","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"292":{"title":"Assembler Directives","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"293":{"title":"Registers","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"294":{"title":"MARS(MIPS Assembler/Simulator) Tutorial","titles":["MIPS","Setting up the MARS Code Editor","MARS ASSEMBLY AND SYSTEM CALLS"]},"295":{"title":"Integer Handling","titles":["MIPS"]},"296":{"title":"Points To Note","titles":["MIPS","Integer Handling"]},"297":{"title":"String Operations","titles":["MIPS"]},"298":{"title":"Points To Note","titles":["MIPS","String Operations"]},"299":{"title":"Floating Point Numbers","titles":["MIPS"]},"300":{"title":"Floating point number representation","titles":["MIPS","Floating Point Numbers"]},"301":{"title":"MIPS floating point architecture","titles":["MIPS","Floating Point Numbers"]},"302":{"title":"Floating point registers in MIPS","titles":["MIPS","Floating Point Numbers","MIPS floating point architecture"]},"303":{"title":"Declaring a Floating point number","titles":["MIPS","Floating Point Numbers"]},"304":{"title":"Reading and Printing Floating point numbers","titles":["MIPS","Floating Point Numbers"]},"305":{"title":"Single precision","titles":["MIPS","Floating Point Numbers","Reading and Printing Floating point numbers"]},"306":{"title":"Double precision","titles":["MIPS","Floating Point Numbers","Reading and Printing Floating point numbers"]},"307":{"title":"Data Movement Instructions","titles":["MIPS","Floating Point Numbers"]},"308":{"title":"Arithmetic Instructions","titles":["MIPS","Floating Point Numbers"]},"309":{"title":"Sample Question 1","titles":["MIPS","Floating Point Numbers"]},"310":{"title":"Comparison/Branch Instructions","titles":["MIPS"]},"311":{"title":"Sample Question 2","titles":["MIPS","Comparison/Branch Instructions"]},"312":{"title":"Data Conversion Instructions","titles":["MIPS","Comparison/Branch Instructions"]},"313":{"title":"Conversion within floating point","titles":["MIPS","Comparison/Branch Instructions","Data Conversion Instructions"]},"314":{"title":"Conversion to integers","titles":["MIPS","Comparison/Branch Instructions"]},"315":{"title":"Conversion from integers","titles":["MIPS","Comparison/Branch Instructions","Conversion to integers"]},"316":{"title":"Special Instructions","titles":["MIPS","Comparison/Branch Instructions"]},"317":{"title":"Sample Question 3","titles":["MIPS","Comparison/Branch Instructions"]},"318":{"title":"Integer Arrays","titles":["MIPS"]},"319":{"title":"Declaration and Initialization","titles":["MIPS","Integer Arrays"]},"320":{"title":"Array Traversal","titles":["MIPS","Integer Arrays"]},"321":{"title":"Array Input","titles":["MIPS","Integer Arrays"]},"322":{"title":"Printing an Integer Array","titles":["MIPS","Integer Arrays"]},"323":{"title":"Negative Numbers as Elements","titles":["MIPS","Integer Arrays"]},"324":{"title":"Floating Point Numbers as Elements","titles":["MIPS","Integer Arrays"]},"325":{"title":"One Dimensional Arrays","titles":["MIPS","Integer Arrays"]},"326":{"title":"To calculate the sum of n numbers in an n sized array","titles":["MIPS","Integer Arrays","One Dimensional Arrays"]},"327":{"title":"To find the largest element in an n sized array","titles":["MIPS","Integer Arrays","One Dimensional Arrays"]},"328":{"title":"String Operations (Advanced)","titles":["MIPS"]},"329":{"title":"Null Character and Newline","titles":["MIPS","String Operations (Advanced)"]},"330":{"title":"String Traversal","titles":["MIPS","String Operations (Advanced)"]},"331":{"title":"String Concatenation","titles":["MIPS","String Operations (Advanced)"]},"332":{"title":"Palindrome Checking","titles":["MIPS","String Operations (Advanced)"]},"333":{"title":"Two Dimensional Arrays","titles":["MIPS"]},"334":{"title":"Sample code","titles":["MIPS","Two Dimensional Arrays"]},"335":{"title":"Pseudo code->","titles":["MIPS","Two Dimensional Arrays"]},"336":{"title":"MIPS Code->","titles":["MIPS","Two Dimensional Arrays"]},"337":{"title":"Output","titles":["MIPS","Two Dimensional Arrays"]},"338":{"title":"->To find maximum and minimum element in a 2-D array","titles":["MIPS","Two Dimensional Arrays"]},"339":{"title":"Pseudo code->","titles":["MIPS","Two Dimensional Arrays","->To find maximum and minimum element in a 2-D array"]},"340":{"title":"MIPS Code->","titles":["MIPS","Two Dimensional Arrays"]},"341":{"title":"Output","titles":["MIPS","Two Dimensional Arrays"]},"342":{"title":"More Questions","titles":["MIPS","Two Dimensional Arrays"]},"343":{"title":"References","titles":["MIPS","Two Dimensional Arrays"]},"344":{"title":"Welcome to the Verilog Guide","titles":[]},"345":{"title":"Introduction to Verilog","titles":["Welcome to the Verilog Guide"]},"346":{"title":"Finite State Machines","titles":["Welcome to the Verilog Guide"]},"347":{"title":"Single Cycle MIPS Processor","titles":["Welcome to the Verilog Guide"]}},"dirtCount":0,"index":[["↑",{"2":{"269":18}}],["\\tend",{"2":{"255":1}}],["\\telse",{"2":{"250":1}}],["\\t\\t\\tcoin=2",{"2":{"255":1}}],["\\tuut",{"2":{"251":1}}],["\\t",{"2":{"250":1,"251":1,"255":3}}],["😉",{"2":{"240":1}}],["😃",{"2":{"237":1}}],["|",{"2":{"214":2}}],["➢",{"2":{"160":3,"342":3}}],["●",{"2":{"160":45,"342":45}}],["★",{"2":{"160":5,"342":5}}],[">max",{"2":{"145":1,"327":1}}],["```",{"2":{"114":2,"296":2}}],["$dumpvars",{"2":{"243":1,"247":1,"251":1,"255":1}}],["$dumpfile",{"2":{"243":1,"247":1,"251":1,"255":1}}],["$display",{"2":{"217":2,"218":2,"219":2,"220":2,"221":2,"236":5,"237":5}}],["$5=$2+$3",{"2":{"221":1}}],["$5",{"2":{"221":6}}],["$4=550",{"2":{"218":1}}],["$4=450+550=1000",{"2":{"217":1}}],["$4=$2+$3",{"2":{"217":1,"218":1}}],["$4",{"2":{"217":2,"218":2}}],["$readmemh",{"2":{"198":3}}],["$rt",{"2":{"188":4}}],["$rs",{"2":{"188":4}}],["$rd",{"2":{"188":1}}],["$ra",{"2":{"108":1,"111":1,"160":17,"290":1,"293":1,"342":17}}],["$ra=pc+4",{"2":{"108":1,"290":1}}],["$k1",{"2":{"160":1,"342":1}}],["$k0",{"2":{"160":1,"342":1}}],["$",{"2":{"120":1,"302":1}}],["$0",{"2":{"113":2,"217":3,"218":3,"219":2,"220":4,"221":6,"295":2}}],["$vo",{"2":{"147":1,"329":1}}],["$v1",{"2":{"111":1,"160":1,"293":1,"342":1}}],["$v0",{"2":{"102":2,"109":4,"111":1,"112":4,"113":12,"115":7,"122":1,"123":3,"124":3,"127":5,"129":13,"135":7,"139":2,"140":4,"142":4,"144":1,"145":1,"148":1,"154":13,"158":15,"160":15,"284":2,"291":4,"293":1,"294":4,"295":12,"297":7,"304":1,"305":3,"306":3,"309":5,"311":13,"317":7,"321":2,"322":4,"324":4,"326":1,"327":1,"330":1,"336":13,"340":15,"342":15}}],["$zero",{"2":{"111":1,"113":3,"114":2,"129":2,"154":1,"158":1,"160":5,"293":1,"295":3,"296":2,"311":2,"336":1,"340":1,"342":5}}],["$at",{"2":{"160":1,"342":1}}],["$a3",{"2":{"111":1,"160":2,"293":1,"342":2}}],["$a1",{"2":{"109":1,"115":3,"154":1,"158":1,"160":6,"291":1,"297":3,"336":1,"340":1,"342":6}}],["$a0=amount",{"2":{"109":1,"291":1}}],["$a0",{"2":{"109":5,"111":1,"112":6,"113":6,"115":8,"127":2,"129":9,"135":3,"140":2,"142":1,"144":1,"145":1,"148":1,"154":8,"158":14,"160":18,"291":5,"293":1,"294":6,"295":6,"297":8,"309":2,"311":9,"317":3,"322":2,"324":1,"326":1,"327":1,"330":1,"336":8,"340":14,"342":18}}],["$a0~$a3",{"2":{"102":1,"284":1}}],["$finish",{"2":{"243":1,"247":1,"255":1}}],["$fp",{"2":{"160":1,"342":1}}],["$f8",{"2":{"129":5,"135":3,"311":5,"317":3}}],["$f6",{"2":{"128":4,"129":5,"135":8,"310":4,"311":5,"317":8}}],["$f20",{"2":{"120":1,"302":1}}],["$f10",{"2":{"135":3,"317":3}}],["$f14",{"2":{"127":6,"309":6}}],["$f13",{"2":{"124":1,"306":1}}],["$f1",{"2":{"124":1,"306":1}}],["$f19",{"2":{"120":1,"302":1}}],["$f16",{"2":{"120":1,"135":3,"302":1,"317":3}}],["$f15",{"2":{"120":1,"302":1}}],["$f11",{"2":{"120":1,"302":1}}],["$f12",{"2":{"109":2,"120":1,"123":2,"124":2,"127":14,"129":2,"135":15,"142":1,"291":2,"302":1,"305":2,"306":2,"309":14,"311":2,"317":15,"324":1}}],["$f4",{"2":{"120":1,"128":4,"129":5,"135":7,"302":1,"310":4,"311":5,"317":7}}],["$f31",{"2":{"120":1,"302":1}}],["$f3",{"2":{"119":1,"120":1,"301":1,"302":1}}],["$f",{"2":{"119":1,"120":7,"301":1,"302":7}}],["$f0=arr",{"2":{"142":1,"324":1}}],["$f0",{"2":{"109":2,"120":1,"123":2,"124":2,"127":1,"129":1,"135":2,"142":3,"291":2,"302":1,"305":2,"306":2,"309":1,"311":1,"317":2,"324":3}}],["$stop",{"2":{"217":2,"218":2,"219":2,"220":2,"221":2,"251":1}}],["$sp",{"2":{"160":13,"342":13}}],["$s5",{"2":{"160":1,"342":1}}],["$s6",{"2":{"160":2,"342":2}}],["$s",{"2":{"160":2,"342":2}}],["$s4",{"2":{"160":5,"342":5}}],["$s7",{"2":{"111":1,"160":1,"293":1,"342":1}}],["$s3",{"2":{"107":1,"113":1,"160":12,"289":1,"295":1,"342":12}}],["$s2",{"2":{"107":2,"113":1,"149":4,"160":7,"289":2,"295":1,"331":4,"342":7}}],["$s1",{"2":{"107":2,"113":2,"139":2,"140":1,"142":2,"144":2,"145":2,"148":5,"149":7,"150":7,"160":6,"289":2,"295":2,"321":2,"322":1,"324":2,"326":2,"327":2,"330":5,"331":7,"332":7,"342":6}}],["$s0",{"2":{"102":2,"111":1,"113":2,"138":9,"139":2,"140":3,"142":2,"144":2,"145":2,"150":5,"160":12,"284":2,"293":1,"295":2,"320":9,"321":2,"322":3,"324":2,"326":2,"327":2,"332":5,"342":12}}],["$low=$2",{"2":{"103":2,"285":2}}],["$hi",{"2":{"103":2,"285":2}}],["$3=",{"2":{"220":1}}],["$3",{"2":{"103":12,"104":4,"107":1,"217":3,"218":3,"220":2,"221":3,"285":12,"286":4,"289":1}}],["$2=lo",{"2":{"105":1,"287":1}}],["$2=hi",{"2":{"105":1,"287":1}}],["$2+100",{"2":{"105":3,"287":3}}],["$2",{"2":{"103":9,"104":6,"105":6,"106":8,"107":2,"217":3,"218":3,"219":3,"220":3,"221":3,"285":9,"286":6,"287":6,"288":8,"289":2}}],["$1==$2",{"2":{"106":1,"288":1}}],["$1=100",{"2":{"105":1,"287":1}}],["$1=100x2^16",{"2":{"105":1,"287":1}}],["$1=address",{"2":{"105":1,"287":1}}],["$1=memory",{"2":{"105":2,"287":2}}],["$1=$2+100",{"2":{"103":2,"285":2}}],["$1=$2+$3",{"2":{"103":2,"285":2}}],["$1=$2",{"2":{"103":3,"104":6,"105":1,"285":3,"286":6,"287":1}}],["$1",{"2":{"103":9,"104":6,"105":7,"106":11,"107":8,"108":2,"285":9,"286":6,"287":7,"288":11,"289":8,"290":2}}],["$time",{"2":{"236":5,"237":5}}],["$to",{"2":{"160":2,"342":2}}],["$t7",{"2":{"154":5,"158":4,"336":5,"340":4}}],["$t8",{"2":{"154":4,"158":6,"336":4,"340":6}}],["$t6",{"2":{"154":6,"158":7,"336":6,"340":7}}],["$t5",{"2":{"154":7,"158":7,"336":7,"340":7}}],["$t",{"2":{"138":1,"320":1}}],["$t4",{"2":{"113":1,"154":4,"158":4,"295":1,"336":4,"340":4}}],["$t3=max",{"2":{"145":1,"327":1}}],["$t3=$t3+$t2",{"2":{"144":1,"326":1}}],["$t3",{"2":{"113":2,"144":4,"145":4,"154":4,"158":8,"295":2,"326":4,"327":4,"336":4,"340":8}}],["$t2=value",{"2":{"138":1,"320":1}}],["$t2=arr",{"2":{"138":1,"140":1,"144":1,"145":1,"320":1,"322":1,"326":1,"327":1}}],["$t2",{"2":{"113":10,"129":12,"138":2,"139":4,"140":3,"144":2,"145":3,"150":2,"154":6,"158":10,"160":2,"295":10,"311":12,"320":2,"321":4,"322":3,"326":2,"327":3,"332":2,"336":6,"340":10,"342":2}}],["$t9",{"2":{"111":1,"154":2,"293":1,"336":2}}],["$t1=str",{"2":{"148":1,"330":1}}],["$t1=i",{"2":{"139":1,"321":1}}],["$t1",{"2":{"102":1,"113":12,"129":12,"139":5,"140":4,"142":8,"144":4,"145":4,"147":2,"148":2,"149":5,"150":2,"154":3,"158":3,"160":5,"284":1,"295":12,"311":12,"321":5,"322":4,"324":8,"326":4,"327":4,"329":2,"330":2,"331":5,"332":2,"336":3,"340":3,"342":5}}],["$t0",{"2":{"102":2,"111":1,"112":7,"113":6,"129":3,"139":5,"140":5,"142":8,"144":5,"145":5,"154":3,"158":3,"160":14,"284":2,"293":1,"294":7,"295":6,"311":3,"321":5,"322":5,"324":8,"326":5,"327":5,"336":3,"340":3,"342":14}}],["~cs6710",{"2":{"271":1}}],["~ags55111",{"2":{"256":1}}],["~t",{"2":{"243":1,"247":1}}],["~x",{"2":{"242":2,"246":3}}],["~|o",{"2":{"214":1}}],["~gshute",{"2":{"161":1,"343":1}}],["~",{"2":{"96":1,"100":1,"278":1,"282":1}}],["krishna",{"2":{"168":1}}],["kumar",{"2":{"166":1,"168":2}}],["knowledge",{"2":{"164":1,"174":2}}],["known",{"2":{"116":1,"160":1,"226":1,"298":1,"342":1}}],["keeping",{"2":{"188":1}}],["keeps",{"2":{"160":1,"342":1}}],["keywords",{"2":{"234":1,"235":1,"238":1}}],["keyword",{"2":{"137":1,"239":2,"319":1}}],["key",{"2":{"99":1,"113":1,"147":1,"240":1,"281":1,"295":1,"329":1}}],["kenvollmar",{"2":{"98":2,"99":2,"100":2,"109":1,"161":2,"280":2,"281":2,"282":2,"291":1,"343":2}}],["kit",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["kinds",{"2":{"9":1}}],["k",{"2":{"39":2,"41":2,"62":1,"63":1,"64":4,"138":2,"160":5,"320":2,"342":5}}],["john",{"2":{"222":1}}],["join",{"0":{"170":1,"235":1},"2":{"235":2,"243":1,"247":1}}],["j=n",{"2":{"150":1,"332":1}}],["j=0",{"2":{"149":2,"331":2}}],["j++",{"2":{"149":2,"331":2}}],["jr",{"2":{"108":1,"160":8,"290":1,"342":8}}],["jdk",{"2":{"94":3,"95":3,"96":6,"276":3,"277":3,"278":6}}],["jdk11",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["jal",{"2":{"108":1,"112":1,"160":12,"290":1,"294":1,"342":12}}],["jar",{"2":{"98":7,"99":7,"100":8,"280":7,"281":7,"282":8}}],["javase",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["java",{"0":{"93":1,"94":1,"95":1,"96":1,"275":1,"276":1,"277":1,"278":1},"1":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1},"2":{"94":5,"95":5,"96":4,"97":1,"98":1,"99":1,"100":1,"276":5,"277":5,"278":4,"279":1,"280":1,"281":1,"282":1}}],["jain",{"2":{"86":1}}],["jump==0",{"2":{"206":1}}],["jumped",{"2":{"160":1,"342":1}}],["jumping",{"2":{"160":3,"342":3}}],["jump",{"0":{"108":1,"290":1},"2":{"108":4,"145":1,"160":6,"188":6,"190":6,"199":3,"200":3,"202":3,"206":4,"253":2,"290":4,"327":1,"342":6}}],["jumps",{"2":{"67":1,"128":2,"310":2}}],["just",{"0":{"83":1},"2":{"9":1,"27":1,"267":1}}],["j",{"2":{"39":2,"41":2,"62":1,"63":1,"64":4,"108":1,"129":2,"135":1,"139":1,"140":1,"142":2,"144":1,"145":1,"148":1,"149":5,"150":3,"154":3,"158":6,"160":8,"161":1,"188":4,"202":1,"290":1,"311":2,"317":1,"321":1,"322":1,"324":2,"326":1,"327":1,"330":1,"331":5,"332":3,"336":3,"340":6,"342":8,"343":1}}],["jk",{"0":{"39":1},"2":{"37":1,"39":2,"41":5,"62":1}}],["q3",{"2":{"269":1}}],["qi",{"2":{"269":1}}],["q4",{"2":{"63":1}}],["q8",{"2":{"63":1}}],["q2",{"2":{"63":2,"269":3}}],["q1",{"2":{"63":1,"269":3}}],["qn+1",{"2":{"38":2,"39":2,"40":2,"41":2}}],["qn",{"2":{"38":2,"39":3,"40":1,"41":1}}],["q",{"2":{"33":6,"34":1,"36":4,"41":3,"63":1,"212":3,"229":1,"268":1,"269":5}}],["quarter",{"2":{"253":1}}],["quartus",{"0":{"74":1},"2":{"87":1,"174":1,"345":1}}],["questions",{"0":{"160":1,"342":1},"2":{"255":1,"268":1}}],["question",{"0":{"127":1,"129":1,"135":1,"309":1,"311":1,"317":1},"2":{"267":4,"268":2}}],["quotes",{"2":{"110":2,"115":1,"292":2,"297":1}}],["quotient",{"2":{"103":1,"113":2,"126":1,"285":1,"295":2,"308":1}}],["quot",{"2":{"10":2,"29":2,"66":1,"69":1,"94":2,"95":2,"96":2,"98":12,"99":18,"100":10,"101":8,"103":2,"110":4,"222":2,"276":2,"277":2,"278":2,"280":12,"281":18,"282":10,"283":8,"285":2,"292":4}}],["quicksort",{"2":{"5":1,"263":1}}],["zerowidthspace",{"2":{"346":1}}],["zero",{"2":{"105":1,"113":1,"114":3,"120":1,"147":1,"177":2,"186":2,"188":2,"189":1,"199":2,"200":3,"201":1,"206":3,"214":4,"241":1,"242":1,"243":2,"246":1,"247":2,"249":1,"287":1,"295":1,"296":3,"302":1,"329":1}}],["zip",{"2":{"98":4,"99":4,"100":6,"280":4,"281":4,"282":6}}],["z",{"2":{"26":4}}],["yoou",{"2":{"240":1}}],["youtube",{"2":{"260":2,"261":1,"263":7,"264":1,"265":5,"345":6,"346":3,"347":5}}],["yourself",{"2":{"237":1}}],["your",{"0":{"74":1,"75":1,"77":1,"79":1,"80":1,"81":1,"83":1,"84":2,"85":1},"2":{"94":5,"95":4,"96":4,"97":1,"98":6,"99":6,"100":5,"112":2,"170":2,"215":4,"240":1,"255":1,"257":2,"276":5,"277":4,"278":4,"279":1,"280":6,"281":6,"282":5,"294":2,"344":1}}],["you",{"0":{"79":1,"80":1,"82":1,"84":1,"85":1},"2":{"52":2,"94":3,"95":2,"96":5,"98":5,"99":5,"100":6,"102":1,"112":9,"113":1,"160":1,"170":3,"173":2,"174":2,"215":2,"240":1,"255":2,"257":4,"258":6,"267":1,"268":4,"269":3,"276":3,"277":2,"278":5,"280":5,"281":5,"282":6,"284":1,"294":9,"295":1,"342":1,"344":1}}],["y=",{"2":{"211":1}}],["y=a",{"2":{"209":1}}],["yielding",{"2":{"190":1}}],["yes",{"2":{"129":2,"311":2}}],["yet",{"2":{"27":1,"112":1,"294":1}}],["y1",{"2":{"46":2}}],["y0",{"2":{"46":2}}],["yz",{"2":{"26":1}}],["y",{"2":{"25":2,"26":3,"43":3,"44":5,"69":2,"113":2,"209":1,"210":2,"211":2,"213":2,"215":2,"242":3,"243":2,"246":2,"247":2,"268":1,"295":2}}],["⊕",{"2":{"25":1,"26":3}}],["+immediate",{"2":{"188":1}}],["++",{"2":{"160":1,"342":1}}],["+",{"2":{"25":1,"26":6,"28":1,"38":1,"39":1,"41":1,"43":1,"44":3,"69":4,"99":1,"135":1,"138":3,"139":1,"160":2,"177":1,"190":2,"209":1,"214":1,"226":4,"281":1,"317":1,"320":3,"321":1,"342":2}}],["xl",{"2":{"271":1}}],["xvzf",{"2":{"96":1,"278":1}}],["x64",{"2":{"94":1,"95":2,"96":2,"276":1,"277":2,"278":2}}],["xz",{"2":{"26":1}}],["x",{"2":{"25":2,"26":3,"69":2,"95":1,"96":2,"113":6,"214":1,"215":2,"225":2,"226":3,"227":2,"242":6,"243":16,"246":2,"247":16,"267":1,"268":1,"277":1,"278":2,"295":6}}],["xyz",{"2":{"26":3}}],["xy",{"2":{"25":3,"26":3}}],["xnor",{"2":{"23":2}}],["xor",{"2":{"23":4,"28":2,"29":1,"30":3,"41":1,"67":2,"214":1}}],["x3c",{"2":{"22":1,"145":1,"160":10,"202":7,"205":8,"207":1,"212":2,"214":1,"217":4,"218":4,"219":4,"220":4,"221":4,"237":5,"239":4,"242":2,"246":9,"250":14,"254":22,"267":13,"268":14,"269":5,"270":3,"327":1,"342":10}}],["x26",{"2":{"21":1,"200":1,"206":2,"214":1,"217":1,"218":1,"219":1,"220":1,"221":1,"267":1,"268":2}}],["0ns",{"2":{"236":1}}],["0h",{"2":{"236":3,"237":3}}],["0t",{"2":{"236":5,"237":5}}],["00432820",{"2":{"221":1}}],["00432022",{"2":{"218":1}}],["00432020",{"2":{"217":1}}],["00",{"2":{"178":1,"227":2}}],["0011",{"2":{"118":3,"300":3}}],["0xffffffff",{"2":{"158":1,"340":1}}],["0x0040002c",{"2":{"114":1,"296":1}}],["05",{"2":{"112":1,"294":1}}],["01",{"2":{"118":1,"178":1,"210":1,"226":1,"227":2,"300":1}}],["0132",{"2":{"69":1}}],["0120",{"2":{"66":1}}],["0101",{"2":{"47":1}}],["0s",{"2":{"35":1,"204":1}}],["0",{"2":{"22":2,"25":9,"33":3,"34":4,"35":6,"36":4,"43":3,"44":6,"47":1,"52":3,"54":3,"62":1,"63":1,"64":2,"66":1,"69":3,"72":4,"95":1,"96":2,"107":4,"111":2,"116":1,"118":2,"119":1,"120":2,"127":3,"128":5,"129":6,"135":5,"138":1,"139":1,"140":2,"141":4,"142":2,"144":3,"145":2,"148":1,"149":3,"150":1,"154":8,"157":1,"158":12,"160":8,"176":2,"177":1,"188":1,"190":3,"192":2,"195":4,"198":4,"199":6,"200":3,"202":3,"205":3,"206":14,"207":11,"209":2,"210":2,"211":2,"212":2,"213":2,"214":4,"217":3,"218":6,"219":3,"220":3,"221":7,"224":1,"225":1,"226":1,"227":2,"236":1,"237":1,"238":2,"242":2,"243":9,"246":3,"247":10,"249":1,"250":1,"254":14,"255":13,"267":19,"268":26,"269":53,"270":4,"277":1,"278":2,"289":4,"293":2,"298":1,"300":2,"301":1,"302":2,"309":3,"310":5,"311":6,"317":5,"320":1,"321":1,"322":2,"323":4,"324":2,"326":3,"327":2,"330":1,"331":3,"332":1,"336":8,"339":1,"340":12,"342":8}}],["^",{"2":{"21":1}}],["=default",{"2":{"270":1}}],["=rs",{"2":{"254":5}}],["=run",{"2":{"250":8}}],["=idle",{"2":{"254":2}}],["=1",{"2":{"254":7}}],["=100",{"2":{"220":1}}],["=3",{"2":{"254":7}}],["=30",{"2":{"221":1}}],["=wait",{"2":{"250":4}}],["=stop",{"2":{"250":2}}],["=str",{"2":{"149":1,"150":1,"331":1,"332":1}}],["=str2",{"2":{"149":1,"331":1}}],["=s0",{"2":{"242":2}}],["=next",{"2":{"242":1,"254":1}}],["=4",{"2":{"224":1}}],["=450",{"2":{"217":1}}],["=20",{"2":{"221":1}}],["=25",{"2":{"219":1}}],["=550",{"2":{"217":1,"218":2}}],["=0",{"2":{"212":1,"221":1}}],["==val",{"2":{"221":1}}],["===",{"2":{"215":2,"217":2,"218":2,"219":2,"220":2,"221":2}}],["==",{"2":{"160":1,"217":1,"218":1,"219":1,"220":1,"221":1,"246":1,"268":7,"342":1}}],["=max",{"2":{"145":1,"327":1}}],["=$f0",{"2":{"142":1,"324":1}}],["=$2",{"2":{"106":3,"288":3}}],["=$1",{"2":{"105":1,"287":1}}],["=",{"0":{"55":1},"2":{"21":2,"22":2,"25":3,"26":4,"28":4,"29":1,"30":2,"31":1,"33":4,"35":8,"36":1,"38":1,"39":1,"40":1,"41":4,"43":3,"44":1,"46":2,"52":5,"69":3,"107":4,"109":8,"118":1,"127":5,"135":6,"138":3,"139":2,"149":2,"154":2,"158":2,"160":9,"177":1,"198":1,"200":1,"202":8,"205":11,"207":5,"210":1,"212":2,"213":2,"214":6,"217":5,"218":5,"219":5,"220":7,"221":5,"224":2,"225":2,"226":5,"227":2,"236":6,"237":6,"242":12,"243":20,"246":14,"247":20,"267":13,"268":14,"269":4,"270":3,"289":4,"291":8,"300":1,"309":5,"317":6,"320":3,"321":2,"331":2,"336":2,"340":2,"342":9}}],["wr",{"2":{"267":1}}],["wrapping",{"2":{"235":1}}],["wrapped",{"2":{"234":1}}],["wrmux",{"2":{"206":1}}],["writ2",{"2":{"267":1}}],["writ1",{"2":{"267":1}}],["writing",{"2":{"160":1,"194":1,"267":1,"342":1}}],["written",{"2":{"101":1,"112":1,"128":2,"176":1,"177":1,"185":1,"188":1,"190":1,"194":1,"196":1,"197":1,"207":1,"215":1,"237":1,"239":1,"267":3,"283":1,"294":1,"310":2}}],["write2",{"2":{"268":3}}],["write1",{"2":{"268":3}}],["writereg=instr",{"2":{"206":2}}],["writereg",{"2":{"206":3}}],["writedata",{"2":{"192":3,"194":1,"196":1,"199":3,"206":4,"215":3,"217":4,"218":4,"219":4,"220":4,"221":4}}],["writes",{"2":{"188":3,"189":1,"267":2,"268":2}}],["write",{"2":{"14":1,"17":1,"19":1,"44":1,"115":1,"160":3,"174":1,"177":7,"185":3,"187":3,"188":4,"190":2,"196":1,"206":2,"207":2,"215":1,"217":1,"218":1,"219":1,"220":2,"221":1,"257":1,"267":25,"268":41,"270":4,"297":1,"342":3}}],["wd3",{"2":{"207":2}}],["wd",{"2":{"195":2,"196":1,"197":1}}],["won",{"2":{"268":1}}],["would",{"2":{"170":1,"174":1,"224":1,"239":1,"267":1,"269":3}}],["working",{"0":{"201":1,"204":1},"2":{"205":1,"206":1,"207":1,"217":1,"218":1,"219":1,"220":1,"233":1,"258":1}}],["work",{"2":{"112":1,"173":1,"199":1,"294":1}}],["works",{"2":{"109":1,"205":1,"291":1}}],["world",{"2":{"112":1,"160":1,"164":1,"170":1,"224":1,"229":2,"230":3,"294":1,"342":1}}],["word",{"2":{"50":1,"102":1,"105":3,"110":2,"112":1,"113":3,"114":4,"115":2,"135":1,"137":2,"138":1,"175":2,"188":4,"195":1,"198":1,"215":4,"284":1,"287":3,"292":2,"294":1,"295":3,"296":4,"297":2,"317":1,"319":2,"320":1}}],["words",{"2":{"23":1,"110":3,"160":1,"182":1,"197":1,"292":3,"342":1}}],["w",{"2":{"132":2,"133":2,"134":6,"135":3,"314":2,"315":2,"316":6,"317":3}}],["wn",{"2":{"110":1,"292":1}}],["w1",{"2":{"110":1,"292":1}}],["www",{"2":{"94":1,"95":1,"96":1,"161":2,"256":2,"269":1,"271":2,"276":1,"277":1,"278":1,"343":2}}],["wait",{"2":{"250":1}}],["wait=3",{"2":{"250":1}}],["wa3",{"2":{"207":3}}],["want",{"2":{"94":1,"95":1,"96":1,"215":2,"276":1,"277":1,"278":1}}],["was",{"2":{"36":1,"116":1,"184":1,"188":1,"298":1}}],["ways",{"2":{"113":1,"295":1}}],["way",{"0":{"213":1},"2":{"36":1,"58":1,"102":1,"113":1,"115":1,"151":1,"160":1,"284":1,"295":1,"297":1,"333":1,"342":1}}],["waves",{"2":{"247":1}}],["waveform",{"0":{"244":1,"248":1,"252":1}}],["wave",{"2":{"27":1}}],["we3",{"2":{"207":3}}],["were",{"2":{"174":1,"188":1,"208":1,"269":1}}],["welcome",{"0":{"257":1,"259":1,"344":1},"1":{"258":1,"260":1,"261":1,"262":1,"263":1,"264":1,"265":1,"345":1,"346":1,"347":1},"2":{"112":2,"162":1,"170":1,"294":2}}],["well",{"2":{"67":1,"101":1,"115":1,"241":1,"258":1,"267":1,"283":1,"297":1}}],["website",{"2":{"98":1,"99":1,"100":1,"280":1,"281":1,"282":1}}],["web",{"0":{"169":1},"2":{"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1}}],["weight",{"2":{"49":1,"63":1}}],["weights",{"2":{"49":1}}],["we",{"2":{"31":3,"41":2,"43":1,"44":1,"47":2,"92":1,"101":3,"112":1,"113":2,"115":2,"118":1,"128":2,"136":1,"151":2,"152":1,"154":2,"156":1,"158":2,"160":1,"162":1,"163":1,"170":3,"173":3,"175":1,"176":2,"177":1,"182":2,"188":4,"195":2,"196":1,"197":1,"215":3,"216":1,"226":1,"240":1,"253":7,"255":1,"267":20,"268":10,"269":6,"270":4,"274":1,"283":3,"294":1,"295":2,"297":2,"300":1,"310":2,"318":1,"333":2,"334":1,"336":2,"338":1,"340":2,"342":1}}],["why",{"2":{"160":1,"342":1}}],["who",{"2":{"162":1,"170":1,"249":1}}],["whole",{"2":{"150":1,"332":1}}],["whose",{"2":{"43":1,"55":1,"72":1,"120":4,"160":1,"233":1,"302":4,"342":1}}],["what",{"2":{"112":1,"114":1,"188":1,"204":1,"205":1,"233":1,"269":2,"294":1,"296":1}}],["while",{"2":{"66":1,"113":1,"118":1,"119":1,"123":1,"124":1,"149":2,"150":1,"160":8,"176":1,"227":1,"295":1,"300":1,"301":1,"305":1,"306":1,"331":2,"332":1,"342":8}}],["which",{"2":{"30":1,"40":1,"41":1,"43":1,"47":1,"53":1,"58":1,"62":1,"67":2,"92":1,"97":1,"112":1,"115":1,"119":1,"128":1,"132":2,"135":1,"136":1,"140":1,"148":1,"160":2,"175":1,"176":1,"177":2,"178":1,"182":2,"186":1,"188":6,"189":1,"190":3,"192":2,"194":1,"198":1,"199":1,"202":1,"204":1,"205":1,"229":1,"236":2,"239":1,"249":1,"267":5,"268":1,"269":1,"270":1,"274":1,"279":1,"294":1,"297":1,"301":1,"310":1,"314":2,"317":1,"318":1,"322":1,"330":1,"342":2,"344":1}}],["whether",{"2":{"102":1,"150":1,"178":1,"190":1,"196":1,"267":3,"284":1,"332":1}}],["whenever",{"2":{"253":1}}],["when",{"0":{"79":1},"2":{"31":2,"33":3,"34":3,"35":4,"36":3,"38":1,"39":1,"40":1,"46":1,"47":3,"52":1,"54":1,"62":1,"64":1,"65":1,"66":2,"69":2,"72":3,"108":1,"112":1,"147":1,"154":1,"158":1,"160":5,"190":2,"197":1,"226":2,"233":4,"236":1,"249":1,"253":1,"267":4,"268":2,"269":2,"290":1,"294":1,"329":1,"336":1,"340":1,"342":5}}],["wherein",{"2":{"267":1,"269":2}}],["whereas",{"2":{"38":1,"40":1,"190":1,"249":1}}],["where",{"2":{"11":1,"31":1,"67":2,"70":1,"96":1,"98":1,"99":1,"100":2,"101":3,"112":4,"113":1,"116":1,"142":1,"160":3,"230":1,"249":1,"268":1,"269":1,"278":1,"280":1,"281":1,"282":2,"283":3,"294":4,"295":1,"298":1,"324":1,"342":3}}],["wide",{"2":{"164":1}}],["width=4",{"2":{"270":1}}],["width=8",{"2":{"268":1}}],["width",{"0":{"212":1,"213":1},"2":{"119":1,"212":3,"213":3,"268":4,"270":3,"301":1}}],["wise",{"2":{"151":2,"333":2}}],["wish",{"0":{"79":1},"2":{"47":1}}],["window",{"2":{"98":1,"99":1,"112":7,"280":1,"281":1,"294":7}}],["windows",{"0":{"95":1,"96":1,"99":1,"277":1,"278":1,"281":1},"2":{"95":6,"97":1,"99":4,"112":1,"277":6,"279":1,"281":4,"294":1}}],["wizard",{"2":{"94":2,"95":2,"276":2,"277":2}}],["wire",{"2":{"22":2,"192":1,"199":2,"200":2,"206":5,"214":1,"217":2,"218":2,"219":2,"220":2,"221":2,"243":1,"247":1,"251":1,"255":2}}],["will",{"0":{"85":1},"2":{"11":1,"46":2,"69":1,"92":2,"94":1,"95":1,"96":1,"98":2,"99":2,"100":2,"112":8,"113":2,"114":1,"115":1,"118":1,"119":1,"129":2,"160":1,"173":3,"176":2,"178":1,"182":2,"188":1,"205":2,"215":1,"216":1,"229":1,"232":1,"233":1,"234":1,"236":1,"239":1,"240":2,"245":1,"255":1,"257":4,"258":5,"267":2,"274":2,"276":1,"277":1,"278":1,"280":2,"281":2,"282":2,"294":8,"295":2,"296":1,"297":1,"300":1,"301":1,"311":2,"342":1,"344":1}}],["without",{"2":{"103":1,"237":1,"240":1,"249":1,"257":1,"285":1}}],["within",{"0":{"131":1,"313":1},"2":{"49":1,"67":1,"232":1,"233":2,"234":1,"235":1,"239":3,"249":1,"268":1}}],["with",{"0":{"35":1,"55":1,"66":1,"72":1,"212":1,"213":1},"2":{"9":1,"11":2,"23":5,"27":2,"30":1,"31":1,"33":1,"34":3,"35":1,"38":2,"39":1,"40":2,"41":1,"47":1,"52":1,"55":3,"58":1,"59":2,"62":3,"63":2,"64":3,"66":1,"72":1,"88":1,"101":3,"104":1,"112":2,"113":2,"115":1,"118":1,"132":1,"135":1,"137":1,"142":1,"148":1,"151":1,"158":1,"160":2,"163":1,"164":1,"174":2,"177":4,"178":1,"187":1,"188":7,"189":2,"190":3,"198":1,"205":1,"215":2,"224":1,"227":2,"232":2,"233":1,"239":3,"240":1,"241":1,"255":1,"256":1,"258":2,"267":7,"268":2,"269":1,"283":3,"286":1,"294":2,"295":2,"297":1,"300":1,"314":1,"317":1,"319":1,"324":1,"330":1,"333":1,"340":1,"342":2,"344":1,"346":1}}],["ip",{"2":{"267":7,"269":4}}],["ian",{"2":{"256":1}}],["idle",{"2":{"254":2}}],["idle=",{"2":{"254":1,"255":1}}],["ideas",{"2":{"258":1}}],["ideal",{"2":{"19":1}}],["identifies",{"2":{"227":1}}],["identified",{"2":{"185":1}}],["identifiable",{"2":{"224":1}}],["identical",{"2":{"160":1,"342":1}}],["ie",{"2":{"190":1}}],["iee",{"2":{"118":1,"300":1}}],["ieee",{"2":{"117":1,"132":1,"299":1,"314":1}}],["ignals",{"2":{"177":1}}],["ignoring",{"2":{"132":2,"314":2}}],["ignored",{"2":{"52":1,"114":1,"296":1}}],["illustrates",{"2":{"160":1,"342":1}}],["illustration",{"2":{"47":1}}],["i+1",{"2":{"269":1}}],["i+=3",{"2":{"160":1,"342":1}}],["i+=1",{"2":{"160":1,"342":1}}],["i++",{"2":{"149":4,"150":1,"160":3,"269":1,"331":4,"332":1,"342":3}}],["i>=j",{"2":{"150":1,"332":1}}],["i=1",{"2":{"269":1}}],["i=",{"2":{"160":1,"342":1}}],["i==j",{"2":{"160":1,"342":1}}],["i=0",{"2":{"149":1,"150":1,"269":1,"331":1,"332":1}}],["i=i+1",{"2":{"148":1,"330":1}}],["io",{"2":{"112":2,"294":2}}],["ii",{"2":{"101":1,"160":1,"283":1,"342":1}}],["icon",{"0":{"78":1,"79":1}}],["iu",{"2":{"67":1}}],["immsh",{"2":{"206":1}}],["immediate+value",{"2":{"188":1}}],["immediate",{"2":{"102":1,"103":3,"104":3,"105":3,"107":1,"113":1,"125":1,"188":8,"190":1,"284":1,"285":3,"286":3,"287":3,"289":1,"295":1,"307":1}}],["immediately",{"2":{"54":1,"60":1}}],["immed",{"2":{"102":2,"284":2}}],["imem",{"2":{"192":4,"198":2,"215":1}}],["implememtation",{"0":{"245":1}}],["implements",{"2":{"216":1}}],["implementing",{"0":{"240":1},"1":{"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1},"2":{"160":1,"174":1,"240":2,"241":1,"245":1,"342":1}}],["implement",{"2":{"55":1,"114":1,"190":2,"239":1,"255":1,"267":1,"269":2,"296":1}}],["implementations",{"0":{"241":1}}],["implementation",{"0":{"179":1,"191":1},"1":{"192":1,"193":1,"194":1,"195":1,"196":1,"197":1,"198":1,"199":1,"200":1,"201":1,"202":1,"203":1,"204":1},"2":{"15":1,"17":1,"19":1,"87":1,"88":1,"89":1,"172":1,"173":1,"175":1,"177":1,"178":1,"190":1,"215":2,"255":1,"258":2,"345":1,"346":1,"347":1}}],["implemented",{"2":{"11":1,"31":1,"128":1,"160":1,"175":1,"310":1,"342":1}}],["impact",{"2":{"204":1}}],["important",{"2":{"177":1,"179":1,"268":1}}],["imperative",{"2":{"160":1,"342":1}}],["image",{"2":{"66":1,"69":1,"269":1}}],["i3",{"2":{"44":2,"54":1}}],["i2",{"2":{"44":2,"54":1}}],["i1",{"2":{"44":2,"54":1}}],["i0",{"2":{"43":1,"44":2,"54":1}}],["i",{"2":{"23":2,"43":4,"44":6,"54":1,"72":1,"101":1,"110":2,"113":1,"129":1,"139":3,"140":2,"142":2,"144":1,"145":5,"148":2,"149":3,"150":1,"160":19,"188":3,"189":1,"190":2,"198":1,"199":1,"214":19,"217":1,"218":1,"219":1,"220":1,"221":1,"224":1,"249":1,"267":2,"268":1,"269":3,"283":1,"292":2,"295":1,"311":1,"321":3,"322":2,"324":2,"326":1,"327":5,"330":2,"331":3,"332":1,"342":19}}],["iftheconditionisacomparisoncombinebeq",{"2":{"160":1,"342":1}}],["iftheconditionisanequalityusebeq",{"2":{"160":1,"342":1}}],["if",{"0":{"82":1},"2":{"10":1,"23":6,"31":2,"36":2,"43":2,"46":1,"52":6,"64":4,"66":2,"67":1,"69":2,"96":1,"98":1,"99":1,"100":2,"106":8,"107":6,"112":4,"113":1,"114":2,"116":1,"125":1,"128":10,"129":4,"145":2,"148":1,"150":2,"157":1,"158":4,"160":13,"170":1,"174":1,"177":1,"185":1,"186":1,"187":2,"188":5,"189":1,"190":3,"194":1,"195":1,"205":3,"206":4,"207":2,"212":1,"215":1,"216":1,"217":4,"218":4,"219":4,"220":4,"221":5,"239":4,"240":1,"242":5,"246":5,"249":1,"250":3,"253":1,"254":6,"255":1,"267":12,"268":14,"269":4,"270":2,"278":1,"280":1,"281":1,"282":2,"288":8,"289":6,"294":4,"295":1,"296":2,"298":1,"307":1,"310":10,"311":4,"327":2,"330":1,"332":2,"339":1,"340":4,"342":13}}],["item3",{"2":{"239":1}}],["item2",{"2":{"239":1}}],["item1",{"2":{"239":1}}],["items",{"2":{"160":1,"239":1,"342":1}}],["item",{"2":{"160":4,"239":1,"342":4}}],["iterate",{"2":{"154":2,"158":2,"336":2,"340":2}}],["iteration",{"2":{"112":2,"154":1,"158":1,"294":2,"336":1,"340":1}}],["iterations",{"2":{"112":1,"294":1}}],["itself",{"2":{"160":1,"227":1,"342":1}}],["its",{"2":{"23":4,"34":1,"35":1,"36":3,"52":1,"54":1,"57":1,"58":1,"64":1,"98":1,"99":1,"113":2,"118":2,"138":1,"160":5,"174":2,"188":1,"215":1,"229":1,"233":1,"257":2,"267":2,"280":1,"281":1,"295":2,"300":2,"320":1,"342":5}}],["it",{"0":{"80":1,"83":1,"85":1},"2":{"10":1,"11":2,"23":11,"29":1,"31":1,"33":1,"34":2,"35":1,"39":1,"41":2,"43":1,"47":1,"52":1,"57":1,"65":1,"67":7,"95":1,"96":2,"98":1,"99":1,"100":4,"105":1,"110":1,"112":2,"113":1,"114":5,"116":1,"118":2,"121":2,"125":1,"127":1,"128":2,"138":1,"147":1,"151":2,"160":9,"173":1,"174":2,"175":1,"176":2,"182":1,"185":1,"186":1,"188":9,"190":5,"194":1,"201":2,"203":1,"204":2,"205":4,"207":1,"215":1,"224":1,"225":1,"226":1,"229":1,"230":1,"233":1,"237":1,"239":1,"267":8,"268":2,"269":3,"277":1,"278":2,"280":1,"281":1,"282":4,"287":1,"292":1,"294":2,"295":1,"296":5,"298":1,"300":2,"303":2,"307":1,"309":1,"310":2,"320":1,"329":1,"333":2,"342":9}}],["isa",{"2":{"257":1}}],["is",{"0":{"85":1},"2":{"9":7,"10":2,"11":7,"17":1,"19":1,"21":1,"22":1,"23":14,"25":1,"26":1,"27":2,"29":2,"30":2,"31":3,"33":3,"34":4,"35":2,"36":13,"38":3,"39":2,"40":4,"41":3,"43":3,"46":2,"47":7,"49":1,"50":2,"51":1,"52":7,"53":2,"54":1,"55":1,"57":1,"58":3,"61":1,"62":3,"63":4,"64":6,"65":1,"66":8,"67":6,"68":1,"69":6,"70":1,"72":6,"93":1,"97":1,"101":1,"102":3,"103":1,"112":13,"113":7,"114":9,"115":3,"116":7,"118":2,"119":3,"120":2,"122":1,"123":4,"124":4,"125":9,"126":5,"127":1,"128":7,"129":4,"131":2,"132":3,"133":6,"134":3,"135":4,"136":3,"138":2,"139":1,"140":4,"141":1,"142":1,"147":2,"148":1,"149":1,"150":3,"151":4,"154":2,"157":2,"158":4,"159":2,"160":34,"163":1,"164":1,"173":1,"174":1,"175":2,"176":8,"177":8,"178":1,"179":1,"182":3,"184":1,"185":4,"186":3,"187":5,"188":30,"189":4,"190":10,"192":6,"197":1,"198":6,"204":1,"206":3,"207":1,"208":1,"215":1,"217":3,"218":3,"219":3,"220":3,"221":3,"224":4,"225":1,"226":4,"227":7,"229":2,"230":2,"232":3,"233":8,"234":1,"235":1,"236":1,"237":3,"238":1,"239":5,"241":1,"249":11,"253":2,"257":2,"258":1,"267":15,"268":3,"269":8,"270":2,"275":1,"279":1,"283":1,"284":3,"285":1,"294":13,"295":7,"296":9,"297":3,"298":7,"300":2,"301":3,"302":2,"304":1,"305":4,"306":4,"307":9,"308":5,"309":1,"310":7,"311":4,"313":2,"314":3,"315":6,"316":3,"317":4,"318":3,"320":2,"321":1,"322":4,"323":1,"324":1,"329":2,"330":1,"331":1,"332":3,"333":4,"336":2,"339":2,"340":4,"341":2,"342":34}}],["inactive",{"2":{"269":1}}],["inaccuracy",{"2":{"118":1,"300":1}}],["ing",{"2":{"201":1}}],["innovation",{"2":{"164":1}}],["infrastructure",{"2":{"194":1}}],["infinite",{"2":{"112":1,"294":1}}],["information",{"2":{"35":1,"36":2,"40":1,"43":2,"53":2,"54":1,"57":1,"67":1,"70":1,"164":1,"177":2,"227":2}}],["involved",{"2":{"188":1}}],["involves",{"2":{"67":1,"160":6,"269":1,"342":6}}],["invalid",{"2":{"114":1,"296":1}}],["inverse",{"2":{"68":1}}],["inverters",{"2":{"71":1}}],["inverter",{"2":{"23":1,"31":1}}],["index",{"2":{"136":1,"138":1,"154":6,"158":6,"198":1,"318":1,"320":1,"336":6,"340":6}}],["independent",{"2":{"66":1,"233":1}}],["indices",{"2":{"129":1,"311":1}}],["indicator",{"2":{"62":1,"69":1}}],["indicates",{"2":{"62":1,"178":1,"227":1,"249":1}}],["indicate",{"2":{"54":1,"112":1,"176":1,"294":1}}],["indicated",{"2":{"47":1,"72":1,"227":1}}],["indication",{"2":{"52":2}}],["individuals",{"2":{"162":1,"170":1}}],["individual",{"2":{"67":2}}],["inhibits",{"2":{"66":1}}],["inc",{"2":{"145":3,"327":3}}],["incremented",{"2":{"160":1,"188":3,"342":1}}],["increment",{"2":{"139":1,"140":1,"144":1,"145":1,"154":5,"158":6,"188":1,"321":1,"322":1,"326":1,"327":1,"336":5,"340":6}}],["incrementing",{"2":{"129":1,"135":1,"158":1,"311":1,"317":1,"340":1}}],["increase",{"2":{"179":1}}],["increased",{"2":{"66":1,"118":1,"300":1}}],["increamenting",{"2":{"129":1,"311":1}}],["inconditional",{"2":{"160":1,"342":1}}],["incorrect",{"2":{"114":1,"296":1}}],["incoming",{"2":{"62":2,"267":1}}],["inch",{"2":{"97":1,"279":1}}],["included",{"2":{"148":2,"175":1,"330":2}}],["include",{"2":{"67":1,"174":1,"269":2}}],["includes",{"2":{"9":1,"69":1,"160":1,"182":1,"342":1}}],["including",{"2":{"23":1,"47":1,"67":2,"112":1,"174":3,"257":2,"294":1}}],["inequalities",{"2":{"52":1}}],["inequality",{"2":{"52":4}}],["inspection",{"2":{"226":1}}],["inspected",{"2":{"69":1}}],["insertion",{"2":{"139":1,"152":1,"321":1,"334":1}}],["insensitive",{"2":{"40":1}}],["inside",{"2":{"137":1,"160":3,"204":1,"227":1,"232":1,"233":1,"319":1,"342":3}}],["instr",{"2":{"184":1,"192":3,"194":1,"199":5,"206":10}}],["instructing",{"2":{"115":1,"297":1}}],["instruction",{"0":{"176":1,"184":1,"198":1},"2":{"67":1,"101":1,"102":3,"103":1,"104":1,"105":4,"106":5,"107":1,"108":1,"112":6,"119":1,"125":1,"126":1,"128":2,"131":1,"132":1,"133":1,"134":1,"135":1,"147":2,"160":10,"172":1,"173":3,"174":3,"175":1,"176":3,"177":3,"178":2,"182":1,"184":6,"188":31,"189":1,"190":9,"192":1,"194":2,"198":4,"199":1,"204":1,"205":1,"206":1,"215":6,"217":1,"218":1,"219":1,"220":1,"221":1,"257":2,"283":1,"284":3,"285":1,"286":1,"287":4,"288":5,"289":1,"290":1,"294":6,"301":1,"307":1,"308":1,"310":2,"313":1,"314":1,"315":1,"316":1,"317":1,"329":2,"342":10}}],["instructions",{"0":{"102":1,"103":1,"125":1,"126":1,"128":1,"130":1,"134":1,"215":1,"216":1,"284":1,"285":1,"307":1,"308":1,"310":1,"312":1,"316":1},"1":{"129":1,"130":1,"131":2,"132":1,"133":1,"134":1,"135":1,"217":1,"218":1,"219":1,"220":1,"221":1,"311":1,"312":1,"313":2,"314":1,"315":1,"316":1,"317":1},"2":{"10":1,"67":1,"94":2,"95":2,"96":1,"102":3,"112":1,"113":5,"114":2,"119":2,"125":1,"128":1,"132":3,"160":1,"172":2,"173":6,"175":1,"176":2,"177":1,"182":3,"188":1,"190":2,"192":3,"194":1,"198":1,"199":2,"215":1,"216":1,"257":1,"276":2,"277":2,"278":1,"284":3,"294":1,"295":5,"296":2,"301":2,"307":1,"310":1,"314":3,"342":1}}],["institute",{"2":{"162":1}}],["instantiation",{"2":{"269":1,"270":3}}],["instantiated",{"2":{"267":1}}],["instantiates",{"2":{"192":1,"199":1}}],["instantiate",{"2":{"192":1,"217":1,"218":1,"219":1,"220":1,"221":1,"267":3}}],["instance",{"2":{"47":1,"100":1,"215":1,"282":1}}],["installation",{"2":{"94":3,"95":3,"276":3,"277":3}}],["installer",{"2":{"94":2,"95":1,"276":2,"277":1}}],["installed",{"0":{"77":1}}],["install",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["instead",{"2":{"38":1,"40":1,"239":1}}],["initially",{"2":{"198":1,"267":2}}],["initialises",{"2":{"198":1}}],["initialise",{"2":{"193":1,"217":2,"218":2,"219":1,"220":1,"221":3}}],["initialised",{"2":{"135":1,"317":1}}],["initialized",{"2":{"137":2,"145":1,"160":1,"269":1,"319":2,"327":1,"342":1}}],["initializes",{"2":{"114":1,"296":1}}],["initialize",{"2":{"114":1,"153":1,"154":2,"157":2,"158":4,"217":1,"218":1,"219":1,"220":1,"221":1,"232":1,"249":1,"267":1,"296":1,"335":1,"336":2,"339":2,"340":4}}],["initialization",{"0":{"77":1,"137":1,"319":1},"2":{"9":2,"214":1}}],["initial",{"0":{"232":1},"2":{"22":1,"188":1,"198":1,"217":1,"218":1,"219":1,"220":1,"221":1,"232":5,"236":3,"237":2,"243":3,"245":1,"247":3,"251":2,"255":2}}],["in2",{"2":{"22":3}}],["in1",{"2":{"22":3}}],["inputted",{"2":{"129":1,"311":1}}],["inputsize",{"2":{"115":4,"297":4}}],["inputs",{"0":{"193":1,"196":1},"2":{"9":2,"11":1,"23":8,"25":1,"28":1,"33":2,"34":4,"35":6,"36":3,"38":1,"39":1,"41":3,"43":2,"44":2,"54":2,"55":1,"59":2,"62":1,"63":2,"64":4,"65":1,"66":3,"69":3,"71":2,"72":2,"139":1,"187":1,"199":1,"200":1,"205":1,"206":2,"207":1,"224":1,"225":1,"229":3,"230":1,"249":2,"268":1,"269":3,"321":1}}],["input",{"0":{"35":1,"72":1,"139":1,"181":1,"321":1},"2":{"6":1,"7":1,"9":2,"21":2,"22":1,"23":7,"25":1,"26":3,"27":2,"31":2,"34":4,"35":4,"36":12,"39":1,"40":4,"41":2,"43":9,"46":6,"52":2,"54":5,"55":3,"61":2,"62":4,"63":1,"64":1,"65":3,"66":3,"67":2,"68":1,"69":2,"70":1,"71":1,"72":1,"109":1,"112":1,"113":7,"114":1,"115":8,"127":3,"128":1,"129":1,"135":1,"139":2,"141":1,"142":2,"147":2,"148":1,"152":1,"153":1,"154":14,"156":2,"157":1,"158":14,"160":1,"174":1,"177":7,"178":1,"182":1,"184":1,"185":1,"186":2,"189":1,"190":1,"192":2,"195":2,"197":1,"198":3,"199":3,"200":2,"202":2,"205":2,"206":7,"207":4,"209":1,"210":1,"211":1,"212":2,"213":2,"214":3,"224":2,"226":1,"227":1,"241":1,"242":1,"246":1,"249":4,"250":1,"254":2,"264":1,"265":1,"267":16,"268":14,"269":11,"270":3,"291":1,"294":1,"295":7,"296":1,"297":8,"309":3,"310":1,"311":1,"317":1,"321":2,"323":1,"324":2,"329":2,"330":1,"334":1,"335":1,"336":14,"338":2,"339":1,"340":14,"342":1}}],["in",{"0":{"57":1,"58":1,"59":1,"60":1,"74":1,"78":1,"79":1,"120":1,"144":1,"145":1,"156":1,"240":1,"302":1,"326":1,"327":1,"338":1},"1":{"157":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1,"339":1},"2":{"4":1,"5":2,"9":6,"11":3,"15":1,"23":1,"27":3,"33":2,"34":2,"35":2,"36":8,"38":1,"40":1,"41":2,"44":1,"47":4,"50":2,"51":3,"52":5,"53":1,"54":1,"57":2,"58":6,"60":1,"62":2,"63":2,"64":4,"65":1,"67":6,"69":1,"87":1,"94":4,"95":3,"96":4,"98":4,"99":4,"100":2,"101":1,"102":1,"103":4,"108":2,"109":7,"110":7,"112":8,"113":20,"114":8,"115":7,"116":3,"118":2,"119":7,"122":1,"123":1,"124":1,"125":4,"126":14,"127":5,"128":7,"129":1,"131":4,"132":8,"133":6,"134":9,"136":2,"138":2,"139":2,"140":3,"141":1,"148":2,"150":1,"151":5,"153":1,"154":3,"157":2,"158":2,"160":44,"162":1,"163":2,"164":3,"170":3,"173":3,"174":2,"175":3,"176":6,"177":5,"178":2,"184":1,"185":3,"188":9,"189":2,"190":5,"198":2,"199":2,"202":1,"206":2,"208":1,"215":10,"225":2,"226":3,"227":6,"229":1,"230":1,"232":2,"233":3,"234":2,"235":2,"236":5,"237":4,"238":4,"239":4,"240":1,"241":2,"242":8,"243":16,"245":3,"246":5,"247":16,"249":7,"253":1,"255":2,"257":4,"258":1,"262":1,"263":2,"267":11,"268":6,"269":11,"270":1,"276":4,"277":3,"278":4,"280":4,"281":4,"282":2,"283":1,"284":1,"285":4,"290":2,"291":7,"292":7,"294":8,"295":20,"296":8,"297":7,"298":3,"300":2,"301":7,"304":1,"305":1,"306":1,"307":4,"308":14,"309":5,"310":7,"311":1,"313":4,"314":8,"315":6,"316":9,"318":2,"320":2,"321":2,"322":3,"323":1,"330":2,"332":1,"333":5,"335":1,"336":3,"339":2,"340":2,"342":44,"345":1}}],["inti=0",{"2":{"160":1,"342":1}}],["int",{"2":{"109":2,"154":1,"160":2,"291":2,"336":1,"342":2}}],["introductory",{"2":{"256":2}}],["introduction",{"0":{"0":1,"8":1,"87":1,"92":1,"223":1,"260":1,"266":1,"274":1,"345":1},"1":{"1":1,"9":1,"10":1,"11":1,"12":1,"13":1,"14":1,"15":1,"16":1,"17":1,"18":1,"19":1,"20":1,"21":1,"22":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"224":1,"225":1,"226":1,"227":1,"228":1,"229":1,"230":1,"231":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"240":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1,"256":1,"267":1,"268":1,"269":1,"270":1,"271":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1},"2":{"5":1,"9":1,"256":1,"257":2,"263":1,"344":1}}],["introduce",{"2":{"112":1,"294":1}}],["intro",{"2":{"87":1,"345":1}}],["into",{"0":{"80":1},"2":{"14":1,"54":1,"55":1,"58":1,"59":1,"66":1,"71":1,"102":5,"105":3,"112":4,"113":9,"115":4,"127":1,"142":1,"160":8,"173":1,"176":1,"177":1,"182":1,"185":1,"188":8,"190":1,"194":1,"197":1,"198":2,"206":1,"215":1,"232":1,"239":1,"240":1,"257":1,"258":1,"267":1,"268":1,"284":5,"287":3,"294":4,"295":9,"297":4,"309":1,"324":1,"342":8}}],["integrated",{"2":{"67":1}}],["integers",{"0":{"132":1,"133":1,"314":1,"315":1},"1":{"133":1,"315":1},"2":{"103":5,"113":18,"140":1,"141":1,"285":5,"295":18,"322":1,"323":1}}],["integer",{"0":{"2":1,"5":1,"6":1,"113":1,"136":1,"140":1,"261":1,"263":1,"264":1,"295":1,"318":1,"322":1},"1":{"3":1,"6":1,"7":1,"114":1,"137":1,"138":1,"139":1,"140":1,"141":1,"142":1,"143":1,"144":1,"145":1,"296":1,"319":1,"320":1,"321":1,"322":1,"323":1,"324":1,"325":1,"326":1,"327":1},"2":{"5":1,"67":1,"103":1,"109":7,"113":17,"114":6,"122":1,"132":4,"133":4,"134":4,"135":2,"136":2,"137":1,"138":1,"139":4,"140":2,"141":1,"142":3,"148":1,"153":1,"154":1,"157":1,"158":1,"160":1,"198":1,"217":1,"218":1,"219":1,"220":1,"221":1,"263":1,"269":1,"285":1,"291":7,"295":17,"296":6,"304":1,"314":4,"315":4,"316":4,"317":2,"318":2,"319":1,"320":1,"321":4,"322":2,"323":1,"324":3,"330":1,"335":1,"336":1,"339":1,"340":1,"342":1}}],["intermed",{"2":{"267":4}}],["intermediate",{"2":{"9":1,"182":1,"201":1}}],["interlocked",{"2":{"257":1}}],["interesting",{"2":{"237":1}}],["interested",{"2":{"170":1}}],["interfaces",{"2":{"174":1}}],["interface",{"2":{"161":1,"222":1,"343":1}}],["interval",{"2":{"61":1}}],["internal",{"2":{"36":1,"224":1}}],["interactive",{"2":{"9":1}}],["interconnections",{"2":{"11":1}}],["interconnection",{"2":{"9":2}}],["utah",{"2":{"271":1}}],["utilities",{"2":{"98":1,"280":1}}],["utilizing",{"2":{"67":1}}],["utilize",{"2":{"41":1,"270":1}}],["uut",{"2":{"243":1,"247":1,"255":1}}],["umn",{"2":{"161":1,"343":1}}],["usc",{"2":{"161":1,"343":1}}],["usage",{"2":{"120":1,"160":2,"302":1,"342":2}}],["us",{"0":{"162":1},"1":{"163":1,"164":1,"165":1,"166":1,"167":1,"168":1,"169":1,"170":1},"2":{"102":1,"170":1,"188":1,"267":3,"284":1}}],["usually",{"0":{"83":1},"2":{"160":1,"224":1,"232":1,"342":1}}],["using",{"0":{"85":1},"2":{"10":2,"27":1,"47":1,"96":2,"100":3,"112":2,"113":6,"114":1,"115":1,"119":1,"122":1,"135":1,"136":1,"137":2,"138":1,"139":1,"140":1,"147":1,"149":1,"152":1,"156":1,"160":10,"179":2,"188":2,"190":3,"198":1,"224":1,"234":1,"236":1,"240":1,"249":1,"256":1,"257":1,"258":1,"267":4,"278":2,"282":3,"294":2,"295":6,"296":1,"297":1,"301":1,"304":1,"317":1,"318":1,"319":2,"320":1,"321":1,"322":1,"329":1,"331":1,"334":1,"338":1,"342":10}}],["use",{"0":{"76":1,"79":1},"2":{"47":1,"51":1,"62":1,"96":1,"97":1,"98":1,"99":1,"100":2,"108":1,"110":1,"112":3,"118":1,"160":1,"174":1,"177":1,"182":1,"215":1,"249":1,"267":1,"278":1,"279":1,"280":1,"281":1,"282":2,"290":1,"292":1,"294":3,"300":1,"342":1}}],["useful",{"0":{"270":1},"2":{"33":1,"50":1,"54":1,"66":1,"102":1,"109":1,"284":1,"291":1}}],["uses",{"2":{"27":1,"67":1,"150":1,"160":1,"173":1,"188":2,"204":1,"332":1,"342":1}}],["user",{"2":{"9":1,"109":4,"113":5,"115":6,"116":1,"127":3,"129":1,"135":2,"139":2,"140":1,"147":2,"153":2,"157":2,"253":1,"291":4,"295":5,"297":6,"298":1,"309":3,"311":1,"317":2,"321":2,"322":1,"329":2,"335":2,"339":2}}],["used",{"2":{"9":3,"11":1,"17":1,"22":1,"39":1,"47":1,"52":2,"54":1,"63":1,"66":1,"67":1,"112":2,"113":1,"115":3,"116":1,"119":2,"120":3,"127":1,"132":1,"135":5,"139":1,"142":1,"147":2,"154":2,"158":2,"160":8,"173":1,"176":1,"178":1,"189":2,"190":2,"192":1,"193":2,"198":1,"204":1,"215":1,"229":1,"232":2,"233":1,"235":1,"237":1,"239":1,"249":1,"257":2,"267":1,"269":2,"294":2,"295":1,"297":3,"298":1,"301":2,"302":3,"309":1,"314":1,"317":5,"321":1,"324":1,"329":2,"336":2,"340":2,"342":8}}],["undo",{"2":{"112":1,"294":1}}],["undesirable",{"2":{"36":1}}],["undefined",{"2":{"33":1,"34":1,"35":2,"36":1}}],["understanding",{"0":{"179":1},"2":{"113":1,"115":1,"160":3,"174":3,"240":1,"255":1,"258":2,"295":1,"297":1,"342":3}}],["understand",{"2":{"14":1,"17":1,"19":1,"102":1,"160":1,"174":1,"188":1,"257":2,"284":1,"342":1}}],["under",{"2":{"9":1,"98":1,"99":1,"100":1,"115":1,"224":2,"280":1,"281":1,"282":1,"297":1}}],["unconditional",{"0":{"108":1,"290":1}}],["unzip",{"2":{"100":2,"282":2}}],["unreadably",{"2":{"97":1,"279":1}}],["unintentionally",{"2":{"267":1}}],["unique",{"2":{"70":1,"185":2,"224":1}}],["units",{"0":{"208":1},"1":{"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"2":{"67":1,"172":1,"173":2,"179":1,"208":1}}],["unit",{"0":{"67":1,"175":1,"177":1,"178":1},"1":{"176":1,"177":1,"178":1,"179":2,"180":2,"181":2},"2":{"9":1,"67":5,"89":1,"172":1,"173":3,"174":1,"175":2,"177":4,"178":2,"179":3,"182":3,"186":2,"187":1,"188":6,"189":2,"202":1,"347":1}}],["until",{"2":{"36":1,"112":3,"154":1,"158":1,"267":1,"294":3,"336":1,"340":1}}],["unless",{"2":{"34":1}}],["unlikely",{"2":{"47":1}}],["unlike",{"2":{"29":1,"113":2,"120":1,"148":1,"160":1,"230":1,"295":2,"302":1,"330":1,"342":1}}],["unsigned",{"2":{"31":1,"103":6,"113":2,"285":6,"295":2}}],["upcoming",{"2":{"199":1}}],["upper",{"2":{"101":1,"103":1,"105":2,"188":2,"190":1,"249":1,"283":1,"285":1,"287":2}}],["upon",{"2":{"61":1,"160":3,"342":3}}],["updated",{"2":{"188":1}}],["updates",{"2":{"188":1}}],["update",{"2":{"11":1,"129":2,"157":1,"158":8,"215":1,"311":2,"339":1,"340":8}}],["up",{"0":{"1":1,"65":1,"91":1,"93":1,"97":1,"273":1,"275":1,"279":1},"1":{"92":1,"93":1,"94":2,"95":2,"96":2,"97":1,"98":2,"99":2,"100":2,"101":1,"102":2,"103":2,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"274":1,"275":1,"276":2,"277":2,"278":2,"279":1,"280":2,"281":2,"282":2,"283":1,"284":2,"285":2,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"65":4,"112":1,"148":1,"160":3,"255":1,"260":1,"294":1,"330":1,"342":3}}],["90",{"2":{"243":1,"247":1}}],["9",{"0":{"207":1},"2":{"9":1,"63":1,"97":1,"109":1,"127":3,"155":2,"159":1,"172":1,"202":7,"203":1,"279":1,"291":1,"309":3,"337":2,"341":1}}],["89",{"2":{"243":1,"247":1}}],["87",{"2":{"243":1,"247":1}}],["8c03001e",{"2":{"220":1}}],["80",{"2":{"115":1,"116":1,"217":1,"218":1,"219":1,"221":1,"243":1,"247":1,"297":1,"298":1}}],["81",{"2":{"115":1,"116":1,"297":1,"298":1}}],["8",{"0":{"71":1,"206":1,"222":1},"1":{"207":1},"2":{"9":1,"36":1,"109":1,"110":1,"111":1,"113":1,"115":3,"118":1,"119":1,"129":3,"141":2,"147":1,"154":1,"155":2,"158":1,"172":3,"188":2,"202":1,"212":1,"213":1,"236":5,"237":5,"239":1,"268":2,"291":1,"292":1,"293":1,"295":1,"297":3,"300":1,"301":1,"311":3,"323":2,"329":1,"336":1,"337":2,"340":1}}],["70",{"2":{"243":2,"247":2}}],["754",{"2":{"117":1,"118":1,"299":1,"300":1}}],["7",{"0":{"205":1,"216":1},"1":{"217":1,"218":1,"219":1,"220":1,"221":1},"2":{"9":1,"109":1,"111":1,"112":1,"113":1,"124":2,"127":1,"129":1,"135":2,"155":2,"159":1,"160":3,"172":2,"192":1,"200":1,"202":2,"236":1,"237":1,"238":1,"268":5,"291":1,"293":1,"294":1,"295":1,"306":2,"309":1,"311":1,"317":2,"337":2,"341":1,"342":3}}],["63",{"2":{"195":1,"198":1}}],["647",{"2":{"114":1,"296":1}}],["64",{"2":{"109":1,"118":1,"197":1,"291":1,"300":1}}],["6",{"0":{"202":1,"214":1,"215":1},"2":{"9":1,"89":1,"109":1,"112":1,"113":1,"123":2,"137":2,"141":2,"142":1,"155":2,"159":1,"172":2,"177":1,"178":1,"189":1,"198":1,"200":2,"202":7,"205":6,"215":1,"253":1,"256":2,"291":1,"294":1,"295":1,"305":2,"319":2,"323":2,"324":1,"337":2,"341":1,"347":1}}],["5=",{"2":{"254":1,"255":1}}],["513",{"2":{"251":1}}],["54",{"2":{"243":1,"247":1}}],["50",{"2":{"218":4,"243":1,"247":1,"251":1}}],["550=0",{"2":{"218":1}}],["550",{"2":{"217":1,"218":2,"251":1}}],["53",{"2":{"172":1}}],["52",{"2":{"118":1,"243":1,"247":1,"300":1}}],["5th",{"2":{"86":1,"256":1}}],["5",{"0":{"191":1,"200":1,"213":1,"221":1},"1":{"192":1,"193":1,"194":1,"195":1,"196":1,"197":1,"198":1,"199":1,"200":1,"201":2,"202":1,"203":1,"204":1},"2":{"9":1,"89":1,"98":6,"99":6,"100":7,"109":1,"112":1,"113":11,"114":2,"121":1,"127":3,"129":1,"137":2,"139":1,"141":2,"154":2,"155":2,"158":2,"159":1,"160":7,"172":2,"176":1,"177":1,"183":1,"185":2,"198":1,"199":1,"200":1,"202":1,"205":1,"206":1,"217":2,"218":2,"219":2,"220":2,"221":2,"243":1,"247":1,"253":3,"254":7,"255":8,"267":1,"280":6,"281":6,"282":7,"291":1,"294":1,"295":11,"296":2,"303":1,"309":3,"311":1,"319":2,"321":1,"323":2,"336":2,"337":2,"340":2,"341":1,"342":7,"347":1}}],["478",{"2":{"251":1}}],["450",{"2":{"217":1}}],["43c79714a7f3d0bbb8098d60c63fde48",{"2":{"271":1}}],["43",{"2":{"188":1}}],["46",{"2":{"172":1}}],["44",{"2":{"172":1}}],["41",{"2":{"172":1}}],["48",{"2":{"154":1,"158":1,"336":1,"340":1}}],["483",{"2":{"114":1,"296":1}}],["40",{"2":{"113":2,"137":2,"243":1,"247":1,"295":2,"319":2}}],["4",{"0":{"44":1,"64":1,"72":1,"182":1,"199":1,"212":1,"220":1},"1":{"183":1,"184":1,"185":1,"186":1,"187":1,"188":1,"189":1,"190":1},"2":{"9":1,"22":1,"36":1,"37":1,"98":1,"99":1,"100":1,"109":1,"111":1,"112":5,"113":1,"114":3,"115":5,"120":1,"121":1,"127":2,"129":6,"135":3,"137":2,"138":4,"139":4,"151":1,"154":8,"155":2,"158":9,"160":9,"172":2,"177":1,"178":2,"188":3,"189":1,"190":7,"206":1,"207":1,"214":7,"235":1,"241":1,"245":1,"249":1,"253":1,"267":5,"269":3,"280":1,"281":1,"282":1,"291":1,"293":1,"294":5,"295":1,"296":3,"297":5,"302":1,"303":1,"309":2,"311":6,"317":3,"319":2,"320":4,"321":4,"333":1,"336":8,"337":2,"340":9,"342":9}}],["38",{"2":{"172":1}}],["350",{"2":{"251":1}}],["35",{"2":{"172":1}}],["34",{"2":{"159":1,"341":1}}],["300",{"2":{"159":2,"341":2}}],["30",{"2":{"113":5,"219":4,"220":5,"221":1,"243":1,"247":1,"295":5}}],["33",{"2":{"112":1,"172":1,"294":1}}],["31",{"2":{"111":1,"120":1,"172":1,"176":1,"177":2,"192":2,"195":5,"198":2,"199":5,"206":10,"207":4,"209":2,"210":2,"211":1,"214":3,"217":1,"218":1,"219":1,"220":1,"221":1,"293":1,"302":1}}],["32b100",{"2":{"206":1}}],["32",{"0":{"214":1},"2":{"102":1,"103":3,"109":2,"110":1,"113":2,"114":5,"118":1,"119":2,"120":1,"125":2,"127":3,"132":2,"140":2,"142":1,"154":2,"158":2,"176":1,"177":1,"182":2,"184":1,"185":2,"188":2,"190":1,"195":1,"196":2,"197":3,"198":1,"199":4,"205":1,"206":11,"207":2,"211":1,"214":3,"215":1,"284":1,"285":3,"291":2,"292":1,"295":2,"296":5,"300":1,"301":2,"302":1,"307":2,"309":3,"314":2,"322":2,"324":1,"336":2,"340":2}}],["3",{"0":{"71":1,"135":1,"175":1,"198":1,"211":1,"219":1,"317":1},"1":{"176":1,"177":1,"178":1,"179":1,"180":1,"181":1},"2":{"9":1,"44":2,"52":1,"89":1,"109":1,"111":1,"112":10,"113":1,"114":1,"115":1,"118":1,"121":4,"124":2,"127":1,"129":3,"135":1,"137":2,"138":4,"155":4,"159":2,"160":4,"172":3,"177":2,"190":1,"192":1,"200":1,"205":10,"206":2,"214":1,"234":2,"235":1,"238":1,"239":1,"249":1,"253":1,"254":10,"255":10,"267":11,"268":2,"269":8,"291":1,"293":1,"294":10,"295":1,"296":1,"297":1,"300":1,"303":4,"306":2,"309":1,"311":3,"317":1,"319":2,"320":4,"337":4,"341":2,"342":4,"347":1}}],["g4",{"2":{"267":1,"269":1}}],["g3",{"2":{"267":1,"269":1}}],["g2",{"2":{"267":1,"269":1}}],["g1",{"2":{"267":1,"269":1}}],["gain",{"2":{"258":1}}],["game",{"2":{"249":2}}],["gate",{"0":{"18":1},"1":{"19":1,"21":1,"22":1},"2":{"9":1,"11":1,"23":24,"28":3,"52":2,"64":1,"189":1,"190":1}}],["gates",{"0":{"23":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1,"72":1},"2":{"9":1,"11":2,"23":2,"28":2,"33":1,"34":1,"35":2,"53":1,"55":2,"64":2,"71":1,"87":1,"174":1,"204":1,"345":1}}],["ghosh",{"2":{"168":1,"169":1}}],["guide",{"0":{"165":1,"257":1,"259":1,"344":1},"1":{"258":1,"260":1,"261":1,"262":1,"263":1,"264":1,"265":1,"345":1,"346":1,"347":1},"2":{"170":2,"222":1,"258":1}}],["guides",{"2":{"163":1}}],["globl",{"2":{"127":1,"129":1,"135":1,"309":1,"311":1,"317":1}}],["gz",{"2":{"96":1,"278":1}}],["g",{"2":{"94":1,"95":2,"96":1,"160":4,"267":1,"268":1,"276":1,"277":2,"278":1,"342":4}}],["gpus",{"2":{"67":1}}],["geeksforgeeks",{"2":{"269":2,"271":1}}],["general",{"2":{"52":1,"105":2,"120":1,"125":3,"287":2,"302":1,"307":3}}],["generate",{"2":{"66":2,"217":1,"218":1,"219":1,"220":1,"221":1}}],["generated",{"2":{"28":1,"51":1,"66":1,"182":1,"198":1}}],["generates",{"2":{"25":1,"28":2,"64":1,"71":1,"173":1}}],["generator",{"0":{"47":1},"2":{"9":1,"28":1}}],["gets",{"2":{"101":1,"188":1,"197":1,"198":1,"233":1,"236":1,"269":1,"283":1}}],["getting",{"2":{"101":1,"283":1}}],["get",{"2":{"50":1,"188":1,"240":1,"253":3}}],["great",{"2":{"215":1}}],["greater",{"2":{"17":1,"52":1,"67":2,"106":2,"128":3,"129":1,"134":1,"158":1,"288":2,"310":3,"311":1,"316":1,"340":1}}],["greatest",{"2":{"5":1,"134":1,"263":1,"316":1}}],["graphically",{"2":{"227":1}}],["grab",{"2":{"188":1}}],["gray",{"0":{"50":1,"51":1},"2":{"50":4,"51":3}}],["grouped",{"2":{"239":1}}],["group",{"2":{"47":4,"53":2,"233":1}}],["google",{"2":{"260":1,"261":1,"262":1,"263":1,"264":1}}],["googledrive",{"2":{"88":1,"346":1,"347":1}}],["good",{"2":{"160":1,"342":1}}],["going",{"0":{"80":1,"85":1},"2":{"50":1,"98":1,"129":2,"280":1,"311":2}}],["goes",{"2":{"34":1,"35":1,"36":3,"54":2,"61":1,"62":1,"158":2,"226":1,"227":1,"340":2}}],["go",{"2":{"34":2,"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"106":6,"108":3,"112":1,"160":1,"267":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1,"288":6,"290":3,"294":1,"342":1}}],["gt",{"0":{"153":1,"154":1,"156":1,"157":1,"158":1,"335":1,"336":1,"338":1,"339":1,"340":1},"1":{"157":1,"339":1},"2":{"31":1,"98":2,"104":2,"106":2,"152":1,"160":3,"205":3,"280":2,"286":2,"288":2,"334":1,"342":3}}],["github",{"0":{"169":1},"2":{"260":1,"262":3,"263":7,"265":5,"345":1,"346":1,"347":1}}],["give",{"2":{"188":1,"227":1,"268":1}}],["given",{"2":{"72":1,"102":1,"114":1,"118":1,"127":1,"129":1,"135":2,"160":8,"185":4,"187":1,"234":1,"239":3,"249":2,"253":1,"284":1,"296":1,"300":1,"309":1,"311":1,"317":2,"342":8}}],["gives",{"2":{"31":1,"64":1,"188":1,"227":1}}],["giving",{"2":{"35":1,"267":1}}],["gi",{"0":{"55":1},"2":{"28":3}}],["vend",{"2":{"254":8,"255":2}}],["vending",{"0":{"253":1},"2":{"253":1,"254":1,"255":2}}],["ver",{"2":{"93":1,"275":1}}],["version",{"0":{"74":1},"2":{"39":1,"41":1,"94":4,"95":4,"96":3,"175":1,"276":4,"277":4,"278":3}}],["very",{"2":{"19":2,"47":1,"230":1,"255":1}}],["verify",{"2":{"17":1}}],["verification",{"2":{"14":1,"19":2}}],["verilog",{"0":{"20":1,"73":1,"75":1,"78":1,"87":1,"168":1,"231":1,"240":1,"242":1,"246":1,"250":1,"254":1,"344":1,"345":1},"1":{"21":1,"22":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1,"345":1,"346":1,"347":1},"2":{"9":5,"10":1,"87":3,"173":1,"174":6,"215":2,"222":1,"232":1,"233":1,"238":1,"239":1,"240":3,"256":4,"257":4,"258":4,"267":4,"268":1,"269":3,"271":1,"344":3,"345":3}}],["vcd",{"2":{"243":1,"247":1,"251":1,"255":1}}],["vfork",{"2":{"235":1}}],["vbegin",{"2":{"234":1}}],["v$readmemh",{"2":{"198":1}}],["vsw",{"2":{"188":1}}],["v5",{"2":{"113":1,"295":1}}],["v",{"2":{"69":4,"112":1,"113":6,"167":1,"206":2,"239":1,"294":1,"295":6}}],["vmodule",{"2":{"21":1,"22":1,"192":1,"195":1,"198":1,"199":1,"200":1,"202":1,"205":1,"206":1,"207":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1,"217":1,"218":1,"219":1,"220":1,"221":1,"236":1,"237":1,"242":1,"243":1,"246":1,"247":1,"250":1,"251":1,"254":1,"255":1,"267":3,"268":2,"269":2,"270":1}}],["variety",{"2":{"249":1}}],["varibale",{"2":{"135":1,"317":1}}],["various",{"0":{"216":1},"1":{"217":1,"218":1,"219":1,"220":1,"221":1},"2":{"67":2,"172":1,"174":1,"177":1,"189":1,"190":1}}],["variable",{"0":{"212":1,"213":1},"2":{"28":2,"129":1,"135":1,"236":1,"267":4,"268":1,"311":1,"317":1}}],["variables",{"0":{"203":1},"1":{"204":1},"2":{"9":1,"25":2,"26":1,"28":1,"43":1,"44":1,"71":1,"111":1,"160":8,"200":1,"207":1,"225":1,"226":1,"232":1,"267":1,"293":1,"342":8}}],["valways",{"2":{"233":1,"238":1}}],["val",{"2":{"221":1}}],["valuable",{"2":{"163":1,"170":1}}],["value",{"2":{"36":1,"54":2,"72":1,"104":1,"105":1,"110":1,"111":1,"112":1,"113":15,"114":5,"116":1,"122":1,"123":1,"124":1,"126":2,"129":2,"154":1,"157":1,"158":1,"160":8,"177":1,"187":2,"188":7,"190":2,"204":1,"206":1,"207":1,"211":1,"217":1,"218":1,"219":1,"220":1,"221":1,"227":2,"233":1,"267":10,"269":1,"270":3,"286":1,"287":1,"292":1,"293":1,"294":1,"295":15,"296":5,"298":1,"304":1,"305":1,"306":1,"308":2,"311":2,"336":1,"339":1,"340":1,"342":8}}],["values",{"2":{"9":1,"22":2,"35":1,"41":1,"43":2,"44":1,"65":1,"67":3,"72":1,"102":1,"103":3,"110":3,"111":2,"113":13,"114":1,"120":5,"127":1,"153":1,"157":1,"158":1,"160":5,"174":1,"182":1,"195":1,"198":1,"204":1,"225":1,"226":1,"232":1,"233":1,"234":1,"249":1,"269":2,"284":1,"285":3,"292":3,"293":2,"295":13,"296":1,"302":5,"309":1,"335":1,"339":1,"340":1,"342":5}}],["valid",{"2":{"52":1,"69":2,"114":2,"296":2}}],["viz",{"2":{"238":1}}],["vinitial",{"2":{"232":1}}],["visiting",{"2":{"170":1}}],["visit",{"2":{"164":1}}],["visualized",{"2":{"151":1,"333":1}}],["via",{"2":{"160":1,"185":1,"342":1}}],["vid",{"2":{"87":2,"345":2}}],["video▶️",{"2":{"5":2}}],["video",{"2":{"0":1,"1":1,"2":1,"5":5,"6":1,"7":5,"87":2,"88":2,"89":2,"258":2,"260":2,"261":1,"263":7,"264":1,"265":5,"345":2,"346":2,"347":2}}],["view",{"0":{"85":1},"2":{"19":1,"112":1,"194":1,"198":1,"199":1,"201":1,"204":1,"205":1,"206":1,"207":1,"294":1}}],["violations",{"2":{"9":1}}],["19",{"2":{"120":1,"302":1}}],["1s",{"2":{"31":3,"47":5,"204":1}}],["18",{"2":{"9":1}}],["170",{"2":{"243":1,"247":1}}],["17",{"2":{"9":1,"109":1,"291":1}}],["167",{"2":{"251":1}}],["160",{"2":{"243":1,"247":1}}],["16",{"2":{"9":1,"44":1,"102":1,"105":2,"110":1,"111":1,"120":1,"129":1,"170":1,"176":3,"177":2,"188":2,"190":1,"205":1,"206":4,"211":3,"284":1,"287":2,"292":1,"293":1,"302":1,"311":1}}],["15=",{"2":{"254":1,"255":1}}],["15",{"2":{"9":1,"111":1,"176":2,"177":1,"206":4,"211":3,"254":1,"267":3,"269":1,"293":1}}],["147",{"2":{"114":1,"296":1}}],["14",{"2":{"9":1}}],["13",{"2":{"9":1}}],["120",{"2":{"243":1,"247":1}}],["1230",{"2":{"151":1,"333":1}}],["127",{"2":{"118":1,"300":1}}],["12",{"2":{"9":1,"109":1,"138":3,"291":1,"320":3}}],["111",{"2":{"256":2}}],["1111",{"2":{"188":1}}],["1100",{"2":{"188":2}}],["11",{"2":{"9":1,"93":1,"95":1,"96":2,"109":1,"118":1,"120":1,"140":1,"142":1,"176":1,"177":1,"206":2,"226":2,"275":1,"277":1,"278":2,"291":1,"300":1,"302":1,"322":1,"324":1}}],["10=",{"2":{"254":1,"255":1}}],["101",{"2":{"251":1}}],["10a00002",{"2":{"221":1}}],["10^n",{"2":{"135":6,"317":6}}],["1023",{"2":{"118":1,"300":1}}],["1020305",{"2":{"113":1,"295":1}}],["1000",{"2":{"108":4,"129":1,"145":2,"151":2,"217":3,"290":4,"311":1,"327":2,"333":2}}],["100",{"2":{"103":2,"104":4,"105":5,"106":6,"107":2,"115":2,"121":2,"154":3,"158":3,"220":6,"243":1,"247":1,"251":1,"285":2,"286":4,"287":5,"288":6,"289":2,"297":2,"303":2,"336":3,"340":3}}],["10m50daf484c7g",{"0":{"76":1}}],["10",{"0":{"76":1,"208":1},"1":{"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"2":{"9":1,"63":1,"89":1,"104":4,"109":1,"112":2,"113":5,"115":1,"118":1,"127":1,"129":1,"135":4,"140":1,"147":2,"148":1,"149":1,"154":2,"158":2,"160":8,"172":1,"178":1,"226":1,"243":1,"247":1,"253":1,"254":7,"255":6,"286":4,"291":1,"294":2,"295":5,"297":1,"300":1,"309":1,"311":1,"317":4,"322":1,"329":2,"330":1,"331":1,"336":2,"340":2,"342":8,"347":1}}],["1",{"0":{"43":1,"44":1,"46":1,"127":1,"173":1,"192":1,"209":1,"217":1,"309":1},"1":{"193":1,"194":1},"2":{"9":1,"22":1,"25":7,"27":1,"31":5,"33":3,"34":5,"35":8,"36":6,"43":4,"44":5,"46":1,"47":2,"52":4,"54":1,"62":1,"63":1,"64":6,"65":1,"66":4,"69":3,"72":2,"87":2,"89":1,"107":4,"109":1,"112":11,"113":7,"114":1,"115":1,"116":1,"118":2,"119":2,"125":3,"128":4,"129":5,"135":3,"139":1,"140":4,"142":2,"144":2,"145":2,"148":2,"149":3,"150":4,"154":5,"155":2,"158":6,"159":2,"160":31,"172":3,"177":2,"186":1,"188":5,"189":1,"190":4,"197":1,"200":1,"202":3,"205":2,"207":2,"212":2,"213":2,"214":1,"217":2,"218":2,"219":2,"220":2,"221":2,"225":4,"226":6,"227":6,"238":2,"241":1,"242":1,"243":11,"246":2,"247":12,"249":2,"250":1,"251":1,"253":1,"255":1,"267":10,"268":25,"269":44,"270":4,"289":4,"291":1,"294":11,"295":7,"296":1,"297":1,"298":1,"300":2,"301":2,"307":3,"310":4,"311":5,"317":3,"321":1,"322":4,"324":2,"326":2,"327":2,"330":2,"331":3,"332":4,"336":5,"337":2,"340":6,"341":2,"342":31,"345":2,"347":1}}],["pb",{"2":{"249":2}}],["philosophy",{"0":{"163":1}}],["pdf",{"2":{"161":2,"271":1,"343":2}}],["p",{"2":{"112":1,"168":1,"294":1}}],["pc=pcnext",{"2":{"206":1}}],["pcmux",{"2":{"206":1}}],["pcbrmux",{"2":{"206":1}}],["pcbranch=pcplus4+signimmsh",{"2":{"206":1}}],["pcbranch",{"2":{"206":3}}],["pcadd2",{"2":{"206":1}}],["pcadd1",{"2":{"206":1}}],["pcreg",{"2":{"206":1}}],["pcplus4=pc+4",{"2":{"206":1}}],["pcplus4",{"2":{"206":6}}],["pcnext=jump",{"2":{"206":1}}],["pcnext=pcnextbr",{"2":{"206":1}}],["pcnextbr=pcbranch",{"2":{"206":1}}],["pcnextbr=pcplus4",{"2":{"206":1}}],["pcnextbr",{"2":{"206":3}}],["pcnext",{"2":{"206":3}}],["pcsrc==0",{"2":{"206":1}}],["pcsrc",{"2":{"177":4,"199":2,"200":4,"201":1,"206":3}}],["pc+4",{"2":{"160":1,"188":5,"342":1}}],["pc+4+100",{"2":{"106":6,"288":6}}],["pc",{"2":{"112":1,"160":1,"177":3,"184":1,"188":12,"189":1,"190":3,"192":3,"194":1,"199":3,"206":6,"294":1,"342":1}}],["pseudocode",{"2":{"149":1,"206":2,"331":1}}],["pseudo",{"0":{"102":1,"153":1,"157":1,"284":1,"335":1,"339":1},"2":{"102":2,"105":3,"106":4,"149":1,"160":1,"284":2,"287":3,"288":4,"331":1,"342":1}}],["pseudoinstructions",{"2":{"101":1,"283":1}}],["png",{"2":{"66":1,"69":1}}],["push",{"2":{"160":2,"249":3,"342":2}}],["pushed",{"2":{"160":2,"342":2}}],["purpose",{"2":{"120":1,"125":3,"232":1,"302":1,"307":3}}],["purposes",{"2":{"120":1,"189":1,"302":1}}],["put",{"2":{"102":1,"177":2,"284":1}}],["putting",{"2":{"34":1}}],["pulses",{"2":{"61":3,"62":2,"66":1}}],["pulse",{"2":{"54":1,"55":1,"64":1,"225":2,"227":1,"238":1}}],["please",{"2":{"93":1,"115":1,"170":1,"275":1,"297":1}}],["pla",{"2":{"177":1}}],["player",{"2":{"249":9,"250":10,"251":15}}],["play",{"0":{"84":1}}],["place",{"2":{"190":2}}],["placed",{"2":{"98":2,"99":2,"100":1,"160":1,"190":1,"232":1,"280":2,"281":2,"282":1,"342":1}}],["places",{"2":{"35":1,"176":1}}],["placing",{"2":{"34":1,"36":2,"44":1}}],["plus",{"2":{"23":1,"31":3,"118":1,"300":1}}],["pipo",{"2":{"269":1}}],["pipeline",{"2":{"257":1}}],["pipelined",{"2":{"173":1}}],["piso",{"2":{"269":2,"271":2}}],["pictorial",{"2":{"268":1}}],["piece",{"2":{"182":1}}],["pi",{"2":{"28":4}}],["peter",{"2":{"256":1}}],["peek",{"2":{"240":1}}],["permitted",{"2":{"268":3}}],["permits",{"2":{"267":1,"268":1}}],["permanent",{"2":{"63":1}}],["perspective",{"2":{"258":1}}],["per",{"2":{"97":1,"279":1}}],["performs",{"2":{"67":2,"68":1,"160":1,"173":1,"182":3,"186":1,"206":1,"233":1,"342":1}}],["performing",{"2":{"31":2,"115":1,"160":1,"297":1,"342":1}}],["perform",{"2":{"23":2,"53":1,"67":2,"113":2,"160":4,"178":1,"205":2,"267":2,"268":4,"295":2,"342":4}}],["performance",{"2":{"15":1,"19":1}}],["performed",{"2":{"9":1,"31":1,"67":1,"178":1,"186":1,"188":1,"267":1}}],["pebble",{"2":{"27":1}}],["port3",{"2":{"268":3}}],["ported",{"0":{"207":1}}],["port2",{"2":{"188":2,"268":3}}],["port1",{"2":{"188":2,"268":2}}],["port",{"2":{"185":3,"186":1,"187":1,"188":6,"207":2,"267":2,"268":3}}],["ports",{"2":{"185":3,"186":1,"188":1,"192":1,"207":1,"232":1,"267":5,"268":11}}],["portable",{"2":{"17":1,"19":1}}],["portability",{"2":{"14":1}}],["potentially",{"2":{"179":1}}],["pop",{"2":{"160":2,"342":2}}],["popped",{"2":{"160":2,"342":2}}],["poseedge",{"2":{"206":2}}],["posedge",{"0":{"238":1},"2":{"195":1,"207":1,"212":2,"238":3,"242":1,"246":1,"250":2,"267":4,"268":2,"269":2,"270":1}}],["possesses",{"2":{"67":1}}],["possibility",{"2":{"62":1,"268":2}}],["possible",{"2":{"52":2,"114":1,"157":1,"249":1,"296":1,"339":1}}],["positive",{"2":{"38":2,"39":2,"40":4,"41":1,"54":1,"64":1,"118":1,"141":2,"197":1,"238":1,"269":1,"300":1,"323":2}}],["positions",{"2":{"52":1,"64":1,"176":5}}],["position",{"2":{"26":1,"51":1,"52":3,"64":2,"101":1,"129":1,"160":2,"283":1,"311":1,"342":2}}],["pond",{"2":{"27":1}}],["power",{"2":{"15":2,"17":1,"19":1,"135":1,"317":1}}],["pointed",{"2":{"160":2,"342":2}}],["pointer",{"2":{"112":1,"150":1,"160":10,"294":1,"332":1,"342":10}}],["pointing",{"2":{"112":1,"294":1}}],["points",{"0":{"114":1,"116":1,"296":1,"298":1},"2":{"112":2,"160":1,"294":2,"342":1}}],["point",{"0":{"4":1,"117":1,"118":1,"119":1,"120":1,"121":1,"122":1,"131":1,"142":1,"262":1,"299":1,"300":1,"301":1,"302":1,"303":1,"304":1,"313":1,"324":1},"1":{"118":1,"119":1,"120":2,"121":1,"122":1,"123":2,"124":2,"125":1,"126":1,"127":1,"300":1,"301":1,"302":2,"303":1,"304":1,"305":2,"306":2,"307":1,"308":1,"309":1},"2":{"109":4,"112":2,"117":2,"118":2,"119":4,"120":5,"122":1,"123":1,"124":1,"125":6,"126":7,"127":1,"131":2,"132":4,"133":2,"134":3,"135":2,"142":7,"160":1,"240":1,"291":4,"294":2,"299":2,"300":2,"301":4,"302":5,"304":1,"305":1,"306":1,"307":6,"308":7,"309":1,"313":2,"314":4,"315":2,"316":3,"317":2,"324":7,"342":1}}],["practices",{"2":{"258":1}}],["practice",{"2":{"255":1}}],["practical",{"2":{"163":1,"258":3}}],["predefined",{"2":{"224":1}}],["preferred",{"2":{"215":1}}],["prerequisites",{"0":{"174":1},"2":{"172":1,"174":1}}],["preceding",{"2":{"269":1}}],["preceded",{"2":{"249":1}}],["precedence",{"2":{"52":1,"69":1}}],["precision",{"0":{"123":1,"124":1,"305":1,"306":1},"2":{"117":2,"118":3,"119":3,"123":1,"124":1,"133":2,"299":2,"300":3,"301":3,"305":1,"306":1,"315":2}}],["pre",{"0":{"231":1},"1":{"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1},"2":{"115":1,"297":1}}],["prevent",{"2":{"112":1,"116":1,"236":1,"294":1,"298":1}}],["previously",{"2":{"267":3}}],["previous",{"2":{"26":1,"62":1,"64":2,"65":1,"140":1,"150":1,"160":1,"175":1,"208":1,"234":1,"237":1,"267":1,"268":1,"322":1,"332":1,"342":1}}],["presence",{"2":{"149":1,"249":1,"331":1}}],["presented",{"2":{"70":1}}],["present",{"2":{"36":2,"38":1,"39":2,"113":1,"225":3,"226":1,"227":2,"229":1,"241":1,"295":1}}],["preserved",{"2":{"120":4,"160":2,"302":4,"342":2}}],["presses",{"2":{"249":1}}],["pressed",{"2":{"249":2}}],["press",{"2":{"112":1,"294":1}}],["pressing",{"2":{"99":1,"101":1,"147":1,"249":1,"281":1,"283":1,"329":1}}],["prescribed",{"2":{"61":1}}],["principles",{"2":{"174":1,"258":1}}],["principle",{"2":{"160":1,"342":1}}],["printfunction",{"2":{"160":4,"342":4}}],["prints",{"2":{"112":1,"160":1,"294":1,"342":1}}],["printed",{"2":{"109":4,"112":3,"113":2,"123":1,"124":1,"140":1,"291":4,"294":3,"295":2,"305":1,"306":1,"322":1}}],["print",{"2":{"109":10,"113":8,"115":2,"129":10,"135":4,"140":5,"142":3,"144":1,"145":1,"148":1,"153":4,"154":9,"157":6,"160":2,"291":10,"295":8,"297":2,"311":10,"317":4,"322":5,"324":3,"326":1,"327":1,"330":1,"335":4,"336":9,"339":6,"342":2}}],["printing",{"0":{"122":1,"140":1,"304":1,"322":1},"1":{"123":1,"124":1,"305":1,"306":1},"2":{"6":1,"113":2,"115":1,"122":1,"123":1,"124":1,"127":3,"140":2,"142":1,"152":1,"154":1,"158":3,"264":1,"295":2,"297":1,"304":1,"305":1,"306":1,"309":3,"322":2,"324":1,"334":1,"336":1,"340":3}}],["primarily",{"2":{"232":1}}],["primary",{"2":{"9":1,"112":1,"294":1}}],["prime",{"0":{"74":1}}],["priorities",{"2":{"268":2}}],["priority",{"0":{"69":1},"2":{"69":4,"239":1,"267":1,"268":3}}],["prior",{"0":{"77":1},"2":{"54":1}}],["problems",{"2":{"240":1}}],["problem",{"2":{"240":1,"268":1}}],["providing",{"2":{"238":1}}],["provide",{"2":{"71":1,"163":2,"235":1,"258":1}}],["provides",{"2":{"19":1,"47":1,"102":1,"174":1,"194":1,"227":1,"284":1}}],["provided",{"2":{"10":1,"67":1,"94":1,"95":1,"96":1,"105":3,"112":1,"140":1,"233":1,"276":1,"277":1,"278":1,"287":3,"294":1,"322":1}}],["prof",{"2":{"166":2}}],["prologue",{"2":{"160":6,"342":6}}],["prompts",{"2":{"115":2,"127":1,"129":1,"135":1,"297":2,"309":1,"311":1,"317":1}}],["prompt",{"2":{"99":2,"115":3,"153":2,"157":2,"281":2,"297":3,"335":2,"339":2}}],["prompted",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["project",{"0":{"74":1,"75":2,"77":1}}],["properly",{"2":{"160":2,"216":1,"217":1,"218":1,"219":1,"220":1,"221":1,"342":2}}],["properties",{"2":{"11":1}}],["propagation",{"2":{"28":1}}],["propagated",{"2":{"28":1}}],["propagate",{"2":{"27":1}}],["product",{"2":{"26":1}}],["produced",{"2":{"114":1,"296":1}}],["produce",{"2":{"23":1,"25":1,"27":1,"49":1,"67":1,"177":1}}],["produces",{"2":{"9":1,"23":7,"27":1,"29":1,"30":1,"57":1}}],["pros",{"0":{"14":1,"17":1,"19":1}}],["proceed",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["procedural",{"2":{"10":1,"204":1,"232":2,"233":1,"236":1}}],["procedures",{"2":{"120":2,"160":13,"302":2,"342":13}}],["procedure",{"2":{"9":2,"52":1,"108":2,"112":2,"120":4,"160":15,"290":2,"294":2,"302":4,"342":15}}],["processor",{"0":{"89":1,"171":1,"347":1},"1":{"172":1,"173":1,"174":1,"175":1,"176":1,"177":1,"178":1,"179":1,"180":1,"181":1,"182":1,"183":1,"184":1,"185":1,"186":1,"187":1,"188":1,"189":1,"190":1,"191":1,"192":1,"193":1,"194":1,"195":1,"196":1,"197":1,"198":1,"199":1,"200":1,"201":1,"202":1,"203":1,"204":1,"205":1,"206":1,"207":1,"208":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1,"215":1,"216":1,"217":1,"218":1,"219":1,"220":1,"221":1,"222":1},"2":{"67":1,"101":1,"119":2,"173":2,"174":2,"182":2,"184":1,"192":2,"193":2,"194":1,"199":1,"255":1,"283":1,"301":2,"344":2}}],["processing",{"2":{"53":1,"67":3}}],["process",{"2":{"9":1,"156":1,"160":3,"233":1,"338":1,"342":3}}],["programs",{"2":{"164":1,"194":1}}],["programme",{"2":{"154":2,"336":2}}],["programmer",{"2":{"120":1,"302":1}}],["programming",{"2":{"9":1,"174":3}}],["program",{"0":{"73":1,"79":1,"80":1,"81":1,"82":1,"84":1},"1":{"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1},"2":{"9":1,"99":3,"101":1,"102":1,"109":2,"112":25,"113":3,"115":5,"116":3,"137":1,"140":1,"141":2,"142":1,"153":1,"157":1,"160":6,"184":1,"192":1,"194":2,"199":1,"257":1,"281":3,"283":1,"284":1,"291":2,"294":25,"295":3,"297":5,"298":3,"319":1,"322":1,"323":2,"324":1,"335":1,"339":1,"342":6}}],["pa",{"2":{"249":2}}],["palnitkar",{"2":{"222":1}}],["palindrome",{"0":{"150":1,"332":1},"2":{"7":1,"150":3,"265":1,"332":3}}],["paths",{"2":{"230":1}}],["path",{"2":{"215":2,"249":1}}],["patterson",{"2":{"161":1,"222":1,"343":1}}],["pattern",{"2":{"64":1}}],["passion",{"2":{"170":1}}],["passing",{"2":{"160":4,"269":1,"342":4}}],["pass",{"2":{"160":1,"188":2,"267":2,"270":1,"342":1}}],["passed",{"2":{"9":1,"46":2,"147":1,"160":6,"234":1,"269":1,"329":1,"342":6}}],["pause",{"2":{"112":1,"294":1}}],["package",{"2":{"94":3,"276":3}}],["pair",{"2":{"50":1,"119":1,"268":1,"301":1}}],["parentheses",{"2":{"233":1}}],["parametrizing",{"2":{"270":1}}],["parameterization",{"2":{"270":1}}],["parameter",{"2":{"122":1,"160":2,"212":1,"213":1,"242":1,"246":1,"254":11,"255":11,"268":1,"270":4,"304":1,"342":2}}],["parameters",{"2":{"111":1,"120":1,"160":7,"270":1,"293":1,"302":1,"342":7}}],["parantheses",{"2":{"239":1}}],["parallelly",{"2":{"267":1}}],["parallel",{"0":{"55":1,"58":1,"59":1,"60":2,"66":1},"2":{"27":4,"31":1,"58":2,"59":2,"60":5,"66":1,"235":2,"236":2,"269":4}}],["parts",{"2":{"175":1,"176":1,"258":1}}],["partitioned",{"2":{"173":1}}],["particular",{"2":{"14":1,"19":1,"43":1,"233":1}}],["part",{"2":{"87":2,"115":1,"118":3,"132":2,"182":1,"258":2,"297":1,"300":3,"314":2,"345":2}}],["parity",{"0":{"47":1},"2":{"9":1,"47":8,"87":1,"345":1}}],["page",{"2":{"9":1,"94":3,"95":3,"96":3,"172":1,"276":3,"277":3,"278":3}}],["b=state==run",{"2":{"250":1}}],["b=1",{"2":{"250":1,"251":6}}],["b=0x0",{"2":{"236":3,"237":3}}],["bx0",{"2":{"250":1}}],["bx",{"2":{"214":1}}],["bxxx",{"2":{"205":1}}],["bxxxxxxxxx",{"2":{"202":1}}],["b0",{"2":{"251":8,"254":6,"255":1}}],["b0x",{"2":{"250":1}}],["b011",{"2":{"254":2,"255":2}}],["b0111",{"2":{"214":1}}],["b0110",{"2":{"214":1}}],["b01",{"2":{"205":1,"242":1,"246":1,"250":2}}],["b0100",{"2":{"214":1}}],["b010",{"2":{"205":2,"254":2,"255":2}}],["b0011",{"2":{"214":1}}],["b001",{"2":{"205":1,"254":2,"255":2}}],["b0010",{"2":{"214":1}}],["b001000",{"2":{"202":1}}],["b001010000",{"2":{"202":1}}],["b00",{"2":{"205":1,"210":1,"242":1,"246":1,"250":1,"255":8}}],["b0001",{"2":{"214":1}}],["b000100001",{"2":{"202":1}}],["b000100",{"2":{"202":1}}],["b000",{"2":{"205":1,"254":2,"255":1}}],["b0000",{"2":{"214":1}}],["b000010",{"2":{"202":1}}],["b000000100",{"2":{"202":1}}],["b000000",{"2":{"202":1}}],["bc1",{"2":{"128":2,"310":2}}],["bc1f",{"2":{"128":2,"129":2,"310":2,"311":2}}],["bc1t",{"2":{"128":2,"135":1,"310":2,"317":1}}],["bcd",{"0":{"49":1,"63":1},"2":{"47":1,"49":3,"63":1}}],["bn",{"2":{"110":1,"292":1}}],["bnewithset",{"2":{"160":1,"342":1}}],["bne",{"2":{"106":1,"112":1,"150":1,"160":5,"288":1,"294":1,"332":1,"342":5}}],["b11",{"2":{"242":1,"246":1,"250":3}}],["b111",{"2":{"205":1}}],["b110",{"2":{"205":2}}],["b110000010",{"2":{"202":1}}],["b101",{"2":{"254":2,"255":2}}],["b101010",{"2":{"205":1}}],["b101011",{"2":{"202":1}}],["b101000000",{"2":{"202":1}}],["b101001000",{"2":{"202":1}}],["b10",{"2":{"242":1,"246":1,"250":2}}],["b100",{"2":{"254":2,"255":2}}],["b100101",{"2":{"205":1}}],["b100100",{"2":{"205":1}}],["b100010",{"2":{"205":1}}],["b100011",{"2":{"202":1}}],["b100000",{"2":{"205":1}}],["b1",{"2":{"110":1,"250":1,"251":6,"254":1,"255":1,"267":2,"292":1}}],["black",{"2":{"112":1,"294":1}}],["ble",{"2":{"106":1,"145":1,"160":1,"288":1,"327":1,"342":1}}],["blt",{"2":{"106":1,"154":1,"158":1,"160":2,"288":1,"336":1,"340":1,"342":2}}],["blocking",{"0":{"236":1,"237":1},"2":{"236":1,"237":5}}],["blocks",{"2":{"23":1,"173":1,"204":1,"232":2,"233":2,"236":2,"268":1}}],["block",{"2":{"10":1,"22":1,"109":1,"136":1,"154":1,"158":1,"173":1,"195":1,"198":1,"204":2,"224":1,"232":6,"233":8,"234":1,"235":1,"236":3,"239":1,"249":1,"267":11,"268":16,"269":1,"270":4,"291":1,"318":1,"336":1,"340":1}}],["bge",{"2":{"106":1,"150":1,"160":2,"288":1,"332":1,"342":2}}],["bgt",{"2":{"106":1,"158":1,"288":1,"340":1}}],["brief",{"2":{"192":1}}],["broadening",{"2":{"255":1}}],["broken",{"2":{"176":1}}],["browser",{"2":{"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1}}],["break",{"2":{"112":1,"294":1}}],["breakpoint",{"2":{"112":8,"294":8}}],["breakpoints",{"2":{"112":1,"294":1}}],["branches",{"2":{"190":1,"239":1}}],["branch",{"0":{"106":1,"128":1,"288":1,"310":1},"1":{"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"311":1,"312":1,"313":1,"314":1,"315":1,"316":1,"317":1},"2":{"106":6,"119":1,"128":5,"160":1,"175":1,"176":2,"177":3,"188":4,"189":3,"190":1,"199":1,"200":4,"201":1,"202":3,"221":1,"288":6,"301":1,"310":5,"342":1}}],["branching",{"2":{"67":1}}],["b3",{"2":{"52":3}}],["build",{"2":{"344":1}}],["building",{"2":{"23":1,"183":1}}],["buer",{"2":{"154":1,"158":1,"336":1,"340":1}}],["buffer",{"2":{"109":2,"291":2}}],["bubble",{"2":{"62":1}}],["bus",{"2":{"55":1}}],["buttons",{"2":{"112":2,"294":2}}],["button",{"0":{"84":1},"2":{"94":1,"95":1,"96":1,"112":5,"249":4,"276":1,"277":1,"278":1,"294":5}}],["but",{"2":{"38":1,"47":1,"160":1,"177":1,"215":1,"238":1,"267":3,"268":2,"342":1}}],["boran",{"2":{"166":1}}],["borrow",{"2":{"29":1,"30":2}}],["bottom",{"2":{"112":1,"294":1}}],["both",{"2":{"17":1,"23":2,"33":2,"34":4,"35":2,"36":1,"41":1,"60":1,"63":1,"66":1,"67":1,"113":2,"115":2,"141":1,"151":1,"160":1,"185":1,"190":1,"199":1,"225":1,"236":1,"238":1,"241":1,"249":2,"258":1,"267":1,"268":1,"295":2,"297":2,"323":1,"333":1,"342":1}}],["body",{"2":{"112":1,"160":3,"294":1,"342":3}}],["boundary",{"2":{"110":2,"292":2}}],["bout",{"2":{"30":1}}],["board",{"0":{"76":1}}],["boolean",{"2":{"23":1,"25":1,"67":1,"226":1}}],["b",{"0":{"185":1},"2":{"21":3,"29":5,"30":5,"31":6,"52":3,"54":4,"72":1,"151":1,"160":1,"209":2,"214":10,"226":1,"236":2,"237":2,"249":2,"250":12,"251":4,"333":1,"342":1}}],["byte",{"2":{"110":3,"116":2,"148":3,"292":3,"298":2,"330":3}}],["bytes",{"2":{"109":1,"114":2,"116":1,"121":2,"137":1,"138":2,"139":2,"151":1,"161":1,"291":1,"296":2,"298":1,"303":2,"319":1,"320":2,"321":2,"333":1,"343":1}}],["by",{"0":{"80":1,"84":1,"85":1,"210":1},"2":{"9":5,"10":1,"11":1,"21":1,"26":1,"31":2,"34":1,"41":1,"43":1,"44":1,"58":1,"59":1,"63":3,"64":1,"67":2,"69":1,"72":2,"86":2,"96":1,"98":1,"99":1,"100":1,"101":2,"104":2,"105":3,"112":5,"113":3,"114":2,"115":3,"116":1,"120":1,"126":1,"128":1,"135":1,"139":2,"140":3,"142":3,"147":4,"148":1,"150":1,"153":1,"157":1,"160":9,"161":2,"163":1,"173":1,"175":1,"176":2,"177":4,"178":3,"182":3,"185":1,"188":7,"190":3,"196":1,"198":2,"201":1,"204":1,"207":1,"211":1,"222":4,"224":1,"227":3,"229":1,"235":1,"236":2,"237":3,"238":1,"249":4,"258":1,"268":1,"269":2,"278":1,"280":1,"281":1,"282":1,"283":2,"286":2,"287":3,"294":5,"295":3,"296":2,"297":3,"298":1,"302":1,"308":1,"310":1,"317":1,"321":2,"322":3,"324":3,"329":4,"330":1,"332":1,"335":1,"339":1,"342":9,"343":2}}],["besides",{"2":{"268":1}}],["best",{"2":{"258":1}}],["behaviour",{"2":{"267":1}}],["behavioural",{"2":{"267":1}}],["behavioral",{"0":{"10":1,"13":1},"1":{"14":1,"15":1},"2":{"9":1,"10":1,"14":1,"17":3,"257":1,"271":1}}],["behaviors",{"2":{"9":1}}],["behavior",{"2":{"9":1,"233":1}}],["belong",{"2":{"224":1}}],["below",{"2":{"102":1,"112":1,"113":2,"115":1,"190":1,"227":1,"232":1,"284":1,"294":1,"295":2,"297":1}}],["bellary",{"2":{"167":1}}],["beyond",{"2":{"160":1,"342":1}}],["beqz",{"2":{"147":1,"148":1,"149":1,"329":1,"330":1,"331":1}}],["beq",{"0":{"221":1},"2":{"106":1,"129":2,"139":1,"140":1,"142":2,"144":1,"145":1,"147":1,"148":2,"149":1,"154":3,"158":4,"160":3,"175":1,"178":1,"188":4,"202":1,"205":1,"221":2,"288":1,"311":2,"321":1,"322":1,"324":2,"326":1,"327":1,"329":1,"330":2,"331":1,"336":3,"340":4,"342":3}}],["better",{"2":{"102":1,"284":1}}],["between",{"2":{"11":2,"50":1,"67":2,"153":1,"173":1,"188":1,"199":1,"227":1,"233":1,"335":1}}],["beneficial",{"2":{"174":1}}],["beneath",{"2":{"101":1,"283":1}}],["benches",{"0":{"83":1}}],["bench",{"0":{"81":2,"82":1,"83":2,"85":1},"2":{"215":1}}],["being",{"2":{"101":1,"122":1,"190":1,"194":1,"199":1,"215":1,"249":1,"283":1,"304":1}}],["been",{"0":{"82":1},"2":{"60":1,"112":2,"158":2,"294":2,"340":2}}],["becomes",{"2":{"31":1,"47":1,"66":1,"233":3}}],["because",{"2":{"29":1,"47":1,"52":2,"66":1,"116":1,"268":1,"298":1}}],["beginning",{"2":{"267":1}}],["begin",{"0":{"234":1},"2":{"22":3,"198":1,"214":9,"217":6,"218":6,"219":6,"220":6,"221":6,"232":1,"233":1,"234":1,"236":2,"237":2,"239":2,"243":1,"247":1,"250":1,"251":2,"254":9,"255":2,"267":11,"268":13,"269":4,"270":2}}],["before",{"0":{"80":1,"82":1},"2":{"14":1,"54":1,"101":1,"102":1,"112":2,"115":1,"139":1,"160":4,"225":1,"268":1,"283":1,"284":1,"294":2,"297":1,"321":1,"342":4}}],["be",{"0":{"77":1,"85":1},"2":{"9":2,"14":1,"15":1,"17":4,"19":1,"25":1,"26":1,"28":1,"31":3,"33":1,"34":2,"35":1,"39":1,"46":2,"47":2,"52":4,"54":3,"55":1,"61":1,"62":1,"64":2,"65":1,"66":1,"72":1,"92":2,"94":2,"95":2,"96":2,"98":1,"99":1,"100":1,"101":1,"109":5,"112":3,"113":11,"114":7,"115":10,"118":1,"120":2,"121":2,"123":1,"124":1,"125":1,"127":1,"128":4,"129":3,"132":1,"137":2,"138":1,"139":4,"140":1,"142":2,"147":2,"148":4,"149":2,"152":1,"156":1,"160":5,"174":1,"176":3,"177":1,"178":3,"184":1,"186":1,"187":1,"188":1,"190":1,"194":1,"196":1,"207":1,"208":1,"215":3,"217":1,"218":1,"219":1,"220":1,"221":1,"224":1,"225":1,"226":1,"227":1,"232":3,"233":2,"234":2,"235":1,"236":1,"237":1,"238":1,"239":6,"245":2,"255":1,"267":5,"268":2,"269":1,"274":2,"276":2,"277":2,"278":2,"280":1,"281":1,"282":1,"283":1,"291":5,"294":3,"295":11,"296":7,"297":10,"300":1,"302":2,"303":2,"305":1,"306":1,"307":1,"309":1,"310":4,"311":3,"314":1,"319":2,"320":1,"321":4,"322":1,"324":2,"329":2,"330":4,"331":2,"334":1,"338":1,"342":5}}],["bidirectional",{"2":{"269":1}}],["bias",{"2":{"118":2,"300":2}}],["bin",{"2":{"30":3,"95":1,"96":2,"277":1,"278":2}}],["binary",{"0":{"24":1,"31":1,"49":1,"50":1,"51":1,"62":1,"64":1,"65":1,"66":1},"1":{"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1},"2":{"5":1,"9":1,"23":2,"25":1,"27":2,"28":1,"29":3,"30":2,"36":2,"43":1,"49":4,"50":4,"51":3,"52":1,"53":1,"54":2,"61":1,"62":1,"63":3,"64":1,"67":7,"70":1,"118":3,"227":2,"263":1,"300":3}}],["bi",{"0":{"55":1},"2":{"28":2}}],["bitwise",{"2":{"67":4,"104":2,"286":2}}],["bit",{"0":{"54":1,"55":1,"64":1,"65":1,"214":1},"2":{"27":2,"29":3,"30":2,"31":1,"43":1,"47":9,"49":2,"50":2,"51":4,"52":5,"53":2,"55":1,"57":1,"58":4,"59":2,"62":2,"63":1,"66":2,"69":1,"109":3,"110":3,"114":1,"118":1,"125":2,"132":2,"176":5,"177":1,"178":4,"182":2,"184":1,"185":2,"188":9,"189":3,"190":2,"195":1,"196":2,"197":2,"198":2,"199":4,"200":6,"202":2,"203":1,"205":5,"206":6,"207":1,"211":1,"215":1,"267":4,"268":3,"269":5,"270":1,"291":3,"292":3,"296":1,"300":1,"307":2,"314":2}}],["bits",{"2":{"25":1,"26":2,"27":1,"29":1,"30":1,"47":3,"49":1,"50":1,"52":5,"53":1,"58":3,"59":1,"60":2,"63":1,"64":1,"66":1,"67":4,"102":2,"103":3,"104":2,"105":2,"113":2,"114":4,"118":8,"119":1,"176":1,"177":3,"178":1,"188":8,"190":4,"197":1,"206":1,"211":2,"267":1,"268":1,"270":2,"284":2,"285":3,"286":2,"287":2,"295":2,"296":4,"300":8,"301":1}}],["bibliography",{"0":{"86":1},"2":{"9":1}}],["base",{"2":{"136":1,"138":3,"139":1,"140":1,"154":1,"160":7,"176":1,"318":1,"320":3,"321":1,"322":1,"336":1,"342":7}}],["based",{"2":{"39":1,"67":3,"160":2,"177":2,"182":1,"253":1,"256":1,"267":1,"342":2,"344":1}}],["basis",{"2":{"58":1,"59":1,"177":1}}],["basics",{"2":{"344":1}}],["basically",{"2":{"37":1}}],["basic",{"0":{"2":1,"23":1,"224":1,"261":1},"1":{"3":1,"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1,"72":1,"225":1,"226":1,"227":1},"2":{"9":1,"23":1,"35":2,"52":2,"101":1,"113":1,"115":1,"175":1,"255":1,"257":2,"283":1,"295":1,"297":1}}],["background",{"2":{"249":1}}],["backstep",{"2":{"112":1,"294":1}}],["backwards",{"2":{"101":1,"150":1,"283":1,"332":1}}],["back",{"2":{"34":1,"101":1,"112":1,"135":1,"154":1,"158":3,"160":5,"188":1,"194":1,"240":1,"253":2,"283":1,"294":1,"317":1,"336":1,"340":3,"342":5}}],["bar",{"2":{"30":1}}],["batch",{"2":{"9":1}}],["bank",{"0":{"3":1},"2":{"6":1,"88":1,"89":1,"261":1,"264":1,"346":1,"347":1}}],["▶️",{"2":{"5":3,"7":5}}],["o",{"2":{"214":13}}],["ocw",{"2":{"256":2}}],["octicons",{"2":{"170":1}}],["occupy",{"2":{"224":1}}],["occupies",{"2":{"138":1,"139":1,"148":1,"320":1,"321":1,"330":1}}],["occurring",{"2":{"267":1,"268":1}}],["occurred",{"2":{"36":1,"112":1,"294":1}}],["occurrence",{"2":{"5":1,"225":1,"263":1}}],["occur",{"2":{"52":1,"61":1,"112":1,"160":1,"238":1,"267":2,"268":4,"294":1,"342":1}}],["occurs",{"2":{"33":1,"35":1,"47":1,"62":1,"227":1,"249":1,"267":1,"269":1}}],["omitted",{"2":{"128":1,"310":1}}],["our",{"0":{"163":1,"170":1},"2":{"92":1,"101":2,"154":1,"158":1,"163":1,"164":1,"170":2,"174":1,"175":1,"215":2,"216":1,"241":1,"253":1,"267":3,"268":1,"274":1,"283":2,"336":1,"340":1}}],["outside",{"2":{"224":1,"229":2,"230":3}}],["out",{"0":{"57":1,"58":1,"59":1,"60":1},"2":{"57":1,"58":3,"60":1,"67":2,"112":1,"160":1,"170":1,"178":1,"205":1,"237":1,"242":3,"243":2,"246":2,"247":2,"267":3,"269":22,"294":1,"342":1}}],["outward",{"2":{"27":1}}],["out2",{"2":{"22":2}}],["out1",{"2":{"22":2}}],["outputted",{"2":{"187":1}}],["outputs",{"0":{"194":1},"2":{"9":1,"25":2,"26":1,"28":1,"33":2,"38":2,"39":1,"40":2,"41":1,"46":1,"54":1,"60":1,"63":2,"64":1,"65":1,"66":1,"69":2,"71":1,"72":3,"177":1,"188":6,"189":1,"199":1,"200":1,"202":1,"206":1,"207":1,"225":1,"229":3,"230":4,"237":1,"249":3,"269":3}}],["output",{"0":{"155":1,"159":1,"181":1,"197":1,"337":1,"341":1},"2":{"7":1,"11":1,"21":2,"22":1,"23":12,"25":1,"27":1,"28":4,"29":1,"30":1,"33":1,"34":1,"35":2,"36":5,"40":1,"43":5,"46":1,"52":1,"55":2,"57":1,"58":4,"60":1,"62":4,"63":2,"64":1,"66":2,"68":1,"69":1,"70":1,"72":2,"101":1,"112":2,"113":1,"115":6,"127":1,"132":1,"135":1,"141":1,"174":1,"177":4,"178":1,"185":1,"186":1,"187":1,"188":2,"189":1,"190":1,"192":3,"195":1,"198":2,"199":3,"200":5,"202":5,"205":2,"206":7,"207":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":2,"215":2,"225":4,"227":1,"229":2,"240":1,"241":1,"242":1,"245":1,"246":1,"249":3,"250":1,"254":2,"265":1,"267":7,"268":3,"269":6,"270":2,"283":1,"294":2,"295":1,"297":6,"309":1,"314":1,"317":1,"323":1}}],["own",{"0":{"74":1},"2":{"240":1,"249":1,"257":1}}],["obtain",{"2":{"54":1,"135":1,"138":1,"317":1,"320":1}}],["obtained",{"2":{"25":1,"31":1,"41":1,"62":1,"113":1,"154":1,"158":1,"190":1,"227":1,"295":1,"336":1,"340":1}}],["odd",{"2":{"47":6,"119":2,"301":2}}],["oposit",{"2":{"238":1}}],["op",{"2":{"176":2,"200":2,"202":2,"267":2,"269":2}}],["opcode",{"2":{"174":1,"176":1,"177":6,"188":2,"189":1,"200":1,"202":1,"204":1}}],["opt",{"2":{"100":4,"282":4}}],["optimizations",{"2":{"179":1}}],["optimized",{"2":{"17":1,"19":1}}],["optimize",{"2":{"15":1}}],["optimal",{"0":{"179":1}}],["optional",{"2":{"88":1,"198":1,"239":1,"346":1}}],["open",{"2":{"94":1,"95":1,"96":2,"98":2,"99":2,"100":3,"112":2,"276":1,"277":1,"278":2,"280":2,"281":2,"282":3,"294":2}}],["operand",{"2":{"125":1,"177":2,"190":2,"214":2,"307":1}}],["operands",{"2":{"67":1,"188":1}}],["operator",{"2":{"114":1,"233":1,"237":2,"296":1}}],["operating",{"2":{"102":1,"160":1,"284":1,"342":1}}],["operation",{"2":{"31":1,"38":1,"41":1,"54":2,"66":2,"67":1,"68":1,"69":1,"109":1,"142":1,"160":2,"174":1,"177":1,"178":3,"186":1,"188":2,"199":1,"267":7,"268":6,"291":1,"324":1,"342":2}}],["operations",{"0":{"115":1,"146":1,"297":1,"328":1},"1":{"116":1,"147":1,"148":1,"149":1,"150":1,"298":1,"329":1,"330":1,"331":1,"332":1},"2":{"7":1,"11":1,"23":1,"52":1,"65":1,"67":22,"113":1,"115":1,"141":1,"142":2,"160":2,"173":1,"182":4,"186":1,"188":1,"206":1,"265":1,"268":4,"295":1,"297":1,"323":1,"324":2,"342":2}}],["operates",{"2":{"23":1,"34":1,"38":2,"39":1,"40":2,"41":1,"67":1,"72":1,"160":2,"182":1,"269":1,"342":2}}],["opposite",{"2":{"52":1}}],["overall",{"2":{"194":1,"199":1}}],["overview",{"0":{"173":1},"2":{"172":1}}],["overlap",{"2":{"160":1,"342":1}}],["overflow",{"2":{"103":1,"285":1}}],["over",{"2":{"15":1,"17":1,"267":1,"268":1}}],["others",{"2":{"120":1,"302":1}}],["otherwise",{"2":{"107":2,"128":3,"160":1,"190":1,"253":1,"289":2,"310":3,"342":1}}],["other",{"0":{"208":1},"1":{"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"2":{"10":1,"23":2,"33":1,"35":1,"41":2,"52":1,"61":1,"63":1,"64":2,"66":1,"67":2,"69":1,"72":2,"132":3,"160":3,"172":1,"199":1,"225":1,"226":1,"233":1,"234":1,"236":2,"239":1,"249":1,"267":1,"269":2,"314":3,"342":3}}],["online",{"2":{"215":1}}],["only",{"2":{"9":1,"23":3,"27":1,"29":1,"30":1,"36":1,"38":2,"39":1,"40":2,"41":1,"44":1,"47":1,"72":1,"103":1,"112":1,"113":1,"118":1,"120":1,"122":1,"160":1,"177":1,"187":1,"188":1,"230":1,"232":1,"238":1,"239":1,"245":1,"253":1,"267":2,"268":1,"269":1,"285":1,"294":1,"295":1,"300":1,"302":1,"304":1,"342":1}}],["onto",{"2":{"125":1,"160":3,"190":1,"307":1,"342":3}}],["ona",{"2":{"110":1,"292":1}}],["once",{"2":{"58":1,"67":1,"94":1,"95":1,"96":1,"98":1,"99":1,"101":1,"174":1,"229":1,"232":2,"239":1,"249":1,"268":1,"276":1,"277":1,"278":1,"280":1,"281":1,"283":1}}],["on",{"0":{"78":1,"84":1,"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1},"2":{"11":1,"23":2,"34":1,"35":1,"39":1,"40":1,"43":2,"44":1,"46":1,"54":2,"57":2,"58":2,"59":3,"60":1,"64":1,"67":6,"92":1,"94":8,"95":8,"96":7,"97":1,"98":7,"99":7,"100":6,"102":1,"106":6,"107":2,"110":1,"112":2,"160":18,"173":1,"175":1,"177":5,"178":1,"182":4,"184":1,"186":2,"188":1,"194":1,"204":1,"205":1,"206":3,"207":1,"215":1,"227":1,"240":1,"245":1,"249":1,"253":1,"258":2,"267":3,"268":1,"269":1,"270":1,"274":1,"276":8,"277":8,"278":7,"279":1,"280":7,"281":7,"282":6,"284":1,"288":6,"289":2,"292":1,"294":2,"342":18}}],["one",{"0":{"82":1,"143":1,"325":1},"1":{"144":1,"145":1,"326":1,"327":1},"2":{"10":1,"23":4,"27":1,"36":1,"39":1,"43":1,"46":3,"47":1,"53":2,"57":1,"59":1,"65":1,"69":1,"71":3,"72":1,"112":2,"125":1,"154":1,"158":1,"176":1,"178":1,"182":2,"185":1,"187":1,"224":1,"225":1,"232":1,"233":3,"234":1,"236":1,"239":3,"249":1,"267":3,"268":3,"269":2,"294":2,"307":1,"336":1,"340":1}}],["oracle",{"2":{"94":3,"95":3,"96":3,"276":3,"277":3,"278":3}}],["organisation",{"2":{"174":2}}],["organization",{"2":{"161":1,"222":1,"257":2,"343":1}}],["org",{"2":{"86":1,"269":1,"271":2}}],["ordering",{"2":{"235":1}}],["order",{"2":{"41":1,"52":4,"62":1,"101":1,"113":2,"177":1,"178":1,"190":2,"234":1,"239":1,"249":3,"270":1,"283":1,"295":2}}],["or",{"0":{"79":1},"2":{"9":2,"23":9,"28":3,"30":1,"31":1,"38":1,"39":1,"40":1,"41":1,"43":1,"47":3,"54":1,"55":1,"61":3,"62":1,"63":1,"65":1,"67":10,"68":1,"69":2,"94":2,"95":1,"96":2,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"104":3,"106":2,"113":3,"115":1,"118":2,"128":1,"137":1,"138":1,"151":1,"160":6,"170":1,"175":1,"178":2,"190":3,"204":1,"205":2,"214":1,"215":1,"224":2,"226":3,"233":2,"238":1,"254":1,"267":6,"268":4,"269":3,"276":2,"277":1,"278":2,"279":1,"280":1,"281":1,"282":1,"283":1,"284":1,"286":3,"288":2,"295":3,"297":1,"300":2,"310":1,"319":1,"320":1,"333":1,"342":6}}],["ori",{"2":{"104":1,"286":1}}],["originating",{"2":{"249":1}}],["originate",{"2":{"61":1,"202":1}}],["original",{"2":{"9":1,"160":1,"342":1}}],["oriented",{"2":{"9":1}}],["often",{"2":{"115":1,"160":2,"179":1,"297":1,"342":2}}],["of",{"0":{"9":1,"74":1,"77":1,"83":2,"144":1,"172":1,"190":1,"228":1,"240":1,"326":1},"1":{"10":1,"11":1,"229":1,"230":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1},"2":{"5":3,"6":1,"7":4,"9":10,"10":3,"11":4,"15":2,"19":2,"22":1,"23":6,"25":1,"26":2,"27":3,"28":2,"30":1,"31":4,"33":1,"34":3,"35":7,"36":4,"37":1,"38":4,"39":4,"40":8,"41":7,"43":7,"44":2,"46":2,"47":10,"49":3,"50":1,"52":4,"53":8,"54":5,"55":2,"58":2,"60":2,"61":5,"62":9,"63":9,"64":6,"65":3,"66":5,"67":5,"68":1,"69":1,"70":1,"71":5,"72":4,"87":2,"88":3,"89":2,"94":2,"95":2,"96":2,"98":1,"99":1,"100":1,"101":8,"102":3,"104":2,"105":2,"109":5,"110":1,"112":15,"113":8,"114":10,"115":8,"116":5,"117":1,"118":5,"119":1,"120":2,"122":1,"123":1,"124":1,"126":2,"129":3,"132":1,"135":1,"136":3,"137":1,"138":9,"139":4,"140":1,"141":2,"142":2,"147":2,"148":2,"149":3,"150":1,"151":1,"152":2,"153":2,"154":11,"155":2,"156":2,"157":2,"158":11,"159":2,"160":25,"162":3,"164":4,"170":1,"173":6,"174":6,"175":1,"176":2,"177":11,"178":3,"179":4,"182":5,"184":1,"185":1,"187":1,"188":15,"189":1,"190":6,"192":2,"194":2,"197":2,"198":1,"199":3,"201":1,"204":2,"205":1,"206":7,"207":4,"211":2,"215":11,"224":10,"225":8,"226":4,"227":4,"229":4,"230":3,"232":4,"233":5,"234":2,"235":1,"236":1,"237":2,"238":3,"239":6,"240":4,"241":3,"249":19,"255":3,"257":2,"258":5,"263":3,"264":1,"265":4,"267":9,"268":14,"269":10,"270":3,"276":2,"277":2,"278":2,"280":1,"281":1,"282":1,"283":8,"284":3,"286":2,"287":2,"291":5,"292":1,"294":15,"295":8,"296":10,"297":8,"298":5,"299":1,"300":5,"301":1,"302":2,"304":1,"305":1,"306":1,"308":2,"311":3,"314":1,"317":1,"318":3,"319":1,"320":9,"321":4,"322":1,"323":2,"324":2,"329":2,"330":2,"331":3,"332":1,"333":1,"334":2,"335":2,"336":11,"337":2,"338":2,"339":2,"340":11,"341":2,"342":25,"344":1,"345":2,"346":3,"347":2}}],["offset",{"2":{"176":1,"177":1}}],["offer",{"2":{"163":1}}],["offers",{"2":{"17":1,"164":1}}],["official",{"2":{"98":1,"99":1,"100":1,"280":1,"281":1,"282":1}}],["off",{"2":{"4":1,"134":1,"135":5,"160":3,"262":1,"316":1,"317":5,"342":3}}],["lb",{"2":{"148":1,"149":2,"150":2,"154":1,"158":1,"330":1,"331":2,"332":2,"336":1,"340":1}}],["l",{"2":{"125":2,"127":3,"129":3,"135":4,"142":2,"161":2,"222":1,"307":2,"309":3,"311":3,"317":4,"324":2,"343":2}}],["lt",{"2":{"104":2,"106":2,"107":2,"128":4,"129":2,"237":1,"286":2,"288":2,"289":2,"310":4,"311":2}}],["lw",{"0":{"220":1},"2":{"102":1,"105":2,"113":1,"115":2,"138":2,"140":1,"144":1,"145":1,"154":1,"158":1,"160":3,"175":1,"188":4,"202":1,"205":1,"220":2,"284":1,"287":2,"295":1,"297":2,"320":2,"322":1,"326":1,"327":1,"336":1,"340":1,"342":3}}],["ll",{"2":{"101":1,"102":1,"255":1,"267":1,"283":1,"284":1}}],["lies",{"2":{"240":1}}],["lifo",{"2":{"160":1,"342":1}}],["limited",{"2":{"118":1,"270":1,"300":1}}],["like",{"2":{"102":1,"160":2,"175":1,"199":1,"233":1,"269":1,"284":1,"342":2}}],["li",{"2":{"102":1,"105":1,"112":5,"113":11,"115":7,"123":2,"124":2,"127":5,"129":15,"135":7,"139":1,"140":5,"142":4,"144":3,"145":3,"148":2,"149":1,"150":1,"154":17,"158":21,"160":9,"284":1,"287":1,"294":5,"295":11,"297":7,"305":2,"306":2,"309":5,"311":15,"317":7,"321":1,"322":5,"324":4,"326":3,"327":3,"330":2,"331":1,"332":1,"336":17,"340":21,"342":9}}],["little",{"2":{"101":1,"283":1}}],["license",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["listed",{"2":{"249":1}}],["lists",{"2":{"225":1}}],["list",{"0":{"83":1},"2":{"101":1,"102":1,"109":1,"204":1,"233":4,"239":2,"283":1,"284":1,"291":1}}],["linux",{"0":{"100":1,"282":1},"2":{"96":6,"97":1,"100":3,"278":6,"279":1,"282":3}}],["line",{"0":{"71":1,"72":1},"2":{"43":3,"46":3,"57":1,"58":1,"59":1,"70":1,"101":1,"112":3,"177":2,"190":1,"215":2,"227":2,"283":1,"294":3}}],["lines",{"2":{"43":2,"46":1,"59":1,"68":2,"70":2,"112":1,"178":1,"227":2,"239":1,"294":1}}],["linkedin",{"0":{"167":1,"168":1}}],["links",{"2":{"11":1}}],["link",{"2":{"0":1,"1":1,"2":1,"6":1,"87":2,"88":2,"89":2,"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"108":1,"160":1,"260":2,"261":1,"264":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1,"290":1,"342":1,"345":2,"346":2,"347":2}}],["lui",{"2":{"105":1,"287":1}}],["lu",{"2":{"67":1}}],["len=i",{"2":{"148":1,"330":1}}],["len",{"2":{"148":2,"330":2}}],["length",{"2":{"7":1,"109":1,"148":2,"150":2,"154":1,"158":1,"265":1,"291":1,"330":2,"332":2,"336":1,"340":1}}],["le",{"2":{"128":5,"310":5}}],["leading",{"2":{"164":1}}],["learn",{"2":{"173":1,"182":1,"257":2,"344":1}}],["learned",{"2":{"173":1}}],["learning",{"2":{"163":1,"255":1}}],["learnt",{"2":{"113":1,"115":1,"295":1,"297":1}}],["leaf",{"2":{"160":15,"342":15}}],["leave",{"2":{"110":1,"292":1}}],["least",{"2":{"23":1,"27":1,"31":1,"58":1,"62":2,"63":2,"64":2,"113":1,"295":1}}],["leftwards",{"2":{"269":1}}],["left",{"0":{"78":1,"79":1,"210":1},"2":{"47":1,"50":2,"51":1,"67":1,"101":1,"104":2,"112":1,"188":2,"190":1,"206":1,"226":1,"269":2,"283":1,"286":2,"294":1}}],["letter",{"2":{"63":1}}],["let",{"2":{"47":2,"102":1,"151":1,"188":2,"240":1,"241":2,"245":1,"267":2,"268":4,"269":1,"284":1,"333":1}}],["less",{"2":{"15":2,"17":1,"52":1,"67":2,"106":2,"107":4,"128":4,"129":1,"160":2,"175":1,"249":1,"288":2,"289":4,"310":4,"311":1,"342":2}}],["level",{"0":{"13":1,"16":1,"18":1,"192":1},"1":{"14":1,"15":1,"17":1,"19":1,"21":1,"22":1,"193":1,"194":1},"2":{"9":3,"10":2,"11":3,"14":1,"17":3,"35":1,"36":1,"160":2,"172":2,"192":1,"194":1,"201":1,"255":1,"342":2}}],["levels",{"2":{"9":1,"15":1,"28":1,"160":1,"179":1,"342":1}}],["layout",{"0":{"258":1},"2":{"160":2,"258":1,"342":2}}],["largest",{"0":{"145":1,"327":1},"2":{"114":1,"296":1}}],["larger",{"2":{"114":2,"116":1,"296":2,"298":1}}],["last",{"2":{"112":1,"160":2,"215":3,"268":1,"294":1,"342":2}}],["laboratory",{"2":{"256":2}}],["lab",{"0":{"165":1,"257":1},"1":{"258":1},"2":{"112":1,"162":1,"163":1,"170":2,"294":1}}],["labelled",{"2":{"184":1}}],["label",{"2":{"105":3,"128":10,"147":2,"287":3,"310":10,"329":2}}],["labeled",{"2":{"33":1,"225":1,"227":3}}],["later",{"2":{"110":1,"177":1,"292":1}}],["latch",{"0":{"33":1,"34":1,"35":1,"36":1},"2":{"33":3,"34":7,"35":3,"36":6,"38":2,"40":1}}],["latches",{"0":{"32":1},"1":{"33":1,"34":1,"35":1,"36":1},"2":{"9":1}}],["la",{"2":{"102":1,"105":1,"112":3,"115":6,"127":2,"129":6,"135":3,"140":1,"144":1,"145":1,"154":10,"158":12,"160":1,"284":1,"287":1,"294":3,"297":6,"309":2,"311":6,"317":3,"322":1,"326":1,"327":1,"336":10,"340":12,"342":1}}],["launched",{"2":{"235":1}}],["launch",{"2":{"94":1,"95":1,"98":1,"99":1,"100":2,"276":1,"277":1,"280":1,"281":1,"282":2}}],["languages",{"2":{"9":1,"160":2,"342":2}}],["language",{"2":{"9":2,"160":5,"174":1,"257":1,"342":5}}],["love",{"2":{"170":1}}],["localparam",{"2":{"250":1}}],["local",{"2":{"160":8,"342":8}}],["location",{"2":{"98":1,"99":1,"100":1,"112":1,"160":2,"196":1,"197":2,"217":1,"218":1,"219":1,"220":1,"221":1,"280":1,"281":1,"282":1,"294":1,"342":2}}],["located",{"2":{"112":1,"198":1,"294":1}}],["locate",{"2":{"94":3,"95":3,"96":2,"98":1,"99":1,"276":3,"277":3,"278":2,"280":1,"281":1}}],["loopbody",{"2":{"160":2,"342":2}}],["looping",{"2":{"158":1,"340":1}}],["loops",{"2":{"149":1,"160":1,"331":1,"342":1}}],["loop2",{"2":{"129":4,"149":3,"311":4,"331":3}}],["loop1",{"2":{"129":4,"135":4,"149":2,"311":4,"317":4,"331":2}}],["loop",{"2":{"112":11,"129":3,"135":3,"139":4,"140":1,"144":2,"145":1,"148":1,"150":2,"153":3,"154":9,"157":2,"158":11,"160":11,"294":11,"311":3,"317":3,"321":4,"322":1,"326":2,"327":1,"330":1,"332":2,"335":3,"336":9,"339":2,"340":11,"342":11}}],["looking",{"2":{"102":1,"170":1,"284":1}}],["lookahead",{"2":{"28":1}}],["look",{"0":{"28":1},"2":{"101":1,"102":1,"112":1,"175":1,"268":1,"269":2,"283":1,"284":1,"294":1}}],["lo",{"2":{"103":2,"105":2,"113":3,"114":2,"285":2,"287":2,"295":3,"296":2}}],["log2",{"2":{"185":1,"224":1}}],["logged",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["log",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["logical",{"0":{"104":1,"286":1},"2":{"11":1,"23":2,"67":4,"102":1,"104":2,"175":1,"182":1,"190":1,"284":1,"286":2}}],["logic",{"0":{"23":1,"67":1},"1":{"24":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"32":1,"33":1,"34":1,"35":1,"36":1,"37":1,"38":1,"39":1,"40":1,"41":1,"42":1,"43":1,"44":1,"45":1,"46":1,"47":1,"48":1,"49":1,"50":1,"51":1,"52":1,"53":1,"54":1,"55":1,"56":1,"57":1,"58":1,"59":1,"60":1,"61":1,"62":1,"63":1,"64":1,"65":1,"66":1,"67":1,"68":1,"69":1,"70":1,"71":1,"72":1},"2":{"9":3,"11":1,"23":3,"27":1,"35":1,"54":1,"64":1,"67":4,"87":1,"161":1,"173":1,"174":3,"182":2,"198":1,"201":1,"204":2,"206":5,"222":1,"229":3,"249":3,"267":2,"269":2,"343":1,"345":1}}],["loaded",{"2":{"113":2,"115":3,"125":2,"140":1,"142":1,"160":2,"198":1,"295":2,"297":3,"307":2,"322":1,"324":1,"342":2}}],["loading",{"2":{"113":2,"160":1,"295":2,"342":1}}],["loads",{"2":{"102":1,"105":2,"178":1,"194":1,"284":1,"287":2}}],["load",{"0":{"55":1,"66":1},"2":{"55":2,"66":3,"102":3,"105":6,"113":4,"125":2,"129":1,"142":1,"154":5,"158":3,"160":6,"175":1,"176":3,"188":2,"190":1,"192":1,"284":3,"287":6,"295":4,"307":2,"311":1,"324":1,"336":5,"340":3,"342":6}}],["long",{"2":{"35":1,"36":2,"151":1,"188":1,"333":1}}],["low",{"2":{"23":4,"46":1,"54":1,"72":1,"178":1,"267":3,"269":1}}],["lower",{"2":{"15":1,"26":1,"52":2,"64":1,"103":1,"105":1,"114":1,"188":1,"190":1,"249":1,"268":1,"285":1,"287":1,"296":1}}],["lowest",{"2":{"5":1,"11":1,"263":1}}],["etc",{"2":{"267":1}}],["embedded",{"2":{"257":1}}],["emphasizes",{"2":{"258":1}}],["empty",{"0":{"75":1},"2":{"110":1,"137":2,"139":2,"292":1,"319":2,"321":2}}],["employs",{"2":{"60":1}}],["employing",{"2":{"9":1}}],["e=0x0",{"2":{"236":2,"237":2}}],["ee109",{"2":{"161":1,"343":1}}],["epilogue",{"2":{"160":4,"342":4}}],["eg",{"2":{"138":1,"148":1,"160":1,"236":1,"320":1,"330":1,"342":1}}],["eq",{"2":{"128":5,"135":1,"310":5,"317":1}}],["equip",{"2":{"164":1}}],["equivalent",{"2":{"49":1,"116":1,"298":1}}],["equations",{"0":{"226":1}}],["equation",{"2":{"43":1,"44":1,"226":8}}],["equals",{"2":{"69":1}}],["equal",{"2":{"28":1,"31":3,"33":2,"34":1,"35":2,"36":1,"40":1,"52":4,"63":1,"64":5,"66":2,"67":4,"69":3,"72":6,"106":6,"128":7,"160":1,"175":1,"176":2,"177":1,"188":2,"226":1,"288":6,"310":7,"342":1}}],["efficiency",{"2":{"160":1,"342":1}}],["efficiently",{"2":{"67":1}}],["efficient",{"2":{"15":1,"17":1,"160":1,"342":1,"344":1}}],["effectively",{"2":{"160":1,"342":1}}],["effect",{"2":{"114":1,"249":1,"296":1}}],["evaluated",{"2":{"239":1}}],["evaluation",{"2":{"111":1,"293":1}}],["evolving",{"2":{"164":1}}],["ever",{"2":{"164":1}}],["every",{"2":{"40":1,"64":1,"140":1,"173":1,"249":1,"267":2,"269":2,"322":1}}],["event",{"2":{"233":3}}],["even",{"2":{"34":1,"47":7,"119":2,"267":1,"301":2}}],["educational",{"2":{"257":1}}],["education",{"2":{"170":1}}],["edu",{"2":{"98":2,"99":2,"100":2,"109":1,"161":5,"256":3,"271":1,"280":2,"281":2,"282":2,"291":1,"343":5}}],["editor",{"0":{"91":1,"273":1},"1":{"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"274":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1,"283":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"101":3,"112":1,"283":3,"294":1}}],["edition",{"2":{"86":1,"161":1,"256":1,"343":1}}],["edge",{"2":{"54":3,"62":1,"64":1,"197":1,"207":1,"238":2,"249":1,"267":4,"269":2}}],["eight",{"2":{"71":2,"268":4}}],["either",{"2":{"9":1,"35":1,"43":1,"47":1,"54":1,"55":1,"63":1,"65":1,"101":1,"113":1,"151":1,"233":1,"238":1,"267":3,"269":1,"283":1,"295":1,"333":1}}],["establish",{"2":{"160":1,"342":1}}],["established",{"2":{"52":1}}],["especially",{"2":{"14":1,"19":1,"160":1,"342":1}}],["errors",{"2":{"47":2,"112":2,"132":1,"294":2,"314":1}}],["error",{"2":{"47":4,"114":1,"119":1,"296":1,"301":1}}],["e",{"0":{"188":1},"2":{"23":2,"54":1,"72":3,"94":1,"95":2,"96":1,"110":2,"198":1,"224":1,"236":4,"237":4,"249":1,"267":3,"268":2,"276":1,"277":2,"278":1,"292":2}}],["earlier",{"2":{"40":1,"112":1,"199":1,"294":1}}],["early",{"2":{"14":1}}],["each",{"2":{"28":1,"33":1,"41":1,"49":1,"50":1,"51":1,"52":2,"53":1,"54":1,"55":1,"58":2,"62":2,"63":1,"64":2,"67":1,"71":2,"101":2,"112":1,"119":2,"136":2,"138":2,"139":2,"140":1,"148":2,"149":1,"151":1,"153":3,"154":1,"157":2,"173":1,"177":1,"185":1,"188":1,"197":1,"224":3,"227":1,"233":2,"236":1,"240":1,"249":3,"258":1,"268":2,"269":5,"270":1,"283":2,"294":1,"301":2,"318":2,"320":2,"321":2,"322":1,"330":2,"331":1,"333":1,"335":3,"336":1,"339":2}}],["easier",{"2":{"203":1}}],["easily",{"2":{"25":1,"31":1,"160":1,"342":1}}],["easy",{"2":{"14":1}}],["eng",{"2":{"271":1}}],["engineering",{"2":{"162":1}}],["en",{"2":{"269":9}}],["enumerated",{"2":{"225":1}}],["enhances",{"2":{"163":1,"344":1}}],["ensuring",{"2":{"160":2,"173":1,"342":2}}],["ensure",{"2":{"36":1,"160":1,"342":1}}],["environments",{"2":{"257":1}}],["environment",{"2":{"101":2,"283":2}}],["enabling",{"2":{"67":1}}],["enabled",{"2":{"36":3,"64":3,"66":1,"72":1}}],["enable",{"0":{"72":1},"2":{"35":1,"38":2,"40":2,"64":2,"66":1,"72":2,"249":2,"269":1}}],["enables",{"2":{"14":1,"267":1}}],["encoded",{"2":{"178":1}}],["encoder",{"0":{"68":1,"69":1},"1":{"69":1},"2":{"68":2,"69":3,"239":1}}],["encoders",{"2":{"9":1}}],["encoding",{"2":{"154":1,"158":1,"336":1,"340":1}}],["enclosed",{"2":{"115":1,"297":1}}],["encryption",{"2":{"67":1}}],["entire",{"2":{"232":1}}],["entity",{"2":{"224":1}}],["enters",{"2":{"116":1,"298":1}}],["entering",{"2":{"114":2,"115":1,"296":2,"297":1}}],["enter",{"2":{"99":1,"112":2,"113":1,"115":6,"127":1,"129":2,"135":2,"141":4,"147":1,"153":1,"154":3,"155":3,"157":1,"158":3,"159":3,"281":1,"294":2,"295":1,"297":6,"309":1,"311":2,"317":2,"323":4,"329":1,"335":1,"336":3,"337":3,"339":1,"340":3,"341":3}}],["entered",{"2":{"58":1,"59":1,"113":1,"114":1,"115":3,"295":1,"296":1,"297":3}}],["entry",{"2":{"60":2,"160":1,"342":1}}],["endcase",{"2":{"202":1,"205":2,"214":1,"239":2,"242":2,"246":1,"250":4,"254":7}}],["ends",{"2":{"160":1,"215":1,"239":1,"342":1}}],["endif",{"2":{"160":4,"342":4}}],["ending",{"2":{"118":1,"300":1}}],["endian",{"2":{"101":1,"283":1}}],["end",{"0":{"234":1},"2":{"22":3,"87":1,"129":4,"135":2,"140":3,"142":2,"144":2,"145":2,"149":3,"152":1,"154":4,"156":1,"158":6,"198":3,"214":9,"217":6,"218":6,"219":6,"220":6,"221":9,"232":1,"233":1,"234":2,"236":2,"237":2,"239":2,"243":1,"247":1,"250":1,"251":2,"254":9,"255":2,"267":11,"268":13,"269":4,"270":2,"311":4,"317":2,"322":3,"324":2,"326":2,"327":2,"331":3,"334":1,"336":4,"338":1,"340":6,"345":1}}],["endmodule",{"2":{"21":2,"22":1,"192":1,"195":1,"198":1,"199":1,"200":1,"202":1,"205":1,"206":1,"207":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1,"217":1,"218":1,"219":1,"220":1,"221":1,"236":1,"237":1,"242":1,"243":1,"246":1,"247":1,"250":1,"251":1,"254":1,"255":1,"267":4,"268":2,"269":3,"270":1}}],["exactly",{"2":{"239":1}}],["examples",{"0":{"240":1},"1":{"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1},"2":{"88":1,"240":1,"346":1}}],["example",{"2":{"67":1,"96":1,"98":1,"99":1,"100":2,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"110":1,"118":1,"140":1,"160":10,"161":1,"188":1,"224":1,"226":1,"227":1,"236":1,"237":1,"240":1,"241":2,"245":1,"249":1,"253":1,"267":2,"268":2,"269":4,"278":1,"280":1,"281":1,"282":2,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"292":1,"300":1,"322":1,"342":10,"343":1}}],["examines",{"2":{"188":4}}],["examine",{"2":{"52":2}}],["exist",{"2":{"154":1,"158":1,"336":1,"340":1}}],["exit2",{"2":{"109":1,"291":1}}],["exit",{"2":{"109":1,"113":1,"115":1,"127":1,"129":1,"135":1,"139":1,"142":2,"150":1,"153":1,"154":1,"157":1,"158":1,"160":11,"291":1,"295":1,"297":1,"309":1,"311":1,"317":1,"321":1,"324":2,"332":1,"335":1,"336":1,"339":1,"340":1,"342":11}}],["ex",{"2":{"128":2,"310":2}}],["extra",{"2":{"253":1}}],["extract",{"2":{"96":1,"98":1,"99":2,"100":1,"153":1,"157":1,"278":1,"280":1,"281":2,"282":1,"335":1,"339":1}}],["extending",{"2":{"211":1}}],["extends",{"2":{"188":1}}],["extend",{"2":{"160":1,"188":2,"342":1}}],["extended",{"2":{"64":1,"177":1,"188":3,"190":1}}],["extension",{"0":{"188":1,"211":1},"2":{"30":1,"188":1}}],["external",{"2":{"61":1,"206":1,"226":1}}],["exec",{"2":{"177":1}}],["executing",{"2":{"192":1}}],["execution",{"2":{"9":1,"101":1,"112":2,"160":1,"232":1,"234":1,"236":1,"237":1,"257":1,"283":1,"294":2,"342":1}}],["executable",{"2":{"95":2,"277":2}}],["execute",{"2":{"67":2,"98":1,"99":1,"100":1,"101":1,"112":4,"173":2,"182":1,"194":1,"199":2,"215":1,"233":1,"235":1,"280":1,"281":1,"282":1,"283":1,"294":4}}],["executed",{"2":{"10":1,"112":1,"173":1,"184":1,"194":1,"199":1,"215":1,"221":1,"232":3,"233":3,"234":2,"236":3,"239":2,"294":1}}],["executes",{"2":{"9":1,"67":1,"112":2,"160":2,"194":1,"294":2,"342":2}}],["exercise",{"2":{"112":1,"294":1}}],["exe",{"2":{"95":1,"277":1}}],["exciting",{"2":{"344":1}}],["excellence",{"2":{"164":1}}],["excel",{"2":{"163":1}}],["excess",{"2":{"160":1,"342":1}}],["exception",{"2":{"114":2,"177":1,"296":2}}],["except",{"2":{"40":1,"113":1,"226":1,"295":1}}],["exclusive",{"2":{"28":3,"52":1,"67":1}}],["exploit",{"2":{"173":1}}],["explains",{"2":{"177":1}}],["explained",{"2":{"89":3,"177":1,"190":1,"202":1,"208":1,"347":3}}],["explain",{"2":{"50":1,"215":1}}],["explanation",{"2":{"0":1,"2":1,"6":1,"87":2,"88":3,"89":2,"160":1,"208":1,"258":1,"260":1,"261":1,"264":1,"342":1,"345":2,"346":3,"347":2}}],["experience",{"2":{"163":1,"174":1,"258":1}}],["expected",{"2":{"112":1,"267":1,"294":1}}],["exponent",{"2":{"118":4,"300":4}}],["exports",{"2":{"101":1,"283":1}}],["expression>",{"2":{"239":1}}],["expressions",{"2":{"237":1,"239":1}}],["expression",{"2":{"111":1,"226":1,"233":1,"239":5,"293":1}}],["expressed",{"2":{"28":1,"226":1}}],["expand",{"2":{"170":1}}],["expanding",{"2":{"66":1}}],["expanded",{"2":{"52":1}}],["elliott",{"2":{"256":1}}],["elsewhere",{"2":{"234":1}}],["else",{"2":{"107":2,"160":6,"206":4,"212":1,"217":1,"218":1,"219":1,"220":1,"221":1,"239":1,"242":5,"246":5,"250":2,"254":6,"267":10,"268":10,"270":2,"289":2,"342":6}}],["eliminated",{"2":{"41":1}}],["eliminate",{"2":{"36":1}}],["electronic",{"2":{"23":1}}],["electronicshub",{"2":{"86":1}}],["electronics",{"2":{"23":1}}],["element",{"0":{"145":1,"156":1,"327":1,"338":1},"1":{"157":1,"339":1},"2":{"5":2,"115":2,"129":2,"136":3,"138":3,"139":1,"140":2,"141":4,"151":1,"153":1,"157":9,"158":16,"159":2,"160":1,"184":1,"187":1,"188":1,"207":1,"229":1,"233":1,"263":2,"297":2,"311":2,"318":3,"320":3,"321":1,"322":2,"323":4,"333":1,"335":1,"339":9,"340":16,"341":2,"342":1}}],["elements",{"0":{"141":1,"142":1,"183":1,"323":1,"324":1},"1":{"184":1,"185":1,"186":1,"187":1,"188":1},"2":{"5":1,"129":1,"139":1,"141":1,"151":2,"152":2,"153":1,"154":1,"156":1,"157":2,"182":1,"183":1,"188":1,"232":1,"233":1,"263":1,"267":2,"268":1,"311":1,"321":1,"323":1,"333":2,"334":2,"335":1,"336":1,"338":1,"339":2}}],["elaboration",{"2":{"9":3}}],["nput",{"2":{"233":1}}],["nickel",{"2":{"253":1}}],["nine",{"2":{"177":1}}],["niaz",{"2":{"167":1}}],["nirmal",{"2":{"166":1}}],["nitc",{"2":{"164":2,"170":1}}],["nit",{"2":{"112":1,"294":1}}],["n=81",{"2":{"116":1,"298":1}}],["nyou",{"2":{"115":1,"297":1}}],["nloop",{"2":{"112":1,"294":1}}],["nuances",{"2":{"160":1,"342":1}}],["null",{"0":{"147":1,"329":1},"2":{"109":1,"110":1,"116":2,"147":2,"149":1,"291":1,"292":1,"298":2,"329":2,"331":1}}],["num2",{"2":{"121":1,"303":1}}],["num1",{"2":{"121":1,"303":1}}],["numeric",{"2":{"63":1}}],["numbered",{"2":{"119":3,"301":3}}],["numbers",{"0":{"117":1,"122":1,"141":1,"142":1,"144":1,"299":1,"304":1,"323":1,"324":1,"326":1},"1":{"118":1,"119":1,"120":1,"121":1,"122":1,"123":2,"124":2,"125":1,"126":1,"127":1,"300":1,"301":1,"302":1,"303":1,"304":1,"305":2,"306":2,"307":1,"308":1,"309":1},"2":{"27":1,"29":3,"30":2,"31":1,"49":1,"52":5,"67":3,"113":1,"114":2,"117":2,"118":3,"119":1,"126":2,"128":1,"129":1,"141":1,"142":2,"153":1,"154":1,"157":1,"158":1,"188":3,"224":2,"227":1,"295":1,"296":2,"299":2,"300":3,"301":1,"308":2,"310":1,"311":1,"323":1,"324":2,"335":1,"336":1,"339":1,"340":1}}],["number",{"0":{"118":1,"121":1,"300":1,"303":1},"2":{"3":2,"47":8,"49":3,"50":2,"52":6,"61":1,"64":1,"103":1,"104":2,"109":7,"111":1,"114":2,"115":1,"118":2,"119":1,"122":1,"123":3,"124":3,"126":5,"128":2,"129":6,"134":2,"135":7,"140":2,"153":1,"154":10,"155":2,"157":1,"158":8,"159":2,"160":3,"176":1,"177":2,"185":2,"188":5,"190":2,"224":7,"227":2,"229":1,"249":2,"261":2,"267":1,"268":3,"270":1,"285":1,"286":2,"291":7,"293":1,"296":2,"297":1,"300":2,"301":1,"304":1,"305":3,"306":3,"308":5,"310":2,"311":6,"316":2,"317":7,"322":2,"335":1,"336":10,"337":2,"339":1,"340":8,"341":2,"342":3}}],["naeem",{"2":{"167":1}}],["national",{"2":{"162":1}}],["nativelink",{"0":{"81":1}}],["nasm",{"2":{"113":1,"295":1}}],["navigate",{"2":{"96":1,"98":1,"99":1,"100":2,"278":1,"280":1,"281":1,"282":2}}],["names",{"2":{"249":1}}],["namely",{"2":{"160":1,"342":1}}],["named",{"2":{"98":2,"99":2,"100":1,"115":1,"280":2,"281":2,"282":1,"297":1}}],["name",{"0":{"83":2},"2":{"111":1,"115":1,"234":1,"235":1,"268":1,"270":2,"293":1,"297":1}}],["nand",{"2":{"23":2,"34":3,"35":3}}],["nested",{"2":{"160":1,"205":1,"342":1}}],["nestedprocedures",{"2":{"160":1,"342":1}}],["negedge",{"0":{"238":1},"2":{"217":1,"218":1,"219":1,"220":1,"221":1,"238":3,"242":1,"246":1}}],["negated",{"2":{"126":1,"249":1,"308":1}}],["negation",{"2":{"126":1,"308":1}}],["negative",{"0":{"141":1,"323":1},"2":{"38":1,"39":1,"40":1,"41":1,"62":2,"118":1,"141":1,"238":1,"300":1,"323":1}}],["neg",{"2":{"126":2,"308":2}}],["needs",{"2":{"148":1,"190":1,"224":1,"245":1,"330":1}}],["needed",{"2":{"125":1,"138":1,"139":1,"190":1,"307":1,"320":1,"321":1}}],["need",{"2":{"112":3,"128":2,"142":1,"148":1,"152":2,"154":1,"156":2,"158":1,"160":2,"176":1,"178":1,"267":1,"268":3,"269":1,"294":3,"310":2,"324":1,"330":1,"334":2,"336":1,"338":2,"340":1,"342":2}}],["necessary",{"2":{"112":1,"139":1,"150":1,"157":1,"182":1,"188":4,"194":1,"267":1,"294":1,"321":1,"332":1,"339":1}}],["never",{"2":{"36":1}}],["newline",{"0":{"147":1,"329":1},"2":{"129":3,"147":3,"148":2,"149":1,"153":1,"154":4,"158":3,"311":3,"329":3,"330":2,"331":1,"335":1,"336":4,"340":3}}],["new",{"0":{"74":1,"78":1,"82":2},"2":{"28":1,"97":1,"101":1,"112":2,"129":2,"160":2,"229":1,"249":1,"279":1,"283":1,"294":2,"311":2,"342":2}}],["nearest",{"2":{"134":2,"135":1,"316":2,"317":1}}],["near",{"2":{"27":1}}],["next",{"2":{"27":1,"38":1,"39":1,"40":1,"50":1,"51":1,"52":1,"62":3,"66":1,"110":2,"112":2,"154":2,"158":3,"160":3,"188":1,"189":1,"190":1,"206":2,"225":3,"226":4,"227":2,"229":6,"242":9,"249":1,"253":2,"254":24,"255":1,"267":2,"292":2,"294":2,"336":2,"340":3,"342":3}}],["netlist",{"2":{"9":1}}],["non",{"0":{"237":1},"2":{"118":1,"160":10,"237":3,"300":1,"342":10}}],["none",{"2":{"72":2,"109":13,"239":1,"291":13}}],["no",{"2":{"69":1,"112":1,"114":2,"118":1,"125":1,"128":1,"129":3,"141":1,"172":2,"227":1,"269":1,"294":1,"296":2,"300":1,"307":1,"310":1,"311":3,"323":1}}],["now",{"0":{"84":1},"2":{"47":1,"98":1,"99":1,"100":1,"112":4,"113":2,"115":2,"173":1,"188":1,"215":1,"216":1,"240":1,"268":1,"280":1,"281":1,"282":1,"294":4,"295":2,"297":2}}],["nor0",{"2":{"214":1}}],["nor1",{"2":{"214":1}}],["normal",{"2":{"54":1,"65":1}}],["normally",{"2":{"33":1,"34":1,"151":1,"333":1}}],["nor",{"2":{"23":2,"33":1,"52":1,"214":1}}],["notation",{"2":{"118":1,"176":1,"300":1}}],["notice",{"2":{"112":1,"294":1}}],["notes",{"0":{"270":1}}],["note",{"0":{"114":1,"116":1,"296":1,"298":1},"2":{"54":1,"63":1,"64":1,"93":1,"97":1,"118":1,"120":1,"125":1,"128":1,"132":1,"152":1,"156":1,"160":2,"189":1,"204":1,"226":1,"229":1,"230":1,"237":1,"267":1,"270":1,"275":1,"279":1,"300":1,"302":1,"307":1,"310":1,"314":1,"334":1,"338":1,"342":2}}],["not",{"0":{"82":1},"2":{"17":1,"19":1,"23":5,"31":1,"35":2,"47":1,"52":1,"64":1,"66":1,"67":3,"69":1,"103":3,"105":1,"106":2,"112":1,"114":3,"116":1,"120":4,"128":2,"129":4,"132":1,"134":2,"148":1,"160":2,"208":1,"215":1,"224":1,"230":1,"232":2,"236":1,"238":1,"239":1,"249":1,"267":3,"285":3,"287":1,"288":2,"294":1,"296":3,"298":1,"302":4,"310":2,"311":4,"314":1,"316":2,"330":1,"342":2}}],["n",{"0":{"144":2,"145":1,"326":2,"327":1},"2":{"4":1,"27":1,"31":4,"41":3,"43":1,"53":3,"68":1,"70":2,"109":2,"110":6,"112":2,"116":1,"129":5,"135":4,"139":2,"144":1,"145":1,"149":1,"150":1,"154":1,"158":1,"160":12,"229":1,"262":1,"269":2,"270":2,"291":2,"292":6,"294":2,"298":1,"311":5,"317":4,"321":2,"326":1,"327":1,"331":1,"332":1,"336":1,"340":1,"342":12}}],["r1r2w",{"2":{"268":9}}],["rw1w2",{"2":{"268":8}}],["rw==0",{"2":{"267":1}}],["rw==1",{"2":{"267":3,"270":1}}],["rw",{"2":{"267":10,"270":1}}],["rf",{"2":{"206":1,"207":4}}],["rd2",{"2":{"207":3}}],["rd1",{"2":{"207":3}}],["rd",{"2":{"176":1,"177":1,"188":2,"190":1,"195":2,"197":1,"198":4}}],["rtype",{"2":{"202":1,"205":1}}],["rt",{"2":{"176":2,"177":1,"188":16,"190":1}}],["rtl",{"0":{"16":1},"1":{"17":1},"2":{"11":1,"194":1,"198":1,"199":1,"201":1,"204":1,"205":1,"206":1,"207":1,"215":1}}],["rst==0",{"2":{"267":1,"268":2}}],["rst=0",{"2":{"255":7}}],["rst=1",{"2":{"255":8}}],["rst",{"2":{"249":1,"254":2,"255":3,"267":3,"268":2,"270":2}}],["rs",{"2":{"176":2,"188":15,"253":4,"254":20,"255":6}}],["rule",{"2":{"224":1}}],["rules",{"2":{"9":1,"50":1,"51":1,"140":1,"322":1}}],["runtime",{"2":{"114":1,"115":1,"296":1,"297":1}}],["runs",{"2":{"112":2,"294":2}}],["run",{"0":{"84":1,"215":1},"2":{"87":2,"98":1,"99":1,"100":1,"112":10,"172":1,"215":3,"236":1,"250":4,"257":1,"280":1,"281":1,"282":1,"294":10,"344":1,"345":2}}],["running",{"0":{"80":1},"2":{"92":1,"109":2,"112":2,"113":1,"141":1,"274":1,"291":2,"294":2,"295":1,"323":1}}],["ra2",{"2":{"207":4}}],["ra1",{"2":{"207":4}}],["ram",{"2":{"195":4,"197":1,"198":6}}],["range",{"2":{"164":1}}],["random",{"2":{"61":1,"195":1}}],["rather",{"2":{"58":1,"59":1,"151":1,"333":1}}],["r",{"2":{"33":1,"34":1,"35":7,"36":3,"38":2,"54":2,"99":1,"176":2,"177":1,"188":2,"189":1,"190":3,"205":1,"281":1}}],["risc",{"2":{"257":1}}],["rising",{"2":{"207":1,"249":1,"267":2}}],["riptutorial",{"2":{"161":1,"343":1}}],["ripples",{"2":{"27":1}}],["ripple",{"0":{"27":1,"62":1,"63":1},"2":{"27":1,"62":1}}],["rightwards",{"2":{"269":1}}],["right",{"2":{"11":1,"50":1,"67":1,"99":1,"101":1,"104":2,"112":2,"226":1,"269":5,"281":1,"283":1,"286":2,"294":2}}],["roles",{"2":{"190":1}}],["role",{"0":{"190":1}}],["roy",{"2":{"167":1}}],["root",{"2":{"126":2,"308":2}}],["robust",{"2":{"113":1,"115":1,"295":1,"297":1}}],["rotating",{"2":{"67":1}}],["rows",{"2":{"44":1,"153":2,"154":4,"155":1,"157":1,"158":5,"159":1,"335":2,"336":4,"337":1,"339":1,"340":5,"341":1}}],["row",{"2":{"44":1,"151":5,"152":1,"153":1,"154":6,"155":1,"156":1,"158":7,"159":1,"333":5,"334":1,"335":1,"336":6,"337":1,"338":1,"340":7,"341":1}}],["ro",{"2":{"9":1}}],["rounding",{"2":{"135":1,"317":1}}],["rounded",{"2":{"134":1,"135":2,"258":1,"316":1,"317":2}}],["round",{"2":{"4":1,"134":3,"135":6,"262":1,"316":3,"317":6}}],["reusable",{"2":{"270":1}}],["reusing",{"2":{"173":1}}],["reflects",{"2":{"267":1}}],["referring",{"2":{"240":1}}],["referred",{"2":{"9":1,"27":1,"34":1,"67":1,"160":1,"208":1,"342":1}}],["references",{"0":{"161":1,"222":1,"256":1,"271":1,"343":1},"2":{"172":1}}],["reference",{"2":{"160":1,"342":1}}],["refer",{"2":{"160":1,"176":1,"342":1}}],["refers",{"2":{"138":1,"320":1}}],["reduce",{"2":{"179":1}}],["reduced",{"2":{"66":1,"257":1}}],["re",{"2":{"160":1,"342":1}}],["repeated",{"2":{"208":1}}],["repository",{"0":{"169":1}}],["replaced",{"2":{"148":1,"177":1,"330":1}}],["replace",{"2":{"142":2,"324":2}}],["replacing",{"2":{"142":1,"237":1,"324":1}}],["representing",{"2":{"49":2,"71":1,"111":1,"293":1}}],["represents",{"2":{"26":1,"44":1,"72":1,"178":1,"195":1,"203":1,"227":1}}],["represent",{"2":{"26":1,"63":2,"101":1,"202":1,"267":1,"283":1}}],["represented",{"2":{"9":1,"63":1,"67":1,"151":1,"227":2,"333":1}}],["representation",{"0":{"118":1,"300":1},"2":{"9":1,"101":1,"118":4,"268":1,"283":1,"300":4}}],["remember",{"2":{"151":1,"240":1,"333":1}}],["remarks",{"2":{"125":1,"126":1,"128":1,"131":1,"132":1,"133":1,"134":1,"307":1,"308":1,"310":1,"313":1,"314":1,"315":1,"316":1}}],["remaining",{"2":{"249":1}}],["remainder",{"2":{"103":1,"113":2,"285":1,"295":2}}],["remains",{"2":{"34":1,"35":2}}],["remove",{"2":{"112":1,"160":1,"294":1,"342":1}}],["reinitializing",{"2":{"112":1,"294":1}}],["realdigital",{"2":{"271":1}}],["realize",{"2":{"233":1}}],["reach",{"2":{"170":1}}],["reached",{"2":{"35":1}}],["read2",{"2":{"268":6}}],["read1",{"2":{"268":6}}],["ready",{"2":{"215":1}}],["readdata",{"2":{"192":3,"194":1,"197":1,"199":3,"206":3}}],["reads",{"2":{"150":1,"188":5,"189":1,"198":1,"267":1,"268":2,"332":1}}],["reading",{"0":{"122":1,"304":1},"1":{"123":1,"124":1,"305":1,"306":1},"2":{"113":3,"122":1,"123":1,"124":1,"127":1,"295":3,"304":1,"305":1,"306":1,"309":1}}],["read",{"2":{"109":9,"113":6,"115":3,"129":3,"135":2,"154":1,"158":1,"176":1,"177":1,"184":1,"185":3,"187":3,"188":13,"190":1,"194":1,"197":1,"199":1,"206":2,"207":5,"267":24,"268":23,"270":2,"291":9,"295":6,"297":3,"311":3,"317":2,"336":1,"340":1}}],["requisite",{"0":{"231":1},"1":{"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1}}],["require",{"2":{"224":1}}],["required",{"2":{"64":1,"115":1,"125":1,"128":1,"141":1,"164":1,"183":1,"189":1,"190":1,"240":1,"255":1,"267":1,"270":1,"297":1,"307":1,"310":1,"323":1}}],["requires",{"2":{"28":1,"34":1}}],["request",{"2":{"102":1,"284":1}}],["rewinding",{"2":{"101":1,"283":1}}],["relevant",{"2":{"160":1,"342":1}}],["release",{"2":{"97":1,"279":1}}],["relational",{"2":{"237":1}}],["relationships",{"2":{"67":1}}],["relationship",{"2":{"52":1,"67":1}}],["relative",{"2":{"188":1,"234":1}}],["related",{"2":{"67":1,"258":1}}],["reverse",{"2":{"128":1,"310":1}}],["reversing",{"2":{"3":1,"128":1,"261":1,"310":1}}],["review",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["recursively",{"2":{"160":1,"342":1}}],["recursive",{"2":{"160":4,"342":4}}],["recursion",{"2":{"160":7,"342":7}}],["record",{"2":{"160":1,"249":1,"342":1}}],["recommended",{"2":{"118":1,"300":1}}],["receiving",{"2":{"139":1,"321":1}}],["receive",{"2":{"65":1}}],["received",{"2":{"47":2,"139":1,"186":1,"321":1}}],["receives",{"2":{"36":1,"62":2,"173":1,"182":1,"188":3}}],["recall",{"2":{"66":1,"241":1}}],["retrieve",{"2":{"188":1,"195":1,"198":1}}],["retrieved",{"2":{"160":1,"342":1}}],["retained",{"2":{"36":1}}],["returning",{"2":{"160":2,"342":2}}],["returned",{"2":{"109":4,"160":3,"188":1,"291":4,"342":3}}],["returns",{"2":{"35":1,"63":1,"109":2,"160":4,"291":2,"342":4}}],["return",{"2":{"34":1,"102":1,"108":2,"111":1,"150":2,"160":28,"188":1,"284":1,"290":2,"293":1,"332":2,"342":28}}],["regfile",{"2":{"206":1,"207":1}}],["regwrite",{"2":{"177":1,"185":1,"189":1,"199":3,"200":3,"202":3,"206":3}}],["regdst==0",{"2":{"206":1}}],["regdst",{"2":{"177":1,"189":1,"190":1,"199":3,"200":3,"202":3,"206":3}}],["regarding",{"2":{"160":1,"342":1}}],["regardless",{"2":{"35":1,"36":1,"72":1}}],["region",{"2":{"110":1,"114":1,"116":1,"292":1,"296":1,"298":1}}],["register=writereg",{"2":{"206":1}}],["register2=instr",{"2":{"206":1}}],["register1=instr",{"2":{"206":1}}],["registerfile",{"2":{"206":1}}],["register",{"0":{"16":1,"54":1,"55":1,"185":1,"207":1,"268":1},"1":{"17":1},"2":{"9":1,"53":3,"54":4,"55":4,"57":1,"58":3,"59":1,"60":1,"61":1,"66":1,"102":7,"103":4,"105":11,"108":1,"111":2,"112":3,"113":14,"114":3,"115":3,"119":3,"120":1,"125":11,"126":7,"160":8,"172":1,"173":3,"176":3,"177":5,"185":3,"188":18,"189":1,"190":5,"203":1,"206":3,"207":4,"267":17,"268":13,"269":10,"270":1,"271":2,"284":7,"285":4,"287":11,"290":1,"293":2,"294":3,"295":14,"296":3,"297":3,"301":3,"302":1,"307":11,"308":7,"342":8}}],["registers",{"0":{"53":1,"56":1,"57":1,"58":1,"59":1,"60":1,"111":1,"120":1,"266":1,"267":1,"269":1,"293":1,"302":1},"1":{"54":1,"55":1,"56":1,"57":2,"58":2,"59":2,"60":2,"267":1,"268":1,"269":1,"270":1,"271":1},"2":{"9":1,"11":1,"58":1,"67":2,"101":1,"102":1,"106":2,"112":2,"113":9,"114":1,"119":4,"120":5,"122":1,"128":1,"132":1,"160":22,"161":1,"174":1,"176":1,"182":2,"185":3,"188":1,"207":2,"257":1,"267":2,"268":6,"269":2,"270":3,"283":1,"284":1,"288":2,"294":2,"295":9,"296":1,"301":4,"302":5,"304":1,"310":1,"314":1,"342":22,"343":1}}],["regularity",{"2":{"173":1}}],["regular",{"2":{"64":1}}],["reg",{"2":{"22":3,"195":1,"198":1,"202":1,"205":1,"207":1,"212":1,"214":1,"217":2,"218":2,"219":2,"220":2,"221":2,"236":1,"237":1,"242":2,"243":1,"246":1,"247":1,"250":1,"251":1,"254":3,"255":2,"267":13,"268":7,"269":6,"270":1}}],["resmux",{"2":{"206":1}}],["resources",{"2":{"258":1}}],["resource",{"2":{"162":1,"170":1}}],["restored",{"2":{"160":3,"342":3}}],["restore",{"2":{"160":3,"342":3}}],["restores",{"2":{"160":1,"342":1}}],["restoring",{"2":{"160":4,"342":4}}],["response",{"2":{"238":1}}],["responsible",{"2":{"67":1,"189":1,"192":1}}],["respond",{"2":{"62":1}}],["respective",{"2":{"58":1,"59":1,"249":1}}],["respectively",{"2":{"28":1,"38":1,"39":1,"113":3,"118":1,"188":1,"295":3,"300":1}}],["research",{"2":{"164":1}}],["reserve",{"2":{"160":1,"342":1}}],["reserved",{"2":{"120":1,"137":1,"160":2,"302":1,"319":1,"342":2}}],["reset=1",{"2":{"251":2}}],["reset==0",{"2":{"242":1}}],["resetting",{"2":{"129":1,"160":1,"249":1,"311":1,"342":1}}],["resets",{"2":{"112":1,"294":1}}],["reset",{"2":{"33":2,"34":1,"35":1,"36":1,"39":1,"54":4,"112":4,"154":1,"192":2,"193":2,"199":3,"206":4,"212":3,"217":4,"218":4,"219":4,"220":4,"221":4,"233":1,"238":1,"242":2,"243":6,"246":3,"247":6,"249":2,"250":3,"251":2,"253":3,"267":3,"294":4,"336":1}}],["resembles",{"2":{"11":1}}],["resemble",{"2":{"9":1}}],["result=",{"2":{"214":1}}],["result=~",{"2":{"214":1}}],["result=i",{"2":{"214":1}}],["result=readdata",{"2":{"206":1}}],["result=aluout",{"2":{"206":1}}],["result=addnumbers",{"2":{"160":1,"342":1}}],["results",{"0":{"85":1},"2":{"67":1,"102":1,"109":1,"111":2,"114":1,"120":2,"160":1,"174":1,"182":1,"194":1,"217":1,"218":1,"219":1,"220":1,"221":1,"284":1,"291":1,"293":2,"296":1,"302":2,"342":1}}],["resulting",{"2":{"67":1}}],["result",{"2":{"9":1,"67":2,"103":1,"109":1,"110":1,"113":1,"114":2,"135":2,"160":9,"186":3,"187":1,"188":8,"199":1,"206":3,"214":9,"249":1,"285":1,"291":1,"292":1,"295":1,"296":2,"317":2,"342":9}}],["ff",{"2":{"269":3}}],["ffs",{"2":{"269":1}}],["feed",{"2":{"230":1}}],["feature",{"2":{"229":1}}],["fetched",{"2":{"184":1,"187":1}}],["fetches",{"2":{"184":1}}],["fed",{"2":{"177":2,"269":1}}],["few",{"2":{"102":1,"284":1}}],["fewer",{"2":{"68":1}}],["f=g",{"2":{"160":1,"342":1}}],["f=g+h",{"2":{"160":1,"342":1}}],["f",{"2":{"128":1,"160":4,"310":1,"342":4}}],["fsrc2",{"2":{"126":9,"128":14,"308":9,"310":14}}],["fsrc1",{"2":{"126":12,"128":15,"308":12,"310":15}}],["fsrc",{"2":{"125":8,"126":12,"128":1,"131":4,"132":4,"133":4,"134":9,"307":8,"308":12,"310":1,"313":4,"314":4,"315":4,"316":9}}],["fsms",{"2":{"224":3}}],["fsm",{"2":{"88":1,"224":5,"229":4,"230":6,"249":6,"256":1,"344":1,"346":1}}],["fdest",{"2":{"125":8,"126":21,"131":4,"132":4,"133":4,"134":9,"307":8,"308":21,"313":4,"314":4,"315":4,"316":9}}],["freeze",{"2":{"269":1}}],["frame",{"2":{"160":7,"342":7}}],["fractional",{"2":{"118":1,"300":1}}],["fraction",{"2":{"118":4,"300":4}}],["front",{"2":{"62":1}}],["from",{"0":{"76":1,"133":1,"315":1},"2":{"25":1,"26":1,"27":2,"33":1,"35":1,"36":1,"43":1,"44":1,"47":1,"50":2,"51":1,"61":1,"62":3,"65":1,"66":2,"70":1,"88":1,"89":1,"100":1,"105":8,"109":6,"111":1,"112":4,"113":4,"114":1,"115":3,"116":1,"119":1,"125":4,"126":1,"129":3,"139":2,"154":1,"158":1,"160":10,"170":1,"173":1,"177":9,"182":1,"184":1,"186":2,"188":4,"189":2,"190":5,"194":2,"197":1,"199":1,"201":2,"202":1,"205":2,"206":1,"208":1,"224":1,"226":3,"227":2,"230":1,"238":2,"249":2,"253":1,"255":1,"258":1,"268":2,"282":1,"287":8,"291":6,"293":1,"294":4,"295":4,"296":1,"297":3,"298":1,"301":1,"307":4,"308":1,"311":3,"321":2,"336":1,"340":1,"342":10,"346":1,"347":1}}],["fgets",{"2":{"109":1,"291":1}}],["f8",{"2":{"101":1,"283":1}}],["f7",{"2":{"101":1,"283":1}}],["f5",{"2":{"101":1,"283":1}}],["f3",{"2":{"101":1,"283":1}}],["favourable",{"2":{"268":1}}],["favoured",{"2":{"160":1,"342":1}}],["falling",{"2":{"267":1}}],["false",{"2":{"128":1,"150":2,"310":1,"332":2}}],["fairly",{"2":{"255":1}}],["failed",{"2":{"217":1,"218":1,"219":1,"220":1,"221":1}}],["familiar",{"2":{"174":1}}],["family",{"0":{"76":1}}],["fascinating",{"2":{"170":1}}],["faculty",{"0":{"166":1},"1":{"167":1,"168":1,"169":1}}],["factorial",{"2":{"160":16,"342":16}}],["fahrenheit",{"2":{"127":3,"309":3}}],["far",{"2":{"112":1,"173":1,"294":1}}],["farenheit",{"2":{"4":1,"262":1}}],["further",{"2":{"160":3,"257":1,"342":3}}],["fundamental",{"2":{"258":1}}],["fundamentals",{"2":{"86":1,"161":1,"343":1}}],["funct",{"2":{"177":2,"178":2,"200":3,"205":4}}],["function",{"2":{"23":1,"44":1,"69":1,"111":1,"113":2,"160":45,"175":1,"178":1,"205":1,"226":1,"230":2,"241":1,"293":1,"295":2,"342":45}}],["functionally",{"2":{"160":1,"342":1}}],["functionality",{"2":{"14":2,"174":1}}],["functional",{"0":{"12":1,"208":1},"1":{"13":1,"14":1,"15":1,"16":1,"17":1,"18":1,"19":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"2":{"172":1}}],["functions",{"2":{"9":1,"25":1,"26":1,"39":1,"67":1,"160":10,"178":1,"342":10}}],["full",{"0":{"26":1,"30":1},"2":{"26":1,"27":5,"29":1,"30":2,"44":1}}],["fifteen=",{"2":{"254":1,"255":1}}],["fifteen",{"2":{"253":1,"254":2}}],["fifth",{"2":{"161":1,"343":1}}],["five=3",{"2":{"254":1,"255":1}}],["five=",{"2":{"254":1,"255":1}}],["five",{"2":{"188":4,"253":3,"254":12}}],["fig",{"2":{"176":1,"177":2,"178":1,"189":1,"190":1,"215":1,"225":1}}],["figure",{"2":{"36":1,"38":1}}],["fields",{"2":{"176":1,"177":1}}],["field",{"2":{"164":1,"176":3,"177":4,"178":2,"188":2,"189":1,"190":3}}],["fixing",{"2":{"182":1}}],["fix",{"2":{"97":1,"279":1}}],["fixed",{"2":{"44":1,"61":1,"113":1,"295":1}}],["filetype",{"2":{"101":1,"283":1}}],["file",{"0":{"75":1,"78":2,"81":1,"83":1,"185":1},"2":{"95":2,"96":2,"98":6,"99":6,"100":6,"112":6,"172":1,"173":2,"177":1,"185":1,"188":5,"189":1,"198":4,"206":3,"207":1,"255":1,"268":7,"277":2,"278":2,"280":6,"281":6,"282":6,"294":6}}],["files",{"0":{"75":1,"268":1},"2":{"87":1,"99":3,"101":1,"161":1,"173":1,"267":1,"268":1,"281":3,"283":1,"343":1,"345":1}}],["firstly",{"2":{"175":1}}],["first",{"0":{"80":1},"2":{"21":1,"28":1,"52":1,"58":1,"64":1,"111":1,"112":2,"125":1,"151":4,"160":4,"182":1,"184":1,"205":1,"211":1,"227":1,"236":1,"240":1,"249":4,"267":1,"293":1,"294":2,"307":1,"333":4,"342":4}}],["find",{"0":{"145":1,"156":1,"327":1,"338":1},"1":{"157":1,"339":1},"2":{"96":1,"100":1,"112":4,"148":1,"157":1,"158":1,"160":1,"258":2,"278":1,"282":1,"294":4,"330":1,"339":1,"340":1,"342":1}}],["finding",{"2":{"3":1,"261":1}}],["finite",{"0":{"88":1,"223":1,"228":1,"346":1},"1":{"224":1,"225":1,"226":1,"227":1,"228":1,"229":2,"230":2,"231":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"240":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1,"256":1},"2":{"255":2,"344":2}}],["finishes",{"2":{"232":1}}],["finished",{"2":{"113":1,"141":1,"295":1,"323":1}}],["finish",{"0":{"77":1},"2":{"236":1}}],["finally",{"2":{"160":3,"182":1,"188":2,"240":1,"342":3}}],["final",{"0":{"189":1},"1":{"190":1},"2":{"19":1,"67":1,"111":1,"127":1,"135":2,"182":1,"186":1,"190":1,"293":1,"309":1,"317":2}}],["focuses",{"2":{"258":1}}],["focus",{"2":{"173":1}}],["fontawesome",{"0":{"166":1},"1":{"167":1,"168":1,"169":1}}],["fosters",{"2":{"164":1}}],["folder",{"2":{"94":2,"96":1,"98":4,"99":4,"100":1,"276":2,"278":1,"280":4,"281":4,"282":1}}],["follow",{"2":{"61":1,"94":2,"95":2,"96":1,"118":1,"224":1,"276":2,"277":2,"278":1,"300":1}}],["following",{"2":{"38":1,"50":1,"51":1,"52":1,"60":1,"96":2,"98":2,"99":2,"100":5,"114":1,"138":1,"139":1,"140":1,"142":1,"149":1,"150":1,"160":2,"176":1,"190":1,"215":2,"237":2,"253":3,"257":1,"258":1,"259":1,"278":2,"280":2,"281":2,"282":5,"296":1,"320":1,"321":1,"322":1,"324":1,"331":1,"332":1,"342":2,"344":1}}],["follows",{"2":{"36":1,"63":1,"160":1,"188":5,"226":1,"342":1}}],["followed",{"2":{"9":1,"147":1,"188":1,"236":2,"329":1}}],["found",{"2":{"52":1,"67":1,"160":1,"342":1}}],["four",{"0":{"54":1,"55":1,"65":1},"2":{"39":1,"44":1,"47":1,"54":3,"55":1,"63":3,"66":4,"111":1,"160":2,"224":2,"226":1,"240":1,"249":1,"267":2,"269":1,"293":1,"342":2}}],["forced",{"2":{"249":2}}],["forever",{"2":{"243":1,"247":1,"251":1}}],["fork",{"0":{"235":1},"2":{"235":2,"243":1,"247":1}}],["forward",{"2":{"230":1}}],["forwards",{"2":{"150":1,"332":1}}],["formulations",{"0":{"224":1},"1":{"225":1,"226":1,"227":1}}],["formats",{"2":{"174":1}}],["format",{"0":{"176":1},"2":{"115":1,"175":1,"188":5,"198":1,"249":1,"268":1,"297":1}}],["form",{"2":{"57":1,"65":1,"132":3,"133":2,"134":3,"151":6,"152":1,"154":1,"155":1,"156":1,"158":1,"159":1,"188":6,"226":1,"230":1,"314":3,"315":2,"316":3,"333":6,"334":1,"336":1,"337":1,"338":1,"340":1,"341":1}}],["forms",{"2":{"26":1,"147":1,"151":1,"329":1,"333":1}}],["for",{"0":{"75":1,"80":1,"81":1,"82":1,"216":1},"1":{"217":1,"218":1,"219":1,"220":1,"221":1},"2":{"9":4,"14":1,"15":1,"17":3,"19":3,"22":1,"25":1,"26":1,"31":1,"33":2,"34":1,"35":1,"39":1,"40":2,"41":2,"43":1,"44":1,"47":5,"52":4,"54":1,"59":1,"64":1,"66":2,"67":2,"94":3,"95":2,"96":3,"97":1,"98":2,"99":2,"100":3,"101":2,"108":1,"109":1,"110":2,"111":1,"112":2,"113":4,"114":1,"115":2,"116":1,"118":2,"119":1,"120":4,"123":1,"124":1,"125":2,"127":1,"128":2,"135":3,"137":1,"139":4,"140":2,"141":1,"142":4,"144":1,"147":1,"149":1,"150":3,"153":1,"154":7,"157":1,"158":4,"160":27,"162":1,"164":1,"170":3,"172":1,"173":1,"174":1,"175":1,"176":5,"177":2,"178":3,"182":1,"183":1,"187":2,"188":6,"189":3,"190":3,"192":2,"194":1,"200":1,"203":1,"206":2,"207":1,"215":1,"221":1,"224":1,"225":3,"226":3,"227":1,"230":1,"236":1,"237":1,"239":1,"249":1,"253":1,"255":1,"257":1,"258":1,"267":6,"268":2,"269":4,"270":4,"276":3,"277":2,"278":3,"279":1,"280":2,"281":2,"282":3,"283":2,"290":1,"291":1,"292":2,"293":1,"294":2,"295":4,"296":1,"297":2,"298":1,"300":2,"301":1,"302":4,"305":1,"306":1,"307":2,"309":1,"310":2,"317":3,"319":1,"321":4,"322":2,"323":1,"324":4,"326":1,"329":1,"331":1,"332":3,"335":1,"336":7,"339":1,"340":4,"342":27}}],["flyod",{"2":{"161":1,"343":1}}],["flag",{"2":{"128":7,"214":4,"310":7}}],["flags",{"2":{"67":1,"119":1,"301":1}}],["flattened",{"2":{"9":1}}],["floor",{"2":{"134":3,"316":3}}],["floyd",{"2":{"86":1}}],["flopr",{"2":{"206":1,"212":1}}],["flop",{"0":{"38":1,"39":1,"40":1,"41":1,"212":1},"2":{"37":4,"38":4,"39":3,"40":5,"41":8,"54":1,"62":11,"64":4,"66":1,"225":1,"226":6,"233":1,"267":1,"269":1}}],["flops",{"0":{"37":1},"1":{"38":1,"39":1,"40":1,"41":1},"2":{"9":1,"37":1,"53":3,"54":3,"55":1,"62":2,"63":2,"64":3,"65":1,"66":3,"86":1,"174":1,"224":5,"225":2,"229":3,"230":2,"238":1,"267":6,"269":5}}],["flow",{"0":{"11":1},"2":{"173":3,"199":1,"233":1,"257":1}}],["float",{"2":{"4":1,"109":4,"121":3,"262":1,"291":4,"303":3}}],["floating",{"0":{"4":1,"117":1,"118":1,"119":1,"120":1,"121":1,"122":1,"131":1,"142":1,"262":1,"299":1,"300":1,"301":1,"302":1,"303":1,"304":1,"313":1,"324":1},"1":{"118":1,"119":1,"120":2,"121":1,"122":1,"123":2,"124":2,"125":1,"126":1,"127":1,"300":1,"301":1,"302":2,"303":1,"304":1,"305":2,"306":2,"307":1,"308":1,"309":1},"2":{"109":4,"117":2,"118":2,"119":4,"120":5,"122":1,"123":1,"124":1,"125":7,"126":7,"127":1,"129":1,"131":2,"132":2,"133":2,"134":3,"135":2,"142":7,"291":4,"299":2,"300":2,"301":4,"302":5,"304":1,"305":1,"306":1,"307":7,"308":7,"309":1,"311":1,"313":2,"314":2,"315":2,"316":3,"317":2,"324":7}}],["flipflops",{"2":{"269":1}}],["flip",{"0":{"37":1,"38":1,"39":1,"40":1,"41":1,"212":1},"1":{"38":1,"39":1,"40":1,"41":1},"2":{"9":1,"37":5,"38":4,"39":3,"40":5,"41":8,"53":3,"54":4,"55":1,"62":13,"63":2,"64":7,"65":1,"66":4,"86":1,"174":1,"224":5,"225":3,"226":6,"229":3,"230":2,"233":1,"238":1,"267":7,"269":6}}],["a=1",{"2":{"251":5}}],["a=state==run",{"2":{"250":1}}],["a==1",{"2":{"250":1}}],["a==player",{"2":{"250":1}}],["a=0",{"2":{"250":1}}],["a=0x",{"2":{"236":3,"237":3}}],["a=a+2",{"2":{"160":1,"342":1}}],["a^i",{"2":{"214":1}}],["akshit",{"2":{"168":1}}],["amount",{"2":{"253":1}}],["among",{"2":{"120":1,"302":1}}],["amunition",{"2":{"240":1}}],["amp",{"2":{"38":1,"39":2,"41":1,"104":2,"215":1,"222":1,"286":2}}],["apart",{"2":{"115":1,"297":1}}],["appropriately",{"0":{"83":1}}],["appropriate",{"0":{"75":1}}],["approach",{"2":{"11":1,"258":1}}],["appears",{"2":{"58":1,"112":1,"294":1}}],["appear",{"2":{"27":1,"43":1,"47":1,"60":1}}],["applies",{"2":{"249":1}}],["applied",{"2":{"27":1,"28":1,"36":1,"38":1,"39":1,"40":1,"63":2}}],["applicable",{"2":{"199":1}}],["applications",{"2":{"96":1,"98":4,"100":1,"258":1,"278":1,"280":4,"282":1}}],["application",{"2":{"34":1,"61":1,"225":1,"226":1}}],["applying",{"2":{"269":1}}],["apply",{"2":{"9":1,"51":1}}],["awt",{"2":{"97":1,"279":1}}],["agreement",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["again",{"2":{"36":1,"112":1,"188":1,"255":1,"294":1}}],["automatically",{"2":{"97":1,"160":1,"279":1,"342":1}}],["au",{"2":{"67":1}}],["augend",{"2":{"25":1}}],["a0",{"2":{"54":1,"64":1}}],["a2",{"2":{"54":1}}],["a3",{"2":{"52":3,"54":1}}],["affects",{"2":{"38":1,"40":1}}],["affect",{"2":{"35":1}}],["after",{"0":{"84":1},"2":{"10":1,"28":1,"34":1,"54":1,"63":1,"101":2,"112":1,"113":3,"132":2,"135":1,"139":1,"153":1,"154":1,"160":4,"225":1,"227":1,"233":1,"234":2,"236":1,"249":1,"268":1,"283":2,"294":1,"295":3,"314":2,"317":1,"321":1,"335":1,"336":1,"342":4}}],["acquire",{"2":{"229":1}}],["achieved",{"2":{"224":1,"249":1}}],["achieves",{"2":{"190":1}}],["ac050014",{"2":{"221":1}}],["ac030014",{"2":{"220":1}}],["ac02001e",{"2":{"219":1,"220":1}}],["ac040032",{"2":{"218":1}}],["ac040014",{"2":{"217":1}}],["ac",{"2":{"164":1,"170":1}}],["academic",{"2":{"164":1}}],["accommodate",{"2":{"270":1}}],["accordingly",{"2":{"239":1}}],["according",{"2":{"117":1,"118":1,"132":1,"204":1,"269":1,"299":1,"300":1,"314":1}}],["account",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["accesses",{"2":{"173":1}}],["accessed",{"2":{"109":1,"113":1,"136":1,"160":1,"291":1,"295":1,"318":1,"342":1}}],["accessing",{"2":{"116":1,"138":1,"160":2,"298":1,"320":1,"342":2}}],["access",{"2":{"113":2,"136":1,"138":1,"140":1,"194":1,"195":1,"268":1,"295":2,"318":1,"320":1,"322":1}}],["accept",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["accepts",{"2":{"57":1,"101":1,"253":1,"283":1}}],["act",{"2":{"267":1}}],["activating",{"2":{"249":1}}],["activation",{"2":{"160":1,"342":1}}],["active",{"2":{"38":1,"40":2,"54":1,"72":1,"233":3,"267":2,"269":1}}],["actions",{"2":{"184":1}}],["action",{"2":{"55":1,"233":1}}],["acts",{"2":{"35":1,"199":1,"201":1}}],["across",{"2":{"17":1,"120":4,"302":4}}],["avinash",{"2":{"168":1}}],["avoid",{"2":{"160":1,"267":1,"342":1}}],["avoided",{"2":{"34":1}}],["available",{"2":{"40":1,"54":1,"58":2,"160":2,"185":1,"227":1,"342":2}}],["average",{"2":{"3":1,"261":1}}],["abx",{"2":{"226":1}}],["ab",{"2":{"226":4}}],["abel",{"2":{"167":1}}],["abs",{"2":{"126":2,"308":2}}],["absolute",{"2":{"126":2,"215":1,"308":2}}],["abstraction",{"2":{"9":2,"10":1,"11":2,"15":1,"173":1}}],["about",{"0":{"162":1},"1":{"163":1,"164":1,"165":1,"166":1,"167":1,"168":1,"169":1,"170":1},"2":{"101":1,"112":1,"257":1,"267":1,"283":1,"294":1}}],["above",{"2":{"97":1,"128":1,"132":1,"140":1,"156":1,"190":1,"236":1,"245":1,"269":1,"279":1,"310":1,"314":1,"322":1,"338":1}}],["able",{"0":{"85":1}}],["ability",{"2":{"36":1,"174":2}}],["abar",{"2":{"29":1,"30":1}}],["aim",{"2":{"163":1}}],["ai",{"0":{"55":1},"2":{"28":2}}],["ahead",{"0":{"28":1}}],["a1",{"2":{"22":1,"54":1}}],["attempting",{"2":{"268":3}}],["attempt",{"2":{"114":1,"268":1,"269":2,"296":1}}],["attached",{"2":{"47":1}}],["at",{"2":{"9":1,"10":1,"23":6,"33":1,"34":3,"35":2,"36":6,"43":1,"54":3,"57":1,"61":2,"63":2,"69":1,"72":1,"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"101":5,"102":3,"109":1,"112":10,"114":1,"149":1,"160":3,"162":1,"170":1,"175":1,"176":1,"187":3,"188":2,"190":2,"197":1,"198":1,"217":1,"218":1,"219":1,"220":1,"221":1,"233":1,"236":1,"240":1,"249":1,"267":4,"268":5,"269":4,"270":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1,"283":5,"284":3,"291":1,"294":10,"296":1,"331":1,"342":3}}],["algebraically",{"2":{"226":1}}],["algebraic",{"2":{"226":1}}],["algorithms",{"2":{"14":1}}],["algorithm",{"2":{"10":1}}],["already",{"2":{"173":1}}],["almost",{"2":{"173":1}}],["although",{"2":{"160":1,"342":1}}],["alteration",{"2":{"269":1}}],["alternately",{"2":{"267":1}}],["alternatively",{"2":{"267":1}}],["alternative",{"2":{"239":1}}],["alternatives",{"2":{"239":1}}],["alter",{"2":{"114":1,"296":1}}],["aligned",{"2":{"121":2,"195":1,"198":1,"303":2}}],["aligns",{"2":{"110":1,"292":1}}],["align",{"2":{"110":3,"121":2,"129":1,"292":3,"303":2,"311":1}}],["aludec",{"2":{"200":1,"205":1}}],["alucontrol",{"2":{"199":3,"200":2,"205":9,"206":4}}],["aluout",{"2":{"199":3,"206":4}}],["aluop",{"2":{"177":1,"178":2,"189":1,"200":4,"202":3,"205":7}}],["alusrc",{"2":{"177":1,"189":1,"190":1,"199":3,"200":3,"202":3,"206":4}}],["alus",{"2":{"173":1}}],["alu",{"0":{"67":1,"178":2,"180":1,"186":1,"205":1,"214":1},"1":{"179":2,"180":2,"181":2},"2":{"67":12,"172":1,"173":2,"175":1,"177":6,"178":6,"182":2,"186":2,"188":10,"189":2,"190":4,"199":1,"200":1,"201":2,"205":5,"206":6,"214":5}}],["alongside",{"2":{"249":1}}],["along",{"2":{"30":1,"88":1,"178":1,"188":1,"346":1}}],["always",{"0":{"233":1},"2":{"10":1,"21":2,"40":2,"47":2,"118":1,"132":1,"170":1,"176":4,"195":1,"202":1,"204":4,"205":1,"206":1,"207":1,"212":1,"214":1,"217":2,"218":2,"219":2,"220":2,"221":2,"233":8,"242":3,"246":1,"250":1,"254":2,"255":1,"267":5,"268":2,"269":2,"270":1,"300":1,"314":1}}],["allocating",{"2":{"139":1,"321":1}}],["allocation",{"2":{"109":1,"160":1,"291":1,"342":1}}],["allocate",{"2":{"160":1,"342":1}}],["allocated",{"2":{"114":1,"116":1,"160":1,"296":1,"298":1,"342":1}}],["allocates",{"2":{"114":2,"116":1,"160":1,"296":2,"298":1,"342":1}}],["allow",{"2":{"182":1,"344":1}}],["allows",{"2":{"112":1,"113":1,"237":1,"294":1,"295":1}}],["allowed",{"2":{"35":1,"267":1,"268":1}}],["allowing",{"2":{"14":1,"17":1}}],["all",{"2":{"9":1,"23":3,"27":1,"28":1,"35":1,"52":1,"54":5,"58":1,"60":1,"64":5,"66":2,"69":1,"72":1,"89":1,"99":1,"101":2,"113":2,"114":1,"119":1,"141":1,"142":1,"152":1,"154":1,"156":1,"158":2,"160":1,"175":1,"177":2,"185":1,"203":1,"232":1,"233":1,"234":1,"236":1,"237":1,"240":1,"281":1,"283":2,"295":2,"296":1,"301":1,"323":1,"324":1,"334":1,"336":1,"338":1,"340":2,"342":1,"347":1}}],["also",{"2":{"5":1,"57":1,"66":1,"67":2,"112":1,"113":2,"115":2,"152":1,"156":1,"174":2,"177":2,"179":1,"182":1,"226":1,"257":2,"263":1,"270":1,"294":1,"295":2,"297":2,"334":1,"338":1}}],["ascertain",{"2":{"268":1}}],["asciiz",{"2":{"110":1,"112":3,"115":3,"127":2,"129":6,"135":3,"154":5,"158":7,"160":1,"292":1,"294":3,"297":3,"309":2,"311":6,"317":3,"336":5,"340":7,"342":1}}],["ascii",{"2":{"110":3,"112":1,"116":1,"140":1,"147":2,"154":1,"158":1,"292":3,"294":1,"298":1,"322":1,"329":2,"336":1,"340":1}}],["aspect",{"2":{"258":1}}],["asynchronous",{"2":{"224":1}}],["asynchronously",{"2":{"54":1}}],["asmtext",{"2":{"160":2,"342":2}}],["asmdata",{"2":{"160":1,"342":1}}],["asmloop",{"2":{"160":2,"342":2}}],["asm",{"2":{"101":1,"112":2,"160":9,"283":1,"294":2,"342":9}}],["assuming",{"2":{"269":1}}],["assume",{"2":{"47":2,"113":1,"132":1,"139":1,"148":1,"150":1,"268":1,"295":1,"314":1,"321":1,"330":1,"332":1}}],["associated",{"2":{"112":1,"294":1}}],["asserting",{"2":{"249":1}}],["asserted",{"2":{"54":1,"177":3,"187":1,"249":2}}],["assemble",{"2":{"112":1,"294":1}}],["assembler",{"0":{"110":1,"112":1,"292":1,"294":1},"2":{"105":3,"160":1,"287":3,"342":1}}],["assembling",{"2":{"101":3,"283":3}}],["assembly",{"0":{"101":1,"283":1},"1":{"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"101":1,"112":1,"160":9,"174":1,"215":2,"257":1,"258":1,"283":1,"294":1,"342":9}}],["assigning",{"2":{"268":1}}],["assigned",{"2":{"198":1,"211":1,"224":1,"236":2,"267":2}}],["assignment",{"2":{"87":1,"88":1,"89":1,"203":1,"236":1,"237":3,"345":1,"346":1,"347":1}}],["assignments",{"0":{"80":1},"2":{"235":1,"237":1}}],["assign",{"2":{"11":2,"21":2,"195":1,"198":1,"200":1,"202":1,"207":2,"209":1,"210":1,"211":1,"213":1,"214":1,"246":1,"250":3,"267":2,"268":1}}],["as",{"0":{"77":1,"141":1,"142":1,"323":1,"324":1},"2":{"9":4,"11":1,"27":2,"28":2,"34":1,"35":3,"36":4,"39":1,"41":2,"44":1,"47":1,"50":1,"51":1,"52":1,"58":2,"59":1,"67":6,"69":1,"72":1,"98":1,"99":1,"101":2,"103":3,"109":1,"112":5,"113":5,"114":3,"115":7,"116":1,"118":1,"120":1,"128":9,"133":2,"135":1,"139":1,"140":1,"147":3,"150":1,"151":1,"152":1,"153":1,"156":2,"157":1,"160":3,"170":1,"173":1,"174":3,"176":1,"177":1,"178":1,"184":1,"185":2,"188":8,"190":3,"201":1,"208":1,"215":2,"224":1,"226":2,"227":1,"229":2,"233":1,"237":2,"241":2,"245":2,"249":2,"267":6,"280":1,"281":1,"283":2,"285":3,"291":1,"294":5,"295":5,"296":3,"297":7,"298":1,"300":1,"302":1,"310":9,"315":2,"317":1,"321":1,"322":1,"329":3,"332":1,"333":1,"334":1,"335":1,"338":2,"339":1,"342":3}}],["arbitrary",{"2":{"270":1}}],["armed",{"2":{"240":1}}],["arjun",{"2":{"168":1}}],["aritro",{"2":{"168":1,"169":1}}],["arithmetic",{"0":{"67":1,"103":1,"126":1,"285":1,"308":1},"2":{"9":1,"25":1,"26":1,"27":1,"67":6,"102":1,"175":1,"182":3,"186":1,"188":1,"190":1,"284":1}}],["arshiya",{"2":{"168":1}}],["argument",{"2":{"160":2,"188":1,"342":2}}],["arguments",{"2":{"102":1,"109":1,"111":1,"160":9,"198":1,"284":1,"291":1,"293":1,"342":9}}],["arrow",{"2":{"249":1}}],["arrangement",{"2":{"173":1}}],["arrays",{"0":{"136":1,"143":1,"151":1,"318":1,"325":1,"333":1},"1":{"137":1,"138":1,"139":1,"140":1,"141":1,"142":1,"143":1,"144":2,"145":2,"152":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"159":1,"160":1,"161":1,"319":1,"320":1,"321":1,"322":1,"323":1,"324":1,"325":1,"326":2,"327":2,"334":1,"335":1,"336":1,"337":1,"338":1,"339":1,"340":1,"341":1,"342":1,"343":1},"2":{"5":2,"137":1,"263":2,"319":1}}],["array",{"0":{"5":1,"6":1,"138":1,"139":1,"140":1,"144":1,"145":1,"156":1,"263":1,"264":1,"320":1,"321":1,"322":1,"326":1,"327":1,"338":1},"1":{"6":1,"7":1,"157":1,"339":1},"2":{"4":1,"5":3,"129":2,"136":3,"137":3,"138":5,"139":5,"140":5,"141":5,"142":5,"148":1,"151":2,"152":1,"153":2,"154":4,"157":2,"158":4,"198":5,"207":1,"262":1,"263":3,"268":3,"311":2,"318":3,"319":3,"320":5,"321":5,"322":5,"323":5,"324":5,"330":1,"333":2,"334":1,"335":2,"336":4,"339":2,"340":4}}],["arr2",{"2":{"137":2,"319":2}}],["arr=",{"2":{"137":1,"319":1}}],["arr",{"2":{"121":2,"129":7,"137":1,"138":8,"139":2,"140":2,"142":1,"144":1,"145":3,"160":2,"303":2,"311":7,"319":1,"320":8,"321":2,"322":2,"324":1,"326":1,"327":3,"342":2}}],["architectural",{"2":{"230":1}}],["architecture",{"0":{"119":1,"301":1},"1":{"120":1,"302":1},"2":{"14":1,"19":1,"102":1,"174":3,"182":1,"185":1,"222":1,"230":1,"257":3,"284":1}}],["architectures",{"2":{"14":1,"17":1}}],["archive",{"2":{"96":3,"100":1,"278":3,"282":1}}],["around",{"2":{"23":1}}],["aren",{"2":{"151":1,"333":1}}],["area",{"2":{"15":1,"17":1,"19":1,"112":1,"294":1}}],["are",{"0":{"82":1},"2":{"9":2,"11":1,"23":9,"25":2,"26":1,"27":1,"28":2,"33":2,"34":1,"35":2,"36":1,"37":1,"43":1,"44":2,"47":1,"49":1,"51":1,"52":5,"54":2,"58":3,"59":1,"63":2,"64":6,"66":2,"67":4,"69":5,"70":1,"71":1,"72":3,"98":1,"99":1,"102":1,"103":3,"105":1,"106":2,"110":2,"112":1,"113":5,"115":1,"117":2,"118":1,"119":4,"120":5,"126":2,"128":2,"132":1,"141":2,"147":2,"148":1,"151":2,"152":1,"156":1,"160":26,"162":1,"170":2,"173":1,"174":1,"175":1,"176":1,"177":7,"179":1,"182":1,"183":1,"185":1,"187":1,"188":2,"189":1,"190":3,"198":2,"199":1,"202":1,"204":1,"206":2,"207":1,"211":1,"224":2,"227":1,"229":1,"230":2,"232":4,"233":2,"234":3,"235":1,"236":5,"239":3,"240":1,"249":4,"267":3,"268":6,"269":5,"270":2,"280":1,"281":1,"284":1,"285":3,"287":1,"288":2,"292":2,"294":1,"295":5,"297":1,"299":2,"300":1,"301":4,"302":5,"308":2,"310":2,"314":1,"323":2,"329":2,"330":1,"333":2,"334":1,"338":1,"342":26}}],["ad",{"2":{"200":1}}],["adjusts",{"2":{"112":1,"294":1}}],["adjust",{"2":{"112":2,"294":2}}],["adjacent",{"2":{"50":1,"51":1}}],["addnumbers",{"2":{"160":7,"342":7}}],["addu",{"2":{"103":1,"285":1}}],["addr",{"2":{"102":1,"284":1}}],["address=",{"2":{"206":1}}],["addresses",{"2":{"160":2,"342":2}}],["address",{"2":{"67":1,"102":3,"105":2,"108":5,"109":4,"111":1,"112":3,"115":2,"125":6,"136":1,"138":7,"139":2,"140":1,"151":3,"154":7,"158":5,"160":22,"177":2,"184":2,"187":3,"188":11,"190":4,"194":2,"196":1,"198":6,"206":1,"215":1,"217":2,"218":2,"219":2,"220":3,"221":2,"267":2,"268":3,"284":3,"287":2,"290":5,"291":4,"293":1,"294":3,"297":2,"307":6,"318":1,"320":7,"321":2,"322":1,"333":3,"336":7,"340":5,"342":22}}],["add",{"0":{"83":1,"217":1},"2":{"31":1,"50":1,"51":1,"103":5,"112":1,"113":6,"126":2,"135":1,"138":2,"139":1,"140":1,"142":2,"144":2,"145":1,"154":4,"158":4,"160":7,"175":1,"176":1,"178":1,"188":3,"205":3,"214":1,"217":2,"221":1,"268":11,"285":5,"294":1,"295":6,"308":2,"317":1,"320":2,"321":1,"322":1,"324":2,"326":2,"327":1,"336":4,"340":4,"342":7}}],["addiu",{"2":{"103":1,"285":1}}],["addi",{"2":{"103":1,"112":2,"113":4,"129":5,"138":1,"139":1,"140":1,"142":2,"144":1,"145":1,"148":1,"149":3,"150":3,"154":5,"158":5,"160":8,"202":1,"217":1,"218":1,"221":3,"285":1,"294":2,"295":4,"311":5,"320":1,"321":1,"322":1,"324":2,"326":1,"327":1,"330":1,"331":3,"332":3,"336":5,"340":5,"342":8}}],["adding",{"2":{"31":1,"113":4,"175":1,"295":4}}],["additionally",{"2":{"173":1,"174":1,"190":1}}],["additional",{"2":{"35":1,"55":1,"64":1,"109":1,"160":2,"190":1,"201":1,"269":2,"291":1,"342":2}}],["addition",{"2":{"23":1,"31":1,"53":1,"67":3,"69":1,"113":1,"119":1,"126":1,"160":3,"188":1,"205":1,"295":1,"301":1,"308":1,"342":3}}],["added",{"2":{"25":1,"26":1,"113":1,"126":1,"138":1,"139":1,"188":3,"295":1,"308":1,"320":1,"321":1}}],["addend",{"2":{"25":1}}],["adder",{"0":{"25":1,"26":1,"27":1,"28":1,"31":1,"209":1},"2":{"21":1,"22":2,"25":1,"26":1,"27":7,"31":1,"87":1,"206":2,"209":1,"345":1}}],["adders",{"0":{"24":1},"1":{"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1},"2":{"9":1,"27":3,"87":1,"345":1}}],["advanced",{"0":{"7":1,"146":1,"265":1,"328":1},"1":{"147":1,"148":1,"149":1,"150":1,"329":1,"330":1,"331":1,"332":1},"2":{"5":1,"7":1,"255":1,"263":1,"265":1}}],["a",{"0":{"73":1,"74":1,"78":1,"80":1,"82":2,"121":1,"156":1,"184":1,"303":1,"338":1},"1":{"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1,"157":1,"339":1},"2":{"6":1,"9":10,"10":1,"11":3,"14":1,"19":1,"21":3,"22":1,"23":21,"25":1,"26":2,"27":7,"28":1,"29":5,"30":6,"31":7,"33":1,"34":3,"41":1,"43":3,"46":1,"47":9,"49":1,"50":2,"51":1,"52":8,"53":5,"55":3,"57":2,"58":2,"59":2,"61":4,"62":7,"63":9,"64":3,"65":2,"66":4,"67":4,"68":2,"69":3,"70":3,"72":2,"94":1,"95":1,"96":1,"97":2,"98":4,"99":4,"100":3,"101":4,"102":6,"103":1,"109":1,"110":1,"112":12,"113":11,"114":5,"115":7,"116":4,"118":2,"119":2,"122":1,"123":1,"124":1,"125":2,"127":1,"129":1,"135":3,"138":3,"139":1,"147":6,"148":2,"150":2,"151":4,"152":2,"153":3,"154":3,"156":2,"157":1,"158":3,"160":29,"161":1,"162":2,"163":1,"164":2,"170":1,"173":3,"174":2,"175":1,"176":2,"178":1,"182":6,"185":1,"187":3,"188":5,"189":1,"190":5,"192":1,"195":4,"196":2,"197":3,"198":7,"199":1,"201":1,"204":3,"205":1,"209":1,"210":2,"211":5,"214":9,"215":4,"222":1,"224":7,"225":3,"226":12,"227":8,"229":2,"230":2,"232":4,"233":7,"235":1,"236":5,"237":5,"238":4,"239":6,"240":1,"241":5,"249":11,"250":11,"251":4,"253":3,"255":3,"257":2,"258":4,"264":1,"267":28,"268":14,"269":9,"270":3,"276":1,"277":1,"278":1,"279":2,"280":4,"281":4,"282":3,"283":4,"284":6,"285":1,"291":1,"292":1,"294":12,"295":11,"296":5,"297":7,"298":4,"300":2,"301":2,"304":1,"305":1,"306":1,"307":2,"309":1,"311":1,"317":3,"320":3,"321":1,"329":6,"330":2,"332":2,"333":4,"334":2,"335":3,"336":3,"338":2,"339":1,"340":3,"342":29,"343":1,"344":1}}],["answer",{"2":{"114":2,"127":1,"135":1,"296":2,"309":1,"317":1}}],["another",{"2":{"113":1,"224":1,"230":1,"249":1,"268":1,"295":1}}],["any",{"2":{"11":2,"47":3,"52":2,"54":1,"61":1,"64":2,"66":1,"72":1,"112":1,"113":1,"114":3,"132":1,"160":2,"170":1,"204":1,"207":1,"240":1,"267":1,"268":1,"269":1,"294":1,"295":1,"296":3,"314":1,"342":2}}],["analyze",{"2":{"9":1}}],["analysis",{"2":{"9":3}}],["an",{"0":{"75":2,"140":1,"144":1,"145":1,"322":1,"326":1,"327":1},"2":{"5":3,"9":4,"10":1,"11":1,"23":7,"25":1,"30":1,"31":1,"33":1,"34":2,"35":3,"38":1,"40":1,"47":8,"52":5,"53":1,"54":1,"64":3,"65":1,"67":2,"68":2,"69":1,"94":1,"95":1,"96":1,"109":1,"110":1,"112":3,"113":7,"114":2,"115":2,"119":3,"122":1,"129":1,"133":2,"135":1,"136":3,"137":2,"138":1,"139":5,"142":3,"148":1,"151":1,"175":1,"176":1,"182":1,"186":1,"188":6,"190":3,"204":1,"215":2,"224":3,"226":2,"230":1,"232":2,"233":4,"237":1,"256":1,"263":3,"267":1,"268":3,"269":3,"270":1,"276":1,"277":1,"278":1,"291":1,"292":1,"294":3,"295":7,"296":2,"297":2,"301":3,"304":1,"311":1,"315":2,"317":1,"318":3,"319":2,"320":1,"321":5,"324":3,"330":1,"333":1,"344":1}}],["andi",{"2":{"104":1,"286":1}}],["and",{"0":{"2":1,"24":1,"31":1,"55":1,"75":1,"77":1,"78":1,"80":1,"81":1,"83":1,"84":1,"85":2,"101":1,"122":1,"137":1,"147":1,"156":1,"169":1,"224":1,"238":1,"261":1,"283":1,"304":1,"319":1,"329":1,"338":1},"1":{"3":1,"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"123":1,"124":1,"157":1,"225":1,"226":1,"227":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1,"305":1,"306":1,"339":1},"2":{"4":1,"5":1,"9":8,"10":1,"11":3,"14":2,"15":2,"17":3,"19":3,"21":1,"23":13,"25":4,"26":1,"27":1,"28":6,"29":4,"30":4,"31":4,"33":5,"34":1,"35":7,"36":4,"38":3,"39":3,"40":2,"41":3,"43":3,"44":5,"46":2,"47":1,"50":1,"52":6,"53":1,"54":1,"55":1,"58":1,"60":1,"61":1,"62":1,"63":4,"64":11,"65":1,"66":2,"67":17,"68":1,"69":3,"71":2,"72":3,"86":2,"94":2,"95":3,"96":2,"97":4,"99":2,"100":1,"101":4,"102":2,"104":5,"108":1,"109":1,"110":1,"111":1,"112":13,"113":18,"114":5,"117":1,"118":1,"119":1,"120":2,"122":2,"125":2,"126":7,"127":1,"128":4,"129":6,"131":2,"132":2,"133":4,"134":1,"135":2,"136":1,"138":1,"139":3,"140":1,"141":2,"142":2,"149":3,"150":1,"151":2,"152":1,"153":2,"154":2,"157":5,"158":3,"160":37,"161":3,"162":1,"163":1,"164":3,"170":2,"173":12,"174":13,"175":4,"176":5,"177":4,"178":1,"182":9,"184":1,"185":2,"186":2,"187":5,"188":29,"189":4,"190":5,"192":3,"194":1,"195":1,"198":2,"199":4,"201":3,"202":1,"204":1,"205":2,"206":1,"208":2,"214":1,"215":3,"217":1,"218":1,"219":1,"220":1,"221":1,"222":5,"224":3,"225":5,"226":4,"227":4,"229":1,"230":1,"232":2,"233":3,"234":2,"235":2,"236":2,"237":3,"238":1,"239":8,"240":4,"249":6,"253":1,"255":1,"256":1,"257":9,"258":12,"262":1,"263":1,"267":13,"268":15,"269":10,"270":3,"276":2,"277":3,"278":2,"279":4,"281":2,"282":1,"283":4,"284":2,"286":5,"290":1,"291":1,"292":1,"293":1,"294":13,"295":18,"296":5,"299":1,"300":1,"301":1,"302":2,"304":2,"307":2,"308":7,"309":1,"310":4,"311":6,"313":2,"314":2,"315":4,"316":1,"317":2,"318":1,"320":1,"321":3,"322":1,"323":2,"324":2,"331":3,"332":1,"333":2,"334":1,"335":2,"336":2,"339":5,"340":3,"342":37,"343":3,"344":1}}],["dffs",{"2":{"267":2}}],["dflfl",{"2":{"267":5,"269":5}}],["dp",{"2":{"199":1}}],["dmem",{"2":{"192":4,"195":2,"197":1}}],["david",{"2":{"222":2}}],["darrays",{"2":{"151":1,"333":1}}],["dat",{"2":{"198":2,"215":4}}],["date",{"2":{"112":1,"294":1}}],["datum",{"2":{"110":1,"292":1}}],["datatype",{"2":{"267":1}}],["dataaddr",{"2":{"215":2}}],["dataadr",{"2":{"192":3,"194":1,"215":1,"217":5,"218":5,"219":5,"220":5,"221":5}}],["data=result",{"2":{"206":1}}],["data2",{"2":{"188":2,"267":2}}],["data1",{"2":{"188":1,"267":2}}],["datapath",{"0":{"182":1,"189":1,"206":1},"1":{"183":1,"184":1,"185":1,"186":1,"187":1,"188":1,"189":1,"190":2,"207":1},"2":{"89":1,"172":2,"173":4,"174":1,"175":1,"182":10,"183":1,"188":11,"189":1,"190":4,"199":3,"206":3,"347":1}}],["data",{"0":{"11":1,"105":1,"125":1,"130":1,"187":1,"195":1,"287":1,"307":1,"312":1},"1":{"131":1,"196":1,"197":1,"313":1},"2":{"11":1,"36":5,"40":2,"53":1,"54":1,"55":2,"57":1,"58":3,"59":2,"60":2,"66":2,"67":6,"101":1,"112":3,"113":3,"114":3,"115":5,"116":2,"118":1,"121":1,"125":3,"127":1,"129":1,"132":1,"133":2,"135":1,"137":2,"139":1,"142":1,"154":1,"158":1,"160":6,"172":1,"173":5,"177":8,"182":4,"185":2,"186":2,"187":3,"188":14,"189":1,"190":1,"192":1,"194":2,"195":1,"196":2,"197":2,"199":2,"206":1,"207":3,"214":18,"215":1,"233":1,"257":1,"267":13,"268":18,"269":5,"270":4,"283":1,"294":3,"295":3,"296":3,"297":5,"298":2,"300":1,"303":1,"307":3,"309":1,"311":1,"314":1,"315":2,"317":1,"319":2,"321":1,"324":1,"336":1,"340":1,"342":6}}],["d2",{"2":{"69":1,"269":1}}],["d2+",{"2":{"69":1}}],["d0",{"2":{"69":1,"213":2,"254":6}}],["d1",{"2":{"69":2,"213":2,"269":1}}],["d3",{"2":{"69":3,"269":1}}],["dynamic",{"2":{"62":1,"109":1,"291":1}}],["dummy",{"2":{"267":1}}],["dump",{"2":{"243":1,"247":2,"251":1}}],["due",{"2":{"118":1,"300":1}}],["during",{"2":{"54":1,"115":1,"147":1,"160":1,"203":1,"225":1,"227":1,"232":1,"297":1,"329":1,"342":1}}],["dut",{"2":{"9":2,"217":1,"218":1,"219":1,"220":1,"221":1}}],["d=0x0",{"2":{"236":2,"237":2}}],["d=",{"2":{"29":1}}],["drive",{"2":{"232":1,"260":1,"261":1,"262":1,"263":1,"264":1}}],["driven",{"2":{"198":1,"249":1}}],["drives",{"2":{"55":1}}],["dropped",{"2":{"27":1}}],["drawing",{"2":{"11":1}}],["doc",{"2":{"271":1}}],["documents",{"2":{"161":1,"343":1}}],["document",{"2":{"112":1,"294":1}}],["documentation",{"2":{"0":1,"2":1,"4":1,"5":1,"6":1,"87":2,"88":3,"89":3,"258":2,"260":1,"261":1,"262":1,"263":1,"264":1,"345":2,"346":3,"347":3}}],["double",{"0":{"124":1,"306":1},"2":{"94":1,"95":1,"98":1,"109":4,"110":2,"115":1,"117":1,"118":2,"119":2,"121":3,"124":1,"125":6,"126":7,"127":3,"131":4,"132":2,"133":2,"135":4,"276":1,"277":1,"280":1,"291":4,"292":2,"297":1,"299":1,"300":2,"301":2,"303":3,"306":1,"307":6,"308":7,"309":3,"313":4,"314":2,"315":2,"317":4}}],["don",{"2":{"69":1,"269":1}}],["done",{"2":{"36":1,"149":1,"331":1}}],["downloaded",{"2":{"94":2,"95":2,"96":2,"98":2,"99":2,"100":2,"276":2,"277":2,"278":2,"280":2,"281":2,"282":2}}],["downloads",{"2":{"94":5,"95":3,"96":5,"98":2,"99":2,"100":2,"276":5,"277":3,"278":5,"280":2,"281":2,"282":2}}],["downloading",{"0":{"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1}}],["download",{"2":{"87":1,"88":1,"89":1,"93":1,"94":3,"95":3,"96":3,"97":1,"98":1,"99":1,"100":1,"275":1,"276":3,"277":3,"278":3,"279":1,"280":1,"281":1,"282":1,"345":1,"346":1,"347":1}}],["down",{"0":{"65":1},"2":{"65":2,"112":1,"176":1,"215":1,"294":1}}],["does",{"2":{"35":2,"47":1,"64":1,"112":1,"230":1,"267":1,"294":1}}],["do",{"0":{"80":1},"2":{"31":1,"66":1,"114":1,"160":2,"215":1,"224":1,"232":1,"268":1,"296":1,"342":2}}],["dots",{"2":{"97":1,"279":1}}],["dot",{"2":{"23":1}}],["dime",{"2":{"253":1}}],["dimensional",{"0":{"143":1,"151":1,"325":1,"333":1},"1":{"144":1,"145":1,"152":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"159":1,"160":1,"161":1,"326":1,"327":1,"334":1,"335":1,"336":1,"337":1,"338":1,"339":1,"340":1,"341":1,"342":1,"343":1}}],["dive",{"2":{"240":1,"241":1,"257":1}}],["divu",{"2":{"113":1,"295":1}}],["div",{"2":{"103":1,"113":4,"126":2,"127":1,"135":1,"285":1,"295":4,"308":2,"309":1,"317":1}}],["divisor",{"2":{"114":1,"296":1}}],["division",{"2":{"67":1,"113":3,"114":2,"126":1,"295":3,"296":2,"308":1}}],["dividing",{"2":{"113":1,"295":1}}],["divided",{"2":{"126":1,"308":1}}],["divide",{"2":{"103":1,"113":1,"114":1,"135":1,"285":1,"295":1,"296":1,"317":1}}],["dictated",{"2":{"63":1,"229":1}}],["display",{"2":{"236":1}}],["displayed",{"2":{"233":1,"267":1}}],["displaying",{"2":{"112":1,"267":1,"294":1}}],["displays",{"2":{"97":1,"279":1}}],["distribution",{"2":{"96":1,"278":1}}],["discuss",{"2":{"267":1}}],["discussed",{"2":{"60":1,"140":1,"175":1,"199":2,"322":1}}],["discard",{"2":{"50":1,"51":1}}],["disabled",{"2":{"36":1,"72":2}}],["disables",{"2":{"35":1,"66":1}}],["directing",{"2":{"199":1}}],["directive",{"2":{"110":1,"114":5,"116":1,"292":1,"296":5,"298":1}}],["directives",{"0":{"110":1,"292":1},"2":{"161":1,"343":1}}],["direct",{"2":{"173":1}}],["directory",{"0":{"75":1},"2":{"96":1,"98":2,"99":2,"100":4,"215":1,"278":1,"280":2,"281":2,"282":4}}],["directed",{"2":{"55":1,"227":4}}],["directs",{"2":{"43":1,"173":1}}],["directly",{"2":{"36":1,"66":1,"113":1,"226":1,"227":1,"229":1,"295":1}}],["diagrams",{"2":{"173":1}}],["diagram",{"0":{"227":1},"2":{"38":1,"227":4,"240":2,"245":2,"249":1,"253":1}}],["differs",{"2":{"230":1}}],["difference",{"2":{"29":1,"30":1,"58":1,"113":1,"122":1,"188":1,"237":1,"253":1,"295":1,"304":1}}],["differences",{"0":{"12":1},"1":{"13":1,"14":1,"15":1,"16":1,"17":1,"18":1,"19":1},"2":{"51":1}}],["different",{"2":{"14":1,"17":1,"23":1,"67":1,"160":1,"177":2,"182":3,"186":1,"188":3,"268":1,"342":1}}],["difficult",{"2":{"15":1,"17":2,"19":2}}],["digital",{"2":{"23":2,"27":1,"68":1,"86":6,"161":2,"174":2,"182":1,"222":3,"224":1,"232":1,"256":4,"257":1,"258":1,"343":2}}],["digits",{"2":{"4":1,"25":1,"135":2,"262":1,"317":2}}],["digit",{"2":{"3":1,"63":3,"113":2,"154":1,"158":1,"261":1,"295":2,"336":1,"340":1}}],["derived",{"2":{"226":2}}],["denotes",{"2":{"226":1}}],["denoted",{"2":{"26":1}}],["deasserted",{"2":{"177":1}}],["deallocated",{"2":{"160":1,"342":1}}],["deallocate",{"2":{"160":3,"342":3}}],["dedicated",{"2":{"162":1}}],["department",{"0":{"164":1},"2":{"162":2,"164":3,"170":1}}],["dependent",{"2":{"215":1}}],["depend",{"2":{"184":1,"267":1}}],["depending",{"2":{"54":1,"160":1,"178":1,"186":1,"206":1,"267":1,"342":1}}],["depends",{"2":{"44":1,"102":1,"205":1,"206":1,"245":1,"284":1}}],["delimited",{"2":{"153":1,"157":1,"335":1,"339":1}}],["delay",{"2":{"28":2,"66":1,"234":1,"235":1}}],["degrees",{"2":{"127":2,"309":2}}],["demonstrate",{"2":{"267":1}}],["demonstrated",{"2":{"113":1,"115":1,"295":1,"297":1}}],["demultiplexerdemux",{"2":{"86":1}}],["demultiplexer",{"2":{"46":1}}],["demultiplexers",{"0":{"45":1},"1":{"46":1},"2":{"9":1,"86":1}}],["demux",{"0":{"46":1},"2":{"87":1,"345":1}}],["debug",{"2":{"112":1,"294":1}}],["debugging",{"2":{"112":2,"294":2}}],["development",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["device",{"2":{"9":1,"23":1,"217":1,"218":1,"219":1,"220":1,"221":1}}],["decision",{"2":{"344":1}}],["decides",{"2":{"205":1}}],["decide",{"2":{"204":1}}],["decimal",{"2":{"63":5,"118":1,"132":2,"154":1,"158":1,"300":1,"314":2,"336":1,"340":1}}],["decoding",{"2":{"174":1}}],["decode",{"2":{"188":1}}],["decodes",{"2":{"182":1}}],["decoded",{"2":{"71":1,"177":1}}],["decoder",{"0":{"70":1,"71":1,"72":1,"177":1,"178":1,"202":1,"205":1},"1":{"71":1,"72":1,"179":1,"180":1,"181":1},"2":{"68":1,"70":1,"72":1,"87":1,"172":2,"173":3,"177":2,"178":2,"201":3,"204":2,"205":2,"206":2,"229":5,"345":1}}],["decoders",{"2":{"9":1,"70":2}}],["decrements",{"2":{"160":1,"342":1}}],["decrement",{"2":{"160":1,"342":1}}],["decremented",{"2":{"160":2,"342":2}}],["declaration",{"0":{"137":1,"319":1},"2":{"114":1,"296":1}}],["declaring",{"0":{"121":1,"303":1},"2":{"113":1,"295":1}}],["declared",{"2":{"113":1,"115":2,"137":1,"139":1,"295":1,"297":2,"319":1,"321":1}}],["declare",{"2":{"112":1,"113":2,"115":1,"267":2,"268":3,"270":1,"294":1,"295":2,"297":1}}],["de",{"2":{"54":1}}],["det",{"2":{"242":1,"243":2,"246":1,"247":2}}],["detector",{"2":{"241":1}}],["detect",{"2":{"154":1,"158":1,"336":1,"340":1}}],["detected",{"2":{"154":1,"158":1,"336":1,"340":1}}],["detection",{"2":{"47":2}}],["determined",{"2":{"115":1,"178":1,"204":1,"297":1}}],["determines",{"2":{"47":1,"54":1,"55":1,"67":1,"178":1,"188":3,"190":1,"196":1,"233":1}}],["determine",{"2":{"43":1,"52":1,"67":2,"177":1,"188":1,"190":1,"229":1}}],["detail",{"2":{"177":1,"199":1,"215":1,"257":1}}],["detailed",{"2":{"19":1,"160":1,"342":1}}],["details",{"2":{"15":1,"17":1,"257":1}}],["definition",{"0":{"224":1},"1":{"225":1,"226":1,"227":1},"2":{"160":1,"342":1}}],["defines",{"2":{"233":1}}],["defined",{"2":{"233":1}}],["define",{"2":{"28":1}}],["default",{"2":{"9":1,"128":1,"202":1,"205":2,"207":1,"214":1,"239":3,"254":7,"270":1,"310":1}}],["destination",{"2":{"176":1,"190":1}}],["dest",{"2":{"125":2,"307":2}}],["desired",{"2":{"66":1,"160":1,"342":1}}],["designing",{"2":{"257":1,"258":1}}],["designed",{"2":{"164":1}}],["designers",{"2":{"14":1}}],["designates",{"2":{"225":1}}],["designated",{"2":{"63":1,"69":1,"113":2,"160":1,"177":2,"295":2,"342":1}}],["designation",{"2":{"36":1}}],["designs",{"2":{"17":1,"19":1,"257":1,"258":1}}],["design",{"0":{"85":1},"2":{"9":5,"17":1,"19":1,"86":1,"161":2,"173":1,"174":3,"222":4,"232":1,"256":2,"267":2,"269":3,"270":1,"343":2,"344":1}}],["described",{"2":{"9":1,"10":1,"11":1}}],["describes",{"2":{"9":2,"10":1,"113":1,"295":1}}],["describe",{"2":{"9":1,"173":1,"204":1}}],["description",{"2":{"9":7,"111":1,"112":1,"192":1,"257":1,"293":1,"294":1}}],["d",{"0":{"6":1,"36":1,"40":1,"156":1,"187":1,"212":1,"264":1,"338":1},"1":{"157":1,"339":1},"2":{"29":1,"30":2,"36":10,"37":1,"40":10,"46":2,"55":1,"62":2,"69":1,"124":1,"125":3,"126":7,"127":7,"128":8,"129":10,"131":2,"132":1,"133":1,"134":3,"135":14,"161":2,"212":2,"236":4,"237":4,"256":1,"267":3,"269":4,"306":1,"307":3,"308":7,"309":7,"310":8,"311":10,"313":2,"314":1,"315":1,"316":3,"317":14,"343":2}}],["2b00",{"2":{"206":2}}],["289",{"2":{"251":1}}],["28",{"2":{"188":1,"206":2}}],["215",{"2":{"251":1}}],["21",{"2":{"176":2,"206":2}}],["29",{"2":{"172":1,"210":1}}],["29993",{"2":{"161":1,"343":1}}],["27",{"2":{"160":1,"172":1,"342":1}}],["26",{"2":{"160":1,"176":1,"177":2,"188":2,"190":1,"199":1,"342":1}}],["22",{"2":{"159":1,"172":2,"217":1,"218":1,"219":1,"220":1,"221":1,"224":1,"341":1}}],["2d",{"2":{"152":1,"153":2,"154":1,"157":2,"158":1,"334":1,"335":2,"336":1,"339":2,"340":1}}],["2^3",{"2":{"121":1,"303":1}}],["2^2",{"2":{"121":1,"303":1}}],["2^n",{"2":{"43":1,"70":1,"110":1,"292":1}}],["23",{"2":{"111":1,"118":1,"293":1,"300":1}}],["25=",{"2":{"254":1,"255":1}}],["25",{"2":{"111":1,"172":1,"176":2,"206":4,"219":4,"253":1,"254":9,"255":3,"293":1}}],["24",{"2":{"111":1,"172":1,"293":1}}],["2n",{"2":{"68":1,"70":1}}],["2s",{"2":{"31":3}}],["20=",{"2":{"254":1,"255":1}}],["2006",{"2":{"256":2}}],["200",{"2":{"243":1,"247":1}}],["20050000",{"2":{"221":1}}],["2003001e",{"2":{"221":1}}],["20030226",{"2":{"217":1,"218":1}}],["2023",{"2":{"112":1,"294":1}}],["20",{"2":{"22":1,"113":3,"120":1,"176":2,"177":1,"206":4,"217":4,"220":4,"221":5,"254":1,"295":3,"302":1}}],["2",{"0":{"6":1,"43":1,"46":1,"72":1,"129":1,"156":1,"174":1,"195":1,"210":2,"213":1,"218":1,"264":1,"311":1,"338":1},"1":{"157":1,"196":1,"197":1,"339":1},"2":{"3":1,"5":1,"9":1,"22":1,"41":1,"43":1,"44":1,"46":1,"52":1,"69":1,"87":1,"88":1,"109":1,"110":1,"111":1,"112":8,"113":1,"114":2,"115":1,"117":1,"118":1,"119":1,"121":2,"123":2,"129":1,"132":3,"133":2,"134":3,"139":1,"140":1,"141":2,"142":3,"144":1,"145":1,"149":1,"151":1,"155":2,"159":1,"160":6,"172":3,"175":1,"176":1,"178":3,"188":4,"189":1,"190":2,"192":1,"195":2,"199":1,"200":2,"202":1,"205":5,"206":2,"207":2,"210":1,"224":1,"225":1,"230":2,"233":2,"242":4,"243":1,"245":1,"246":4,"247":1,"250":10,"253":1,"254":14,"255":38,"258":1,"261":1,"263":1,"267":7,"268":23,"269":5,"291":1,"292":1,"293":1,"294":8,"295":1,"296":2,"297":1,"299":1,"300":1,"301":1,"303":2,"305":2,"311":1,"314":3,"315":2,"316":3,"321":1,"322":1,"323":2,"324":3,"326":1,"327":1,"331":1,"333":1,"337":2,"341":1,"342":6,"345":1,"346":1}}],["h55",{"2":{"236":1,"237":1}}],["h30",{"2":{"236":1,"237":1}}],["hf1",{"2":{"236":1,"237":1}}],["hda",{"2":{"236":1,"237":1}}],["hdlis",{"2":{"173":1}}],["hdl",{"0":{"78":1},"2":{"9":4,"10":1,"174":1,"222":1,"238":1,"256":2,"257":1,"344":1}}],["h00000000",{"2":{"214":1}}],["h00000001",{"2":{"214":1}}],["hwlab",{"2":{"170":1}}],["h",{"2":{"160":5,"217":2,"218":2,"219":2,"220":2,"221":2,"236":10,"237":10,"342":5}}],["hn",{"2":{"110":1,"292":1}}],["h1",{"2":{"110":1,"292":1}}],["http",{"2":{"98":2,"99":2,"100":2,"161":1,"256":1,"280":2,"281":2,"282":2,"343":1}}],["https",{"2":{"94":1,"95":1,"96":1,"109":1,"161":5,"164":1,"256":3,"269":1,"271":3,"276":1,"277":1,"278":1,"291":1,"343":5}}],["html",{"2":{"94":1,"95":1,"96":1,"109":1,"161":2,"276":1,"277":1,"278":1,"291":1,"343":2}}],["headfirst",{"2":{"240":1}}],["hear",{"2":{"170":1}}],["hehe",{"2":{"217":1,"218":1,"219":1,"220":1,"221":1}}],["hex20020014",{"2":{"221":1}}],["hex20020019",{"2":{"219":1}}],["hex20020064",{"2":{"220":1}}],["hex20020226",{"2":{"218":1}}],["hex200201c2",{"2":{"217":1}}],["hex",{"2":{"198":2}}],["hennessy",{"2":{"161":1,"222":1,"343":1}}],["hence",{"2":{"147":2,"148":1,"232":2,"268":1,"329":2,"330":1}}],["hello",{"2":{"112":1,"160":3,"294":1,"342":3}}],["helped",{"2":{"255":1}}],["helpful",{"2":{"174":1}}],["help",{"2":{"102":1,"109":1,"161":2,"163":1,"215":1,"258":1,"284":1,"291":1,"343":2}}],["helps",{"2":{"46":1}}],["here",{"2":{"0":1,"2":1,"4":1,"5":1,"6":1,"11":1,"41":1,"67":1,"70":1,"87":1,"88":1,"89":1,"102":1,"116":1,"151":2,"189":2,"192":1,"224":1,"239":1,"260":1,"261":1,"262":1,"263":1,"264":1,"267":1,"268":1,"269":1,"284":1,"298":1,"333":2,"345":1,"346":1,"347":1}}],["hopefully",{"2":{"255":1}}],["hope",{"2":{"170":1}}],["homepage",{"2":{"98":1,"99":1,"100":1,"280":1,"281":1,"282":1}}],["holding",{"2":{"62":2}}],["holds",{"2":{"40":1,"66":1}}],["hold",{"2":{"36":1,"39":1,"113":1,"295":1}}],["however",{"2":{"51":1,"236":1,"268":1,"269":1}}],["how",{"0":{"73":1,"85":1,"215":1},"1":{"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1},"2":{"9":1,"50":1,"87":2,"113":3,"115":2,"151":1,"160":2,"172":1,"173":1,"215":1,"257":2,"267":2,"295":3,"297":2,"333":1,"342":2,"345":2}}],["hierarchical",{"2":{"269":1}}],["hierarchy",{"2":{"9":2}}],["hint",{"2":{"135":1,"317":1}}],["hi",{"2":{"103":2,"105":2,"113":3,"114":1,"285":2,"287":2,"295":3,"296":1}}],["hitting",{"2":{"99":1,"281":1}}],["hidpi",{"2":{"97":1,"279":1}}],["higher",{"2":{"62":1,"118":1,"267":1,"268":2,"300":1}}],["highest",{"2":{"5":1,"10":1,"11":1,"52":3,"69":1,"263":1}}],["highly",{"2":{"19":1}}],["high",{"2":{"14":1,"23":10,"46":1,"97":1,"160":2,"190":1,"249":1,"267":4,"279":1,"342":2}}],["had",{"2":{"267":1}}],["haa",{"2":{"236":1,"237":1}}],["harris",{"2":{"222":2}}],["hardwired",{"2":{"120":1,"207":1,"302":1}}],["hardware",{"0":{"165":1,"257":1},"1":{"258":1},"2":{"9":3,"14":2,"15":1,"17":1,"19":2,"112":1,"161":1,"162":2,"163":1,"170":4,"178":1,"222":1,"232":1,"233":1,"257":1,"294":1,"343":1,"344":1}}],["hafis",{"2":{"168":1}}],["halt",{"2":{"112":1,"294":1}}],["half",{"0":{"25":1,"29":1},"2":{"21":1,"22":2,"25":1,"29":3,"30":1,"110":1,"188":1,"249":2,"292":1}}],["having",{"2":{"64":1,"69":1,"249":1}}],["have",{"2":{"28":1,"53":1,"60":1,"63":1,"64":1,"101":1,"112":1,"113":1,"114":1,"115":1,"118":1,"152":1,"154":1,"156":1,"158":3,"162":1,"170":1,"173":1,"174":1,"188":1,"204":1,"215":2,"224":1,"225":1,"230":1,"255":1,"258":1,"267":3,"268":3,"269":4,"283":1,"294":1,"295":1,"296":1,"297":1,"300":1,"334":1,"336":1,"338":1,"340":3}}],["has",{"0":{"82":1},"2":{"23":4,"25":1,"33":1,"34":1,"36":2,"38":1,"39":1,"40":1,"41":1,"64":1,"65":1,"68":1,"69":1,"112":3,"115":1,"118":1,"121":4,"125":1,"127":1,"128":1,"160":1,"185":1,"186":1,"188":3,"201":1,"205":2,"224":1,"229":2,"249":2,"253":1,"268":4,"294":3,"297":1,"300":1,"303":4,"307":1,"309":1,"310":1,"342":1}}],["handouts",{"2":{"271":1}}],["hands",{"2":{"258":1}}],["handle",{"2":{"67":3}}],["handling",{"0":{"2":1,"4":1,"5":1,"6":1,"7":1,"113":1,"261":1,"262":1,"263":1,"264":1,"265":1,"295":1},"1":{"3":1,"6":1,"7":1,"114":1,"296":1},"2":{"113":1,"160":2,"295":1,"342":2}}],["hand",{"2":{"11":1}}],["cntrl",{"2":{"250":1,"251":2}}],["c=0x0",{"2":{"236":3,"237":3}}],["csun",{"2":{"256":1}}],["csed",{"2":{"170":1}}],["cs",{"2":{"161":1,"343":1}}],["cvt",{"2":{"131":2,"132":2,"133":2,"135":1,"313":2,"314":2,"315":2,"317":1}}],["cc",{"2":{"128":13,"310":13}}],["cmd",{"2":{"99":1,"281":1}}],["cd",{"2":{"96":1,"98":1,"99":1,"100":2,"278":1,"280":1,"281":1,"282":2}}],["ctrl+alt+t",{"2":{"96":1,"100":1,"278":1,"282":1}}],["ctrl+s",{"0":{"79":1}}],["cycle",{"0":{"89":1,"171":1,"347":1},"1":{"172":1,"173":1,"174":1,"175":1,"176":1,"177":1,"178":1,"179":1,"180":1,"181":1,"182":1,"183":1,"184":1,"185":1,"186":1,"187":1,"188":1,"189":1,"190":1,"191":1,"192":1,"193":1,"194":1,"195":1,"196":1,"197":1,"198":1,"199":1,"200":1,"201":1,"202":1,"203":1,"204":1,"205":1,"206":1,"207":1,"208":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1,"215":1,"216":1,"217":1,"218":1,"219":1,"220":1,"221":1,"222":1},"2":{"173":3,"174":2,"179":1,"215":1,"255":1,"257":2,"344":2}}],["cpus",{"2":{"67":1,"267":1}}],["cpu",{"2":{"67":6,"101":1,"283":1}}],["credit",{"2":{"269":1}}],["creating",{"0":{"82":1}}],["created",{"2":{"112":1,"140":1,"142":1,"147":1,"173":1,"175":1,"294":1,"322":1,"324":1,"329":1}}],["create",{"0":{"74":1,"75":1,"78":1,"82":1},"2":{"94":1,"95":1,"96":1,"98":1,"99":1,"139":1,"162":1,"173":1,"174":1,"182":1,"188":1,"268":1,"276":1,"277":1,"278":1,"280":1,"281":1,"321":1}}],["critical",{"2":{"179":1}}],["crashing",{"2":{"112":1,"294":1}}],["crucial",{"2":{"67":1,"160":2,"267":1,"342":2}}],["cross",{"2":{"33":1,"34":1,"160":1,"342":1}}],["ceil",{"2":{"134":2,"316":2}}],["ceiling",{"2":{"134":1,"316":1}}],["celsius",{"2":{"127":3,"309":3}}],["celcius",{"2":{"4":1,"262":1}}],["central",{"2":{"67":1}}],["certain",{"2":{"53":1,"67":1,"118":1,"267":1,"300":1}}],["clr=state==stop",{"2":{"250":1}}],["clr",{"2":{"249":2,"250":1,"251":2}}],["class",{"2":{"178":1}}],["cleanup",{"2":{"160":1,"342":1}}],["cleared",{"2":{"101":1,"283":1}}],["clearing",{"2":{"54":1}}],["clear",{"2":{"54":4,"66":1,"249":1}}],["clibrary",{"2":{"109":1,"291":1}}],["clicking",{"0":{"80":1,"84":1},"2":{"112":3,"294":3}}],["click",{"0":{"78":1,"79":1},"2":{"0":1,"2":1,"4":1,"5":1,"6":1,"94":3,"95":3,"96":2,"98":2,"99":2,"100":1,"112":4,"260":1,"261":1,"262":1,"263":1,"264":1,"276":3,"277":3,"278":2,"280":2,"281":2,"282":1,"294":4}}],["clk=~clk",{"2":{"251":1,"255":1}}],["clk=1",{"2":{"251":1,"255":1}}],["clk",{"2":{"66":1,"192":3,"193":1,"195":2,"196":1,"197":1,"199":3,"206":5,"207":3,"212":2,"217":5,"218":5,"219":5,"220":5,"221":5,"242":2,"250":3,"251":4,"254":2,"255":2,"267":12,"268":4,"269":12,"270":2}}],["clocked",{"2":{"54":2}}],["clock",{"0":{"249":1},"2":{"38":3,"39":3,"40":6,"41":2,"53":1,"54":3,"55":1,"61":1,"64":3,"66":1,"179":1,"193":1,"207":1,"217":1,"218":1,"219":1,"220":1,"221":1,"224":1,"225":2,"227":1,"233":1,"238":3,"243":5,"246":2,"247":5,"249":5}}],["currently",{"2":{"112":2,"267":1,"294":2}}],["current",{"2":{"35":1,"129":2,"138":1,"139":1,"158":2,"160":2,"188":1,"194":2,"199":2,"249":1,"269":1,"311":2,"320":1,"321":1,"340":2,"342":2}}],["c0",{"2":{"31":1}}],["cilleti",{"2":{"256":1}}],["ciletti",{"2":{"86":1}}],["ci=0",{"2":{"149":1,"331":1}}],["ci+1",{"2":{"28":1}}],["ci",{"2":{"28":2}}],["circles",{"2":{"227":1,"249":2}}],["circle",{"2":{"23":5,"227":4,"249":1}}],["circuitry",{"2":{"47":1}}],["circuits",{"2":{"23":1,"31":1,"67":1,"86":4,"174":1,"238":1,"344":1}}],["circuit",{"0":{"85":1},"2":{"10":2,"11":2,"25":2,"26":1,"27":1,"29":1,"30":1,"33":1,"34":2,"35":3,"36":3,"38":2,"39":1,"40":1,"41":1,"65":2,"67":1,"68":1,"69":2,"70":1,"72":3,"182":1,"224":1,"225":1,"227":3}}],["c",{"0":{"186":1},"2":{"21":2,"25":2,"26":2,"35":6,"36":4,"62":4,"63":2,"64":1,"99":1,"128":14,"129":2,"135":1,"160":3,"199":1,"236":2,"237":2,"281":1,"310":14,"311":2,"317":1,"342":3}}],["chipverify",{"2":{"256":1}}],["chess",{"0":{"249":1},"2":{"249":5,"250":1,"251":2}}],["checked",{"2":{"158":2,"239":1,"340":2}}],["checking",{"0":{"150":1,"332":1},"2":{"150":2,"158":1,"189":1,"215":1,"332":2,"340":1}}],["checkbox",{"2":{"112":1,"294":1}}],["checks",{"2":{"9":1,"160":1,"205":1,"239":1,"342":1}}],["check",{"2":{"7":1,"47":2,"52":1,"160":1,"188":1,"215":1,"216":1,"217":2,"218":2,"219":2,"220":2,"221":1,"265":1,"267":1,"342":1}}],["choice",{"2":{"160":1,"342":1}}],["chooses",{"2":{"190":1}}],["choose",{"2":{"112":3,"294":3}}],["chapter",{"2":{"113":1,"295":1}}],["char",{"2":{"109":3,"291":3}}],["characteristic",{"2":{"226":1}}],["characters",{"2":{"112":1,"113":1,"116":2,"129":1,"147":1,"148":1,"152":1,"154":1,"156":1,"158":1,"294":1,"295":1,"298":2,"311":1,"329":1,"330":1,"334":1,"336":1,"338":1,"340":1}}],["character",{"0":{"147":1,"329":1},"2":{"109":4,"112":1,"116":1,"140":1,"147":4,"148":4,"149":1,"154":2,"158":2,"291":4,"294":1,"298":1,"322":1,"329":4,"330":4,"331":1,"336":2,"340":2}}],["chain",{"2":{"64":1}}],["chandrakant",{"2":{"167":1}}],["changing",{"2":{"114":1,"296":1}}],["change",{"0":{"81":1},"2":{"34":1,"35":3,"36":1,"64":1,"66":1,"114":1,"215":2,"227":1,"233":2,"254":13,"255":2,"296":1}}],["changed",{"2":{"34":2,"126":1,"187":1,"188":1,"308":1}}],["changes",{"2":{"11":2,"36":1,"40":1,"101":1,"141":1,"142":1,"238":1,"269":2,"283":1,"323":1,"324":1}}],["channel",{"2":{"55":1}}],["capture",{"2":{"267":1}}],["capabilities",{"2":{"344":1}}],["capability",{"2":{"67":1}}],["capable",{"2":{"53":2,"65":1,"268":1}}],["cause",{"2":{"132":1,"314":1}}],["causes",{"2":{"34":2,"66":1,"227":1}}],["careful",{"2":{"114":1,"160":1,"296":1,"342":1}}],["care",{"2":{"69":1,"238":1}}],["carried",{"2":{"178":1}}],["carries",{"2":{"28":2,"50":1,"51":1,"67":1}}],["carrying",{"2":{"67":1}}],["carry",{"0":{"27":1,"28":1},"2":{"25":1,"26":1,"27":4,"28":2,"31":2,"66":4,"205":1}}],["cascade",{"2":{"27":1,"269":1}}],["casex",{"2":{"214":1,"250":2}}],["cases",{"0":{"216":1},"1":{"217":1,"218":1,"219":1,"220":1,"221":1},"2":{"172":1,"268":1}}],["case",{"0":{"239":1},"2":{"10":1,"35":1,"128":1,"160":7,"188":1,"190":2,"202":1,"203":1,"204":1,"205":3,"215":1,"239":9,"242":2,"246":1,"250":2,"254":7,"267":4,"268":9,"310":1,"342":7}}],["calculated",{"2":{"150":1,"154":1,"158":1,"160":1,"332":1,"336":1,"340":1,"342":1}}],["calculate",{"0":{"144":1,"326":1},"2":{"154":2,"158":2,"160":1,"336":2,"340":2,"342":1}}],["calculation",{"2":{"127":1,"135":1,"309":1,"317":1}}],["calculations",{"2":{"67":1,"119":1,"301":1}}],["calculating",{"2":{"3":1,"135":1,"154":1,"158":1,"160":2,"261":1,"317":1,"336":1,"340":1,"342":2}}],["calicut",{"2":{"112":1,"162":1,"294":1}}],["caller",{"2":{"160":6,"342":6}}],["callee",{"2":{"160":4,"342":4}}],["called",{"2":{"11":1,"29":1,"43":1,"61":1,"70":1,"119":1,"160":7,"176":1,"184":1,"187":1,"198":1,"224":2,"249":1,"301":1,"342":7}}],["calling",{"2":{"160":7,"342":7}}],["call",{"2":{"102":2,"108":1,"112":1,"113":3,"160":9,"284":2,"290":1,"294":1,"295":3,"342":9}}],["calls",{"0":{"101":1,"109":1,"283":1,"291":1},"1":{"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"102":1,"120":4,"160":8,"284":1,"302":4,"342":8}}],["cannot",{"2":{"36":1,"47":1,"232":1,"267":3,"268":2}}],["can",{"0":{"84":1},"2":{"9":1,"14":1,"15":1,"17":4,"19":1,"28":1,"29":2,"30":1,"31":3,"39":1,"43":1,"44":1,"47":1,"52":2,"54":2,"62":1,"64":2,"65":1,"66":1,"67":3,"72":1,"96":2,"98":1,"99":1,"100":3,"101":3,"109":1,"112":5,"113":7,"114":3,"115":4,"119":1,"120":1,"128":3,"132":1,"137":2,"139":2,"140":1,"142":1,"147":2,"149":1,"160":3,"176":1,"177":1,"179":1,"195":1,"204":1,"208":1,"224":4,"226":1,"233":1,"235":2,"239":1,"240":1,"267":6,"268":6,"269":2,"270":4,"278":2,"280":1,"281":1,"282":3,"283":3,"291":1,"294":5,"295":7,"296":3,"297":4,"301":1,"302":1,"310":3,"314":1,"319":2,"321":2,"322":1,"324":1,"329":2,"331":1,"342":3}}],["coding",{"2":{"267":1}}],["codes",{"2":{"67":1,"87":3,"88":1,"89":1,"345":3,"346":1,"347":1}}],["code",{"0":{"3":1,"21":1,"48":1,"49":1,"91":1,"152":1,"153":1,"154":1,"157":1,"158":1,"242":1,"246":1,"250":1,"254":1,"273":1,"334":1,"335":1,"336":1,"339":1,"340":1},"1":{"49":1,"50":1,"51":1,"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"274":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1,"283":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"1":1,"4":3,"5":7,"6":1,"7":5,"9":1,"11":2,"14":1,"17":1,"19":1,"22":1,"47":4,"50":6,"51":5,"63":3,"88":3,"89":4,"92":1,"101":5,"102":1,"109":1,"112":5,"113":13,"115":5,"139":1,"140":3,"142":4,"147":2,"149":2,"150":1,"160":26,"174":2,"215":11,"217":1,"218":1,"219":1,"220":1,"221":1,"237":1,"240":2,"257":1,"258":1,"260":1,"261":1,"262":3,"263":7,"264":1,"265":5,"267":1,"268":1,"269":2,"270":2,"274":1,"283":5,"284":1,"291":1,"294":5,"295":13,"297":5,"321":1,"322":3,"324":4,"329":2,"331":2,"332":1,"342":26,"346":3,"347":4}}],["coin=",{"2":{"255":1}}],["coin=2",{"2":{"255":7}}],["coin=rs",{"2":{"255":16}}],["coin==rs",{"2":{"254":5}}],["coin",{"2":{"253":3,"254":7,"255":2}}],["coins",{"2":{"253":1}}],["coordinates",{"2":{"182":1}}],["covers",{"2":{"175":1,"257":1,"258":1,"259":1,"344":1}}],["covered",{"2":{"113":2,"173":1,"174":1,"295":2}}],["copies",{"2":{"188":1}}],["copied",{"2":{"125":2,"307":2}}],["coprocessor",{"2":{"119":1,"125":3,"301":1,"307":3}}],["copy",{"2":{"102":1,"105":6,"284":1,"287":6}}],["co",{"2":{"119":1,"301":1}}],["corner",{"0":{"78":1,"79":1}}],["corresponds",{"2":{"154":1,"158":1,"336":1,"340":1}}],["corresponding",{"2":{"44":1,"50":1,"51":1,"52":1,"63":1,"160":8,"249":1,"342":8}}],["correct",{"2":{"98":1,"99":1,"160":1,"173":1,"215":1,"280":1,"281":1,"342":1}}],["correctly",{"2":{"17":1,"173":1,"215":1}}],["correctness",{"2":{"9":1}}],["columns",{"2":{"153":2,"154":4,"155":1,"157":1,"158":5,"159":1,"225":1,"226":1,"335":2,"336":4,"337":1,"339":1,"340":5,"341":1}}],["column",{"2":{"44":1,"101":2,"151":5,"154":5,"158":7,"283":2,"333":5,"336":5,"340":7}}],["collection",{"2":{"9":1,"267":1}}],["course",{"0":{"165":1,"258":1},"2":{"163":1,"170":2,"257":3,"258":2,"259":1,"344":1}}],["courses",{"2":{"98":2,"99":2,"100":2,"109":1,"161":2,"164":1,"256":2,"280":2,"281":2,"282":2,"291":1,"343":2}}],["coupled",{"2":{"33":1,"34":1}}],["counts",{"2":{"65":1}}],["counting",{"2":{"65":1}}],["counter",{"0":{"62":1,"63":1,"64":1,"65":1,"66":1},"2":{"61":1,"62":1,"63":3,"64":4,"65":1,"66":3,"87":1,"112":2,"139":2,"140":1,"144":1,"145":1,"148":1,"184":1,"194":1,"199":1,"294":2,"321":2,"322":1,"326":1,"327":1,"330":1,"345":1}}],["counters",{"0":{"61":1},"1":{"62":1,"63":1,"64":1,"65":1,"66":1},"2":{"9":1,"64":1}}],["count+1",{"2":{"22":1}}],["count=0",{"2":{"22":1}}],["count",{"2":{"22":4,"62":2,"63":1,"64":2,"66":6,"145":2,"327":2}}],["coming",{"2":{"205":1}}],["comes",{"2":{"177":3,"190":3}}],["come",{"2":{"162":1,"240":1,"253":1,"255":1}}],["com",{"2":{"86":2,"94":1,"95":1,"96":1,"161":1,"256":1,"276":1,"277":1,"278":1,"343":1}}],["combining",{"2":{"115":1,"297":1}}],["combines",{"2":{"173":1}}],["combine",{"2":{"113":1,"189":1,"295":1}}],["combined",{"2":{"65":1}}],["combinations",{"2":{"41":2,"43":1}}],["combinationally",{"2":{"207":1}}],["combinational",{"2":{"26":1,"27":1,"29":1,"30":1,"53":1,"70":1,"173":1,"174":1,"198":1,"204":1,"229":1,"233":2,"267":2,"269":1}}],["combination",{"2":{"11":1,"23":3,"34":1}}],["commence",{"2":{"249":1}}],["comments",{"2":{"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1}}],["commands",{"0":{"231":1},"1":{"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1},"2":{"240":1,"344":1}}],["command",{"2":{"96":2,"98":2,"99":4,"100":5,"278":2,"280":2,"281":4,"282":5}}],["commonly",{"2":{"67":1,"113":1,"160":1,"257":1,"295":1,"342":1}}],["common",{"2":{"53":1,"54":1,"64":1,"160":1,"230":1,"342":1}}],["committing",{"2":{"14":1}}],["comprehensive",{"2":{"162":1,"258":1}}],["computations",{"2":{"160":1,"342":1}}],["computes",{"2":{"177":1}}],["compute",{"2":{"114":1,"129":1,"135":1,"160":1,"188":2,"296":1,"311":1,"317":1,"342":1}}],["computed",{"2":{"105":1,"111":1,"119":1,"177":1,"287":1,"293":1,"301":1}}],["computer",{"0":{"164":1,"166":1},"1":{"167":1,"168":1,"169":1},"2":{"67":2,"94":3,"95":3,"96":2,"98":4,"99":5,"100":4,"110":2,"161":2,"162":2,"164":2,"170":3,"174":3,"182":1,"222":3,"257":2,"276":3,"277":3,"278":2,"280":4,"281":5,"282":4,"292":2,"343":2}}],["compliment",{"2":{"132":3,"133":2,"134":3,"314":3,"315":2,"316":3}}],["completing",{"2":{"160":1,"342":1}}],["completion",{"2":{"160":2,"342":2}}],["complete",{"2":{"94":1,"95":1,"109":1,"182":1,"276":1,"277":1,"291":1}}],["completes",{"2":{"67":1,"249":1}}],["complemented",{"2":{"64":2,"72":1}}],["complementing",{"2":{"62":2,"64":1,"66":1}}],["complements",{"2":{"33":1}}],["complement",{"2":{"23":1,"31":8,"36":1,"39":1,"41":1,"62":1,"71":1,"72":1,"103":3,"285":3}}],["complex",{"2":{"14":1,"17":1,"19":1,"163":1}}],["compile",{"0":{"81":1,"84":1},"2":{"215":1}}],["compiler",{"2":{"9":1,"101":1,"283":1}}],["comparing",{"2":{"147":2,"329":2}}],["comparison",{"0":{"107":1,"128":1,"289":1,"310":1},"1":{"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"311":1,"312":1,"313":1,"314":1,"315":1,"316":1,"317":1},"2":{"67":3,"128":1,"310":1}}],["comparisons",{"2":{"67":2}}],["compared",{"2":{"239":1}}],["compares",{"2":{"188":1}}],["compare",{"2":{"67":1,"119":1,"128":3,"129":2,"158":1,"173":1,"237":1,"301":1,"310":3,"311":2,"340":1}}],["comparator",{"2":{"52":3}}],["comparators",{"0":{"52":1},"2":{"9":1}}],["component",{"2":{"67":1,"173":1}}],["components",{"2":{"9":1,"11":1,"67":1,"97":1,"173":2,"279":1}}],["configuration",{"2":{"188":4}}],["convention",{"2":{"160":1,"342":1}}],["convertor",{"2":{"215":1}}],["converted",{"2":{"131":2,"132":2,"133":2,"135":1,"232":1,"313":2,"314":2,"315":2,"317":1}}],["converter",{"0":{"48":1,"49":1},"1":{"49":1,"50":1,"51":1},"2":{"9":1}}],["converts",{"2":{"70":1,"154":1,"158":1,"336":1,"340":1}}],["convert",{"2":{"50":1,"51":1,"127":1,"131":2,"132":2,"133":2,"142":1,"153":1,"157":1,"215":1,"309":1,"313":2,"314":2,"315":2,"324":1,"335":1,"339":1}}],["conversion",{"0":{"130":1,"131":1,"132":1,"133":1,"312":1,"313":1,"314":1,"315":1},"1":{"131":1,"133":1,"313":1,"315":1},"2":{"50":1}}],["concurrently",{"2":{"233":1,"235":1}}],["concatenate",{"2":{"188":1}}],["concatenating",{"2":{"149":1,"190":1,"331":1}}],["concatenation",{"0":{"149":1,"331":1},"2":{"7":1,"149":1,"265":1,"331":1}}],["concerning",{"2":{"119":1,"301":1}}],["concepts",{"2":{"113":1,"115":1,"163":1,"174":1,"255":1,"257":1,"258":1,"295":1,"297":1}}],["concept",{"2":{"88":2,"89":1,"173":1,"346":2,"347":1}}],["connects",{"2":{"229":1}}],["connect",{"2":{"229":3}}],["connection",{"2":{"62":1,"233":1}}],["connecting",{"2":{"41":1,"201":1,"227":2}}],["connected",{"2":{"11":1,"27":2,"62":4,"63":1,"64":1,"188":3}}],["conditionally",{"2":{"267":1}}],["conditional",{"0":{"106":1,"288":1},"2":{"67":3,"158":1,"340":1}}],["conditions",{"2":{"39":1,"52":1,"67":1,"69":1,"226":3,"238":1,"239":1,"249":1}}],["condition",{"2":{"34":1,"35":1,"52":1,"66":1,"119":1,"128":8,"129":2,"135":1,"139":1,"148":1,"301":1,"310":8,"311":2,"317":1,"321":1,"330":1}}],["consecutive",{"2":{"269":1}}],["consequent",{"2":{"190":1}}],["construct",{"2":{"239":1}}],["constructed",{"2":{"33":1,"64":1}}],["const3",{"2":{"127":2,"135":2,"309":2,"317":2}}],["const2",{"2":{"127":2,"135":3,"309":2,"317":3}}],["const1",{"2":{"127":2,"135":2,"309":2,"317":2}}],["constants",{"2":{"112":1,"113":2,"115":2,"127":1,"135":1,"294":1,"295":2,"297":2,"309":1,"317":1}}],["constant",{"2":{"103":1,"104":2,"105":1,"113":1,"115":1,"125":1,"285":1,"286":2,"287":1,"295":1,"297":1,"307":1}}],["considering",{"2":{"188":1}}],["consider",{"2":{"140":1,"149":1,"188":1,"241":1,"267":1,"268":1,"322":1,"331":1}}],["considered",{"2":{"41":1,"133":2,"149":1,"315":2,"331":1}}],["consist",{"2":{"240":2}}],["consists",{"2":{"35":1,"46":1,"53":1,"62":1,"67":1,"182":1,"225":1}}],["consisting",{"2":{"10":1}}],["consuming",{"2":{"19":1}}],["cons",{"0":{"15":1},"2":{"17":1,"19":1}}],["context",{"2":{"174":1,"237":1}}],["contents",{"0":{"9":1,"172":1},"1":{"10":1,"11":1},"2":{"96":1,"98":1,"99":1,"102":1,"105":1,"112":1,"160":1,"177":2,"185":1,"188":3,"267":2,"278":1,"280":1,"281":1,"284":1,"287":1,"294":1,"342":1}}],["contributes",{"2":{"170":1}}],["contributing",{"2":{"170":1}}],["controls",{"2":{"173":2,"187":1,"202":9}}],["controller",{"0":{"200":1,"249":1},"1":{"201":1},"2":{"172":1,"199":3,"200":1,"201":1}}],["controlled",{"2":{"43":1,"190":1}}],["control",{"0":{"35":1,"175":1,"177":1,"178":1,"180":1},"1":{"176":1,"177":1,"178":1,"179":2,"180":2,"181":2},"2":{"15":1,"17":1,"35":4,"36":6,"55":1,"65":2,"66":5,"89":1,"158":2,"160":10,"172":1,"173":4,"174":1,"175":3,"177":14,"178":3,"179":5,"182":4,"185":1,"186":2,"188":4,"189":7,"190":4,"194":1,"196":1,"199":2,"200":2,"201":1,"202":2,"203":2,"204":2,"205":1,"206":2,"214":4,"224":2,"234":1,"235":1,"269":1,"340":2,"342":10,"344":1,"347":1}}],["continue",{"2":{"112":1,"154":2,"158":2,"294":1,"336":2,"340":2}}],["continuously",{"2":{"11":1}}],["continuous",{"2":{"11":1,"136":1,"233":1,"318":1}}],["contain",{"2":{"215":3,"233":1,"268":1}}],["contained",{"2":{"114":1,"176":1,"268":1,"296":1}}],["containing",{"2":{"109":1,"116":1,"291":1,"298":1}}],["contains",{"2":{"5":1,"47":1,"97":1,"119":1,"139":2,"144":1,"145":1,"184":1,"185":2,"188":1,"192":1,"194":1,"197":1,"263":1,"279":1,"301":1,"321":2,"326":1,"327":1}}],["skills",{"2":{"164":1,"258":1}}],["sb",{"2":{"149":1,"331":1}}],["sbrk",{"2":{"109":1,"291":1}}],["snippet",{"2":{"140":1,"149":1,"150":1,"237":1,"322":1,"331":1,"332":1}}],["sqrt",{"2":{"126":2,"308":2}}],["square",{"2":{"126":2,"308":2}}],["sl2",{"2":{"206":1,"210":1}}],["sl",{"2":{"172":1}}],["sling",{"2":{"249":1}}],["slight",{"2":{"118":1,"300":1}}],["slider",{"2":{"112":1,"294":1}}],["slow",{"2":{"112":1,"294":1}}],["slti",{"2":{"107":1,"160":1,"289":1,"342":1}}],["slt",{"2":{"107":1,"160":2,"205":2,"214":1,"289":1,"342":2}}],["sll",{"2":{"104":1,"139":1,"140":1,"142":2,"144":1,"145":1,"160":1,"286":1,"321":1,"322":1,"324":2,"326":1,"327":1,"342":1}}],["sw",{"0":{"219":1},"2":{"105":1,"139":1,"154":1,"158":1,"160":1,"175":1,"188":3,"202":1,"205":1,"215":1,"217":1,"218":1,"219":2,"220":2,"221":1,"287":1,"321":1,"336":1,"340":1,"342":1}}],["swing",{"2":{"97":1,"279":1}}],["switch",{"2":{"46":1,"108":1,"204":1,"205":1,"290":1}}],["scheduled",{"2":{"237":1}}],["schematic",{"2":{"9":1,"11":1,"232":1}}],["scientific",{"2":{"118":1,"300":1}}],["science",{"0":{"164":1},"2":{"110":2,"162":1,"164":2,"170":1,"292":2}}],["scale",{"2":{"97":1,"279":1}}],["screen",{"2":{"94":1,"95":1,"96":1,"112":2,"276":1,"277":1,"278":1,"294":2}}],["sdk",{"0":{"93":1,"94":1,"95":1,"96":1,"275":1,"276":1,"277":1,"278":1},"1":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1},"2":{"93":1,"94":1,"95":1,"275":1,"276":1,"277":1}}],["share",{"2":{"170":1}}],["shares",{"2":{"53":1}}],["shall",{"2":{"113":1,"115":1,"215":1,"267":1,"295":1,"297":1}}],["shifted",{"2":{"188":2,"269":3}}],["shifting",{"2":{"67":2,"190":1,"269":2}}],["shift",{"0":{"56":1,"58":1,"59":1,"60":1,"210":1,"269":1},"1":{"57":1,"58":1,"59":1,"60":1},"2":{"57":1,"58":1,"104":4,"269":16,"271":2,"286":4}}],["short",{"0":{"270":1}}],["shortcuts",{"2":{"112":1,"294":1}}],["shortcut",{"2":{"96":1,"100":1,"278":1,"282":1}}],["show",{"2":{"249":1}}],["shows",{"2":{"150":1,"225":1,"332":1}}],["shown",{"2":{"36":1,"38":1,"112":1,"113":1,"139":1,"177":1,"225":1,"227":1,"232":1,"234":1,"235":1,"238":1,"239":1,"241":1,"245":1,"249":4,"269":1,"294":1,"295":1,"321":1}}],["should",{"2":{"34":1,"47":1,"98":1,"99":1,"100":1,"112":1,"132":1,"148":1,"149":1,"174":1,"177":1,"178":1,"215":5,"233":1,"239":1,"280":1,"281":1,"282":1,"294":1,"314":1,"330":1,"331":1}}],["s2=t3",{"2":{"113":1,"295":1}}],["s2",{"2":{"44":1,"224":1,"242":5,"246":4}}],["s0=t1",{"2":{"113":1,"295":1}}],["s0=t0",{"2":{"113":1,"295":1}}],["s0",{"2":{"44":4,"102":2,"224":1,"242":5,"246":5,"284":2}}],["s̅r̅",{"0":{"34":1}}],["say",{"2":{"253":1}}],["sarah",{"2":{"222":1}}],["saad",{"2":{"167":1,"169":1}}],["saving",{"2":{"116":1,"160":3,"298":1,"342":3}}],["saved",{"2":{"111":1,"120":1,"160":11,"293":1,"302":1,"342":11}}],["saves",{"2":{"108":1,"290":1}}],["save",{"0":{"79":2},"2":{"112":3,"160":2,"215":2,"294":3,"342":2}}],["said",{"2":{"33":1,"160":1,"342":1}}],["samir",{"2":{"222":1}}],["same",{"2":{"14":1,"17":1,"23":1,"33":1,"34":1,"36":1,"41":2,"50":1,"51":1,"58":1,"69":1,"109":1,"150":1,"156":1,"160":1,"188":1,"190":2,"217":1,"218":1,"219":1,"220":1,"221":1,"227":1,"237":1,"249":1,"253":1,"268":6,"291":1,"332":1,"338":1,"342":1}}],["sampled",{"2":{"36":1,"54":1}}],["sample",{"0":{"3":1,"127":1,"129":1,"135":1,"152":1,"309":1,"311":1,"317":1,"334":1},"2":{"1":1,"4":3,"5":7,"6":1,"7":5,"87":2,"88":1,"89":1,"215":1,"260":1,"261":1,"262":3,"263":7,"264":1,"265":5,"345":2,"346":1,"347":1}}],["sreg",{"2":{"269":5}}],["srinivasa",{"2":{"166":1}}],["srcbmux",{"2":{"206":1}}],["srcb",{"2":{"206":4}}],["srca",{"2":{"206":4}}],["src",{"2":{"125":2,"307":2}}],["srl",{"2":{"104":1,"286":1}}],["sr",{"0":{"33":1,"35":1,"38":1},"2":{"33":1,"34":2,"36":1,"37":1,"38":5,"39":1}}],["s3=t3",{"2":{"113":1,"295":1}}],["s3",{"2":{"28":1,"224":1,"242":4,"246":5}}],["s1=t1",{"2":{"113":1,"295":1}}],["s1=t2",{"2":{"113":1,"295":1}}],["s1s0",{"2":{"44":2}}],["s1",{"2":{"28":1,"44":5,"224":1,"242":4,"246":3}}],["smaller",{"2":{"134":1,"158":1,"179":1,"316":1,"340":1}}],["smallest",{"2":{"134":1,"316":1}}],["small",{"2":{"23":3,"97":1,"102":1,"279":1,"284":1}}],["s",{"2":{"21":2,"25":2,"26":2,"33":1,"34":2,"35":7,"36":3,"38":2,"43":4,"46":2,"47":2,"54":2,"67":1,"96":1,"100":1,"102":1,"103":3,"105":1,"123":1,"125":5,"126":7,"128":6,"129":1,"131":2,"132":4,"133":3,"134":6,"142":8,"151":1,"160":1,"170":1,"177":1,"182":1,"184":1,"188":2,"190":4,"199":1,"204":1,"213":2,"237":1,"240":1,"241":2,"245":1,"249":3,"267":1,"268":3,"269":1,"278":1,"282":1,"284":1,"285":3,"287":1,"305":1,"307":5,"308":7,"310":6,"311":1,"313":2,"314":4,"315":3,"316":6,"324":8,"333":1,"342":1}}],["syscallhelp",{"2":{"109":1,"161":1,"291":1,"343":1}}],["syscalls",{"2":{"109":1,"291":1}}],["syscall",{"2":{"102":1,"112":6,"113":12,"114":1,"115":10,"122":1,"123":2,"124":2,"127":5,"129":12,"135":7,"139":2,"140":5,"142":3,"144":1,"145":1,"148":1,"154":15,"158":14,"160":12,"284":1,"294":6,"295":12,"296":1,"297":10,"304":1,"305":2,"306":2,"309":5,"311":12,"317":7,"321":2,"322":5,"324":3,"326":1,"327":1,"330":1,"336":15,"340":14,"342":12}}],["systems",{"2":{"47":1,"256":2,"257":2,"258":1}}],["system",{"0":{"101":1,"109":1,"283":1,"291":1},"1":{"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"14":1,"67":1,"96":1,"100":1,"102":4,"113":3,"160":1,"198":2,"249":1,"278":1,"282":1,"284":4,"295":3,"342":1}}],["synchronise",{"2":{"193":1}}],["synchronous",{"0":{"64":1},"2":{"64":1,"224":1,"238":1}}],["synthesise",{"2":{"174":1}}],["synthesis",{"2":{"17":1,"174":1,"222":1}}],["synthesize",{"2":{"17":1,"239":1}}],["synthesized",{"2":{"14":1}}],["synthesizable",{"2":{"11":1,"232":1}}],["syntax",{"0":{"20":1},"1":{"21":1,"22":1},"2":{"9":2,"87":1,"88":1,"125":1,"126":1,"128":1,"131":1,"132":1,"133":1,"134":1,"198":1,"232":1,"233":1,"234":1,"235":1,"238":1,"239":1,"257":1,"270":1,"307":1,"308":1,"310":1,"313":1,"314":1,"315":1,"316":1,"345":1,"346":1}}],["symbol",{"2":{"23":10,"62":1,"63":1,"227":1,"237":2}}],["sipo",{"2":{"269":2}}],["siso",{"2":{"269":8}}],["sized",{"0":{"144":1,"145":1,"326":1,"327":1}}],["size",{"2":{"97":1,"114":2,"115":1,"116":3,"118":3,"129":1,"139":1,"160":1,"179":1,"197":1,"279":1,"296":2,"297":1,"298":3,"300":3,"311":1,"321":1,"342":1}}],["six",{"2":{"44":1,"177":1}}],["si1",{"2":{"43":1}}],["single",{"0":{"89":1,"123":1,"171":1,"305":1,"347":1},"1":{"172":1,"173":1,"174":1,"175":1,"176":1,"177":1,"178":1,"179":1,"180":1,"181":1,"182":1,"183":1,"184":1,"185":1,"186":1,"187":1,"188":1,"189":1,"190":1,"191":1,"192":1,"193":1,"194":1,"195":1,"196":1,"197":1,"198":1,"199":1,"200":1,"201":1,"202":1,"203":1,"204":1,"205":1,"206":1,"207":1,"208":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1,"215":1,"216":1,"217":1,"218":1,"219":1,"220":1,"221":1,"222":1},"2":{"29":2,"30":2,"40":1,"41":1,"43":1,"44":1,"47":2,"57":1,"112":2,"113":3,"117":1,"118":1,"119":1,"123":1,"125":6,"126":7,"131":4,"132":2,"133":2,"151":1,"173":3,"174":2,"187":1,"215":1,"232":1,"239":1,"255":1,"257":2,"294":2,"295":3,"299":1,"300":1,"301":1,"305":1,"307":6,"308":7,"313":4,"314":2,"315":2,"333":1,"344":2}}],["since",{"2":{"17":2,"27":1,"44":1,"63":1,"65":1,"116":1,"118":1,"121":2,"154":1,"158":1,"179":1,"185":1,"188":1,"215":1,"224":1,"267":3,"298":1,"300":1,"303":2,"336":1,"340":1}}],["si",{"2":{"28":1}}],["simplify",{"2":{"163":1}}],["simplified",{"2":{"26":1,"41":1}}],["simplicity",{"2":{"160":1,"342":1}}],["simplisticly",{"2":{"101":1,"283":1}}],["simpler",{"2":{"128":1,"310":1}}],["simple",{"0":{"167":1,"168":1,"169":1},"2":{"88":1,"177":1,"189":1,"241":1,"260":3,"261":1,"262":3,"263":14,"264":1,"265":10,"267":1,"345":7,"346":5,"347":7}}],["simply",{"0":{"79":1},"2":{"101":1,"114":1,"238":1,"283":1,"296":1}}],["similarly",{"2":{"188":1,"233":1}}],["similar",{"2":{"38":1,"51":1,"113":1,"122":1,"160":1,"226":1,"249":1,"268":1,"295":1,"304":1,"342":1}}],["simultaneous",{"2":{"60":1}}],["simultaneously",{"2":{"27":1,"58":1,"59":1,"267":1,"268":6}}],["simulating",{"2":{"257":1}}],["simulations",{"2":{"232":1}}],["simulation",{"0":{"77":1,"244":1,"248":1,"252":1},"2":{"9":7,"17":1,"174":2,"215":2,"217":1,"218":1,"219":1,"220":1,"221":1,"232":2,"236":1}}],["simulate",{"0":{"85":1},"2":{"112":1,"174":1,"257":1,"294":1}}],["simulator",{"0":{"92":1,"97":1,"98":1,"99":1,"100":1,"112":1,"274":1,"279":1,"280":1,"281":1,"282":1,"294":1},"1":{"93":1,"94":1,"95":1,"96":1,"97":1,"98":2,"99":2,"100":2,"102":1,"103":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":2,"281":2,"282":2,"284":1,"285":1},"2":{"9":1,"92":1,"98":2,"99":2,"100":3,"101":1,"112":8,"161":1,"274":1,"280":2,"281":2,"282":3,"283":1,"294":8,"343":1}}],["signext",{"2":{"206":1,"211":1}}],["signed",{"2":{"113":2,"295":2}}],["signimm=signextend",{"2":{"206":1}}],["signimmsh=shift",{"2":{"206":1}}],["signimmsh",{"2":{"206":4}}],["signimm",{"2":{"206":4}}],["significant",{"2":{"26":2,"27":2,"31":1,"50":1,"51":1,"58":1,"62":2,"64":3,"66":1,"113":2,"188":4,"295":2}}],["sign",{"0":{"188":1,"211":1},"2":{"118":2,"126":1,"177":1,"188":6,"190":1,"300":2,"308":1}}],["signals",{"2":{"11":1,"23":5,"65":1,"173":1,"177":7,"182":2,"189":3,"199":1,"200":1,"202":1,"203":1,"204":1,"206":1,"233":1,"268":1,"269":1,"270":1}}],["signal",{"2":{"11":2,"23":2,"34":1,"35":1,"38":2,"39":1,"40":5,"46":1,"63":1,"67":1,"177":3,"178":2,"185":1,"186":1,"189":3,"190":4,"193":2,"194":1,"196":1,"199":2,"201":2,"206":1,"207":1,"214":1,"233":1,"267":7,"268":1,"269":4}}],["side",{"2":{"11":1,"226":2}}],["solid",{"0":{"166":1},"1":{"167":1,"168":1,"169":1},"2":{"258":1}}],["solution",{"2":{"160":8,"342":8}}],["soon",{"2":{"151":2,"333":2}}],["source",{"2":{"61":1}}],["some",{"0":{"208":1,"270":1},"1":{"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"2":{"51":1,"61":1,"102":1,"112":1,"118":2,"160":2,"174":1,"201":1,"224":1,"229":1,"230":1,"233":2,"284":1,"294":1,"300":2,"342":2}}],["sometimes",{"2":{"50":1}}],["so",{"2":{"35":1,"41":1,"112":1,"114":1,"115":1,"125":1,"160":1,"173":1,"182":1,"215":1,"249":1,"253":1,"267":1,"268":1,"269":1,"294":1,"296":1,"297":1,"307":1,"342":1}}],["software",{"2":{"9":1,"92":1,"161":1,"222":1,"274":1,"343":1}}],["sorted",{"2":{"5":1,"263":1}}],["stuck",{"2":{"240":1}}],["studies",{"2":{"163":1,"170":1}}],["studying",{"2":{"162":1}}],["students",{"2":{"162":1,"163":2,"164":1}}],["stop=2",{"2":{"250":1}}],["stopping",{"2":{"112":1,"294":1}}],["stops",{"2":{"109":1,"291":1}}],["stop",{"2":{"109":1,"112":4,"249":1,"250":1,"291":1,"294":4}}],["storing",{"2":{"53":2,"113":1,"118":1,"120":2,"160":1,"267":1,"268":1,"295":1,"300":1,"302":2,"342":1}}],["storage",{"2":{"36":1,"55":1,"136":1,"267":2,"269":1,"318":1}}],["stores",{"2":{"113":2,"118":2,"129":2,"144":1,"173":2,"178":1,"188":1,"194":1,"197":1,"267":1,"295":2,"300":2,"311":2,"326":1}}],["stored",{"2":{"54":1,"57":1,"58":1,"103":4,"108":1,"112":3,"113":9,"114":1,"115":3,"117":1,"119":1,"123":1,"124":1,"125":5,"126":14,"131":4,"132":4,"133":4,"134":4,"138":2,"150":1,"151":4,"160":5,"188":6,"190":1,"198":1,"267":2,"269":1,"285":4,"290":1,"294":3,"295":9,"296":1,"297":3,"299":1,"301":1,"305":1,"306":1,"307":5,"308":14,"313":4,"314":4,"315":4,"316":4,"320":2,"332":1,"333":4,"342":5}}],["store",{"2":{"22":2,"105":1,"110":5,"113":2,"115":2,"118":1,"119":1,"125":1,"129":1,"135":3,"138":1,"139":1,"140":1,"142":1,"151":2,"153":1,"154":3,"157":1,"158":3,"160":4,"175":1,"176":3,"182":1,"188":2,"192":1,"195":1,"215":4,"224":1,"267":2,"269":2,"287":1,"292":5,"295":2,"297":2,"300":1,"301":1,"307":1,"311":1,"317":3,"320":1,"321":1,"322":1,"324":1,"333":2,"335":1,"336":3,"339":1,"340":3,"342":4}}],["stepping",{"2":{"112":1,"294":1}}],["step",{"2":{"101":2,"112":5,"163":2,"184":1,"283":2,"294":5}}],["steps",{"2":{"9":1,"101":1,"160":3,"215":2,"283":1,"342":3}}],["statments",{"2":{"236":1}}],["state=fifteen",{"2":{"254":3}}],["state=five",{"2":{"254":2}}],["state=",{"2":{"254":1}}],["state=idle",{"2":{"254":3}}],["state=twenty",{"2":{"254":11}}],["state=ten",{"2":{"254":2}}],["state=0",{"2":{"254":1}}],["state=s0",{"2":{"242":1}}],["state",{"0":{"88":1,"183":1,"223":1,"225":1,"226":1,"227":1,"228":1,"240":1,"346":1},"1":{"184":1,"185":1,"186":1,"187":1,"188":1,"224":1,"225":1,"226":1,"227":1,"228":1,"229":2,"230":2,"231":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"240":1,"241":2,"242":2,"243":2,"244":2,"245":2,"246":2,"247":2,"248":2,"249":2,"250":2,"251":2,"252":2,"253":2,"254":2,"255":2,"256":1},"2":{"33":3,"34":6,"35":7,"36":4,"38":1,"39":2,"40":1,"54":1,"64":1,"66":1,"160":1,"182":1,"183":1,"187":1,"188":1,"199":1,"214":1,"224":9,"225":8,"226":13,"227":13,"229":7,"240":3,"241":1,"242":16,"245":3,"246":12,"249":10,"250":16,"253":12,"254":9,"255":4,"342":1,"344":2}}],["states",{"2":{"33":1,"61":3,"63":2,"224":5,"225":3,"227":1,"249":3,"253":1}}],["statement>",{"2":{"239":3}}],["statement",{"0":{"239":1},"2":{"11":3,"158":1,"203":1,"204":1,"205":1,"232":2,"233":2,"234":1,"236":1,"239":5,"240":1,"340":1}}],["statements",{"0":{"236":1,"237":1},"2":{"10":2,"160":3,"232":4,"233":1,"234":3,"235":3,"236":3,"237":3,"239":3,"267":1,"342":3}}],["stable",{"2":{"224":1}}],["stack",{"2":{"160":50,"342":50}}],["starts",{"2":{"236":1,"239":1,"249":1}}],["start",{"2":{"148":2,"198":2,"214":1,"241":1,"249":2,"330":2}}],["starting",{"2":{"52":1,"151":3,"333":3}}],["standards",{"2":{"132":1,"314":1}}],["standard",{"2":{"102":2,"109":1,"117":1,"118":1,"176":1,"284":2,"291":1,"299":1,"300":1}}],["stages",{"2":{"59":1,"64":2,"190":1,"257":1}}],["stage",{"2":{"58":1,"64":3,"67":1}}],["stays",{"2":{"35":1}}],["stay",{"2":{"34":1,"253":1}}],["strictly",{"2":{"270":1}}],["string3",{"2":{"112":2,"294":2}}],["string2",{"2":{"112":2,"294":2}}],["string1",{"2":{"112":2,"294":2}}],["strings",{"2":{"7":3,"110":2,"115":9,"147":1,"148":1,"149":2,"265":3,"292":2,"297":9,"329":1,"330":1,"331":2}}],["string",{"0":{"2":1,"7":1,"115":1,"146":1,"148":1,"149":1,"261":1,"265":1,"297":1,"328":1,"330":1,"331":1},"1":{"3":1,"116":1,"147":1,"148":1,"149":1,"150":1,"298":1,"329":1,"330":1,"331":1,"332":1},"2":{"5":1,"7":2,"109":6,"110":2,"115":14,"116":3,"148":2,"149":1,"150":3,"152":1,"153":2,"154":5,"156":1,"157":2,"158":5,"160":6,"263":1,"265":2,"291":6,"292":2,"297":14,"298":3,"330":2,"331":1,"332":3,"334":1,"335":2,"336":5,"338":1,"339":2,"340":5,"342":6}}],["strong",{"2":{"174":1}}],["str2",{"2":{"149":4,"331":4}}],["str1",{"2":{"148":2,"149":7,"330":2,"331":7}}],["str",{"2":{"110":4,"150":4,"154":2,"158":1,"292":4,"332":4,"336":2,"340":1}}],["structuring",{"2":{"268":1}}],["structure",{"2":{"160":2,"342":2}}],["structured",{"0":{"85":1}}],["structures",{"2":{"9":1}}],["structural",{"2":{"9":1,"257":1}}],["spring",{"2":{"256":2}}],["spaces",{"2":{"153":1,"157":1,"335":1,"339":1}}],["space",{"2":{"110":1,"114":3,"115":3,"116":1,"121":2,"129":1,"137":2,"139":1,"140":1,"152":2,"153":1,"154":8,"156":2,"158":6,"160":6,"292":1,"296":3,"297":3,"298":1,"303":2,"311":1,"319":2,"321":1,"322":1,"334":2,"335":1,"336":8,"338":2,"340":6,"342":6}}],["split",{"2":{"101":1,"182":1,"258":1,"283":1}}],["speaking",{"2":{"238":1}}],["special",{"0":{"134":1,"316":1},"2":{"103":4,"105":2,"113":4,"120":1,"285":4,"287":2,"295":4,"302":1}}],["specify",{"2":{"21":1,"22":1,"270":1}}],["specifications",{"2":{"267":1}}],["specifically",{"2":{"9":1,"173":1}}],["specific",{"2":{"19":1,"67":2,"160":1,"174":1,"184":1,"232":1,"236":1,"342":1}}],["specifies",{"2":{"11":1,"186":1,"226":3}}],["specified",{"2":{"9":2,"69":1,"94":1,"98":1,"99":1,"160":1,"176":1,"182":1,"185":1,"188":3,"196":1,"233":1,"237":1,"276":1,"280":1,"281":1,"342":1}}],["speed",{"2":{"66":1,"112":3,"179":2,"294":3}}],["spy",{"2":{"3":1,"261":1}}],["suggestions",{"2":{"170":1}}],["support",{"2":{"141":1,"175":1,"267":1,"323":1}}],["supports",{"2":{"9":1,"67":1}}],["succeeded",{"2":{"215":1,"217":1,"218":1,"219":1,"220":1,"221":1}}],["success",{"2":{"170":1}}],["successive",{"2":{"110":3,"292":3}}],["such",{"2":{"9":1,"39":1,"52":1,"63":1,"67":3,"69":1,"98":1,"99":1,"160":1,"173":1,"174":2,"179":1,"208":1,"267":3,"268":2,"269":1,"280":1,"281":1,"342":1}}],["sudo",{"2":{"100":1,"282":1}}],["suitable",{"2":{"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"239":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1}}],["sure",{"2":{"94":1,"95":1,"96":1,"215":1,"276":1,"277":1,"278":1}}],["subset",{"2":{"173":1,"175":1}}],["substring",{"2":{"153":1,"157":1,"335":1,"339":1}}],["subscript",{"2":{"63":1}}],["subroutine",{"2":{"111":1,"293":1}}],["subu",{"2":{"103":1,"285":1}}],["sub",{"0":{"218":1},"2":{"103":1,"113":3,"126":2,"127":1,"154":1,"158":1,"160":2,"175":1,"192":1,"205":3,"214":1,"218":2,"285":1,"295":3,"308":2,"309":1,"336":1,"340":1,"342":2}}],["subtrahend",{"2":{"31":2}}],["subtracted",{"2":{"113":1,"126":1,"295":1,"308":1}}],["subtracting",{"2":{"113":1,"295":1}}],["subtraction",{"2":{"31":1,"67":3,"126":1,"205":1,"308":1}}],["subtract",{"2":{"29":2,"30":1,"103":2,"113":1,"178":1,"285":2,"295":1}}],["subtracts",{"2":{"29":1,"30":1,"188":1}}],["subtractor",{"0":{"29":1,"30":1,"31":1},"2":{"29":3,"30":3,"87":1,"345":1}}],["subtractors",{"0":{"24":1},"1":{"25":1,"26":1,"27":1,"28":1,"29":1,"30":1,"31":1},"2":{"9":1,"87":1,"345":1}}],["summed",{"2":{"49":1}}],["sum",{"0":{"144":1,"326":1},"2":{"5":1,"6":1,"25":2,"26":2,"27":2,"28":2,"31":1,"144":4,"263":1,"264":1,"326":4}}],["seq",{"2":{"234":1}}],["sequential",{"2":{"173":1,"174":1,"177":1,"224":1,"227":2,"233":2,"238":1,"267":1,"344":1}}],["sequentially",{"2":{"10":1,"190":1,"232":1,"233":1,"234":1,"236":1,"269":1}}],["sequence",{"2":{"61":4,"62":1,"63":2,"66":1,"116":1,"147":1,"150":1,"152":1,"156":1,"160":1,"217":1,"218":1,"219":1,"220":1,"221":1,"225":1,"298":1,"329":1,"332":1,"334":1,"338":1,"342":1}}],["sensitivity",{"2":{"204":1,"233":3}}],["sent",{"2":{"67":1,"178":1,"188":2}}],["separately",{"2":{"269":1}}],["separated",{"2":{"152":1,"156":1,"206":1,"227":1,"334":1,"338":1}}],["separate",{"2":{"119":1,"187":1,"269":2,"301":1}}],["serve",{"2":{"189":1,"232":1,"267":1}}],["serves",{"2":{"170":1}}],["service",{"2":{"102":1,"109":1,"284":1,"291":1}}],["services",{"2":{"102":1,"284":1}}],["series",{"2":{"62":1}}],["serially",{"2":{"57":1,"58":1,"269":2}}],["serial",{"0":{"57":2,"58":1,"59":1},"2":{"57":3,"58":4,"59":1,"268":1,"269":6}}],["segment",{"2":{"101":2,"112":2,"125":1,"139":1,"283":2,"294":2,"307":1,"321":1}}],["segments",{"2":{"101":2,"283":2}}],["sec",{"2":{"101":1,"283":1}}],["sections",{"2":{"199":1,"206":1,"225":2}}],["section",{"2":{"94":1,"95":1,"96":1,"98":1,"99":1,"100":1,"112":1,"113":1,"115":1,"137":1,"173":2,"174":1,"175":2,"202":1,"206":3,"215":1,"225":1,"227":2,"230":1,"233":1,"234":1,"235":1,"238":1,"239":1,"240":1,"241":1,"245":1,"249":1,"276":1,"277":1,"278":1,"280":1,"281":1,"282":1,"294":1,"295":1,"297":1,"319":1}}],["second",{"2":{"11":1,"28":1,"125":1,"151":2,"160":1,"177":3,"190":3,"307":1,"333":2,"342":1}}],["se",{"2":{"94":1,"95":1,"96":1,"206":1,"276":1,"277":1,"278":1}}],["seen",{"2":{"188":1,"190":1}}],["see",{"2":{"43":1,"112":1,"151":1,"188":1,"267":2,"269":1,"294":1,"333":1}}],["select",{"0":{"75":1,"81":1},"2":{"43":1,"44":1,"46":4,"87":1,"94":1,"95":1,"96":1,"99":1,"176":1,"190":2,"276":1,"277":1,"278":1,"281":1,"345":1}}],["selected",{"2":{"43":1,"72":2}}],["selection",{"2":{"43":3,"182":1,"189":1}}],["selects",{"2":{"43":2}}],["several",{"2":{"23":1,"101":1,"179":1,"268":2,"283":1}}],["setup",{"2":{"160":2,"342":2}}],["set",{"0":{"77":1,"80":1},"2":{"10":1,"33":2,"34":2,"35":1,"36":1,"39":1,"43":1,"66":1,"69":1,"102":3,"105":1,"107":6,"112":2,"128":2,"160":4,"174":1,"175":1,"177":2,"185":1,"186":1,"187":2,"188":1,"197":1,"204":1,"215":1,"232":1,"240":1,"257":2,"284":3,"287":1,"289":6,"294":2,"310":2,"342":4}}],["sets",{"2":{"9":1,"52":1,"128":3,"160":1,"182":1,"188":1,"204":2,"310":3,"342":1}}],["settings",{"0":{"80":1}}],["setting",{"0":{"1":1,"81":1,"84":1,"91":1,"93":1,"97":1,"273":1,"275":1,"279":1},"1":{"92":1,"93":1,"94":2,"95":2,"96":2,"97":1,"98":2,"99":2,"100":2,"101":1,"102":2,"103":2,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"274":1,"275":1,"276":2,"277":2,"278":2,"279":1,"280":2,"281":2,"282":2,"283":1,"284":2,"285":2,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1},"2":{"67":1,"112":1,"160":1,"188":1,"260":1,"294":1,"342":1}}],["semantic",{"2":{"9":1}}],["searching",{"2":{"160":1,"342":1}}],["search",{"2":{"5":1,"160":1,"263":1,"342":1}}],["twenty=",{"2":{"254":1,"255":1}}],["twenty",{"2":{"253":2,"254":5,"255":1}}],["two",{"0":{"151":1,"333":1},"1":{"152":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"159":1,"160":1,"161":1,"334":1,"335":1,"336":1,"337":1,"338":1,"339":1,"340":1,"341":1,"342":1,"343":1},"2":{"6":1,"23":5,"25":4,"26":3,"27":1,"28":3,"29":4,"30":3,"33":3,"34":1,"35":2,"36":1,"38":2,"39":2,"40":1,"41":3,"44":1,"46":2,"47":1,"52":4,"55":1,"65":1,"67":3,"69":3,"72":1,"101":1,"103":3,"112":1,"113":6,"114":1,"132":1,"149":2,"150":1,"160":3,"176":2,"177":1,"185":1,"186":1,"188":3,"199":1,"207":1,"224":2,"225":1,"227":1,"232":1,"236":1,"238":1,"264":1,"268":4,"269":1,"283":1,"285":3,"294":1,"295":6,"296":1,"314":1,"331":2,"332":1,"342":3}}],["tb",{"2":{"236":1,"237":1,"243":1,"247":1,"249":2,"251":1,"255":1}}],["tm",{"2":{"166":1}}],["turn",{"2":{"160":6,"229":1,"342":6}}],["tutorials",{"2":{"258":1}}],["tutorialspoint",{"2":{"86":2}}],["tutorial1",{"2":{"112":2,"294":2}}],["tutorial",{"0":{"112":1,"294":1},"2":{"93":1,"112":3,"161":1,"256":1,"258":1,"275":1,"294":3,"343":1}}],["t5",{"2":{"154":1,"158":1,"336":1,"340":1}}],["t4",{"2":{"113":2,"154":1,"158":1,"295":2,"336":1,"340":1}}],["t2",{"2":{"113":2,"154":1,"158":1,"295":2,"336":1,"340":1}}],["t2=0",{"2":{"113":1,"295":1}}],["t2=0+t1",{"2":{"113":1,"295":1}}],["t2=t2",{"2":{"113":1,"295":1}}],["t2=t2+t1",{"2":{"113":1,"295":1}}],["t3",{"2":{"113":1,"144":1,"154":1,"158":1,"295":1,"326":1,"336":1,"340":1}}],["t0=t1+5",{"2":{"113":1,"295":1}}],["t0",{"2":{"102":2,"112":1,"284":2,"294":1}}],["t1=0+5",{"2":{"113":1,"295":1}}],["t1",{"2":{"102":1,"113":3,"129":1,"284":1,"295":3,"311":1}}],["tips",{"2":{"163":1}}],["titled",{"2":{"112":1,"294":1}}],["till",{"2":{"87":1,"173":1,"345":1}}],["tied",{"2":{"62":1}}],["timer",{"2":{"249":4}}],["timers",{"2":{"249":3}}],["times",{"2":{"28":1,"226":1}}],["time",{"2":{"19":1,"33":1,"34":1,"36":2,"54":1,"57":1,"61":1,"69":1,"72":1,"112":1,"179":1,"187":1,"225":1,"234":1,"235":1,"236":1,"249":2,"250":4,"251":4,"267":4,"268":3,"270":1,"294":1}}],["timing",{"2":{"11":1,"15":1,"17":1,"173":1,"249":1}}],["t",{"0":{"41":1},"2":{"37":1,"41":10,"62":1,"65":1,"69":1,"128":1,"151":1,"226":1,"243":28,"247":28,"268":1,"269":1,"310":1,"333":1}}],["treats",{"2":{"147":1,"329":1}}],["treated",{"2":{"103":3,"234":1,"285":3}}],["try",{"2":{"112":1,"182":1,"216":1,"237":1,"240":1,"245":1,"268":1,"269":1,"294":1}}],["truncated",{"2":{"116":1,"298":1}}],["true",{"2":{"107":2,"128":1,"150":2,"176":1,"289":2,"310":1,"332":2}}],["truth",{"0":{"180":1,"181":1},"2":{"25":1,"44":1,"72":1}}],["triggering",{"2":{"267":1,"269":2}}],["triggered",{"2":{"147":1,"233":1,"267":1,"329":1}}],["trigger",{"2":{"64":1,"267":1}}],["triggers",{"2":{"54":1}}],["triangle",{"2":{"23":1}}],["traffic",{"2":{"199":1}}],["track==0",{"2":{"267":1}}],["track=0",{"2":{"267":1}}],["track",{"2":{"160":1,"267":2,"342":1}}],["trace",{"2":{"112":2,"294":2}}],["tracing",{"2":{"112":1,"294":1}}],["transcript",{"2":{"215":2}}],["transpose",{"2":{"160":2,"342":2}}],["translated",{"2":{"102":1,"284":1}}],["transmitted",{"2":{"47":1}}],["transmit",{"2":{"47":1}}],["transitions",{"2":{"38":2,"39":2,"40":2,"41":2,"249":1}}],["transition",{"2":{"36":1,"38":1,"39":1,"40":4,"62":2,"226":1,"227":2,"238":4,"249":1,"267":1,"269":3}}],["transferring",{"2":{"67":1}}],["transferred",{"2":{"36":1,"54":1}}],["transfer",{"0":{"16":1,"105":1,"287":1},"1":{"17":1},"2":{"9":1,"11":1,"54":1,"66":1,"269":1}}],["traversed",{"2":{"148":1,"330":1}}],["traverses",{"2":{"9":1}}],["traversing",{"2":{"140":1,"322":1}}],["traversal",{"0":{"138":1,"148":1,"320":1,"330":1},"2":{"7":1,"138":1,"140":2,"265":1,"320":1,"322":2}}],["typed",{"2":{"115":1,"297":1}}],["type",{"2":{"113":1,"114":2,"142":1,"176":2,"177":1,"188":6,"189":2,"190":5,"205":1,"224":1,"229":1,"230":1,"269":1,"295":1,"296":2,"324":1}}],["types",{"0":{"228":1},"1":{"229":1,"230":1},"2":{"23":1,"37":1,"117":1,"160":2,"232":1,"257":1,"269":1,"299":1,"342":2}}],["typing",{"2":{"99":1,"281":1}}],["typically",{"2":{"9":1,"67":1,"96":1,"100":1,"160":7,"239":1,"278":1,"282":1,"342":7}}],["typical",{"2":{"9":1}}],["techniques",{"2":{"258":1}}],["technology",{"2":{"162":1,"164":1}}],["technologies",{"2":{"94":1,"95":1,"96":1,"276":1,"277":1,"278":1}}],["ten=",{"2":{"254":1,"255":1}}],["ten",{"2":{"253":1,"254":3}}],["team",{"0":{"165":1,"167":1,"168":1,"170":1},"2":{"162":1,"170":1}}],["ternminaltion",{"2":{"135":1,"317":1}}],["termination",{"2":{"129":2,"139":1,"148":1,"227":1,"311":2,"321":1,"330":1}}],["terminator",{"2":{"116":1,"298":1}}],["terminate",{"2":{"110":1,"292":1}}],["terminated",{"2":{"21":1,"109":1,"116":1,"147":1,"291":1,"298":1,"329":1}}],["terminal",{"2":{"96":1,"98":3,"100":2,"278":1,"280":3,"282":2}}],["terms",{"2":{"11":1,"15":1,"226":1}}],["temp",{"2":{"267":11,"269":1}}],["temperature",{"2":{"127":5,"309":5}}],["temporary",{"0":{"203":1},"1":{"204":1},"2":{"111":1,"113":4,"120":2,"200":1,"207":1,"267":1,"269":1,"293":1,"295":4,"302":2}}],["template",{"0":{"75":1}}],["text",{"2":{"97":1,"101":1,"112":5,"113":8,"115":4,"127":1,"129":1,"135":1,"154":1,"158":1,"160":1,"279":1,"283":1,"294":5,"295":8,"297":4,"309":1,"311":1,"317":1,"336":1,"340":1,"342":1}}],["tests",{"2":{"217":1,"218":1,"219":1,"220":1,"221":1}}],["test",{"0":{"81":2,"82":1,"83":3,"85":1,"216":1},"1":{"217":1,"218":1,"219":1,"220":1,"221":1},"2":{"9":1,"14":1,"22":1,"106":2,"107":2,"172":1,"215":2,"217":1,"218":1,"219":1,"220":1,"221":1,"257":1,"288":2,"289":2}}],["tested",{"2":{"9":1,"215":1,"217":1,"218":1,"219":1,"220":1,"221":1}}],["testbenchv1",{"2":{"217":1,"218":1,"219":1,"220":1,"221":1}}],["testbenches",{"2":{"89":1,"174":1,"347":1}}],["testbench",{"0":{"22":1,"80":1,"84":1,"243":1,"247":1,"251":1,"255":1},"2":{"9":2,"215":1,"217":1,"218":1,"219":1,"220":1,"221":1,"240":1}}],["thoroughly",{"2":{"240":1}}],["thomas",{"2":{"161":1,"343":1}}],["those",{"2":{"41":1,"101":1,"208":1,"224":1,"249":2,"283":1}}],["thus",{"2":{"28":1,"43":1,"114":1,"176":1,"190":1,"211":1,"296":1}}],["thrive",{"2":{"164":1}}],["throw",{"2":{"119":1,"301":1}}],["through",{"2":{"27":1,"28":3,"44":1,"55":1,"61":1,"66":1,"102":1,"112":3,"153":3,"154":1,"157":2,"158":1,"186":1,"187":1,"188":1,"258":1,"284":1,"294":3,"335":3,"336":1,"339":2,"340":1}}],["three",{"0":{"207":1},"2":{"9":1,"26":1,"35":1,"52":1,"71":3,"101":1,"112":1,"225":1,"283":1,"294":1}}],["think",{"2":{"114":1,"268":2,"296":1}}],["third",{"0":{"84":1},"2":{"26":1,"47":1,"62":1,"69":1,"112":1,"207":2,"294":1}}],["this",{"0":{"80":1},"2":{"9":3,"10":1,"11":3,"31":1,"34":2,"35":1,"36":2,"38":2,"39":1,"40":2,"41":1,"44":1,"47":1,"54":1,"66":1,"67":1,"69":1,"93":1,"98":1,"99":1,"100":1,"101":1,"102":1,"108":1,"112":2,"113":3,"114":2,"115":1,"116":4,"128":2,"154":1,"158":1,"160":6,"170":1,"173":2,"175":2,"176":2,"184":1,"185":1,"188":4,"189":1,"190":5,"198":2,"206":3,"215":2,"224":2,"226":1,"227":1,"229":3,"230":1,"232":1,"233":2,"236":1,"240":2,"249":3,"255":2,"257":3,"258":5,"259":1,"267":3,"268":3,"269":4,"275":1,"280":1,"281":1,"282":1,"283":1,"284":1,"290":1,"294":2,"295":3,"296":2,"297":1,"298":4,"310":2,"336":1,"340":1,"342":6,"344":1}}],["thank",{"2":{"170":1}}],["thanor",{"2":{"128":1,"310":1}}],["than",{"2":{"15":1,"17":3,"52":2,"58":1,"59":1,"66":1,"67":4,"106":4,"107":4,"114":2,"116":1,"128":6,"129":2,"132":1,"134":2,"154":1,"158":3,"160":4,"175":1,"232":1,"249":1,"267":2,"268":3,"288":4,"289":4,"296":2,"298":1,"310":6,"311":2,"314":1,"316":2,"336":1,"340":3,"342":4}}],["that",{"0":{"82":1},"2":{"9":2,"23":2,"25":1,"26":1,"27":1,"29":2,"30":1,"31":1,"34":2,"35":1,"36":2,"40":1,"41":1,"43":1,"47":3,"49":1,"52":1,"53":1,"54":1,"55":1,"57":1,"61":1,"62":1,"63":1,"64":2,"66":3,"68":1,"69":3,"70":1,"101":1,"102":2,"112":6,"113":1,"114":4,"115":2,"122":1,"123":1,"124":1,"128":2,"147":1,"150":1,"154":1,"158":1,"160":2,"163":1,"164":1,"173":2,"182":3,"184":1,"188":1,"189":3,"190":1,"192":1,"195":1,"196":1,"197":1,"198":1,"204":1,"215":1,"224":3,"226":5,"227":4,"229":4,"230":2,"233":2,"236":1,"237":1,"240":1,"241":1,"249":2,"258":2,"267":3,"268":5,"269":3,"270":3,"283":1,"284":2,"294":6,"295":1,"296":4,"297":2,"304":1,"305":1,"306":1,"310":2,"329":1,"332":1,"336":1,"340":1,"342":2,"344":1}}],["theoretical",{"2":{"258":2}}],["theory",{"2":{"0":1,"2":1,"6":1,"87":4,"88":1,"89":3,"172":2,"174":1,"202":1,"208":1,"258":1,"260":1,"261":1,"264":1,"345":4,"346":1,"347":3}}],["them",{"2":{"160":1,"182":2,"194":1,"235":1,"267":2,"269":1,"342":1}}],["themselves",{"2":{"52":1}}],["thereby",{"2":{"182":1,"249":1}}],["therefore",{"2":{"118":1,"138":1,"139":1,"267":1,"300":1,"320":1,"321":1}}],["there",{"2":{"23":1,"34":1,"37":1,"43":1,"44":1,"47":2,"51":1,"69":1,"114":1,"117":1,"118":3,"119":1,"125":1,"128":1,"160":9,"183":1,"187":1,"190":1,"236":1,"239":2,"268":3,"269":1,"296":1,"299":1,"300":3,"301":1,"307":1,"310":1,"342":9}}],["these",{"2":{"11":1,"39":1,"52":1,"67":4,"113":2,"160":7,"174":2,"177":1,"178":1,"187":1,"198":1,"199":1,"202":1,"208":1,"229":1,"232":2,"233":1,"238":2,"240":1,"255":1,"258":1,"295":2,"342":7}}],["then",{"2":{"9":1,"21":1,"46":2,"52":1,"112":1,"113":1,"114":2,"129":2,"135":1,"151":2,"160":4,"177":1,"182":1,"187":2,"188":3,"190":1,"198":1,"217":1,"218":1,"220":1,"221":1,"236":1,"249":1,"253":1,"267":1,"268":1,"294":1,"295":1,"296":2,"311":2,"317":1,"333":2,"342":4}}],["the",{"0":{"76":2,"77":2,"78":2,"79":2,"80":1,"81":2,"83":3,"84":1,"85":2,"91":1,"144":1,"145":1,"164":1,"165":1,"167":1,"168":1,"179":1,"189":1,"257":1,"259":1,"273":1,"326":1,"327":1,"344":1},"1":{"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"190":1,"258":1,"260":1,"261":1,"262":1,"263":1,"264":1,"265":1,"274":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1,"283":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1,"345":1,"346":1,"347":1},"2":{"9":26,"10":6,"11":10,"14":1,"15":1,"17":6,"19":4,"23":17,"25":9,"26":7,"27":11,"28":9,"29":3,"30":4,"31":13,"33":7,"34":18,"35":22,"36":35,"38":6,"39":2,"40":8,"41":9,"43":11,"44":6,"46":8,"47":12,"49":7,"50":7,"51":8,"52":17,"53":1,"54":20,"55":11,"57":2,"58":9,"59":1,"60":4,"61":4,"62":23,"63":13,"64":21,"65":7,"66":26,"67":31,"68":1,"69":9,"70":1,"71":8,"72":11,"92":1,"94":21,"95":19,"96":23,"98":18,"99":20,"100":27,"101":20,"102":6,"105":2,"108":1,"109":3,"110":4,"111":1,"112":98,"113":34,"114":21,"115":33,"116":11,"117":1,"118":10,"119":5,"120":4,"122":1,"123":3,"124":3,"125":11,"126":8,"127":2,"128":12,"129":9,"131":2,"132":7,"133":2,"134":5,"135":5,"136":4,"137":3,"138":4,"139":9,"140":6,"141":4,"142":4,"144":1,"147":3,"148":3,"149":5,"150":5,"151":6,"152":4,"153":4,"154":12,"156":5,"157":10,"158":18,"159":2,"160":161,"161":1,"162":2,"163":1,"164":4,"170":3,"173":18,"174":5,"175":4,"176":12,"177":45,"178":15,"179":6,"182":21,"183":1,"184":11,"185":12,"186":7,"187":8,"188":117,"189":15,"190":34,"192":10,"193":4,"194":13,"196":1,"197":5,"198":14,"199":7,"201":6,"202":2,"203":2,"204":6,"205":3,"206":10,"207":3,"211":1,"215":27,"217":4,"218":4,"219":4,"220":3,"221":4,"222":1,"224":7,"225":15,"226":12,"227":25,"229":16,"230":8,"232":5,"233":11,"234":7,"235":3,"236":3,"237":8,"238":5,"239":19,"240":8,"241":4,"245":6,"249":45,"253":12,"255":4,"257":4,"258":7,"259":1,"267":38,"268":23,"269":23,"270":5,"274":1,"276":21,"277":19,"278":23,"280":18,"281":20,"282":27,"283":20,"284":6,"287":2,"290":1,"291":3,"292":4,"293":1,"294":98,"295":34,"296":21,"297":33,"298":11,"299":1,"300":10,"301":5,"302":4,"304":1,"305":3,"306":3,"307":11,"308":8,"309":2,"310":12,"311":9,"313":2,"314":7,"315":2,"316":5,"317":5,"318":4,"319":3,"320":4,"321":9,"322":6,"323":4,"324":4,"326":1,"329":3,"330":3,"331":5,"332":5,"333":6,"334":4,"335":4,"336":12,"338":5,"339":10,"340":18,"341":2,"342":161,"343":1,"344":2}}],["their",{"2":{"9":2,"11":1,"59":1,"65":1,"102":1,"113":2,"160":2,"163":2,"190":1,"249":4,"258":1,"284":1,"295":2,"342":2}}],["they",{"2":{"9":1,"61":1,"113":1,"115":2,"160":3,"188":1,"190":1,"206":2,"239":1,"267":2,"269":1,"295":1,"297":2,"342":3}}],["ta",{"2":{"249":3}}],["task",{"2":{"160":2,"198":2,"342":2}}],["tasks",{"2":{"53":1,"67":2}}],["talk",{"2":{"101":1,"283":1}}],["targaddr",{"2":{"188":3}}],["target",{"2":{"108":1,"177":1,"188":3,"190":3,"290":1}}],["tar",{"2":{"96":2,"278":2}}],["taken",{"2":{"55":1,"58":1,"112":1,"128":1,"249":1,"294":1,"310":1}}],["take",{"2":{"52":1,"69":1,"160":1,"188":2,"240":1,"268":1,"342":1}}],["takes",{"2":{"43":2,"184":1,"238":1}}],["taking",{"2":{"6":1,"31":1,"156":1,"190":2,"264":1,"338":1}}],["tables",{"2":{"151":1,"333":1}}],["table",{"0":{"9":1,"172":1,"180":1,"181":1,"225":1},"1":{"10":1,"11":1},"2":{"25":1,"44":2,"72":1,"112":1,"177":2,"178":1,"202":1,"225":3,"226":2,"227":3,"294":1}}],["too",{"2":{"267":1}}],["tools",{"0":{"85":1},"2":{"112":3,"174":1,"294":3}}],["tool",{"0":{"77":1},"2":{"163":1,"170":1}}],["top",{"0":{"78":1,"79":1,"192":1},"1":{"193":1,"194":1},"2":{"112":1,"160":1,"172":2,"192":3,"194":2,"201":1,"217":1,"218":1,"219":1,"220":1,"221":1,"294":1,"342":1}}],["topics",{"2":{"257":1,"258":1,"259":1,"344":1}}],["topic",{"2":{"9":1,"172":1,"258":2}}],["together",{"2":{"62":1,"162":1,"173":1,"182":1,"199":1,"203":1,"268":1}}],["total",{"2":{"47":4,"49":2,"249":1}}],["to",{"0":{"0":1,"49":1,"50":1,"51":1,"73":1,"79":1,"81":1,"83":1,"85":2,"87":1,"92":1,"114":1,"116":1,"132":1,"144":1,"145":1,"156":1,"215":1,"223":1,"257":1,"259":1,"260":1,"266":1,"274":1,"296":1,"298":1,"314":1,"326":1,"327":1,"338":1,"344":1,"345":1},"1":{"1":1,"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"133":1,"157":1,"224":1,"225":1,"226":1,"227":1,"228":1,"229":1,"230":1,"231":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"240":1,"241":1,"242":1,"243":1,"244":1,"245":1,"246":1,"247":1,"248":1,"249":1,"250":1,"251":1,"252":1,"253":1,"254":1,"255":1,"256":1,"258":1,"260":1,"261":1,"262":1,"263":1,"264":1,"265":1,"267":1,"268":1,"269":1,"270":1,"271":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1,"315":1,"339":1,"345":1,"346":1,"347":1},"2":{"4":2,"5":1,"9":8,"14":3,"15":1,"17":2,"19":2,"22":1,"23":1,"25":1,"26":1,"27":4,"28":1,"31":5,"33":3,"34":11,"35":7,"36":9,"38":1,"40":2,"41":2,"43":3,"46":5,"47":3,"49":1,"50":4,"51":3,"52":4,"53":1,"54":7,"55":3,"62":8,"63":8,"64":7,"65":1,"66":7,"67":12,"69":5,"70":2,"72":6,"87":5,"88":2,"89":4,"93":1,"94":8,"95":8,"96":7,"97":1,"98":7,"99":6,"100":9,"101":2,"102":5,"105":7,"106":6,"107":4,"108":4,"109":5,"112":25,"113":21,"114":5,"115":13,"116":4,"117":1,"118":4,"119":2,"120":1,"121":4,"122":1,"123":2,"124":2,"125":8,"127":1,"128":12,"129":3,"131":4,"132":5,"133":4,"134":2,"135":12,"138":6,"139":3,"140":4,"141":1,"142":4,"145":2,"147":1,"148":3,"149":1,"152":3,"153":2,"154":11,"156":3,"157":4,"158":17,"160":72,"162":2,"163":3,"164":2,"170":5,"172":1,"173":6,"174":4,"175":1,"176":6,"177":5,"178":3,"179":1,"182":3,"184":2,"186":2,"187":1,"188":33,"189":2,"190":8,"193":2,"194":5,"196":4,"197":1,"198":3,"199":2,"201":1,"204":6,"205":2,"206":1,"207":1,"208":1,"215":6,"216":1,"217":3,"218":3,"219":3,"220":3,"221":3,"222":1,"224":4,"226":3,"227":2,"229":7,"232":2,"233":2,"234":1,"235":1,"236":1,"237":2,"238":3,"239":2,"240":1,"245":1,"249":15,"253":4,"255":3,"256":1,"257":4,"258":1,"262":2,"263":1,"267":24,"268":19,"269":17,"270":1,"275":1,"276":8,"277":8,"278":7,"279":1,"280":7,"281":6,"282":9,"283":2,"284":5,"287":7,"288":6,"289":4,"290":4,"291":5,"294":25,"295":21,"296":5,"297":13,"298":4,"299":1,"300":4,"301":2,"302":1,"303":4,"304":1,"305":2,"306":2,"307":8,"309":1,"310":12,"311":3,"313":4,"314":5,"315":4,"316":2,"317":12,"320":6,"321":3,"322":4,"323":1,"324":4,"327":2,"329":1,"330":3,"331":1,"334":3,"335":2,"336":11,"338":3,"339":4,"340":17,"342":72,"344":2,"345":5,"346":2,"347":4}}],["md",{"2":{"200":1}}],["my",{"2":{"160":2,"271":1,"342":2}}],["msg",{"2":{"154":6,"158":6,"336":6,"340":6}}],["msg5",{"2":{"129":3,"311":3}}],["msg4",{"2":{"129":3,"311":3}}],["msg3",{"2":{"129":3,"135":3,"311":3,"317":3}}],["msg2",{"2":{"127":3,"129":3,"135":3,"309":3,"311":3,"317":3}}],["msg1",{"2":{"127":3,"129":3,"135":3,"309":3,"311":3,"317":3}}],["msbs",{"2":{"52":1}}],["msb",{"2":{"50":1,"188":1,"269":1}}],["mtc1",{"2":{"125":1,"307":1}}],["mfc1",{"2":{"125":1,"132":1,"307":1,"314":1}}],["mflo",{"2":{"105":1,"113":5,"287":1,"295":5}}],["mfhi",{"2":{"105":1,"113":3,"287":1,"295":3}}],["mv",{"2":{"100":1,"282":1}}],["mentions",{"2":{"268":1}}],["mention",{"2":{"267":1}}],["menu",{"0":{"80":1,"85":1},"2":{"96":1,"100":1,"112":4,"278":1,"282":1,"294":4}}],["mealy",{"0":{"229":1,"241":1},"2":{"229":1,"230":2,"241":3,"242":1,"243":2}}],["meaning",{"2":{"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"114":1,"160":1,"227":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"296":1,"342":1}}],["means",{"2":{"40":1,"47":1,"103":1,"238":1,"249":1,"268":1,"285":1}}],["mem",{"2":{"198":1}}],["memfile",{"2":{"198":2,"215":4}}],["memories",{"2":{"192":1}}],["memory",{"0":{"184":1,"187":1,"195":1,"198":1},"1":{"196":1,"197":1},"2":{"67":2,"101":1,"105":4,"109":4,"110":6,"112":7,"114":2,"115":4,"116":2,"136":1,"151":2,"154":1,"158":1,"160":6,"172":2,"173":2,"174":1,"177":3,"182":1,"184":2,"187":1,"188":8,"189":1,"190":2,"192":2,"194":7,"195":1,"196":2,"197":2,"198":5,"199":1,"206":2,"215":2,"229":1,"257":1,"283":1,"287":4,"291":4,"292":6,"294":7,"296":2,"297":4,"298":2,"318":1,"333":2,"336":1,"340":1,"342":6}}],["memtoreg==0",{"2":{"206":1}}],["memtoreg",{"2":{"177":1,"189":1,"190":1,"199":3,"200":3,"202":3,"206":3}}],["memwrite",{"2":{"177":1,"187":2,"189":1,"192":3,"194":1,"196":1,"199":3,"200":3,"202":3,"206":1,"217":3,"218":3,"219":3,"220":3,"221":3}}],["memread",{"2":{"177":1,"187":2,"189":1}}],["mechanism",{"2":{"160":1,"342":1}}],["message",{"2":{"115":3,"157":2,"297":3,"339":2}}],["messages",{"2":{"112":1,"294":1}}],["methods",{"2":{"60":1,"113":2,"115":1,"295":2,"297":1}}],["method",{"2":{"51":1,"150":2,"332":2}}],["merge",{"2":{"5":1,"263":1}}],["much",{"2":{"232":1}}],["multu",{"2":{"113":1,"295":1}}],["mult",{"2":{"103":1,"113":3,"114":1,"285":1,"295":3,"296":1}}],["multicycle",{"2":{"173":1}}],["multi",{"2":{"29":1,"113":1,"295":1}}],["multiplty",{"2":{"135":1,"317":1}}],["multiplied",{"2":{"114":1,"126":1,"160":1,"296":1,"308":1,"342":1}}],["multiplication",{"2":{"23":1,"67":1,"113":2,"114":1,"126":1,"295":2,"296":1,"308":1}}],["multiplexors",{"0":{"190":1},"2":{"189":1,"190":1}}],["multiplexor",{"2":{"176":1}}],["multiplexer",{"2":{"43":3,"239":2}}],["multiplexers",{"0":{"42":1},"1":{"43":1,"44":1},"2":{"9":1,"173":1,"182":3,"188":1}}],["multiple",{"2":{"113":1,"160":1,"179":1,"232":1,"233":1,"239":2,"267":2,"268":4,"269":1,"295":1,"342":1}}],["multiplying",{"2":{"113":1,"114":1,"190":1,"295":1,"296":1}}],["multiply",{"2":{"103":2,"113":2,"160":1,"285":2,"295":2,"342":1}}],["mul",{"2":{"103":1,"113":1,"126":2,"127":1,"135":2,"154":1,"158":1,"160":1,"285":1,"295":1,"308":2,"309":1,"317":2,"336":1,"340":1,"342":1}}],["mux2",{"2":{"206":5,"213":1}}],["muxs",{"2":{"177":1}}],["mux",{"0":{"43":1,"44":1,"213":1},"2":{"55":1,"190":8}}],["must",{"0":{"77":1,"80":1},"2":{"31":1,"35":1,"52":4,"54":1,"63":1,"113":3,"114":3,"115":2,"136":1,"139":1,"148":1,"160":1,"177":1,"239":1,"268":1,"295":3,"296":3,"297":2,"318":1,"321":1,"330":1,"342":1}}],["m",{"2":{"31":2,"70":2,"86":1,"161":1,"167":1,"222":1,"256":1,"343":1}}],["moore",{"0":{"230":1,"245":1},"2":{"230":2,"245":2,"246":1,"247":2,"249":1}}],["money",{"2":{"222":1}}],["monitored",{"2":{"11":1}}],["mohammad",{"2":{"167":1,"169":1}}],["mosaad",{"2":{"112":1,"294":1}}],["most",{"2":{"19":1,"27":1,"50":2,"51":2,"113":1,"177":1,"188":4,"295":1}}],["moving",{"2":{"112":1,"294":1}}],["mov",{"2":{"102":1,"123":1,"124":1,"125":2,"127":1,"129":4,"135":2,"142":2,"284":1,"305":1,"306":1,"307":2,"309":1,"311":4,"317":2,"324":2}}],["moves",{"2":{"160":1,"190":1,"342":1}}],["moved",{"2":{"100":1,"114":1,"123":1,"124":1,"125":1,"229":1,"282":1,"296":1,"305":1,"306":1,"307":1}}],["move",{"2":{"98":1,"99":1,"100":2,"105":4,"112":1,"113":5,"125":4,"129":2,"139":1,"140":1,"142":1,"144":1,"145":2,"148":1,"154":3,"158":8,"160":2,"188":1,"190":1,"224":1,"229":1,"249":4,"280":1,"281":1,"282":2,"287":4,"294":1,"295":5,"307":4,"311":2,"321":1,"322":1,"324":1,"326":1,"327":2,"330":1,"336":3,"340":8,"342":2}}],["movement",{"0":{"125":1,"307":1},"2":{"67":2,"249":1}}],["morris",{"2":{"86":1,"161":1,"222":1,"256":1,"343":1}}],["moreover",{"2":{"35":1}}],["more",{"0":{"160":1,"342":1},"2":{"15":1,"17":4,"23":3,"66":1,"69":2,"113":1,"115":1,"120":1,"154":1,"158":1,"160":2,"164":1,"174":1,"224":1,"236":1,"267":1,"268":3,"270":1,"295":1,"297":1,"302":1,"336":1,"340":1,"342":2}}],["modify",{"2":{"268":1}}],["modified",{"2":{"39":1,"41":1,"245":1}}],["modularity",{"2":{"173":1}}],["module",{"0":{"192":1,"209":1,"210":1,"211":1,"212":1,"213":1,"214":1},"1":{"193":1,"194":1},"2":{"11":1,"21":1,"172":1,"174":1,"192":5,"194":2,"197":1,"198":2,"199":3,"201":1,"215":2,"267":1,"269":1,"270":3}}],["modules",{"2":{"9":1,"89":2,"192":1,"199":3,"208":2,"257":1,"347":2}}],["modes",{"2":{"269":1}}],["mode",{"2":{"9":1}}],["modelling",{"2":{"267":1,"269":1}}],["modeling",{"2":{"257":2}}],["modelsim",{"0":{"77":2},"2":{"174":1}}],["model",{"0":{"229":1,"230":1},"2":{"9":4,"229":1,"233":1,"245":2,"267":1}}],["mix",{"2":{"267":1}}],["mimic",{"2":{"267":1}}],["mit",{"2":{"256":2}}],["mithunraj",{"2":{"167":1}}],["microarchitectures",{"2":{"173":1}}],["microarchitecture",{"2":{"173":1}}],["microprocessor",{"2":{"172":1,"173":4,"188":1,"215":2,"216":1,"257":1}}],["michael",{"2":{"86":1,"256":1}}],["mission",{"2":{"163":1}}],["missouristate",{"2":{"98":2,"99":2,"100":2,"109":1,"161":3,"280":2,"281":2,"282":2,"291":1,"343":3}}],["middle",{"2":{"112":1,"294":1}}],["minns",{"2":{"256":1}}],["minerva",{"2":{"164":1}}],["min",{"2":{"129":7,"158":10,"311":7,"340":10}}],["minterm",{"2":{"72":1}}],["minterms",{"2":{"71":2,"72":1}}],["minuend",{"2":{"31":1}}],["minimum",{"0":{"156":1,"338":1},"1":{"157":1,"339":1},"2":{"4":1,"129":2,"157":6,"158":4,"159":1,"262":1,"311":2,"339":6,"340":4,"341":1}}],["mipsmain",{"2":{"221":1}}],["mipsaddi",{"2":{"217":1,"218":1,"219":1,"220":1}}],["mipsi",{"2":{"149":1,"331":1}}],["mips",{"0":{"0":1,"89":1,"90":1,"98":1,"99":1,"100":1,"112":1,"119":1,"120":1,"154":1,"158":1,"167":1,"199":1,"259":1,"260":1,"272":1,"280":1,"281":1,"282":1,"294":1,"301":1,"302":1,"336":1,"340":1,"347":1},"1":{"1":1,"91":1,"92":1,"93":1,"94":1,"95":1,"96":1,"97":1,"98":1,"99":1,"100":1,"101":1,"102":1,"103":1,"104":1,"105":1,"106":1,"107":1,"108":1,"109":1,"110":1,"111":1,"112":1,"113":1,"114":1,"115":1,"116":1,"117":1,"118":1,"119":1,"120":2,"121":1,"122":1,"123":1,"124":1,"125":1,"126":1,"127":1,"128":1,"129":1,"130":1,"131":1,"132":1,"133":1,"134":1,"135":1,"136":1,"137":1,"138":1,"139":1,"140":1,"141":1,"142":1,"143":1,"144":1,"145":1,"146":1,"147":1,"148":1,"149":1,"150":1,"151":1,"152":1,"153":1,"154":1,"155":1,"156":1,"157":1,"158":1,"159":1,"160":1,"161":1,"260":1,"261":1,"262":1,"263":1,"264":1,"265":1,"273":1,"274":1,"275":1,"276":1,"277":1,"278":1,"279":1,"280":1,"281":1,"282":1,"283":1,"284":1,"285":1,"286":1,"287":1,"288":1,"289":1,"290":1,"291":1,"292":1,"293":1,"294":1,"295":1,"296":1,"297":1,"298":1,"299":1,"300":1,"301":1,"302":2,"303":1,"304":1,"305":1,"306":1,"307":1,"308":1,"309":1,"310":1,"311":1,"312":1,"313":1,"314":1,"315":1,"316":1,"317":1,"318":1,"319":1,"320":1,"321":1,"322":1,"323":1,"324":1,"325":1,"326":1,"327":1,"328":1,"329":1,"330":1,"331":1,"332":1,"333":1,"334":1,"335":1,"336":1,"337":1,"338":1,"339":1,"340":1,"341":1,"342":1,"343":1},"2":{"92":1,"98":3,"99":3,"100":4,"102":1,"113":4,"114":3,"115":2,"116":1,"119":1,"141":1,"147":1,"149":1,"160":28,"161":3,"172":1,"173":6,"174":3,"175":1,"176":1,"182":1,"185":1,"188":3,"192":4,"194":1,"198":1,"199":4,"205":1,"215":4,"255":1,"257":5,"258":4,"274":1,"280":3,"281":3,"282":4,"284":1,"295":4,"296":3,"297":2,"298":1,"301":1,"323":1,"329":1,"331":1,"342":28,"343":3,"344":3}}],["mail",{"2":{"170":1}}],["maindec",{"2":{"200":1,"202":1}}],["maintain",{"2":{"199":1}}],["maintained",{"2":{"54":1}}],["maintenance",{"0":{"169":1}}],["main",{"0":{"177":2,"202":1},"2":{"0":1,"2":1,"4":1,"5":1,"6":1,"67":1,"87":1,"88":2,"89":1,"112":2,"115":1,"123":1,"124":1,"127":2,"129":2,"135":2,"154":1,"158":1,"160":8,"172":1,"175":1,"177":2,"178":1,"179":1,"183":1,"192":1,"201":3,"204":2,"205":1,"206":1,"229":1,"232":1,"260":1,"261":1,"262":1,"263":1,"264":1,"294":2,"297":1,"305":1,"306":1,"309":2,"311":2,"317":2,"336":1,"340":1,"342":8,"345":1,"346":2,"347":1}}],["majority",{"2":{"177":1}}],["major",{"2":{"151":6,"152":1,"154":1,"155":1,"156":1,"158":1,"159":1,"333":6,"334":1,"336":1,"337":1,"338":1,"340":1,"341":1}}],["magnitude",{"2":{"126":1,"308":1}}],["machine",{"0":{"241":1,"245":1,"253":1},"2":{"101":1,"215":3,"217":1,"218":1,"219":1,"220":1,"221":1,"224":1,"241":2,"249":1,"253":3,"254":1,"255":2,"283":1,"344":1}}],["machines",{"0":{"88":1,"223":1,"228":1,"240":1,"346":1},"1":{"224":1,"225":1,"226":1,"227":1,"228":1,"229":2,"230":2,"231":1,"232":1,"233":1,"234":1,"235":1,"236":1,"237":1,"238":1,"239":1,"240":1,"241":2,"242":2,"243":2,"244":2,"245":2,"246":2,"247":2,"248":2,"249":2,"250":2,"251":2,"252":2,"253":2,"254":2,"255":2,"256":1},"2":{"240":1,"255":2,"344":1}}],["macos",{"0":{"94":1,"98":1,"276":1,"280":1},"2":{"94":5,"98":3,"276":5,"280":3}}],["made",{"0":{"82":1},"2":{"142":1,"148":1,"233":1,"324":1,"330":1}}],["max=arr",{"2":{"145":1,"327":1}}],["max",{"0":{"76":1},"2":{"129":7,"145":3,"158":8,"311":7,"327":3,"340":8}}],["maximum",{"0":{"156":1,"338":1},"1":{"157":1,"339":1},"2":{"4":1,"70":1,"115":1,"116":2,"129":2,"154":1,"157":7,"158":5,"159":1,"262":1,"297":1,"298":2,"311":2,"336":1,"339":7,"340":5,"341":1}}],["masking",{"2":{"67":1}}],["material",{"2":{"260":1,"261":1,"262":1,"263":1,"264":1}}],["match",{"2":{"239":2}}],["matches",{"2":{"239":2}}],["matching",{"2":{"215":1}}],["mat1",{"2":{"154":3,"158":4,"336":3,"340":4}}],["mathematical",{"2":{"67":1}}],["matrices",{"2":{"6":1,"151":1,"160":1,"264":1,"333":1,"342":1}}],["matrix",{"2":{"6":1,"151":1,"153":3,"154":2,"155":1,"157":3,"158":2,"159":1,"160":5,"264":1,"333":1,"335":3,"336":2,"337":1,"339":3,"340":2,"341":1,"342":5}}],["manual",{"2":{"240":1,"255":2}}],["mani",{"2":{"167":1}}],["manipulation",{"2":{"67":1,"160":1,"269":1,"342":1}}],["manipulate",{"2":{"67":2}}],["managing",{"2":{"160":2,"342":2}}],["management",{"2":{"160":1,"342":1}}],["manage",{"2":{"160":1,"342":1}}],["mano",{"2":{"86":1,"161":1,"222":1,"256":1,"343":1}}],["manner",{"2":{"58":1,"268":1}}],["many",{"2":{"9":1,"27":1,"43":1,"47":1,"239":1}}],["making",{"2":{"31":1,"108":1,"160":1,"249":1,"290":1,"342":1,"344":1}}],["makes",{"2":{"47":2,"160":1,"249":1,"342":1}}],["make",{"0":{"73":1},"1":{"74":1,"75":1,"76":1,"77":1,"78":1,"79":1,"80":1,"81":1,"82":1,"83":1,"84":1,"85":1,"86":1},"2":{"9":1,"47":1,"94":1,"95":1,"96":1,"188":1,"201":1,"215":2,"226":1,"249":2,"269":2,"276":1,"277":1,"278":1}}],["may",{"2":{"17":1,"27":1,"53":1,"61":4,"67":1,"94":1,"95":1,"96":1,"112":1,"160":1,"179":1,"187":1,"225":1,"227":1,"233":1,"234":1,"238":1,"239":1,"276":1,"277":1,"278":1,"294":1,"342":1}}],["marks",{"2":{"3":1,"261":1}}],["marshelpintro",{"2":{"161":1,"343":1}}],["mars4",{"2":{"98":5,"99":5,"100":6,"280":5,"281":5,"282":6}}],["mars",{"0":{"1":1,"91":1,"92":1,"97":1,"98":1,"99":1,"100":1,"101":1,"112":1,"273":1,"274":1,"279":1,"280":1,"281":1,"282":1,"283":1,"294":1},"1":{"92":1,"93":2,"94":2,"95":2,"96":2,"97":2,"98":3,"99":3,"100":3,"101":1,"102":3,"103":3,"104":2,"105":2,"106":2,"107":2,"108":2,"109":2,"110":2,"111":2,"112":2,"274":1,"275":2,"276":2,"277":2,"278":2,"279":2,"280":3,"281":3,"282":3,"283":1,"284":3,"285":3,"286":2,"287":2,"288":2,"289":2,"290":2,"291":2,"292":2,"293":2,"294":2},"2":{"92":1,"97":1,"98":7,"99":7,"100":8,"101":1,"102":1,"109":1,"112":4,"161":5,"260":1,"274":1,"279":1,"280":7,"281":7,"282":8,"283":1,"284":1,"291":1,"294":4,"343":5}}]],"serializationVersion":2}';export{t as default}; diff --git a/docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.CYTF2mH5.js b/docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.DNH__Q03.js similarity index 99% rename from docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.CYTF2mH5.js rename to docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.DNH__Q03.js index 73e8fa7..f612f17 100644 --- a/docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.CYTF2mH5.js +++ b/docs/.vitepress/dist/assets/chunks/VPLocalSearchBox.DNH__Q03.js @@ -1,4 +1,4 @@ -var Ct=Object.defineProperty;var It=(o,e,t)=>e in o?Ct(o,e,{enumerable:!0,configurable:!0,writable:!0,value:t}):o[e]=t;var Oe=(o,e,t)=>(It(o,typeof e!="symbol"?e+"":e,t),t);import{X as Dt,s as oe,v as $e,ai as kt,aj as Ot,d as Rt,G as xe,ak as tt,h as Fe,al as _t,am as Mt,x as Lt,an as Pt,y as Re,R as de,Q as Ee,ao as zt,ap as Bt,Y as Vt,U as $t,aq as Wt,o as ee,b as Kt,j as k,a1 as Jt,k as j,ar as Ut,as as jt,at as Gt,c as re,n as rt,e as Se,E as at,F as nt,a as ve,t as pe,au as Qt,p as qt,l as Ht,av as it,aw as Yt,a8 as Zt,ae as Xt,ax as er,_ as tr}from"./framework.CgMb17D3.js";import{u as rr,c as ar}from"./theme.B6pbfA9S.js";const nr={root:()=>Dt(()=>import("./@localSearchIndexroot.DrpsX11j.js"),[])};/*! +var Ct=Object.defineProperty;var It=(o,e,t)=>e in o?Ct(o,e,{enumerable:!0,configurable:!0,writable:!0,value:t}):o[e]=t;var Oe=(o,e,t)=>(It(o,typeof e!="symbol"?e+"":e,t),t);import{X as Dt,s as oe,v as $e,ai as kt,aj as Ot,d as Rt,G as xe,ak as tt,h as Fe,al as _t,am as Mt,x as Lt,an as Pt,y as Re,R as de,Q as Ee,ao as zt,ap as Bt,Y as Vt,U as $t,aq as Wt,o as ee,b as Kt,j as k,a1 as Jt,k as j,ar as Ut,as as jt,at as Gt,c as re,n as rt,e as Se,E as at,F as nt,a as ve,t as pe,au as Qt,p as qt,l as Ht,av as it,aw as Yt,a8 as Zt,ae as Xt,ax as er,_ as tr}from"./framework.DRnJpP2i.js";import{u as rr,c as ar}from"./theme.CpY-xi-5.js";const nr={root:()=>Dt(()=>import("./@localSearchIndexroot.DvcNBxBO.js"),[])};/*! * tabbable 6.2.0 * @license MIT, https://github.com/focus-trap/tabbable/blob/master/LICENSE */var yt=["input:not([inert])","select:not([inert])","textarea:not([inert])","a[href]:not([inert])","button:not([inert])","[tabindex]:not(slot):not([inert])","audio[controls]:not([inert])","video[controls]:not([inert])",'[contenteditable]:not([contenteditable="false"]):not([inert])',"details>summary:first-of-type:not([inert])","details:not([inert])"],Ne=yt.join(","),mt=typeof Element>"u",ue=mt?function(){}:Element.prototype.matches||Element.prototype.msMatchesSelector||Element.prototype.webkitMatchesSelector,Ce=!mt&&Element.prototype.getRootNode?function(o){var e;return o==null||(e=o.getRootNode)===null||e===void 0?void 0:e.call(o)}:function(o){return o==null?void 0:o.ownerDocument},Ie=function o(e,t){var r;t===void 0&&(t=!0);var n=e==null||(r=e.getAttribute)===null||r===void 0?void 0:r.call(e,"inert"),a=n===""||n==="true",i=a||t&&e&&o(e.parentNode);return i},ir=function(e){var t,r=e==null||(t=e.getAttribute)===null||t===void 0?void 0:t.call(e,"contenteditable");return r===""||r==="true"},gt=function(e,t,r){if(Ie(e))return[];var n=Array.prototype.slice.apply(e.querySelectorAll(Ne));return t&&ue.call(e,Ne)&&n.unshift(e),n=n.filter(r),n},bt=function o(e,t,r){for(var n=[],a=Array.from(e);a.length;){var i=a.shift();if(!Ie(i,!1))if(i.tagName==="SLOT"){var s=i.assignedElements(),u=s.length?s:i.children,l=o(u,!0,r);r.flatten?n.push.apply(n,l):n.push({scopeParent:i,candidates:l})}else{var h=ue.call(i,Ne);h&&r.filter(i)&&(t||!e.includes(i))&&n.push(i);var d=i.shadowRoot||typeof r.getShadowRoot=="function"&&r.getShadowRoot(i),v=!Ie(d,!1)&&(!r.shadowRootFilter||r.shadowRootFilter(i));if(d&&v){var y=o(d===!0?i.children:d.children,!0,r);r.flatten?n.push.apply(n,y):n.push({scopeParent:i,candidates:y})}else a.unshift.apply(a,i.children)}}return n},wt=function(e){return!isNaN(parseInt(e.getAttribute("tabindex"),10))},se=function(e){if(!e)throw new Error("No node provided");return e.tabIndex<0&&(/^(AUDIO|VIDEO|DETAILS)$/.test(e.tagName)||ir(e))&&!wt(e)?0:e.tabIndex},or=function(e,t){var r=se(e);return r<0&&t&&!wt(e)?0:r},sr=function(e,t){return e.tabIndex===t.tabIndex?e.documentOrder-t.documentOrder:e.tabIndex-t.tabIndex},xt=function(e){return e.tagName==="INPUT"},ur=function(e){return xt(e)&&e.type==="hidden"},lr=function(e){var t=e.tagName==="DETAILS"&&Array.prototype.slice.apply(e.children).some(function(r){return r.tagName==="SUMMARY"});return t},cr=function(e,t){for(var r=0;rsummary:first-of-type"),i=a?e.parentElement:e;if(ue.call(i,"details:not([open]) *"))return!0;if(!r||r==="full"||r==="legacy-full"){if(typeof n=="function"){for(var s=e;e;){var u=e.parentElement,l=Ce(e);if(u&&!u.shadowRoot&&n(u)===!0)return ot(e);e.assignedSlot?e=e.assignedSlot:!u&&l!==e.ownerDocument?e=l.host:e=u}e=s}if(vr(e))return!e.getClientRects().length;if(r!=="legacy-full")return!0}else if(r==="non-zero-area")return ot(e);return!1},yr=function(e){if(/^(INPUT|BUTTON|SELECT|TEXTAREA)$/.test(e.tagName))for(var t=e.parentElement;t;){if(t.tagName==="FIELDSET"&&t.disabled){for(var r=0;r=0)},gr=function o(e){var t=[],r=[];return e.forEach(function(n,a){var i=!!n.scopeParent,s=i?n.scopeParent:n,u=or(s,i),l=i?o(n.candidates):s;u===0?i?t.push.apply(t,l):t.push(s):r.push({documentOrder:a,tabIndex:u,item:n,isScope:i,content:l})}),r.sort(sr).reduce(function(n,a){return a.isScope?n.push.apply(n,a.content):n.push(a.content),n},[]).concat(t)},br=function(e,t){t=t||{};var r;return t.getShadowRoot?r=bt([e],t.includeContainer,{filter:We.bind(null,t),flatten:!1,getShadowRoot:t.getShadowRoot,shadowRootFilter:mr}):r=gt(e,t.includeContainer,We.bind(null,t)),gr(r)},wr=function(e,t){t=t||{};var r;return t.getShadowRoot?r=bt([e],t.includeContainer,{filter:De.bind(null,t),flatten:!0,getShadowRoot:t.getShadowRoot}):r=gt(e,t.includeContainer,De.bind(null,t)),r},le=function(e,t){if(t=t||{},!e)throw new Error("No node provided");return ue.call(e,Ne)===!1?!1:We(t,e)},xr=yt.concat("iframe").join(","),_e=function(e,t){if(t=t||{},!e)throw new Error("No node provided");return ue.call(e,xr)===!1?!1:De(t,e)};/*! diff --git a/docs/.vitepress/dist/assets/chunks/framework.CgMb17D3.js b/docs/.vitepress/dist/assets/chunks/framework.DRnJpP2i.js similarity index 74% rename from docs/.vitepress/dist/assets/chunks/framework.CgMb17D3.js rename to docs/.vitepress/dist/assets/chunks/framework.DRnJpP2i.js index 9df7c15..97cba11 100644 --- a/docs/.vitepress/dist/assets/chunks/framework.CgMb17D3.js +++ b/docs/.vitepress/dist/assets/chunks/framework.DRnJpP2i.js @@ -14,4 +14,4 @@ * @vue/runtime-dom v3.4.27 * (c) 2018-present Yuxi (Evan) You and Vue contributors * @license MIT -**/const Rc="http://www.w3.org/2000/svg",Oc="http://www.w3.org/1998/Math/MathML",ze=typeof document<"u"?document:null,Cs=ze&&ze.createElement("template"),Lc={insert:(e,t,n)=>{t.insertBefore(e,n||null)},remove:e=>{const t=e.parentNode;t&&t.removeChild(e)},createElement:(e,t,n,r)=>{const s=t==="svg"?ze.createElementNS(Rc,e):t==="mathml"?ze.createElementNS(Oc,e):ze.createElement(e,n?{is:n}:void 0);return e==="select"&&r&&r.multiple!=null&&s.setAttribute("multiple",r.multiple),s},createText:e=>ze.createTextNode(e),createComment:e=>ze.createComment(e),setText:(e,t)=>{e.nodeValue=t},setElementText:(e,t)=>{e.textContent=t},parentNode:e=>e.parentNode,nextSibling:e=>e.nextSibling,querySelector:e=>ze.querySelector(e),setScopeId(e,t){e.setAttribute(t,"")},insertStaticContent(e,t,n,r,s,o){const i=n?n.previousSibling:t.lastChild;if(s&&(s===o||s.nextSibling))for(;t.insertBefore(s.cloneNode(!0),n),!(s===o||!(s=s.nextSibling)););else{Cs.innerHTML=r==="svg"?`${e}`:r==="mathml"?`${e}`:e;const l=Cs.content;if(r==="svg"||r==="mathml"){const c=l.firstChild;for(;c.firstChild;)l.appendChild(c.firstChild);l.removeChild(c)}t.insertBefore(l,n)}return[i?i.nextSibling:t.firstChild,n?n.previousSibling:t.lastChild]}},ke="transition",Tt="animation",Ut=Symbol("_vtc"),ri=(e,{slots:t})=>br(Nl,Ic(e),t);ri.displayName="Transition";const si={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String};ri.props=ie({},Ro,si);const rt=(e,t=[])=>{k(e)?e.forEach(n=>n(...t)):e&&e(...t)},xs=e=>e?k(e)?e.some(t=>t.length>1):e.length>1:!1;function Ic(e){const t={};for(const A in e)A in si||(t[A]=e[A]);if(e.css===!1)return t;const{name:n="v",type:r,duration:s,enterFromClass:o=`${n}-enter-from`,enterActiveClass:i=`${n}-enter-active`,enterToClass:l=`${n}-enter-to`,appearFromClass:c=o,appearActiveClass:a=i,appearToClass:f=l,leaveFromClass:h=`${n}-leave-from`,leaveActiveClass:m=`${n}-leave-active`,leaveToClass:v=`${n}-leave-to`}=e,C=Mc(s),I=C&&C[0],$=C&&C[1],{onBeforeEnter:q,onEnter:D,onEnterCancelled:p,onLeave:y,onLeaveCancelled:M,onBeforeAppear:O=q,onAppear:N=D,onAppearCancelled:T=p}=t,F=(A,G,le)=>{st(A,G?f:l),st(A,G?a:i),le&&le()},w=(A,G)=>{A._isLeaving=!1,st(A,h),st(A,v),st(A,m),G&&G()},j=A=>(G,le)=>{const fe=A?N:D,U=()=>F(G,A,le);rt(fe,[G,U]),Ss(()=>{st(G,A?c:o),Ke(G,A?f:l),xs(fe)||Ts(G,r,I,U)})};return ie(t,{onBeforeEnter(A){rt(q,[A]),Ke(A,o),Ke(A,i)},onBeforeAppear(A){rt(O,[A]),Ke(A,c),Ke(A,a)},onEnter:j(!1),onAppear:j(!0),onLeave(A,G){A._isLeaving=!0;const le=()=>w(A,G);Ke(A,h),Ke(A,m),Fc(),Ss(()=>{A._isLeaving&&(st(A,h),Ke(A,v),xs(y)||Ts(A,r,$,le))}),rt(y,[A,le])},onEnterCancelled(A){F(A,!1),rt(p,[A])},onAppearCancelled(A){F(A,!0),rt(T,[A])},onLeaveCancelled(A){w(A),rt(M,[A])}})}function Mc(e){if(e==null)return null;if(Z(e))return[zn(e.enter),zn(e.leave)];{const t=zn(e);return[t,t]}}function zn(e){return Ii(e)}function Ke(e,t){t.split(/\s+/).forEach(n=>n&&e.classList.add(n)),(e[Ut]||(e[Ut]=new Set)).add(t)}function st(e,t){t.split(/\s+/).forEach(r=>r&&e.classList.remove(r));const n=e[Ut];n&&(n.delete(t),n.size||(e[Ut]=void 0))}function Ss(e){requestAnimationFrame(()=>{requestAnimationFrame(e)})}let Pc=0;function Ts(e,t,n,r){const s=e._endId=++Pc,o=()=>{s===e._endId&&r()};if(n)return setTimeout(o,n);const{type:i,timeout:l,propCount:c}=Nc(e,t);if(!i)return r();const a=i+"end";let f=0;const h=()=>{e.removeEventListener(a,m),o()},m=v=>{v.target===e&&++f>=c&&h()};setTimeout(()=>{f(n[C]||"").split(", "),s=r(`${ke}Delay`),o=r(`${ke}Duration`),i=As(s,o),l=r(`${Tt}Delay`),c=r(`${Tt}Duration`),a=As(l,c);let f=null,h=0,m=0;t===ke?i>0&&(f=ke,h=i,m=o.length):t===Tt?a>0&&(f=Tt,h=a,m=c.length):(h=Math.max(i,a),f=h>0?i>a?ke:Tt:null,m=f?f===ke?o.length:c.length:0);const v=f===ke&&/\b(transform|all)(,|$)/.test(r(`${ke}Property`).toString());return{type:f,timeout:h,propCount:m,hasTransform:v}}function As(e,t){for(;e.lengthRs(n)+Rs(e[r])))}function Rs(e){return e==="auto"?0:Number(e.slice(0,-1).replace(",","."))*1e3}function Fc(){return document.body.offsetHeight}function $c(e,t,n){const r=e[Ut];r&&(t=(t?[t,...r]:[...r]).join(" ")),t==null?e.removeAttribute("class"):n?e.setAttribute("class",t):e.className=t}const Os=Symbol("_vod"),Hc=Symbol("_vsh"),jc=Symbol(""),Vc=/(^|;)\s*display\s*:/;function Dc(e,t,n){const r=e.style,s=se(n);let o=!1;if(n&&!s){if(t)if(se(t))for(const i of t.split(";")){const l=i.slice(0,i.indexOf(":")).trim();n[l]==null&&pn(r,l,"")}else for(const i in t)n[i]==null&&pn(r,i,"");for(const i in n)i==="display"&&(o=!0),pn(r,i,n[i])}else if(s){if(t!==n){const i=r[jc];i&&(n+=";"+i),r.cssText=n,o=Vc.test(n)}}else t&&e.removeAttribute("style");Os in e&&(e[Os]=o?r.display:"",e[Hc]&&(r.display="none"))}const Ls=/\s*!important$/;function pn(e,t,n){if(k(n))n.forEach(r=>pn(e,t,r));else if(n==null&&(n=""),t.startsWith("--"))e.setProperty(t,n);else{const r=Uc(e,t);Ls.test(n)?e.setProperty(dt(r),n.replace(Ls,""),"important"):e[r]=n}}const Is=["Webkit","Moz","ms"],Xn={};function Uc(e,t){const n=Xn[t];if(n)return n;let r=$e(t);if(r!=="filter"&&r in e)return Xn[t]=r;r=Tn(r);for(let s=0;sYn||(Gc.then(()=>Yn=0),Yn=Date.now());function Xc(e,t){const n=r=>{if(!r._vts)r._vts=Date.now();else if(r._vts<=n.attached)return;Se(Yc(r,n.value),t,5,[r])};return n.value=e,n.attached=zc(),n}function Yc(e,t){if(k(t)){const n=e.stopImmediatePropagation;return e.stopImmediatePropagation=()=>{n.call(e),e._stopped=!0},t.map(r=>s=>!s._stopped&&r&&r(s))}else return t}const Fs=e=>e.charCodeAt(0)===111&&e.charCodeAt(1)===110&&e.charCodeAt(2)>96&&e.charCodeAt(2)<123,Jc=(e,t,n,r,s,o,i,l,c)=>{const a=s==="svg";t==="class"?$c(e,r,a):t==="style"?Dc(e,n,r):kt(t)?Er(t)||Wc(e,t,n,r,i):(t[0]==="."?(t=t.slice(1),!0):t[0]==="^"?(t=t.slice(1),!1):Qc(e,t,r,a))?kc(e,t,r,o,i,l,c):(t==="true-value"?e._trueValue=r:t==="false-value"&&(e._falseValue=r),Bc(e,t,r,a))};function Qc(e,t,n,r){if(r)return!!(t==="innerHTML"||t==="textContent"||t in e&&Fs(t)&&K(n));if(t==="spellcheck"||t==="draggable"||t==="translate"||t==="form"||t==="list"&&e.tagName==="INPUT"||t==="type"&&e.tagName==="TEXTAREA")return!1;if(t==="width"||t==="height"){const s=e.tagName;if(s==="IMG"||s==="VIDEO"||s==="CANVAS"||s==="SOURCE")return!1}return Fs(t)&&se(n)?!1:t in e}const $s=e=>{const t=e.props["onUpdate:modelValue"]||!1;return k(t)?n=>fn(t,n):t};function Zc(e){e.target.composing=!0}function Hs(e){const t=e.target;t.composing&&(t.composing=!1,t.dispatchEvent(new Event("input")))}const Jn=Symbol("_assign"),gu={created(e,{modifiers:{lazy:t,trim:n,number:r}},s){e[Jn]=$s(s);const o=r||s.props&&s.props.type==="number";gt(e,t?"change":"input",i=>{if(i.target.composing)return;let l=e.value;n&&(l=l.trim()),o&&(l=lr(l)),e[Jn](l)}),n&>(e,"change",()=>{e.value=e.value.trim()}),t||(gt(e,"compositionstart",Zc),gt(e,"compositionend",Hs),gt(e,"change",Hs))},mounted(e,{value:t}){e.value=t??""},beforeUpdate(e,{value:t,modifiers:{lazy:n,trim:r,number:s}},o){if(e[Jn]=$s(o),e.composing)return;const i=(s||e.type==="number")&&!/^0\d/.test(e.value)?lr(e.value):e.value,l=t??"";i!==l&&(document.activeElement===e&&e.type!=="range"&&(n||r&&e.value.trim()===l)||(e.value=l))}},ea=["ctrl","shift","alt","meta"],ta={stop:e=>e.stopPropagation(),prevent:e=>e.preventDefault(),self:e=>e.target!==e.currentTarget,ctrl:e=>!e.ctrlKey,shift:e=>!e.shiftKey,alt:e=>!e.altKey,meta:e=>!e.metaKey,left:e=>"button"in e&&e.button!==0,middle:e=>"button"in e&&e.button!==1,right:e=>"button"in e&&e.button!==2,exact:(e,t)=>ea.some(n=>e[`${n}Key`]&&!t.includes(n))},mu=(e,t)=>{const n=e._withMods||(e._withMods={}),r=t.join(".");return n[r]||(n[r]=(s,...o)=>{for(let i=0;i{const n=e._withKeys||(e._withKeys={}),r=t.join(".");return n[r]||(n[r]=s=>{if(!("key"in s))return;const o=dt(s.key);if(t.some(i=>i===o||na[i]===o))return e(s)})},oi=ie({patchProp:Jc},Lc);let Ft,js=!1;function ra(){return Ft||(Ft=ac(oi))}function sa(){return Ft=js?Ft:uc(oi),js=!0,Ft}const _u=(...e)=>{const t=ra().createApp(...e),{mount:n}=t;return t.mount=r=>{const s=li(r);if(!s)return;const o=t._component;!K(o)&&!o.render&&!o.template&&(o.template=s.innerHTML),s.innerHTML="";const i=n(s,!1,ii(s));return s instanceof Element&&(s.removeAttribute("v-cloak"),s.setAttribute("data-v-app","")),i},t},vu=(...e)=>{const t=sa().createApp(...e),{mount:n}=t;return t.mount=r=>{const s=li(r);if(s)return n(s,!0,ii(s))},t};function ii(e){if(e instanceof SVGElement)return"svg";if(typeof MathMLElement=="function"&&e instanceof MathMLElement)return"mathml"}function li(e){return se(e)?document.querySelector(e):e}const bu=(e,t)=>{const n=e.__vccOpts||e;for(const[r,s]of t)n[r]=s;return n},oa="modulepreload",ia=function(e){return"/"+e},Vs={},wu=function(t,n,r){let s=Promise.resolve();if(n&&n.length>0){document.getElementsByTagName("link");const o=document.querySelector("meta[property=csp-nonce]"),i=(o==null?void 0:o.nonce)||(o==null?void 0:o.getAttribute("nonce"));s=Promise.all(n.map(l=>{if(l=ia(l),l in Vs)return;Vs[l]=!0;const c=l.endsWith(".css"),a=c?'[rel="stylesheet"]':"";if(document.querySelector(`link[href="${l}"]${a}`))return;const f=document.createElement("link");if(f.rel=c?"stylesheet":oa,c||(f.as="script",f.crossOrigin=""),f.href=l,i&&f.setAttribute("nonce",i),document.head.appendChild(f),c)return new Promise((h,m)=>{f.addEventListener("load",h),f.addEventListener("error",()=>m(new Error(`Unable to preload CSS for ${l}`)))})}))}return s.then(()=>t()).catch(o=>{const i=new Event("vite:preloadError",{cancelable:!0});if(i.payload=o,window.dispatchEvent(i),!i.defaultPrevented)throw o})},la=window.__VP_SITE_DATA__;function kr(e){return to()?(Di(e),!0):!1}function Fe(e){return typeof e=="function"?e():yo(e)}const ci=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const ca=Object.prototype.toString,aa=e=>ca.call(e)==="[object Object]",Bt=()=>{},Ds=ua();function ua(){var e,t;return ci&&((e=window==null?void 0:window.navigator)==null?void 0:e.userAgent)&&(/iP(?:ad|hone|od)/.test(window.navigator.userAgent)||((t=window==null?void 0:window.navigator)==null?void 0:t.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function fa(e,t){function n(...r){return new Promise((s,o)=>{Promise.resolve(e(()=>t.apply(this,r),{fn:t,thisArg:this,args:r})).then(s).catch(o)})}return n}const ai=e=>e();function da(e,t={}){let n,r,s=Bt;const o=l=>{clearTimeout(l),s(),s=Bt};return l=>{const c=Fe(e),a=Fe(t.maxWait);return n&&o(n),c<=0||a!==void 0&&a<=0?(r&&(o(r),r=null),Promise.resolve(l())):new Promise((f,h)=>{s=t.rejectOnCancel?h:f,a&&!r&&(r=setTimeout(()=>{n&&o(n),r=null,f(l())},a)),n=setTimeout(()=>{r&&o(r),r=null,f(l())},c)})}}function ha(e=ai){const t=re(!0);function n(){t.value=!1}function r(){t.value=!0}const s=(...o)=>{t.value&&e(...o)};return{isActive:On(t),pause:n,resume:r,eventFilter:s}}function pa(e){return Hn()}function ui(...e){if(e.length!==1)return gl(...e);const t=e[0];return typeof t=="function"?On(dl(()=>({get:t,set:Bt}))):re(t)}function fi(e,t,n={}){const{eventFilter:r=ai,...s}=n;return Ne(e,fa(r,t),s)}function ga(e,t,n={}){const{eventFilter:r,...s}=n,{eventFilter:o,pause:i,resume:l,isActive:c}=ha(r);return{stop:fi(e,t,{...s,eventFilter:o}),pause:i,resume:l,isActive:c}}function Kr(e,t=!0,n){pa()?xt(e,n):t?e():Ln(e)}function Eu(e,t,n={}){const{debounce:r=0,maxWait:s=void 0,...o}=n;return fi(e,t,{...o,eventFilter:da(r,{maxWait:s})})}function Cu(e,t,n){let r;de(n)?r={evaluating:n}:r={};const{lazy:s=!1,evaluating:o=void 0,shallow:i=!0,onError:l=Bt}=r,c=re(!s),a=i?Fr(t):re(t);let f=0;return Hr(async h=>{if(!c.value)return;f++;const m=f;let v=!1;o&&Promise.resolve().then(()=>{o.value=!0});try{const C=await e(I=>{h(()=>{o&&(o.value=!1),v||I()})});m===f&&(a.value=C)}catch(C){l(C)}finally{o&&m===f&&(o.value=!1),v=!0}}),s?ne(()=>(c.value=!0,a.value)):a}function di(e){var t;const n=Fe(e);return(t=n==null?void 0:n.$el)!=null?t:n}const Oe=ci?window:void 0;function Ct(...e){let t,n,r,s;if(typeof e[0]=="string"||Array.isArray(e[0])?([n,r,s]=e,t=Oe):[t,n,r,s]=e,!t)return Bt;Array.isArray(n)||(n=[n]),Array.isArray(r)||(r=[r]);const o=[],i=()=>{o.forEach(f=>f()),o.length=0},l=(f,h,m,v)=>(f.addEventListener(h,m,v),()=>f.removeEventListener(h,m,v)),c=Ne(()=>[di(t),Fe(s)],([f,h])=>{if(i(),!f)return;const m=aa(h)?{...h}:h;o.push(...n.flatMap(v=>r.map(C=>l(f,v,C,m))))},{immediate:!0,flush:"post"}),a=()=>{c(),i()};return kr(a),a}function ma(e){return typeof e=="function"?e:typeof e=="string"?t=>t.key===e:Array.isArray(e)?t=>e.includes(t.key):()=>!0}function xu(...e){let t,n,r={};e.length===3?(t=e[0],n=e[1],r=e[2]):e.length===2?typeof e[1]=="object"?(t=!0,n=e[0],r=e[1]):(t=e[0],n=e[1]):(t=!0,n=e[0]);const{target:s=Oe,eventName:o="keydown",passive:i=!1,dedupe:l=!1}=r,c=ma(t);return Ct(s,o,f=>{f.repeat&&Fe(l)||c(f)&&n(f)},i)}function ya(){const e=re(!1),t=Hn();return t&&xt(()=>{e.value=!0},t),e}function _a(e){const t=ya();return ne(()=>(t.value,!!e()))}function hi(e,t={}){const{window:n=Oe}=t,r=_a(()=>n&&"matchMedia"in n&&typeof n.matchMedia=="function");let s;const o=re(!1),i=a=>{o.value=a.matches},l=()=>{s&&("removeEventListener"in s?s.removeEventListener("change",i):s.removeListener(i))},c=Hr(()=>{r.value&&(l(),s=n.matchMedia(Fe(e)),"addEventListener"in s?s.addEventListener("change",i):s.addListener(i),o.value=s.matches)});return kr(()=>{c(),l(),s=void 0}),o}const ln=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{},cn="__vueuse_ssr_handlers__",va=ba();function ba(){return cn in ln||(ln[cn]=ln[cn]||{}),ln[cn]}function pi(e,t){return va[e]||t}function wa(e){return e==null?"any":e instanceof Set?"set":e instanceof Map?"map":e instanceof Date?"date":typeof e=="boolean"?"boolean":typeof e=="string"?"string":typeof e=="object"?"object":Number.isNaN(e)?"any":"number"}const Ea={boolean:{read:e=>e==="true",write:e=>String(e)},object:{read:e=>JSON.parse(e),write:e=>JSON.stringify(e)},number:{read:e=>Number.parseFloat(e),write:e=>String(e)},any:{read:e=>e,write:e=>String(e)},string:{read:e=>e,write:e=>String(e)},map:{read:e=>new Map(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e.entries()))},set:{read:e=>new Set(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e))},date:{read:e=>new Date(e),write:e=>e.toISOString()}},Us="vueuse-storage";function Wr(e,t,n,r={}){var s;const{flush:o="pre",deep:i=!0,listenToStorageChanges:l=!0,writeDefaults:c=!0,mergeDefaults:a=!1,shallow:f,window:h=Oe,eventFilter:m,onError:v=w=>{console.error(w)},initOnMounted:C}=r,I=(f?Fr:re)(typeof t=="function"?t():t);if(!n)try{n=pi("getDefaultStorage",()=>{var w;return(w=Oe)==null?void 0:w.localStorage})()}catch(w){v(w)}if(!n)return I;const $=Fe(t),q=wa($),D=(s=r.serializer)!=null?s:Ea[q],{pause:p,resume:y}=ga(I,()=>O(I.value),{flush:o,deep:i,eventFilter:m});h&&l&&Kr(()=>{Ct(h,"storage",T),Ct(h,Us,F),C&&T()}),C||T();function M(w,j){h&&h.dispatchEvent(new CustomEvent(Us,{detail:{key:e,oldValue:w,newValue:j,storageArea:n}}))}function O(w){try{const j=n.getItem(e);if(w==null)M(j,null),n.removeItem(e);else{const A=D.write(w);j!==A&&(n.setItem(e,A),M(j,A))}}catch(j){v(j)}}function N(w){const j=w?w.newValue:n.getItem(e);if(j==null)return c&&$!=null&&n.setItem(e,D.write($)),$;if(!w&&a){const A=D.read(j);return typeof a=="function"?a(A,$):q==="object"&&!Array.isArray(A)?{...$,...A}:A}else return typeof j!="string"?j:D.read(j)}function T(w){if(!(w&&w.storageArea!==n)){if(w&&w.key==null){I.value=$;return}if(!(w&&w.key!==e)){p();try{(w==null?void 0:w.newValue)!==D.write(I.value)&&(I.value=N(w))}catch(j){v(j)}finally{w?Ln(y):y()}}}}function F(w){T(w.detail)}return I}function gi(e){return hi("(prefers-color-scheme: dark)",e)}function Ca(e={}){const{selector:t="html",attribute:n="class",initialValue:r="auto",window:s=Oe,storage:o,storageKey:i="vueuse-color-scheme",listenToStorageChanges:l=!0,storageRef:c,emitAuto:a,disableTransition:f=!0}=e,h={auto:"",light:"light",dark:"dark",...e.modes||{}},m=gi({window:s}),v=ne(()=>m.value?"dark":"light"),C=c||(i==null?ui(r):Wr(i,r,o,{window:s,listenToStorageChanges:l})),I=ne(()=>C.value==="auto"?v.value:C.value),$=pi("updateHTMLAttrs",(y,M,O)=>{const N=typeof y=="string"?s==null?void 0:s.document.querySelector(y):di(y);if(!N)return;let T;if(f&&(T=s.document.createElement("style"),T.appendChild(document.createTextNode("*,*::before,*::after{-webkit-transition:none!important;-moz-transition:none!important;-o-transition:none!important;-ms-transition:none!important;transition:none!important}")),s.document.head.appendChild(T)),M==="class"){const F=O.split(/\s/g);Object.values(h).flatMap(w=>(w||"").split(/\s/g)).filter(Boolean).forEach(w=>{F.includes(w)?N.classList.add(w):N.classList.remove(w)})}else N.setAttribute(M,O);f&&(s.getComputedStyle(T).opacity,document.head.removeChild(T))});function q(y){var M;$(t,n,(M=h[y])!=null?M:y)}function D(y){e.onChanged?e.onChanged(y,q):q(y)}Ne(I,D,{flush:"post",immediate:!0}),Kr(()=>D(I.value));const p=ne({get(){return a?C.value:I.value},set(y){C.value=y}});try{return Object.assign(p,{store:C,system:v,state:I})}catch{return p}}function xa(e={}){const{valueDark:t="dark",valueLight:n="",window:r=Oe}=e,s=Ca({...e,onChanged:(l,c)=>{var a;e.onChanged?(a=e.onChanged)==null||a.call(e,l==="dark",c,l):c(l)},modes:{dark:t,light:n}}),o=ne(()=>s.system?s.system.value:gi({window:r}).value?"dark":"light");return ne({get(){return s.value==="dark"},set(l){const c=l?"dark":"light";o.value===c?s.value="auto":s.value=c}})}function Qn(e){return typeof Window<"u"&&e instanceof Window?e.document.documentElement:typeof Document<"u"&&e instanceof Document?e.documentElement:e}function Su(e,t,n={}){const{window:r=Oe}=n;return Wr(e,t,r==null?void 0:r.localStorage,n)}function mi(e){const t=window.getComputedStyle(e);if(t.overflowX==="scroll"||t.overflowY==="scroll"||t.overflowX==="auto"&&e.clientWidth1?!0:(t.preventDefault&&t.preventDefault(),!1)}const Zn=new WeakMap;function Tu(e,t=!1){const n=re(t);let r=null,s="";Ne(ui(e),l=>{const c=Qn(Fe(l));if(c){const a=c;if(Zn.get(a)||Zn.set(a,a.style.overflow),a.style.overflow!=="hidden"&&(s=a.style.overflow),a.style.overflow==="hidden")return n.value=!0;if(n.value)return a.style.overflow="hidden"}},{immediate:!0});const o=()=>{const l=Qn(Fe(e));!l||n.value||(Ds&&(r=Ct(l,"touchmove",c=>{Sa(c)},{passive:!1})),l.style.overflow="hidden",n.value=!0)},i=()=>{const l=Qn(Fe(e));!l||!n.value||(Ds&&(r==null||r()),l.style.overflow=s,Zn.delete(l),n.value=!1)};return kr(i),ne({get(){return n.value},set(l){l?o():i()}})}function Au(e,t,n={}){const{window:r=Oe}=n;return Wr(e,t,r==null?void 0:r.sessionStorage,n)}function Ru(e={}){const{window:t=Oe,behavior:n="auto"}=e;if(!t)return{x:re(0),y:re(0)};const r=re(t.scrollX),s=re(t.scrollY),o=ne({get(){return r.value},set(l){scrollTo({left:l,behavior:n})}}),i=ne({get(){return s.value},set(l){scrollTo({top:l,behavior:n})}});return Ct(t,"scroll",()=>{r.value=t.scrollX,s.value=t.scrollY},{capture:!1,passive:!0}),{x:o,y:i}}function Ou(e={}){const{window:t=Oe,initialWidth:n=Number.POSITIVE_INFINITY,initialHeight:r=Number.POSITIVE_INFINITY,listenOrientation:s=!0,includeScrollbar:o=!0}=e,i=re(n),l=re(r),c=()=>{t&&(o?(i.value=t.innerWidth,l.value=t.innerHeight):(i.value=t.document.documentElement.clientWidth,l.value=t.document.documentElement.clientHeight))};if(c(),Kr(c),Ct("resize",c,{passive:!0}),s){const a=hi("(orientation: portrait)");Ne(a,()=>c())}return{width:i,height:l}}var er={BASE_URL:"/",MODE:"production",DEV:!1,PROD:!0,SSR:!1},tr={};const yi=/^(?:[a-z]+:|\/\/)/i,Ta="vitepress-theme-appearance",Aa=/#.*$/,Ra=/[?#].*$/,Oa=/(?:(^|\/)index)?\.(?:md|html)$/,he=typeof document<"u",_i={relativePath:"404.md",filePath:"",title:"404",description:"Not Found",headers:[],frontmatter:{sidebar:!1,layout:"page"},lastUpdated:0,isNotFound:!0};function La(e,t,n=!1){if(t===void 0)return!1;if(e=Bs(`/${e}`),n)return new RegExp(t).test(e);if(Bs(t)!==e)return!1;const r=t.match(Aa);return r?(he?location.hash:"")===r[0]:!0}function Bs(e){return decodeURI(e).replace(Ra,"").replace(Oa,"$1")}function Ia(e){return yi.test(e)}function Ma(e,t){return Object.keys((e==null?void 0:e.locales)||{}).find(n=>n!=="root"&&!Ia(n)&&La(t,`/${n}/`,!0))||"root"}function Pa(e,t){var r,s,o,i,l,c,a;const n=Ma(e,t);return Object.assign({},e,{localeIndex:n,lang:((r=e.locales[n])==null?void 0:r.lang)??e.lang,dir:((s=e.locales[n])==null?void 0:s.dir)??e.dir,title:((o=e.locales[n])==null?void 0:o.title)??e.title,titleTemplate:((i=e.locales[n])==null?void 0:i.titleTemplate)??e.titleTemplate,description:((l=e.locales[n])==null?void 0:l.description)??e.description,head:bi(e.head,((c=e.locales[n])==null?void 0:c.head)??[]),themeConfig:{...e.themeConfig,...(a=e.locales[n])==null?void 0:a.themeConfig}})}function vi(e,t){const n=t.title||e.title,r=t.titleTemplate??e.titleTemplate;if(typeof r=="string"&&r.includes(":title"))return r.replace(/:title/g,n);const s=Na(e.title,r);return n===s.slice(3)?n:`${n}${s}`}function Na(e,t){return t===!1?"":t===!0||t===void 0?` | ${e}`:e===t?"":` | ${t}`}function Fa(e,t){const[n,r]=t;if(n!=="meta")return!1;const s=Object.entries(r)[0];return s==null?!1:e.some(([o,i])=>o===n&&i[s[0]]===s[1])}function bi(e,t){return[...e.filter(n=>!Fa(t,n)),...t]}const $a=/[\u0000-\u001F"#$&*+,:;<=>?[\]^`{|}\u007F]/g,Ha=/^[a-z]:/i;function ks(e){const t=Ha.exec(e),n=t?t[0]:"";return n+e.slice(n.length).replace($a,"_").replace(/(^|\/)_+(?=[^/]*$)/,"$1")}const nr=new Set;function ja(e){if(nr.size===0){const n=typeof process=="object"&&(tr==null?void 0:tr.VITE_EXTRA_EXTENSIONS)||(er==null?void 0:er.VITE_EXTRA_EXTENSIONS)||"";("3g2,3gp,aac,ai,apng,au,avif,bin,bmp,cer,class,conf,crl,css,csv,dll,doc,eps,epub,exe,gif,gz,ics,ief,jar,jpe,jpeg,jpg,js,json,jsonld,m4a,man,mid,midi,mjs,mov,mp2,mp3,mp4,mpe,mpeg,mpg,mpp,oga,ogg,ogv,ogx,opus,otf,p10,p7c,p7m,p7s,pdf,png,ps,qt,roff,rtf,rtx,ser,svg,t,tif,tiff,tr,ts,tsv,ttf,txt,vtt,wav,weba,webm,webp,woff,woff2,xhtml,xml,yaml,yml,zip"+(n&&typeof n=="string"?","+n:"")).split(",").forEach(r=>nr.add(r))}const t=e.split(".").pop();return t==null||!nr.has(t.toLowerCase())}function Lu(e){return e.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}const Va=Symbol(),ut=Fr(la);function Iu(e){const t=ne(()=>Pa(ut.value,e.data.relativePath)),n=t.value.appearance,r=n==="force-dark"?re(!0):n?xa({storageKey:Ta,initialValue:()=>typeof n=="string"?n:"auto",...typeof n=="object"?n:{}}):re(!1),s=re(he?location.hash:"");return he&&window.addEventListener("hashchange",()=>{s.value=location.hash}),Ne(()=>e.data,()=>{s.value=he?location.hash:""}),{site:t,theme:ne(()=>t.value.themeConfig),page:ne(()=>e.data),frontmatter:ne(()=>e.data.frontmatter),params:ne(()=>e.data.params),lang:ne(()=>t.value.lang),dir:ne(()=>e.data.frontmatter.dir||t.value.dir),localeIndex:ne(()=>t.value.localeIndex||"root"),title:ne(()=>vi(t.value,e.data)),description:ne(()=>e.data.description||t.value.description),isDark:r,hash:ne(()=>s.value)}}function Da(){const e=wt(Va);if(!e)throw new Error("vitepress data not properly injected in app");return e}function Ua(e,t){return`${e}${t}`.replace(/\/+/g,"/")}function Ks(e){return yi.test(e)||!e.startsWith("/")?e:Ua(ut.value.base,e)}function Ba(e){let t=e.replace(/\.html$/,"");if(t=decodeURIComponent(t),t=t.replace(/\/$/,"/index"),he){const n="/";t=ks(t.slice(n.length).replace(/\//g,"_")||"index")+".md";let r=__VP_HASH_MAP__[t.toLowerCase()];if(r||(t=t.endsWith("_index.md")?t.slice(0,-9)+".md":t.slice(0,-3)+"_index.md",r=__VP_HASH_MAP__[t.toLowerCase()]),!r)return null;t=`${n}assets/${t}.${r}.js`}else t=`./${ks(t.slice(1).replace(/\//g,"_"))}.md.js`;return t}let gn=[];function Mu(e){gn.push(e),$n(()=>{gn=gn.filter(t=>t!==e)})}function ka(){let e=ut.value.scrollOffset,t=0,n=24;if(typeof e=="object"&&"padding"in e&&(n=e.padding,e=e.selector),typeof e=="number")t=e;else if(typeof e=="string")t=Ws(e,n);else if(Array.isArray(e))for(const r of e){const s=Ws(r,n);if(s){t=s;break}}return t}function Ws(e,t){const n=document.querySelector(e);if(!n)return 0;const r=n.getBoundingClientRect().bottom;return r<0?0:r+t}const Ka=Symbol(),wi="http://a.com",Wa=()=>({path:"/",component:null,data:_i});function Pu(e,t){const n=Rn(Wa()),r={route:n,go:s};async function s(l=he?location.href:"/"){var c,a;l=rr(l),await((c=r.onBeforeRouteChange)==null?void 0:c.call(r,l))!==!1&&(he&&l!==rr(location.href)&&(history.replaceState({scrollPosition:window.scrollY},""),history.pushState({},"",l)),await i(l),await((a=r.onAfterRouteChanged)==null?void 0:a.call(r,l)))}let o=null;async function i(l,c=0,a=!1){var m;if(await((m=r.onBeforePageLoad)==null?void 0:m.call(r,l))===!1)return;const f=new URL(l,wi),h=o=f.pathname;try{let v=await e(h);if(!v)throw new Error(`Page not found: ${h}`);if(o===h){o=null;const{default:C,__pageData:I}=v;if(!C)throw new Error(`Invalid route component: ${C}`);n.path=he?h:Ks(h),n.component=dn(C),n.data=dn(I),he&&Ln(()=>{let $=ut.value.base+I.relativePath.replace(/(?:(^|\/)index)?\.md$/,"$1");if(!ut.value.cleanUrls&&!$.endsWith("/")&&($+=".html"),$!==f.pathname&&(f.pathname=$,l=$+f.search+f.hash,history.replaceState({},"",l)),f.hash&&!c){let q=null;try{q=document.getElementById(decodeURIComponent(f.hash).slice(1))}catch(D){console.warn(D)}if(q){qs(q,f.hash);return}}window.scrollTo(0,c)})}}catch(v){if(!/fetch|Page not found/.test(v.message)&&!/^\/404(\.html|\/)?$/.test(l)&&console.error(v),!a)try{const C=await fetch(ut.value.base+"hashmap.json");window.__VP_HASH_MAP__=await C.json(),await i(l,c,!0);return}catch{}if(o===h){o=null,n.path=he?h:Ks(h),n.component=t?dn(t):null;const C=he?h.replace(/(^|\/)$/,"$1index").replace(/(\.html)?$/,".md").replace(/^\//,""):"404.md";n.data={..._i,relativePath:C}}}}return he&&(history.state===null&&history.replaceState({},""),window.addEventListener("click",l=>{if(l.target.closest("button"))return;const a=l.target.closest("a");if(a&&!a.closest(".vp-raw")&&(a instanceof SVGElement||!a.download)){const{target:f}=a,{href:h,origin:m,pathname:v,hash:C,search:I}=new URL(a.href instanceof SVGAnimatedString?a.href.animVal:a.href,a.baseURI),$=new URL(location.href);!l.ctrlKey&&!l.shiftKey&&!l.altKey&&!l.metaKey&&!f&&m===$.origin&&ja(v)&&(l.preventDefault(),v===$.pathname&&I===$.search?(C!==$.hash&&(history.pushState({},"",h),window.dispatchEvent(new HashChangeEvent("hashchange",{oldURL:$.href,newURL:h}))),C?qs(a,C,a.classList.contains("header-anchor")):window.scrollTo(0,0)):s(h))}},{capture:!0}),window.addEventListener("popstate",async l=>{var c;l.state!==null&&(await i(rr(location.href),l.state&&l.state.scrollPosition||0),(c=r.onAfterRouteChanged)==null||c.call(r,location.href))}),window.addEventListener("hashchange",l=>{l.preventDefault()})),r}function qa(){const e=wt(Ka);if(!e)throw new Error("useRouter() is called without provider.");return e}function Ei(){return qa().route}function qs(e,t,n=!1){let r=null;try{r=e.classList.contains("header-anchor")?e:document.getElementById(decodeURIComponent(t).slice(1))}catch(s){console.warn(s)}if(r){let s=function(){!n||Math.abs(i-window.scrollY)>window.innerHeight?window.scrollTo(0,i):window.scrollTo({left:0,top:i,behavior:"smooth"})};const o=parseInt(window.getComputedStyle(r).paddingTop,10),i=window.scrollY+r.getBoundingClientRect().top-ka()+o;requestAnimationFrame(s)}}function rr(e){const t=new URL(e,wi);return t.pathname=t.pathname.replace(/(^|\/)index(\.html)?$/,"$1"),ut.value.cleanUrls?t.pathname=t.pathname.replace(/\.html$/,""):!t.pathname.endsWith("/")&&!t.pathname.endsWith(".html")&&(t.pathname+=".html"),t.pathname+t.search+t.hash}const sr=()=>gn.forEach(e=>e()),Nu=jr({name:"VitePressContent",props:{as:{type:[Object,String],default:"div"}},setup(e){const t=Ei(),{site:n}=Da();return()=>br(e.as,n.value.contentProps??{style:{position:"relative"}},[t.component?br(t.component,{onVnodeMounted:sr,onVnodeUpdated:sr,onVnodeUnmounted:sr}):"404 Page Not Found"])}}),Fu=jr({setup(e,{slots:t}){const n=re(!1);return xt(()=>{n.value=!0}),()=>n.value&&t.default?t.default():null}});function $u(){he&&window.addEventListener("click",e=>{var n;const t=e.target;if(t.matches(".vp-code-group input")){const r=(n=t.parentElement)==null?void 0:n.parentElement;if(!r)return;const s=Array.from(r.querySelectorAll("input")).indexOf(t);if(s<0)return;const o=r.querySelector(".blocks");if(!o)return;const i=Array.from(o.children).find(a=>a.classList.contains("active"));if(!i)return;const l=o.children[s];if(!l||i===l)return;i.classList.remove("active"),l.classList.add("active");const c=r==null?void 0:r.querySelector(`label[for="${t.id}"]`);c==null||c.scrollIntoView({block:"nearest"})}})}function Hu(){if(he){const e=new WeakMap;window.addEventListener("click",t=>{var r;const n=t.target;if(n.matches('div[class*="language-"] > button.copy')){const s=n.parentElement,o=(r=n.nextElementSibling)==null?void 0:r.nextElementSibling;if(!s||!o)return;const i=/language-(shellscript|shell|bash|sh|zsh)/.test(s.className),l=[".vp-copy-ignore",".diff.remove"],c=o.cloneNode(!0);c.querySelectorAll(l.join(",")).forEach(f=>f.remove());let a=c.textContent||"";i&&(a=a.replace(/^ *(\$|>) /gm,"").trim()),Ga(a).then(()=>{n.classList.add("copied"),clearTimeout(e.get(n));const f=setTimeout(()=>{n.classList.remove("copied"),n.blur(),e.delete(n)},2e3);e.set(n,f)})}})}}async function Ga(e){try{return navigator.clipboard.writeText(e)}catch{const t=document.createElement("textarea"),n=document.activeElement;t.value=e,t.setAttribute("readonly",""),t.style.contain="strict",t.style.position="absolute",t.style.left="-9999px",t.style.fontSize="12pt";const r=document.getSelection(),s=r?r.rangeCount>0&&r.getRangeAt(0):null;document.body.appendChild(t),t.select(),t.selectionStart=0,t.selectionEnd=e.length,document.execCommand("copy"),document.body.removeChild(t),s&&(r.removeAllRanges(),r.addRange(s)),n&&n.focus()}}function ju(e,t){let n=!0,r=[];const s=o=>{if(n){n=!1,o.forEach(l=>{const c=or(l);for(const a of document.head.children)if(a.isEqualNode(c)){r.push(a);return}});return}const i=o.map(or);r.forEach((l,c)=>{const a=i.findIndex(f=>f==null?void 0:f.isEqualNode(l??null));a!==-1?delete i[a]:(l==null||l.remove(),delete r[c])}),i.forEach(l=>l&&document.head.appendChild(l)),r=[...r,...i].filter(Boolean)};Hr(()=>{const o=e.data,i=t.value,l=o&&o.description,c=o&&o.frontmatter.head||[],a=vi(i,o);a!==document.title&&(document.title=a);const f=l||i.description;let h=document.querySelector("meta[name=description]");h?h.getAttribute("content")!==f&&h.setAttribute("content",f):or(["meta",{name:"description",content:f}]),s(bi(i.head,Xa(c)))})}function or([e,t,n]){const r=document.createElement(e);for(const s in t)r.setAttribute(s,t[s]);return n&&(r.innerHTML=n),e==="script"&&!t.async&&(r.async=!1),r}function za(e){return e[0]==="meta"&&e[1]&&e[1].name==="description"}function Xa(e){return e.filter(t=>!za(t))}const ir=new Set,Ci=()=>document.createElement("link"),Ya=e=>{const t=Ci();t.rel="prefetch",t.href=e,document.head.appendChild(t)},Ja=e=>{const t=new XMLHttpRequest;t.open("GET",e,t.withCredentials=!0),t.send()};let an;const Qa=he&&(an=Ci())&&an.relList&&an.relList.supports&&an.relList.supports("prefetch")?Ya:Ja;function Vu(){if(!he||!window.IntersectionObserver)return;let e;if((e=navigator.connection)&&(e.saveData||/2g/.test(e.effectiveType)))return;const t=window.requestIdleCallback||setTimeout;let n=null;const r=()=>{n&&n.disconnect(),n=new IntersectionObserver(o=>{o.forEach(i=>{if(i.isIntersecting){const l=i.target;n.unobserve(l);const{pathname:c}=l;if(!ir.has(c)){ir.add(c);const a=Ba(c);a&&Qa(a)}}})}),t(()=>{document.querySelectorAll("#app a").forEach(o=>{const{hostname:i,pathname:l}=new URL(o.href instanceof SVGAnimatedString?o.href.animVal:o.href,o.baseURI),c=l.match(/\.\w+$/);c&&c[0]!==".html"||o.target!=="_blank"&&i===location.hostname&&(l!==location.pathname?n.observe(o):ir.add(l))})})};xt(r);const s=Ei();Ne(()=>s.path,r),$n(()=>{n&&n.disconnect()})}export{yu as $,su as A,Dl as B,ka as C,nu as D,lu as E,ye as F,Fr as G,Mu as H,oe as I,ru as J,yi as K,Ei as L,_c as M,wt as N,Ou as O,Sr as P,xu as Q,Ln as R,Ru as S,ri as T,he as U,On as V,iu as W,wu as X,Tu as Y,ec as Z,bu as _,Zo as a,au as a0,mu as a1,uu as a2,br as a3,hu as a4,ju as a5,Ka as a6,Iu as a7,Va as a8,Nu as a9,Fu as aa,ut as ab,vu as ac,Pu as ad,Ba as ae,Vu as af,Hu as ag,$u as ah,di as ai,kr as aj,Cu as ak,Au as al,Su as am,Eu as an,qa as ao,Ct as ap,Mo as aq,ou as ar,gu as as,de as at,fu as au,dn as av,_u as aw,Lu as ax,Yo as b,du as c,jr as d,pu as e,ja as f,Ks as g,ne as h,Ia as i,Qo as j,yo as k,tu as l,La as m,Tr as n,zo as o,eu as p,hi as q,cu as r,re as s,Za as t,Da as u,Ne as v,Cl as w,Hr as x,xt as y,$n as z}; +**/const Rc="http://www.w3.org/2000/svg",Oc="http://www.w3.org/1998/Math/MathML",ze=typeof document<"u"?document:null,Cs=ze&&ze.createElement("template"),Lc={insert:(e,t,n)=>{t.insertBefore(e,n||null)},remove:e=>{const t=e.parentNode;t&&t.removeChild(e)},createElement:(e,t,n,r)=>{const s=t==="svg"?ze.createElementNS(Rc,e):t==="mathml"?ze.createElementNS(Oc,e):ze.createElement(e,n?{is:n}:void 0);return e==="select"&&r&&r.multiple!=null&&s.setAttribute("multiple",r.multiple),s},createText:e=>ze.createTextNode(e),createComment:e=>ze.createComment(e),setText:(e,t)=>{e.nodeValue=t},setElementText:(e,t)=>{e.textContent=t},parentNode:e=>e.parentNode,nextSibling:e=>e.nextSibling,querySelector:e=>ze.querySelector(e),setScopeId(e,t){e.setAttribute(t,"")},insertStaticContent(e,t,n,r,s,o){const i=n?n.previousSibling:t.lastChild;if(s&&(s===o||s.nextSibling))for(;t.insertBefore(s.cloneNode(!0),n),!(s===o||!(s=s.nextSibling)););else{Cs.innerHTML=r==="svg"?`${e}`:r==="mathml"?`${e}`:e;const l=Cs.content;if(r==="svg"||r==="mathml"){const c=l.firstChild;for(;c.firstChild;)l.appendChild(c.firstChild);l.removeChild(c)}t.insertBefore(l,n)}return[i?i.nextSibling:t.firstChild,n?n.previousSibling:t.lastChild]}},ke="transition",Tt="animation",Ut=Symbol("_vtc"),ri=(e,{slots:t})=>br(Nl,Ic(e),t);ri.displayName="Transition";const si={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String};ri.props=ie({},Ro,si);const rt=(e,t=[])=>{k(e)?e.forEach(n=>n(...t)):e&&e(...t)},xs=e=>e?k(e)?e.some(t=>t.length>1):e.length>1:!1;function Ic(e){const t={};for(const A in e)A in si||(t[A]=e[A]);if(e.css===!1)return t;const{name:n="v",type:r,duration:s,enterFromClass:o=`${n}-enter-from`,enterActiveClass:i=`${n}-enter-active`,enterToClass:l=`${n}-enter-to`,appearFromClass:c=o,appearActiveClass:a=i,appearToClass:f=l,leaveFromClass:h=`${n}-leave-from`,leaveActiveClass:m=`${n}-leave-active`,leaveToClass:v=`${n}-leave-to`}=e,C=Mc(s),I=C&&C[0],$=C&&C[1],{onBeforeEnter:q,onEnter:D,onEnterCancelled:p,onLeave:y,onLeaveCancelled:M,onBeforeAppear:O=q,onAppear:N=D,onAppearCancelled:T=p}=t,F=(A,G,le)=>{st(A,G?f:l),st(A,G?a:i),le&&le()},w=(A,G)=>{A._isLeaving=!1,st(A,h),st(A,v),st(A,m),G&&G()},j=A=>(G,le)=>{const fe=A?N:D,U=()=>F(G,A,le);rt(fe,[G,U]),Ss(()=>{st(G,A?c:o),Ke(G,A?f:l),xs(fe)||Ts(G,r,I,U)})};return ie(t,{onBeforeEnter(A){rt(q,[A]),Ke(A,o),Ke(A,i)},onBeforeAppear(A){rt(O,[A]),Ke(A,c),Ke(A,a)},onEnter:j(!1),onAppear:j(!0),onLeave(A,G){A._isLeaving=!0;const le=()=>w(A,G);Ke(A,h),Ke(A,m),Fc(),Ss(()=>{A._isLeaving&&(st(A,h),Ke(A,v),xs(y)||Ts(A,r,$,le))}),rt(y,[A,le])},onEnterCancelled(A){F(A,!1),rt(p,[A])},onAppearCancelled(A){F(A,!0),rt(T,[A])},onLeaveCancelled(A){w(A),rt(M,[A])}})}function Mc(e){if(e==null)return null;if(Z(e))return[zn(e.enter),zn(e.leave)];{const t=zn(e);return[t,t]}}function zn(e){return Ii(e)}function Ke(e,t){t.split(/\s+/).forEach(n=>n&&e.classList.add(n)),(e[Ut]||(e[Ut]=new Set)).add(t)}function st(e,t){t.split(/\s+/).forEach(r=>r&&e.classList.remove(r));const n=e[Ut];n&&(n.delete(t),n.size||(e[Ut]=void 0))}function Ss(e){requestAnimationFrame(()=>{requestAnimationFrame(e)})}let Pc=0;function Ts(e,t,n,r){const s=e._endId=++Pc,o=()=>{s===e._endId&&r()};if(n)return setTimeout(o,n);const{type:i,timeout:l,propCount:c}=Nc(e,t);if(!i)return r();const a=i+"end";let f=0;const h=()=>{e.removeEventListener(a,m),o()},m=v=>{v.target===e&&++f>=c&&h()};setTimeout(()=>{f(n[C]||"").split(", "),s=r(`${ke}Delay`),o=r(`${ke}Duration`),i=As(s,o),l=r(`${Tt}Delay`),c=r(`${Tt}Duration`),a=As(l,c);let f=null,h=0,m=0;t===ke?i>0&&(f=ke,h=i,m=o.length):t===Tt?a>0&&(f=Tt,h=a,m=c.length):(h=Math.max(i,a),f=h>0?i>a?ke:Tt:null,m=f?f===ke?o.length:c.length:0);const v=f===ke&&/\b(transform|all)(,|$)/.test(r(`${ke}Property`).toString());return{type:f,timeout:h,propCount:m,hasTransform:v}}function As(e,t){for(;e.lengthRs(n)+Rs(e[r])))}function Rs(e){return e==="auto"?0:Number(e.slice(0,-1).replace(",","."))*1e3}function Fc(){return document.body.offsetHeight}function $c(e,t,n){const r=e[Ut];r&&(t=(t?[t,...r]:[...r]).join(" ")),t==null?e.removeAttribute("class"):n?e.setAttribute("class",t):e.className=t}const Os=Symbol("_vod"),Hc=Symbol("_vsh"),jc=Symbol(""),Vc=/(^|;)\s*display\s*:/;function Dc(e,t,n){const r=e.style,s=se(n);let o=!1;if(n&&!s){if(t)if(se(t))for(const i of t.split(";")){const l=i.slice(0,i.indexOf(":")).trim();n[l]==null&&pn(r,l,"")}else for(const i in t)n[i]==null&&pn(r,i,"");for(const i in n)i==="display"&&(o=!0),pn(r,i,n[i])}else if(s){if(t!==n){const i=r[jc];i&&(n+=";"+i),r.cssText=n,o=Vc.test(n)}}else t&&e.removeAttribute("style");Os in e&&(e[Os]=o?r.display:"",e[Hc]&&(r.display="none"))}const Ls=/\s*!important$/;function pn(e,t,n){if(k(n))n.forEach(r=>pn(e,t,r));else if(n==null&&(n=""),t.startsWith("--"))e.setProperty(t,n);else{const r=Uc(e,t);Ls.test(n)?e.setProperty(dt(r),n.replace(Ls,""),"important"):e[r]=n}}const Is=["Webkit","Moz","ms"],Xn={};function Uc(e,t){const n=Xn[t];if(n)return n;let r=$e(t);if(r!=="filter"&&r in e)return Xn[t]=r;r=Tn(r);for(let s=0;sYn||(Gc.then(()=>Yn=0),Yn=Date.now());function Xc(e,t){const n=r=>{if(!r._vts)r._vts=Date.now();else if(r._vts<=n.attached)return;Se(Yc(r,n.value),t,5,[r])};return n.value=e,n.attached=zc(),n}function Yc(e,t){if(k(t)){const n=e.stopImmediatePropagation;return e.stopImmediatePropagation=()=>{n.call(e),e._stopped=!0},t.map(r=>s=>!s._stopped&&r&&r(s))}else return t}const Fs=e=>e.charCodeAt(0)===111&&e.charCodeAt(1)===110&&e.charCodeAt(2)>96&&e.charCodeAt(2)<123,Jc=(e,t,n,r,s,o,i,l,c)=>{const a=s==="svg";t==="class"?$c(e,r,a):t==="style"?Dc(e,n,r):kt(t)?Er(t)||Wc(e,t,n,r,i):(t[0]==="."?(t=t.slice(1),!0):t[0]==="^"?(t=t.slice(1),!1):Qc(e,t,r,a))?kc(e,t,r,o,i,l,c):(t==="true-value"?e._trueValue=r:t==="false-value"&&(e._falseValue=r),Bc(e,t,r,a))};function Qc(e,t,n,r){if(r)return!!(t==="innerHTML"||t==="textContent"||t in e&&Fs(t)&&K(n));if(t==="spellcheck"||t==="draggable"||t==="translate"||t==="form"||t==="list"&&e.tagName==="INPUT"||t==="type"&&e.tagName==="TEXTAREA")return!1;if(t==="width"||t==="height"){const s=e.tagName;if(s==="IMG"||s==="VIDEO"||s==="CANVAS"||s==="SOURCE")return!1}return Fs(t)&&se(n)?!1:t in e}const $s=e=>{const t=e.props["onUpdate:modelValue"]||!1;return k(t)?n=>fn(t,n):t};function Zc(e){e.target.composing=!0}function Hs(e){const t=e.target;t.composing&&(t.composing=!1,t.dispatchEvent(new Event("input")))}const Jn=Symbol("_assign"),gu={created(e,{modifiers:{lazy:t,trim:n,number:r}},s){e[Jn]=$s(s);const o=r||s.props&&s.props.type==="number";gt(e,t?"change":"input",i=>{if(i.target.composing)return;let l=e.value;n&&(l=l.trim()),o&&(l=lr(l)),e[Jn](l)}),n&>(e,"change",()=>{e.value=e.value.trim()}),t||(gt(e,"compositionstart",Zc),gt(e,"compositionend",Hs),gt(e,"change",Hs))},mounted(e,{value:t}){e.value=t??""},beforeUpdate(e,{value:t,modifiers:{lazy:n,trim:r,number:s}},o){if(e[Jn]=$s(o),e.composing)return;const i=(s||e.type==="number")&&!/^0\d/.test(e.value)?lr(e.value):e.value,l=t??"";i!==l&&(document.activeElement===e&&e.type!=="range"&&(n||r&&e.value.trim()===l)||(e.value=l))}},ea=["ctrl","shift","alt","meta"],ta={stop:e=>e.stopPropagation(),prevent:e=>e.preventDefault(),self:e=>e.target!==e.currentTarget,ctrl:e=>!e.ctrlKey,shift:e=>!e.shiftKey,alt:e=>!e.altKey,meta:e=>!e.metaKey,left:e=>"button"in e&&e.button!==0,middle:e=>"button"in e&&e.button!==1,right:e=>"button"in e&&e.button!==2,exact:(e,t)=>ea.some(n=>e[`${n}Key`]&&!t.includes(n))},mu=(e,t)=>{const n=e._withMods||(e._withMods={}),r=t.join(".");return n[r]||(n[r]=(s,...o)=>{for(let i=0;i{const n=e._withKeys||(e._withKeys={}),r=t.join(".");return n[r]||(n[r]=s=>{if(!("key"in s))return;const o=dt(s.key);if(t.some(i=>i===o||na[i]===o))return e(s)})},oi=ie({patchProp:Jc},Lc);let Ft,js=!1;function ra(){return Ft||(Ft=ac(oi))}function sa(){return Ft=js?Ft:uc(oi),js=!0,Ft}const _u=(...e)=>{const t=ra().createApp(...e),{mount:n}=t;return t.mount=r=>{const s=li(r);if(!s)return;const o=t._component;!K(o)&&!o.render&&!o.template&&(o.template=s.innerHTML),s.innerHTML="";const i=n(s,!1,ii(s));return s instanceof Element&&(s.removeAttribute("v-cloak"),s.setAttribute("data-v-app","")),i},t},vu=(...e)=>{const t=sa().createApp(...e),{mount:n}=t;return t.mount=r=>{const s=li(r);if(s)return n(s,!0,ii(s))},t};function ii(e){if(e instanceof SVGElement)return"svg";if(typeof MathMLElement=="function"&&e instanceof MathMLElement)return"mathml"}function li(e){return se(e)?document.querySelector(e):e}const bu=(e,t)=>{const n=e.__vccOpts||e;for(const[r,s]of t)n[r]=s;return n},oa="modulepreload",ia=function(e){return"/hwlabnitc.github.io/"+e},Vs={},wu=function(t,n,r){let s=Promise.resolve();if(n&&n.length>0){document.getElementsByTagName("link");const o=document.querySelector("meta[property=csp-nonce]"),i=(o==null?void 0:o.nonce)||(o==null?void 0:o.getAttribute("nonce"));s=Promise.all(n.map(l=>{if(l=ia(l),l in Vs)return;Vs[l]=!0;const c=l.endsWith(".css"),a=c?'[rel="stylesheet"]':"";if(document.querySelector(`link[href="${l}"]${a}`))return;const f=document.createElement("link");if(f.rel=c?"stylesheet":oa,c||(f.as="script",f.crossOrigin=""),f.href=l,i&&f.setAttribute("nonce",i),document.head.appendChild(f),c)return new Promise((h,m)=>{f.addEventListener("load",h),f.addEventListener("error",()=>m(new Error(`Unable to preload CSS for ${l}`)))})}))}return s.then(()=>t()).catch(o=>{const i=new Event("vite:preloadError",{cancelable:!0});if(i.payload=o,window.dispatchEvent(i),!i.defaultPrevented)throw o})},la=window.__VP_SITE_DATA__;function kr(e){return to()?(Di(e),!0):!1}function Fe(e){return typeof e=="function"?e():yo(e)}const ci=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const ca=Object.prototype.toString,aa=e=>ca.call(e)==="[object Object]",Bt=()=>{},Ds=ua();function ua(){var e,t;return ci&&((e=window==null?void 0:window.navigator)==null?void 0:e.userAgent)&&(/iP(?:ad|hone|od)/.test(window.navigator.userAgent)||((t=window==null?void 0:window.navigator)==null?void 0:t.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function fa(e,t){function n(...r){return new Promise((s,o)=>{Promise.resolve(e(()=>t.apply(this,r),{fn:t,thisArg:this,args:r})).then(s).catch(o)})}return n}const ai=e=>e();function da(e,t={}){let n,r,s=Bt;const o=l=>{clearTimeout(l),s(),s=Bt};return l=>{const c=Fe(e),a=Fe(t.maxWait);return n&&o(n),c<=0||a!==void 0&&a<=0?(r&&(o(r),r=null),Promise.resolve(l())):new Promise((f,h)=>{s=t.rejectOnCancel?h:f,a&&!r&&(r=setTimeout(()=>{n&&o(n),r=null,f(l())},a)),n=setTimeout(()=>{r&&o(r),r=null,f(l())},c)})}}function ha(e=ai){const t=re(!0);function n(){t.value=!1}function r(){t.value=!0}const s=(...o)=>{t.value&&e(...o)};return{isActive:On(t),pause:n,resume:r,eventFilter:s}}function pa(e){return Hn()}function ui(...e){if(e.length!==1)return gl(...e);const t=e[0];return typeof t=="function"?On(dl(()=>({get:t,set:Bt}))):re(t)}function fi(e,t,n={}){const{eventFilter:r=ai,...s}=n;return Ne(e,fa(r,t),s)}function ga(e,t,n={}){const{eventFilter:r,...s}=n,{eventFilter:o,pause:i,resume:l,isActive:c}=ha(r);return{stop:fi(e,t,{...s,eventFilter:o}),pause:i,resume:l,isActive:c}}function Kr(e,t=!0,n){pa()?xt(e,n):t?e():Ln(e)}function Eu(e,t,n={}){const{debounce:r=0,maxWait:s=void 0,...o}=n;return fi(e,t,{...o,eventFilter:da(r,{maxWait:s})})}function Cu(e,t,n){let r;de(n)?r={evaluating:n}:r={};const{lazy:s=!1,evaluating:o=void 0,shallow:i=!0,onError:l=Bt}=r,c=re(!s),a=i?Fr(t):re(t);let f=0;return Hr(async h=>{if(!c.value)return;f++;const m=f;let v=!1;o&&Promise.resolve().then(()=>{o.value=!0});try{const C=await e(I=>{h(()=>{o&&(o.value=!1),v||I()})});m===f&&(a.value=C)}catch(C){l(C)}finally{o&&m===f&&(o.value=!1),v=!0}}),s?ne(()=>(c.value=!0,a.value)):a}function di(e){var t;const n=Fe(e);return(t=n==null?void 0:n.$el)!=null?t:n}const Oe=ci?window:void 0;function Ct(...e){let t,n,r,s;if(typeof e[0]=="string"||Array.isArray(e[0])?([n,r,s]=e,t=Oe):[t,n,r,s]=e,!t)return Bt;Array.isArray(n)||(n=[n]),Array.isArray(r)||(r=[r]);const o=[],i=()=>{o.forEach(f=>f()),o.length=0},l=(f,h,m,v)=>(f.addEventListener(h,m,v),()=>f.removeEventListener(h,m,v)),c=Ne(()=>[di(t),Fe(s)],([f,h])=>{if(i(),!f)return;const m=aa(h)?{...h}:h;o.push(...n.flatMap(v=>r.map(C=>l(f,v,C,m))))},{immediate:!0,flush:"post"}),a=()=>{c(),i()};return kr(a),a}function ma(e){return typeof e=="function"?e:typeof e=="string"?t=>t.key===e:Array.isArray(e)?t=>e.includes(t.key):()=>!0}function xu(...e){let t,n,r={};e.length===3?(t=e[0],n=e[1],r=e[2]):e.length===2?typeof e[1]=="object"?(t=!0,n=e[0],r=e[1]):(t=e[0],n=e[1]):(t=!0,n=e[0]);const{target:s=Oe,eventName:o="keydown",passive:i=!1,dedupe:l=!1}=r,c=ma(t);return Ct(s,o,f=>{f.repeat&&Fe(l)||c(f)&&n(f)},i)}function ya(){const e=re(!1),t=Hn();return t&&xt(()=>{e.value=!0},t),e}function _a(e){const t=ya();return ne(()=>(t.value,!!e()))}function hi(e,t={}){const{window:n=Oe}=t,r=_a(()=>n&&"matchMedia"in n&&typeof n.matchMedia=="function");let s;const o=re(!1),i=a=>{o.value=a.matches},l=()=>{s&&("removeEventListener"in s?s.removeEventListener("change",i):s.removeListener(i))},c=Hr(()=>{r.value&&(l(),s=n.matchMedia(Fe(e)),"addEventListener"in s?s.addEventListener("change",i):s.addListener(i),o.value=s.matches)});return kr(()=>{c(),l(),s=void 0}),o}const ln=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{},cn="__vueuse_ssr_handlers__",va=ba();function ba(){return cn in ln||(ln[cn]=ln[cn]||{}),ln[cn]}function pi(e,t){return va[e]||t}function wa(e){return e==null?"any":e instanceof Set?"set":e instanceof Map?"map":e instanceof Date?"date":typeof e=="boolean"?"boolean":typeof e=="string"?"string":typeof e=="object"?"object":Number.isNaN(e)?"any":"number"}const Ea={boolean:{read:e=>e==="true",write:e=>String(e)},object:{read:e=>JSON.parse(e),write:e=>JSON.stringify(e)},number:{read:e=>Number.parseFloat(e),write:e=>String(e)},any:{read:e=>e,write:e=>String(e)},string:{read:e=>e,write:e=>String(e)},map:{read:e=>new Map(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e.entries()))},set:{read:e=>new Set(JSON.parse(e)),write:e=>JSON.stringify(Array.from(e))},date:{read:e=>new Date(e),write:e=>e.toISOString()}},Us="vueuse-storage";function Wr(e,t,n,r={}){var s;const{flush:o="pre",deep:i=!0,listenToStorageChanges:l=!0,writeDefaults:c=!0,mergeDefaults:a=!1,shallow:f,window:h=Oe,eventFilter:m,onError:v=w=>{console.error(w)},initOnMounted:C}=r,I=(f?Fr:re)(typeof t=="function"?t():t);if(!n)try{n=pi("getDefaultStorage",()=>{var w;return(w=Oe)==null?void 0:w.localStorage})()}catch(w){v(w)}if(!n)return I;const $=Fe(t),q=wa($),D=(s=r.serializer)!=null?s:Ea[q],{pause:p,resume:y}=ga(I,()=>O(I.value),{flush:o,deep:i,eventFilter:m});h&&l&&Kr(()=>{Ct(h,"storage",T),Ct(h,Us,F),C&&T()}),C||T();function M(w,j){h&&h.dispatchEvent(new CustomEvent(Us,{detail:{key:e,oldValue:w,newValue:j,storageArea:n}}))}function O(w){try{const j=n.getItem(e);if(w==null)M(j,null),n.removeItem(e);else{const A=D.write(w);j!==A&&(n.setItem(e,A),M(j,A))}}catch(j){v(j)}}function N(w){const j=w?w.newValue:n.getItem(e);if(j==null)return c&&$!=null&&n.setItem(e,D.write($)),$;if(!w&&a){const A=D.read(j);return typeof a=="function"?a(A,$):q==="object"&&!Array.isArray(A)?{...$,...A}:A}else return typeof j!="string"?j:D.read(j)}function T(w){if(!(w&&w.storageArea!==n)){if(w&&w.key==null){I.value=$;return}if(!(w&&w.key!==e)){p();try{(w==null?void 0:w.newValue)!==D.write(I.value)&&(I.value=N(w))}catch(j){v(j)}finally{w?Ln(y):y()}}}}function F(w){T(w.detail)}return I}function gi(e){return hi("(prefers-color-scheme: dark)",e)}function Ca(e={}){const{selector:t="html",attribute:n="class",initialValue:r="auto",window:s=Oe,storage:o,storageKey:i="vueuse-color-scheme",listenToStorageChanges:l=!0,storageRef:c,emitAuto:a,disableTransition:f=!0}=e,h={auto:"",light:"light",dark:"dark",...e.modes||{}},m=gi({window:s}),v=ne(()=>m.value?"dark":"light"),C=c||(i==null?ui(r):Wr(i,r,o,{window:s,listenToStorageChanges:l})),I=ne(()=>C.value==="auto"?v.value:C.value),$=pi("updateHTMLAttrs",(y,M,O)=>{const N=typeof y=="string"?s==null?void 0:s.document.querySelector(y):di(y);if(!N)return;let T;if(f&&(T=s.document.createElement("style"),T.appendChild(document.createTextNode("*,*::before,*::after{-webkit-transition:none!important;-moz-transition:none!important;-o-transition:none!important;-ms-transition:none!important;transition:none!important}")),s.document.head.appendChild(T)),M==="class"){const F=O.split(/\s/g);Object.values(h).flatMap(w=>(w||"").split(/\s/g)).filter(Boolean).forEach(w=>{F.includes(w)?N.classList.add(w):N.classList.remove(w)})}else N.setAttribute(M,O);f&&(s.getComputedStyle(T).opacity,document.head.removeChild(T))});function q(y){var M;$(t,n,(M=h[y])!=null?M:y)}function D(y){e.onChanged?e.onChanged(y,q):q(y)}Ne(I,D,{flush:"post",immediate:!0}),Kr(()=>D(I.value));const p=ne({get(){return a?C.value:I.value},set(y){C.value=y}});try{return Object.assign(p,{store:C,system:v,state:I})}catch{return p}}function xa(e={}){const{valueDark:t="dark",valueLight:n="",window:r=Oe}=e,s=Ca({...e,onChanged:(l,c)=>{var a;e.onChanged?(a=e.onChanged)==null||a.call(e,l==="dark",c,l):c(l)},modes:{dark:t,light:n}}),o=ne(()=>s.system?s.system.value:gi({window:r}).value?"dark":"light");return ne({get(){return s.value==="dark"},set(l){const c=l?"dark":"light";o.value===c?s.value="auto":s.value=c}})}function Qn(e){return typeof Window<"u"&&e instanceof Window?e.document.documentElement:typeof Document<"u"&&e instanceof Document?e.documentElement:e}function Su(e,t,n={}){const{window:r=Oe}=n;return Wr(e,t,r==null?void 0:r.localStorage,n)}function mi(e){const t=window.getComputedStyle(e);if(t.overflowX==="scroll"||t.overflowY==="scroll"||t.overflowX==="auto"&&e.clientWidth1?!0:(t.preventDefault&&t.preventDefault(),!1)}const Zn=new WeakMap;function Tu(e,t=!1){const n=re(t);let r=null,s="";Ne(ui(e),l=>{const c=Qn(Fe(l));if(c){const a=c;if(Zn.get(a)||Zn.set(a,a.style.overflow),a.style.overflow!=="hidden"&&(s=a.style.overflow),a.style.overflow==="hidden")return n.value=!0;if(n.value)return a.style.overflow="hidden"}},{immediate:!0});const o=()=>{const l=Qn(Fe(e));!l||n.value||(Ds&&(r=Ct(l,"touchmove",c=>{Sa(c)},{passive:!1})),l.style.overflow="hidden",n.value=!0)},i=()=>{const l=Qn(Fe(e));!l||!n.value||(Ds&&(r==null||r()),l.style.overflow=s,Zn.delete(l),n.value=!1)};return kr(i),ne({get(){return n.value},set(l){l?o():i()}})}function Au(e,t,n={}){const{window:r=Oe}=n;return Wr(e,t,r==null?void 0:r.sessionStorage,n)}function Ru(e={}){const{window:t=Oe,behavior:n="auto"}=e;if(!t)return{x:re(0),y:re(0)};const r=re(t.scrollX),s=re(t.scrollY),o=ne({get(){return r.value},set(l){scrollTo({left:l,behavior:n})}}),i=ne({get(){return s.value},set(l){scrollTo({top:l,behavior:n})}});return Ct(t,"scroll",()=>{r.value=t.scrollX,s.value=t.scrollY},{capture:!1,passive:!0}),{x:o,y:i}}function Ou(e={}){const{window:t=Oe,initialWidth:n=Number.POSITIVE_INFINITY,initialHeight:r=Number.POSITIVE_INFINITY,listenOrientation:s=!0,includeScrollbar:o=!0}=e,i=re(n),l=re(r),c=()=>{t&&(o?(i.value=t.innerWidth,l.value=t.innerHeight):(i.value=t.document.documentElement.clientWidth,l.value=t.document.documentElement.clientHeight))};if(c(),Kr(c),Ct("resize",c,{passive:!0}),s){const a=hi("(orientation: portrait)");Ne(a,()=>c())}return{width:i,height:l}}var er={BASE_URL:"/hwlabnitc.github.io/",MODE:"production",DEV:!1,PROD:!0,SSR:!1},tr={};const yi=/^(?:[a-z]+:|\/\/)/i,Ta="vitepress-theme-appearance",Aa=/#.*$/,Ra=/[?#].*$/,Oa=/(?:(^|\/)index)?\.(?:md|html)$/,he=typeof document<"u",_i={relativePath:"404.md",filePath:"",title:"404",description:"Not Found",headers:[],frontmatter:{sidebar:!1,layout:"page"},lastUpdated:0,isNotFound:!0};function La(e,t,n=!1){if(t===void 0)return!1;if(e=Bs(`/${e}`),n)return new RegExp(t).test(e);if(Bs(t)!==e)return!1;const r=t.match(Aa);return r?(he?location.hash:"")===r[0]:!0}function Bs(e){return decodeURI(e).replace(Ra,"").replace(Oa,"$1")}function Ia(e){return yi.test(e)}function Ma(e,t){return Object.keys((e==null?void 0:e.locales)||{}).find(n=>n!=="root"&&!Ia(n)&&La(t,`/${n}/`,!0))||"root"}function Pa(e,t){var r,s,o,i,l,c,a;const n=Ma(e,t);return Object.assign({},e,{localeIndex:n,lang:((r=e.locales[n])==null?void 0:r.lang)??e.lang,dir:((s=e.locales[n])==null?void 0:s.dir)??e.dir,title:((o=e.locales[n])==null?void 0:o.title)??e.title,titleTemplate:((i=e.locales[n])==null?void 0:i.titleTemplate)??e.titleTemplate,description:((l=e.locales[n])==null?void 0:l.description)??e.description,head:bi(e.head,((c=e.locales[n])==null?void 0:c.head)??[]),themeConfig:{...e.themeConfig,...(a=e.locales[n])==null?void 0:a.themeConfig}})}function vi(e,t){const n=t.title||e.title,r=t.titleTemplate??e.titleTemplate;if(typeof r=="string"&&r.includes(":title"))return r.replace(/:title/g,n);const s=Na(e.title,r);return n===s.slice(3)?n:`${n}${s}`}function Na(e,t){return t===!1?"":t===!0||t===void 0?` | ${e}`:e===t?"":` | ${t}`}function Fa(e,t){const[n,r]=t;if(n!=="meta")return!1;const s=Object.entries(r)[0];return s==null?!1:e.some(([o,i])=>o===n&&i[s[0]]===s[1])}function bi(e,t){return[...e.filter(n=>!Fa(t,n)),...t]}const $a=/[\u0000-\u001F"#$&*+,:;<=>?[\]^`{|}\u007F]/g,Ha=/^[a-z]:/i;function ks(e){const t=Ha.exec(e),n=t?t[0]:"";return n+e.slice(n.length).replace($a,"_").replace(/(^|\/)_+(?=[^/]*$)/,"$1")}const nr=new Set;function ja(e){if(nr.size===0){const n=typeof process=="object"&&(tr==null?void 0:tr.VITE_EXTRA_EXTENSIONS)||(er==null?void 0:er.VITE_EXTRA_EXTENSIONS)||"";("3g2,3gp,aac,ai,apng,au,avif,bin,bmp,cer,class,conf,crl,css,csv,dll,doc,eps,epub,exe,gif,gz,ics,ief,jar,jpe,jpeg,jpg,js,json,jsonld,m4a,man,mid,midi,mjs,mov,mp2,mp3,mp4,mpe,mpeg,mpg,mpp,oga,ogg,ogv,ogx,opus,otf,p10,p7c,p7m,p7s,pdf,png,ps,qt,roff,rtf,rtx,ser,svg,t,tif,tiff,tr,ts,tsv,ttf,txt,vtt,wav,weba,webm,webp,woff,woff2,xhtml,xml,yaml,yml,zip"+(n&&typeof n=="string"?","+n:"")).split(",").forEach(r=>nr.add(r))}const t=e.split(".").pop();return t==null||!nr.has(t.toLowerCase())}function Lu(e){return e.replace(/[|\\{}()[\]^$+*?.]/g,"\\$&").replace(/-/g,"\\x2d")}const Va=Symbol(),ut=Fr(la);function Iu(e){const t=ne(()=>Pa(ut.value,e.data.relativePath)),n=t.value.appearance,r=n==="force-dark"?re(!0):n?xa({storageKey:Ta,initialValue:()=>typeof n=="string"?n:"auto",...typeof n=="object"?n:{}}):re(!1),s=re(he?location.hash:"");return he&&window.addEventListener("hashchange",()=>{s.value=location.hash}),Ne(()=>e.data,()=>{s.value=he?location.hash:""}),{site:t,theme:ne(()=>t.value.themeConfig),page:ne(()=>e.data),frontmatter:ne(()=>e.data.frontmatter),params:ne(()=>e.data.params),lang:ne(()=>t.value.lang),dir:ne(()=>e.data.frontmatter.dir||t.value.dir),localeIndex:ne(()=>t.value.localeIndex||"root"),title:ne(()=>vi(t.value,e.data)),description:ne(()=>e.data.description||t.value.description),isDark:r,hash:ne(()=>s.value)}}function Da(){const e=wt(Va);if(!e)throw new Error("vitepress data not properly injected in app");return e}function Ua(e,t){return`${e}${t}`.replace(/\/+/g,"/")}function Ks(e){return yi.test(e)||!e.startsWith("/")?e:Ua(ut.value.base,e)}function Ba(e){let t=e.replace(/\.html$/,"");if(t=decodeURIComponent(t),t=t.replace(/\/$/,"/index"),he){const n="/hwlabnitc.github.io/";t=ks(t.slice(n.length).replace(/\//g,"_")||"index")+".md";let r=__VP_HASH_MAP__[t.toLowerCase()];if(r||(t=t.endsWith("_index.md")?t.slice(0,-9)+".md":t.slice(0,-3)+"_index.md",r=__VP_HASH_MAP__[t.toLowerCase()]),!r)return null;t=`${n}assets/${t}.${r}.js`}else t=`./${ks(t.slice(1).replace(/\//g,"_"))}.md.js`;return t}let gn=[];function Mu(e){gn.push(e),$n(()=>{gn=gn.filter(t=>t!==e)})}function ka(){let e=ut.value.scrollOffset,t=0,n=24;if(typeof e=="object"&&"padding"in e&&(n=e.padding,e=e.selector),typeof e=="number")t=e;else if(typeof e=="string")t=Ws(e,n);else if(Array.isArray(e))for(const r of e){const s=Ws(r,n);if(s){t=s;break}}return t}function Ws(e,t){const n=document.querySelector(e);if(!n)return 0;const r=n.getBoundingClientRect().bottom;return r<0?0:r+t}const Ka=Symbol(),wi="http://a.com",Wa=()=>({path:"/",component:null,data:_i});function Pu(e,t){const n=Rn(Wa()),r={route:n,go:s};async function s(l=he?location.href:"/"){var c,a;l=rr(l),await((c=r.onBeforeRouteChange)==null?void 0:c.call(r,l))!==!1&&(he&&l!==rr(location.href)&&(history.replaceState({scrollPosition:window.scrollY},""),history.pushState({},"",l)),await i(l),await((a=r.onAfterRouteChanged)==null?void 0:a.call(r,l)))}let o=null;async function i(l,c=0,a=!1){var m;if(await((m=r.onBeforePageLoad)==null?void 0:m.call(r,l))===!1)return;const f=new URL(l,wi),h=o=f.pathname;try{let v=await e(h);if(!v)throw new Error(`Page not found: ${h}`);if(o===h){o=null;const{default:C,__pageData:I}=v;if(!C)throw new Error(`Invalid route component: ${C}`);n.path=he?h:Ks(h),n.component=dn(C),n.data=dn(I),he&&Ln(()=>{let $=ut.value.base+I.relativePath.replace(/(?:(^|\/)index)?\.md$/,"$1");if(!ut.value.cleanUrls&&!$.endsWith("/")&&($+=".html"),$!==f.pathname&&(f.pathname=$,l=$+f.search+f.hash,history.replaceState({},"",l)),f.hash&&!c){let q=null;try{q=document.getElementById(decodeURIComponent(f.hash).slice(1))}catch(D){console.warn(D)}if(q){qs(q,f.hash);return}}window.scrollTo(0,c)})}}catch(v){if(!/fetch|Page not found/.test(v.message)&&!/^\/404(\.html|\/)?$/.test(l)&&console.error(v),!a)try{const C=await fetch(ut.value.base+"hashmap.json");window.__VP_HASH_MAP__=await C.json(),await i(l,c,!0);return}catch{}if(o===h){o=null,n.path=he?h:Ks(h),n.component=t?dn(t):null;const C=he?h.replace(/(^|\/)$/,"$1index").replace(/(\.html)?$/,".md").replace(/^\//,""):"404.md";n.data={..._i,relativePath:C}}}}return he&&(history.state===null&&history.replaceState({},""),window.addEventListener("click",l=>{if(l.target.closest("button"))return;const a=l.target.closest("a");if(a&&!a.closest(".vp-raw")&&(a instanceof SVGElement||!a.download)){const{target:f}=a,{href:h,origin:m,pathname:v,hash:C,search:I}=new URL(a.href instanceof SVGAnimatedString?a.href.animVal:a.href,a.baseURI),$=new URL(location.href);!l.ctrlKey&&!l.shiftKey&&!l.altKey&&!l.metaKey&&!f&&m===$.origin&&ja(v)&&(l.preventDefault(),v===$.pathname&&I===$.search?(C!==$.hash&&(history.pushState({},"",h),window.dispatchEvent(new HashChangeEvent("hashchange",{oldURL:$.href,newURL:h}))),C?qs(a,C,a.classList.contains("header-anchor")):window.scrollTo(0,0)):s(h))}},{capture:!0}),window.addEventListener("popstate",async l=>{var c;l.state!==null&&(await i(rr(location.href),l.state&&l.state.scrollPosition||0),(c=r.onAfterRouteChanged)==null||c.call(r,location.href))}),window.addEventListener("hashchange",l=>{l.preventDefault()})),r}function qa(){const e=wt(Ka);if(!e)throw new Error("useRouter() is called without provider.");return e}function Ei(){return qa().route}function qs(e,t,n=!1){let r=null;try{r=e.classList.contains("header-anchor")?e:document.getElementById(decodeURIComponent(t).slice(1))}catch(s){console.warn(s)}if(r){let s=function(){!n||Math.abs(i-window.scrollY)>window.innerHeight?window.scrollTo(0,i):window.scrollTo({left:0,top:i,behavior:"smooth"})};const o=parseInt(window.getComputedStyle(r).paddingTop,10),i=window.scrollY+r.getBoundingClientRect().top-ka()+o;requestAnimationFrame(s)}}function rr(e){const t=new URL(e,wi);return t.pathname=t.pathname.replace(/(^|\/)index(\.html)?$/,"$1"),ut.value.cleanUrls?t.pathname=t.pathname.replace(/\.html$/,""):!t.pathname.endsWith("/")&&!t.pathname.endsWith(".html")&&(t.pathname+=".html"),t.pathname+t.search+t.hash}const sr=()=>gn.forEach(e=>e()),Nu=jr({name:"VitePressContent",props:{as:{type:[Object,String],default:"div"}},setup(e){const t=Ei(),{site:n}=Da();return()=>br(e.as,n.value.contentProps??{style:{position:"relative"}},[t.component?br(t.component,{onVnodeMounted:sr,onVnodeUpdated:sr,onVnodeUnmounted:sr}):"404 Page Not Found"])}}),Fu=jr({setup(e,{slots:t}){const n=re(!1);return xt(()=>{n.value=!0}),()=>n.value&&t.default?t.default():null}});function $u(){he&&window.addEventListener("click",e=>{var n;const t=e.target;if(t.matches(".vp-code-group input")){const r=(n=t.parentElement)==null?void 0:n.parentElement;if(!r)return;const s=Array.from(r.querySelectorAll("input")).indexOf(t);if(s<0)return;const o=r.querySelector(".blocks");if(!o)return;const i=Array.from(o.children).find(a=>a.classList.contains("active"));if(!i)return;const l=o.children[s];if(!l||i===l)return;i.classList.remove("active"),l.classList.add("active");const c=r==null?void 0:r.querySelector(`label[for="${t.id}"]`);c==null||c.scrollIntoView({block:"nearest"})}})}function Hu(){if(he){const e=new WeakMap;window.addEventListener("click",t=>{var r;const n=t.target;if(n.matches('div[class*="language-"] > button.copy')){const s=n.parentElement,o=(r=n.nextElementSibling)==null?void 0:r.nextElementSibling;if(!s||!o)return;const i=/language-(shellscript|shell|bash|sh|zsh)/.test(s.className),l=[".vp-copy-ignore",".diff.remove"],c=o.cloneNode(!0);c.querySelectorAll(l.join(",")).forEach(f=>f.remove());let a=c.textContent||"";i&&(a=a.replace(/^ *(\$|>) /gm,"").trim()),Ga(a).then(()=>{n.classList.add("copied"),clearTimeout(e.get(n));const f=setTimeout(()=>{n.classList.remove("copied"),n.blur(),e.delete(n)},2e3);e.set(n,f)})}})}}async function Ga(e){try{return navigator.clipboard.writeText(e)}catch{const t=document.createElement("textarea"),n=document.activeElement;t.value=e,t.setAttribute("readonly",""),t.style.contain="strict",t.style.position="absolute",t.style.left="-9999px",t.style.fontSize="12pt";const r=document.getSelection(),s=r?r.rangeCount>0&&r.getRangeAt(0):null;document.body.appendChild(t),t.select(),t.selectionStart=0,t.selectionEnd=e.length,document.execCommand("copy"),document.body.removeChild(t),s&&(r.removeAllRanges(),r.addRange(s)),n&&n.focus()}}function ju(e,t){let n=!0,r=[];const s=o=>{if(n){n=!1,o.forEach(l=>{const c=or(l);for(const a of document.head.children)if(a.isEqualNode(c)){r.push(a);return}});return}const i=o.map(or);r.forEach((l,c)=>{const a=i.findIndex(f=>f==null?void 0:f.isEqualNode(l??null));a!==-1?delete i[a]:(l==null||l.remove(),delete r[c])}),i.forEach(l=>l&&document.head.appendChild(l)),r=[...r,...i].filter(Boolean)};Hr(()=>{const o=e.data,i=t.value,l=o&&o.description,c=o&&o.frontmatter.head||[],a=vi(i,o);a!==document.title&&(document.title=a);const f=l||i.description;let h=document.querySelector("meta[name=description]");h?h.getAttribute("content")!==f&&h.setAttribute("content",f):or(["meta",{name:"description",content:f}]),s(bi(i.head,Xa(c)))})}function or([e,t,n]){const r=document.createElement(e);for(const s in t)r.setAttribute(s,t[s]);return n&&(r.innerHTML=n),e==="script"&&!t.async&&(r.async=!1),r}function za(e){return e[0]==="meta"&&e[1]&&e[1].name==="description"}function Xa(e){return e.filter(t=>!za(t))}const ir=new Set,Ci=()=>document.createElement("link"),Ya=e=>{const t=Ci();t.rel="prefetch",t.href=e,document.head.appendChild(t)},Ja=e=>{const t=new XMLHttpRequest;t.open("GET",e,t.withCredentials=!0),t.send()};let an;const Qa=he&&(an=Ci())&&an.relList&&an.relList.supports&&an.relList.supports("prefetch")?Ya:Ja;function Vu(){if(!he||!window.IntersectionObserver)return;let e;if((e=navigator.connection)&&(e.saveData||/2g/.test(e.effectiveType)))return;const t=window.requestIdleCallback||setTimeout;let n=null;const r=()=>{n&&n.disconnect(),n=new IntersectionObserver(o=>{o.forEach(i=>{if(i.isIntersecting){const l=i.target;n.unobserve(l);const{pathname:c}=l;if(!ir.has(c)){ir.add(c);const a=Ba(c);a&&Qa(a)}}})}),t(()=>{document.querySelectorAll("#app a").forEach(o=>{const{hostname:i,pathname:l}=new URL(o.href instanceof SVGAnimatedString?o.href.animVal:o.href,o.baseURI),c=l.match(/\.\w+$/);c&&c[0]!==".html"||o.target!=="_blank"&&i===location.hostname&&(l!==location.pathname?n.observe(o):ir.add(l))})})};xt(r);const s=Ei();Ne(()=>s.path,r),$n(()=>{n&&n.disconnect()})}export{yu as $,su as A,Dl as B,ka as C,nu as D,lu as E,ye as F,Fr as G,Mu as H,oe as I,ru as J,yi as K,Ei as L,_c as M,wt as N,Ou as O,Sr as P,xu as Q,Ln as R,Ru as S,ri as T,he as U,On as V,iu as W,wu as X,Tu as Y,ec as Z,bu as _,Zo as a,au as a0,mu as a1,uu as a2,br as a3,hu as a4,ju as a5,Ka as a6,Iu as a7,Va as a8,Nu as a9,Fu as aa,ut as ab,vu as ac,Pu as ad,Ba as ae,Vu as af,Hu as ag,$u as ah,di as ai,kr as aj,Cu as ak,Au as al,Su as am,Eu as an,qa as ao,Ct as ap,Mo as aq,ou as ar,gu as as,de as at,fu as au,dn as av,_u as aw,Lu as ax,Yo as b,du as c,jr as d,pu as e,ja as f,Ks as g,ne as h,Ia as i,Qo as j,yo as k,tu as l,La as m,Tr as n,zo as o,eu as p,hi as q,cu as r,re as s,Za as t,Da as u,Ne as v,Cl as w,Hr as x,xt as y,$n as z}; diff --git a/docs/.vitepress/dist/assets/chunks/mips2-image-0009.DFxqnWYO.js b/docs/.vitepress/dist/assets/chunks/mips2-image-0009.DFxqnWYO.js new file mode 100644 index 0000000..aa011cd --- /dev/null +++ b/docs/.vitepress/dist/assets/chunks/mips2-image-0009.DFxqnWYO.js @@ -0,0 +1 @@ +const A="/hwlabnitc.github.io/assets/mips1-image-0093.DIct3pP6.png",g="/hwlabnitc.github.io/assets/mips1-image-0094.Dk2ikz7r.png",i="data:image/png;base64,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",V="data:image/png;base64,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",f="data:image/png;base64,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",t="data:image/png;base64,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",d="data:image/jpeg;base64,/9j/4AAQSkZJRgABAQEAYABgAAD/2wBDAAMCAgMCAgMDAwMEAwMEBQgFBQQEBQoHBwYIDAoMDAsKCwsNDhIQDQ4RDgsLEBYQERMUFRUVDA8XGBYUGBIUFRT/2wBDAQMEBAUEBQkFBQkUDQsNFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBT/wAARCAAmACYDASIAAhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEAAwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSExBhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElKU1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwD9A7O41HV9a1C3bV7q0htIISq2sFqC7NNcqSxeFu0KDjHem/2nY/8AQ8T/APfzTv8A5Gp3h3/kY9e/64W3/pRfV474z+JWr6tfaZ4B8K6unh9bTSra68ReIliWWawjlQeTb2ysdv2iQK7bmBEaDdhiyipnOFODnMwrVVRXNJnsH9p2P/Q8T/8AfzTv/kaj+07H/oeJ/wDv5p3/AMjV86y+FfhkdFj159T8fG6uX8qx19PE2pNe37k4VrZFk2y7nOECRlXI+UFSCd/wh8UNc8MeINT+GfjTUzrT3WmXM3h/Xp4liuboRIfPtbpVO37RGMHeuA65OAwIrCliqVSah38/+AccMbGb5bn0L4We4TxJq9jdXs2o28NpazR/a4oAys73AbmOJOMRL1B70VDoz+X4x1w/9OFh/wCjLuiuk9MzfDv/ACMevf8AXC2/9KL6viq9vbPwz8Wtdt/FljNqWgvq1lqepWSNtN7ZS6Zbx227u8SSQzKy/dYqUb5WIP2r4d/5GPXv+uFt/wClF9Xk3xX+Ddh8UPD2h3drc3/h7xlpNiLSC/8A7KlniljKqXtrmPZiSIsoIwQVYblIOc8uKoTr0Wqe6af5nmY+jKvTSg9VqrnfXvjn4cN8R/BmrXDQSz3+mSJoepM2beAb8OqqeI3O4Lu64BXjv8cePLzV/EH7Uem6UkrSSWPiDUbyONFA8m1jhnEzHHOG3IuT1JxT7z4E/Fx7iLT4/D2ktFCzGK/+2XptI8nkrCbbzBnAON349692+D/wMtfhlpniHWtXvtR8U+Ptas3gudRfTp0jjBU4hhBT5U3HJJOWPJPpwUaGJrVourDlSd/U8mFPEYmonVioKLv66bff+B7nZv5fivWz/wBOVh/6MvKKrs/l+JtZP/TnYf8Aod5RXsn1If8ACNTreS3MOpXtnJNGkcn2eSDD7XkcE74G5zM/TA6VJ/YV/wD9B7Vf++7T/wCRKKKAD+wr/wD6D2q/992n/wAiUf2Ff/8AQe1X/vu0/wDkSiigBIPD0tu91Mby5vLm5EKNLeSRnakfmFVAjiQdZWOTntRRRQB//9k=",R="data:image/png;base64,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",Q="data:image/png;base64,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",Y="/hwlabnitc.github.io/assets/mips1-image0120.D_gMBBgT.png",s="/hwlabnitc.github.io/assets/mips2-image-0007.wVxOvUwv.png",E="/hwlabnitc.github.io/assets/mips2-image-0004.Bwh5zN5W.png",c="/hwlabnitc.github.io/assets/mips2-image-0006.wiw7MJ4M.png",W="/hwlabnitc.github.io/assets/mips2-image-0008.C0G-0sI8.png",o="/hwlabnitc.github.io/assets/mips2-image-0010.DqDOOTsn.png",j="/hwlabnitc.github.io/assets/mips2-image-0009.BADi_Z4m.png";export{V as _,f as a,t as b,d as c,R as d,Q as e,A as f,g,i as h,Y as i,s as j,E as k,c as l,W as m,o as n,j as o}; diff --git a/docs/.vitepress/dist/assets/chunks/theme.B6pbfA9S.js b/docs/.vitepress/dist/assets/chunks/theme.CpY-xi-5.js similarity index 99% rename from docs/.vitepress/dist/assets/chunks/theme.B6pbfA9S.js rename to docs/.vitepress/dist/assets/chunks/theme.CpY-xi-5.js index 73e6c4e..e1ff7db 100644 --- a/docs/.vitepress/dist/assets/chunks/theme.B6pbfA9S.js +++ b/docs/.vitepress/dist/assets/chunks/theme.CpY-xi-5.js @@ -1,2 +1,2 @@ -const __vite__fileDeps=["assets/chunks/VPLocalSearchBox.CYTF2mH5.js","assets/chunks/framework.CgMb17D3.js"],__vite__mapDeps=i=>i.map(i=>__vite__fileDeps[i]); -import{d as _,o as a,c,r as l,n as N,a as F,t as I,b as $,w as d,e as f,T as ve,_ as b,u as Ge,i as je,f as ze,g as pe,h as y,j as v,k as r,p as B,l as H,m as z,q as ie,s as w,v as j,x as Z,y as W,z as he,A as Pe,B as qe,C as Ke,D as q,F as M,E,G as Le,H as x,I as m,J as R,K as Ve,L as ee,M as Y,N as te,O as Re,P as Se,Q as le,R as We,S as Te,U as oe,V as Je,W as Ye,X as Qe,Y as Ie,Z as we,$ as Xe,a0 as Ze,a1 as xe,a2 as et,a3 as tt}from"./framework.CgMb17D3.js";const ot=_({__name:"VPBadge",props:{text:{},type:{default:"tip"}},setup(o){return(e,t)=>(a(),c("span",{class:N(["VPBadge",e.type])},[l(e.$slots,"default",{},()=>[F(I(e.text),1)])],2))}}),st={key:0,class:"VPBackdrop"},nt=_({__name:"VPBackdrop",props:{show:{type:Boolean}},setup(o){return(e,t)=>(a(),$(ve,{name:"fade"},{default:d(()=>[e.show?(a(),c("div",st)):f("",!0)]),_:1}))}}),at=b(nt,[["__scopeId","data-v-c79a1216"]]),P=Ge;function rt(o,e){let t,n=!1;return()=>{t&&clearTimeout(t),n?t=setTimeout(o,e):(o(),(n=!0)&&setTimeout(()=>n=!1,e))}}function ce(o){return/^\//.test(o)?o:`/${o}`}function fe(o){const{pathname:e,search:t,hash:n,protocol:s}=new URL(o,"http://a.com");if(je(o)||o.startsWith("#")||!s.startsWith("http")||!ze(e))return o;const{site:i}=P(),u=e.endsWith("/")||e.endsWith(".html")?o:o.replace(/(?:(^\.+)\/)?.*$/,`$1${e.replace(/(\.md)?$/,i.value.cleanUrls?"":".html")}${t}${n}`);return pe(u)}function J({correspondingLink:o=!1}={}){const{site:e,localeIndex:t,page:n,theme:s,hash:i}=P(),u=y(()=>{var p,g;return{label:(p=e.value.locales[t.value])==null?void 0:p.label,link:((g=e.value.locales[t.value])==null?void 0:g.link)||(t.value==="root"?"/":`/${t.value}/`)}});return{localeLinks:y(()=>Object.entries(e.value.locales).flatMap(([p,g])=>u.value.label===g.label?[]:{text:g.label,link:it(g.link||(p==="root"?"/":`/${p}/`),s.value.i18nRouting!==!1&&o,n.value.relativePath.slice(u.value.link.length-1),!e.value.cleanUrls)+i.value})),currentLang:u}}function it(o,e,t,n){return e?o.replace(/\/$/,"")+ce(t.replace(/(^|\/)index\.md$/,"$1").replace(/\.md$/,n?".html":"")):o}const lt=o=>(B("data-v-d6be1790"),o=o(),H(),o),ct={class:"NotFound"},ut={class:"code"},dt={class:"title"},vt=lt(()=>v("div",{class:"divider"},null,-1)),pt={class:"quote"},ht={class:"action"},ft=["href","aria-label"],_t=_({__name:"NotFound",setup(o){const{theme:e}=P(),{currentLang:t}=J();return(n,s)=>{var i,u,h,p,g;return a(),c("div",ct,[v("p",ut,I(((i=r(e).notFound)==null?void 0:i.code)??"404"),1),v("h1",dt,I(((u=r(e).notFound)==null?void 0:u.title)??"PAGE NOT FOUND"),1),vt,v("blockquote",pt,I(((h=r(e).notFound)==null?void 0:h.quote)??"But if you don't change your direction, and if you keep looking, you may end up where you are heading."),1),v("div",ht,[v("a",{class:"link",href:r(pe)(r(t).link),"aria-label":((p=r(e).notFound)==null?void 0:p.linkLabel)??"go to home"},I(((g=r(e).notFound)==null?void 0:g.linkText)??"Take me home"),9,ft)])])}}}),mt=b(_t,[["__scopeId","data-v-d6be1790"]]);function Ne(o,e){if(Array.isArray(o))return Q(o);if(o==null)return[];e=ce(e);const t=Object.keys(o).sort((s,i)=>i.split("/").length-s.split("/").length).find(s=>e.startsWith(ce(s))),n=t?o[t]:[];return Array.isArray(n)?Q(n):Q(n.items,n.base)}function kt(o){const e=[];let t=0;for(const n in o){const s=o[n];if(s.items){t=e.push(s);continue}e[t]||e.push({items:[]}),e[t].items.push(s)}return e}function bt(o){const e=[];function t(n){for(const s of n)s.text&&s.link&&e.push({text:s.text,link:s.link,docFooterText:s.docFooterText}),s.items&&t(s.items)}return t(o),e}function ue(o,e){return Array.isArray(e)?e.some(t=>ue(o,t)):z(o,e.link)?!0:e.items?ue(o,e.items):!1}function Q(o,e){return[...o].map(t=>{const n={...t},s=n.base||e;return s&&n.link&&(n.link=s+n.link),n.items&&(n.items=Q(n.items,s)),n})}function O(){const{frontmatter:o,page:e,theme:t}=P(),n=ie("(min-width: 960px)"),s=w(!1),i=y(()=>{const C=t.value.sidebar,S=e.value.relativePath;return C?Ne(C,S):[]}),u=w(i.value);j(i,(C,S)=>{JSON.stringify(C)!==JSON.stringify(S)&&(u.value=i.value)});const h=y(()=>o.value.sidebar!==!1&&u.value.length>0&&o.value.layout!=="home"),p=y(()=>g?o.value.aside==null?t.value.aside==="left":o.value.aside==="left":!1),g=y(()=>o.value.layout==="home"?!1:o.value.aside!=null?!!o.value.aside:t.value.aside!==!1),L=y(()=>h.value&&n.value),k=y(()=>h.value?kt(u.value):[]);function V(){s.value=!0}function T(){s.value=!1}function A(){s.value?T():V()}return{isOpen:s,sidebar:u,sidebarGroups:k,hasSidebar:h,hasAside:g,leftAside:p,isSidebarEnabled:L,open:V,close:T,toggle:A}}function $t(o,e){let t;Z(()=>{t=o.value?document.activeElement:void 0}),W(()=>{window.addEventListener("keyup",n)}),he(()=>{window.removeEventListener("keyup",n)});function n(s){s.key==="Escape"&&o.value&&(e(),t==null||t.focus())}}function gt(o){const{page:e,hash:t}=P(),n=w(!1),s=y(()=>o.value.collapsed!=null),i=y(()=>!!o.value.link),u=w(!1),h=()=>{u.value=z(e.value.relativePath,o.value.link)};j([e,o,t],h),W(h);const p=y(()=>u.value?!0:o.value.items?ue(e.value.relativePath,o.value.items):!1),g=y(()=>!!(o.value.items&&o.value.items.length));Z(()=>{n.value=!!(s.value&&o.value.collapsed)}),Pe(()=>{(u.value||p.value)&&(n.value=!1)});function L(){s.value&&(n.value=!n.value)}return{collapsed:n,collapsible:s,isLink:i,isActiveLink:u,hasActiveLink:p,hasChildren:g,toggle:L}}function yt(){const{hasSidebar:o}=O(),e=ie("(min-width: 960px)"),t=ie("(min-width: 1280px)");return{isAsideEnabled:y(()=>!t.value&&!e.value?!1:o.value?t.value:e.value)}}const de=[];function Me(o){return typeof o.outline=="object"&&!Array.isArray(o.outline)&&o.outline.label||o.outlineTitle||"On this page"}function _e(o){const e=[...document.querySelectorAll(".VPDoc :where(h1,h2,h3,h4,h5,h6)")].filter(t=>t.id&&t.hasChildNodes()).map(t=>{const n=Number(t.tagName[1]);return{element:t,title:Pt(t),link:"#"+t.id,level:n}});return Lt(e,o)}function Pt(o){let e="";for(const t of o.childNodes)if(t.nodeType===1){if(t.classList.contains("VPBadge")||t.classList.contains("header-anchor")||t.classList.contains("ignore-header"))continue;e+=t.textContent}else t.nodeType===3&&(e+=t.textContent);return e.trim()}function Lt(o,e){if(e===!1)return[];const t=(typeof e=="object"&&!Array.isArray(e)?e.level:e)||2,[n,s]=typeof t=="number"?[t,t]:t==="deep"?[2,6]:t;o=o.filter(u=>u.level>=n&&u.level<=s),de.length=0;for(const{element:u,link:h}of o)de.push({element:u,link:h});const i=[];e:for(let u=0;u=0;p--){const g=o[p];if(g.level{requestAnimationFrame(i),window.addEventListener("scroll",n)}),qe(()=>{u(location.hash)}),he(()=>{window.removeEventListener("scroll",n)});function i(){if(!t.value)return;const h=window.scrollY,p=window.innerHeight,g=document.body.offsetHeight,L=Math.abs(h+p-g)<1,k=de.map(({element:T,link:A})=>({link:A,top:St(T)})).filter(({top:T})=>!Number.isNaN(T)).sort((T,A)=>T.top-A.top);if(!k.length){u(null);return}if(h<1){u(null);return}if(L){u(k[k.length-1].link);return}let V=null;for(const{link:T,top:A}of k){if(A>h+Ke()+4)break;V=T}u(V)}function u(h){s&&s.classList.remove("active"),h==null?s=null:s=o.value.querySelector(`a[href="${decodeURIComponent(h)}"]`);const p=s;p?(p.classList.add("active"),e.value.style.top=p.offsetTop+39+"px",e.value.style.opacity="1"):(e.value.style.top="33px",e.value.style.opacity="0")}}function St(o){let e=0;for(;o!==document.body;){if(o===null)return NaN;e+=o.offsetTop,o=o.offsetParent}return e}const Tt=["href","title"],It=_({__name:"VPDocOutlineItem",props:{headers:{},root:{type:Boolean}},setup(o){function e({target:t}){const n=t.href.split("#")[1],s=document.getElementById(decodeURIComponent(n));s==null||s.focus({preventScroll:!0})}return(t,n)=>{const s=q("VPDocOutlineItem",!0);return a(),c("ul",{class:N(["VPDocOutlineItem",t.root?"root":"nested"])},[(a(!0),c(M,null,E(t.headers,({children:i,link:u,title:h})=>(a(),c("li",null,[v("a",{class:"outline-link",href:u,onClick:e,title:h},I(h),9,Tt),i!=null&&i.length?(a(),$(s,{key:0,headers:i},null,8,["headers"])):f("",!0)]))),256))],2)}}}),Ae=b(It,[["__scopeId","data-v-b933a997"]]),wt={class:"content"},Nt={"aria-level":"2",class:"outline-title",id:"doc-outline-aria-label",role:"heading"},Mt=_({__name:"VPDocAsideOutline",setup(o){const{frontmatter:e,theme:t}=P(),n=Le([]);x(()=>{n.value=_e(e.value.outline??t.value.outline)});const s=w(),i=w();return Vt(s,i),(u,h)=>(a(),c("nav",{"aria-labelledby":"doc-outline-aria-label",class:N(["VPDocAsideOutline",{"has-outline":n.value.length>0}]),ref_key:"container",ref:s},[v("div",wt,[v("div",{class:"outline-marker",ref_key:"marker",ref:i},null,512),v("div",Nt,I(r(Me)(r(t))),1),m(Ae,{headers:n.value,root:!0},null,8,["headers"])])],2))}}),At=b(Mt,[["__scopeId","data-v-a5bbad30"]]),Ct={class:"VPDocAsideCarbonAds"},Bt=_({__name:"VPDocAsideCarbonAds",props:{carbonAds:{}},setup(o){const e=()=>null;return(t,n)=>(a(),c("div",Ct,[m(r(e),{"carbon-ads":t.carbonAds},null,8,["carbon-ads"])]))}}),Ht=o=>(B("data-v-3f215769"),o=o(),H(),o),Et={class:"VPDocAside"},Dt=Ht(()=>v("div",{class:"spacer"},null,-1)),Ft=_({__name:"VPDocAside",setup(o){const{theme:e}=P();return(t,n)=>(a(),c("div",Et,[l(t.$slots,"aside-top",{},void 0,!0),l(t.$slots,"aside-outline-before",{},void 0,!0),m(At),l(t.$slots,"aside-outline-after",{},void 0,!0),Dt,l(t.$slots,"aside-ads-before",{},void 0,!0),r(e).carbonAds?(a(),$(Bt,{key:0,"carbon-ads":r(e).carbonAds},null,8,["carbon-ads"])):f("",!0),l(t.$slots,"aside-ads-after",{},void 0,!0),l(t.$slots,"aside-bottom",{},void 0,!0)]))}}),Ot=b(Ft,[["__scopeId","data-v-3f215769"]]);function Ut(){const{theme:o,page:e}=P();return y(()=>{const{text:t="Edit this page",pattern:n=""}=o.value.editLink||{};let s;return typeof n=="function"?s=n(e.value):s=n.replace(/:path/g,e.value.filePath),{url:s,text:t}})}function Gt(){const{page:o,theme:e,frontmatter:t}=P();return y(()=>{var g,L,k,V,T,A,C,S;const n=Ne(e.value.sidebar,o.value.relativePath),s=bt(n),i=jt(s,U=>U.link.replace(/[?#].*$/,"")),u=i.findIndex(U=>z(o.value.relativePath,U.link)),h=((g=e.value.docFooter)==null?void 0:g.prev)===!1&&!t.value.prev||t.value.prev===!1,p=((L=e.value.docFooter)==null?void 0:L.next)===!1&&!t.value.next||t.value.next===!1;return{prev:h?void 0:{text:(typeof t.value.prev=="string"?t.value.prev:typeof t.value.prev=="object"?t.value.prev.text:void 0)??((k=i[u-1])==null?void 0:k.docFooterText)??((V=i[u-1])==null?void 0:V.text),link:(typeof t.value.prev=="object"?t.value.prev.link:void 0)??((T=i[u-1])==null?void 0:T.link)},next:p?void 0:{text:(typeof t.value.next=="string"?t.value.next:typeof t.value.next=="object"?t.value.next.text:void 0)??((A=i[u+1])==null?void 0:A.docFooterText)??((C=i[u+1])==null?void 0:C.text),link:(typeof t.value.next=="object"?t.value.next.link:void 0)??((S=i[u+1])==null?void 0:S.link)}}})}function jt(o,e){const t=new Set;return o.filter(n=>{const s=e(n);return t.has(s)?!1:t.add(s)})}const D=_({__name:"VPLink",props:{tag:{},href:{},noIcon:{type:Boolean},target:{},rel:{}},setup(o){const e=o,t=y(()=>e.tag??(e.href?"a":"span")),n=y(()=>e.href&&Ve.test(e.href)||e.target==="_blank");return(s,i)=>(a(),$(R(t.value),{class:N(["VPLink",{link:s.href,"vp-external-link-icon":n.value,"no-icon":s.noIcon}]),href:s.href?r(fe)(s.href):void 0,target:s.target??(n.value?"_blank":void 0),rel:s.rel??(n.value?"noreferrer":void 0)},{default:d(()=>[l(s.$slots,"default")]),_:3},8,["class","href","target","rel"]))}}),zt={class:"VPLastUpdated"},qt=["datetime"],Kt=_({__name:"VPDocFooterLastUpdated",setup(o){const{theme:e,page:t,frontmatter:n,lang:s}=P(),i=y(()=>new Date(n.value.lastUpdated??t.value.lastUpdated)),u=y(()=>i.value.toISOString()),h=w("");return W(()=>{Z(()=>{var p,g,L;h.value=new Intl.DateTimeFormat((g=(p=e.value.lastUpdated)==null?void 0:p.formatOptions)!=null&&g.forceLocale?s.value:void 0,((L=e.value.lastUpdated)==null?void 0:L.formatOptions)??{dateStyle:"short",timeStyle:"short"}).format(i.value)})}),(p,g)=>{var L;return a(),c("p",zt,[F(I(((L=r(e).lastUpdated)==null?void 0:L.text)||r(e).lastUpdatedText||"Last updated")+": ",1),v("time",{datetime:u.value},I(h.value),9,qt)])}}}),Rt=b(Kt,[["__scopeId","data-v-7e05ebdb"]]),Ce=o=>(B("data-v-d4a0bba5"),o=o(),H(),o),Wt={key:0,class:"VPDocFooter"},Jt={key:0,class:"edit-info"},Yt={key:0,class:"edit-link"},Qt=Ce(()=>v("span",{class:"vpi-square-pen edit-link-icon"},null,-1)),Xt={key:1,class:"last-updated"},Zt={key:1,class:"prev-next","aria-labelledby":"doc-footer-aria-label"},xt=Ce(()=>v("span",{class:"visually-hidden",id:"doc-footer-aria-label"},"Pager",-1)),eo={class:"pager"},to=["innerHTML"],oo=["innerHTML"],so={class:"pager"},no=["innerHTML"],ao=["innerHTML"],ro=_({__name:"VPDocFooter",setup(o){const{theme:e,page:t,frontmatter:n}=P(),s=Ut(),i=Gt(),u=y(()=>e.value.editLink&&n.value.editLink!==!1),h=y(()=>t.value.lastUpdated&&n.value.lastUpdated!==!1),p=y(()=>u.value||h.value||i.value.prev||i.value.next);return(g,L)=>{var k,V,T,A;return p.value?(a(),c("footer",Wt,[l(g.$slots,"doc-footer-before",{},void 0,!0),u.value||h.value?(a(),c("div",Jt,[u.value?(a(),c("div",Yt,[m(D,{class:"edit-link-button",href:r(s).url,"no-icon":!0},{default:d(()=>[Qt,F(" "+I(r(s).text),1)]),_:1},8,["href"])])):f("",!0),h.value?(a(),c("div",Xt,[m(Rt)])):f("",!0)])):f("",!0),(k=r(i).prev)!=null&&k.link||(V=r(i).next)!=null&&V.link?(a(),c("nav",Zt,[xt,v("div",eo,[(T=r(i).prev)!=null&&T.link?(a(),$(D,{key:0,class:"pager-link prev",href:r(i).prev.link},{default:d(()=>{var C;return[v("span",{class:"desc",innerHTML:((C=r(e).docFooter)==null?void 0:C.prev)||"Previous page"},null,8,to),v("span",{class:"title",innerHTML:r(i).prev.text},null,8,oo)]}),_:1},8,["href"])):f("",!0)]),v("div",so,[(A=r(i).next)!=null&&A.link?(a(),$(D,{key:0,class:"pager-link next",href:r(i).next.link},{default:d(()=>{var C;return[v("span",{class:"desc",innerHTML:((C=r(e).docFooter)==null?void 0:C.next)||"Next page"},null,8,no),v("span",{class:"title",innerHTML:r(i).next.text},null,8,ao)]}),_:1},8,["href"])):f("",!0)])])):f("",!0)])):f("",!0)}}}),io=b(ro,[["__scopeId","data-v-d4a0bba5"]]),lo=o=>(B("data-v-39a288b8"),o=o(),H(),o),co={class:"container"},uo=lo(()=>v("div",{class:"aside-curtain"},null,-1)),vo={class:"aside-container"},po={class:"aside-content"},ho={class:"content"},fo={class:"content-container"},_o={class:"main"},mo=_({__name:"VPDoc",setup(o){const{theme:e}=P(),t=ee(),{hasSidebar:n,hasAside:s,leftAside:i}=O(),u=y(()=>t.path.replace(/[./]+/g,"_").replace(/_html$/,""));return(h,p)=>{const g=q("Content");return a(),c("div",{class:N(["VPDoc",{"has-sidebar":r(n),"has-aside":r(s)}])},[l(h.$slots,"doc-top",{},void 0,!0),v("div",co,[r(s)?(a(),c("div",{key:0,class:N(["aside",{"left-aside":r(i)}])},[uo,v("div",vo,[v("div",po,[m(Ot,null,{"aside-top":d(()=>[l(h.$slots,"aside-top",{},void 0,!0)]),"aside-bottom":d(()=>[l(h.$slots,"aside-bottom",{},void 0,!0)]),"aside-outline-before":d(()=>[l(h.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(h.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(h.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(h.$slots,"aside-ads-after",{},void 0,!0)]),_:3})])])],2)):f("",!0),v("div",ho,[v("div",fo,[l(h.$slots,"doc-before",{},void 0,!0),v("main",_o,[m(g,{class:N(["vp-doc",[u.value,r(e).externalLinkIcon&&"external-link-icon-enabled"]])},null,8,["class"])]),m(io,null,{"doc-footer-before":d(()=>[l(h.$slots,"doc-footer-before",{},void 0,!0)]),_:3}),l(h.$slots,"doc-after",{},void 0,!0)])])]),l(h.$slots,"doc-bottom",{},void 0,!0)],2)}}}),ko=b(mo,[["__scopeId","data-v-39a288b8"]]),bo=_({__name:"VPButton",props:{tag:{},size:{default:"medium"},theme:{default:"brand"},text:{},href:{},target:{},rel:{}},setup(o){const e=o,t=y(()=>e.href&&Ve.test(e.href)),n=y(()=>e.tag||e.href?"a":"button");return(s,i)=>(a(),$(R(n.value),{class:N(["VPButton",[s.size,s.theme]]),href:s.href?r(fe)(s.href):void 0,target:e.target??(t.value?"_blank":void 0),rel:e.rel??(t.value?"noreferrer":void 0)},{default:d(()=>[F(I(s.text),1)]),_:1},8,["class","href","target","rel"]))}}),$o=b(bo,[["__scopeId","data-v-cad61b99"]]),go=["src","alt"],yo=_({inheritAttrs:!1,__name:"VPImage",props:{image:{},alt:{}},setup(o){return(e,t)=>{const n=q("VPImage",!0);return e.image?(a(),c(M,{key:0},[typeof e.image=="string"||"src"in e.image?(a(),c("img",Y({key:0,class:"VPImage"},typeof e.image=="string"?e.$attrs:{...e.image,...e.$attrs},{src:r(pe)(typeof e.image=="string"?e.image:e.image.src),alt:e.alt??(typeof e.image=="string"?"":e.image.alt||"")}),null,16,go)):(a(),c(M,{key:1},[m(n,Y({class:"dark",image:e.image.dark,alt:e.image.alt},e.$attrs),null,16,["image","alt"]),m(n,Y({class:"light",image:e.image.light,alt:e.image.alt},e.$attrs),null,16,["image","alt"])],64))],64)):f("",!0)}}}),X=b(yo,[["__scopeId","data-v-8426fc1a"]]),Po=o=>(B("data-v-303bb580"),o=o(),H(),o),Lo={class:"container"},Vo={class:"main"},So={key:0,class:"name"},To=["innerHTML"],Io=["innerHTML"],wo=["innerHTML"],No={key:0,class:"actions"},Mo={key:0,class:"image"},Ao={class:"image-container"},Co=Po(()=>v("div",{class:"image-bg"},null,-1)),Bo=_({__name:"VPHero",props:{name:{},text:{},tagline:{},image:{},actions:{}},setup(o){const e=te("hero-image-slot-exists");return(t,n)=>(a(),c("div",{class:N(["VPHero",{"has-image":t.image||r(e)}])},[v("div",Lo,[v("div",Vo,[l(t.$slots,"home-hero-info-before",{},void 0,!0),l(t.$slots,"home-hero-info",{},()=>[t.name?(a(),c("h1",So,[v("span",{innerHTML:t.name,class:"clip"},null,8,To)])):f("",!0),t.text?(a(),c("p",{key:1,innerHTML:t.text,class:"text"},null,8,Io)):f("",!0),t.tagline?(a(),c("p",{key:2,innerHTML:t.tagline,class:"tagline"},null,8,wo)):f("",!0)],!0),l(t.$slots,"home-hero-info-after",{},void 0,!0),t.actions?(a(),c("div",No,[(a(!0),c(M,null,E(t.actions,s=>(a(),c("div",{key:s.link,class:"action"},[m($o,{tag:"a",size:"medium",theme:s.theme,text:s.text,href:s.link,target:s.target,rel:s.rel},null,8,["theme","text","href","target","rel"])]))),128))])):f("",!0),l(t.$slots,"home-hero-actions-after",{},void 0,!0)]),t.image||r(e)?(a(),c("div",Mo,[v("div",Ao,[Co,l(t.$slots,"home-hero-image",{},()=>[t.image?(a(),$(X,{key:0,class:"image-src",image:t.image},null,8,["image"])):f("",!0)],!0)])])):f("",!0)])],2))}}),Ho=b(Bo,[["__scopeId","data-v-303bb580"]]),Eo=_({__name:"VPHomeHero",setup(o){const{frontmatter:e}=P();return(t,n)=>r(e).hero?(a(),$(Ho,{key:0,class:"VPHomeHero",name:r(e).hero.name,text:r(e).hero.text,tagline:r(e).hero.tagline,image:r(e).hero.image,actions:r(e).hero.actions},{"home-hero-info-before":d(()=>[l(t.$slots,"home-hero-info-before")]),"home-hero-info":d(()=>[l(t.$slots,"home-hero-info")]),"home-hero-info-after":d(()=>[l(t.$slots,"home-hero-info-after")]),"home-hero-actions-after":d(()=>[l(t.$slots,"home-hero-actions-after")]),"home-hero-image":d(()=>[l(t.$slots,"home-hero-image")]),_:3},8,["name","text","tagline","image","actions"])):f("",!0)}}),Do=o=>(B("data-v-a3976bdc"),o=o(),H(),o),Fo={class:"box"},Oo={key:0,class:"icon"},Uo=["innerHTML"],Go=["innerHTML"],jo=["innerHTML"],zo={key:4,class:"link-text"},qo={class:"link-text-value"},Ko=Do(()=>v("span",{class:"vpi-arrow-right link-text-icon"},null,-1)),Ro=_({__name:"VPFeature",props:{icon:{},title:{},details:{},link:{},linkText:{},rel:{},target:{}},setup(o){return(e,t)=>(a(),$(D,{class:"VPFeature",href:e.link,rel:e.rel,target:e.target,"no-icon":!0,tag:e.link?"a":"div"},{default:d(()=>[v("article",Fo,[typeof e.icon=="object"&&e.icon.wrap?(a(),c("div",Oo,[m(X,{image:e.icon,alt:e.icon.alt,height:e.icon.height||48,width:e.icon.width||48},null,8,["image","alt","height","width"])])):typeof e.icon=="object"?(a(),$(X,{key:1,image:e.icon,alt:e.icon.alt,height:e.icon.height||48,width:e.icon.width||48},null,8,["image","alt","height","width"])):e.icon?(a(),c("div",{key:2,class:"icon",innerHTML:e.icon},null,8,Uo)):f("",!0),v("h2",{class:"title",innerHTML:e.title},null,8,Go),e.details?(a(),c("p",{key:3,class:"details",innerHTML:e.details},null,8,jo)):f("",!0),e.linkText?(a(),c("div",zo,[v("p",qo,[F(I(e.linkText)+" ",1),Ko])])):f("",!0)])]),_:1},8,["href","rel","target","tag"]))}}),Wo=b(Ro,[["__scopeId","data-v-a3976bdc"]]),Jo={key:0,class:"VPFeatures"},Yo={class:"container"},Qo={class:"items"},Xo=_({__name:"VPFeatures",props:{features:{}},setup(o){const e=o,t=y(()=>{const n=e.features.length;if(n){if(n===2)return"grid-2";if(n===3)return"grid-3";if(n%3===0)return"grid-6";if(n>3)return"grid-4"}else return});return(n,s)=>n.features?(a(),c("div",Jo,[v("div",Yo,[v("div",Qo,[(a(!0),c(M,null,E(n.features,i=>(a(),c("div",{key:i.title,class:N(["item",[t.value]])},[m(Wo,{icon:i.icon,title:i.title,details:i.details,link:i.link,"link-text":i.linkText,rel:i.rel,target:i.target},null,8,["icon","title","details","link","link-text","rel","target"])],2))),128))])])])):f("",!0)}}),Zo=b(Xo,[["__scopeId","data-v-a6181336"]]),xo=_({__name:"VPHomeFeatures",setup(o){const{frontmatter:e}=P();return(t,n)=>r(e).features?(a(),$(Zo,{key:0,class:"VPHomeFeatures",features:r(e).features},null,8,["features"])):f("",!0)}}),es=_({__name:"VPHomeContent",setup(o){const{width:e}=Re({initialWidth:0,includeScrollbar:!1});return(t,n)=>(a(),c("div",{class:"vp-doc container",style:Se(r(e)?{"--vp-offset":`calc(50% - ${r(e)/2}px)`}:{})},[l(t.$slots,"default",{},void 0,!0)],4))}}),ts=b(es,[["__scopeId","data-v-8e2d4988"]]),os={class:"VPHome"},ss=_({__name:"VPHome",setup(o){const{frontmatter:e}=P();return(t,n)=>{const s=q("Content");return a(),c("div",os,[l(t.$slots,"home-hero-before",{},void 0,!0),m(Eo,null,{"home-hero-info-before":d(()=>[l(t.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(t.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(t.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(t.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(t.$slots,"home-hero-image",{},void 0,!0)]),_:3}),l(t.$slots,"home-hero-after",{},void 0,!0),l(t.$slots,"home-features-before",{},void 0,!0),m(xo),l(t.$slots,"home-features-after",{},void 0,!0),r(e).markdownStyles!==!1?(a(),$(ts,{key:0},{default:d(()=>[m(s)]),_:1})):(a(),$(s,{key:1}))])}}}),ns=b(ss,[["__scopeId","data-v-686f80a6"]]),as={},rs={class:"VPPage"};function is(o,e){const t=q("Content");return a(),c("div",rs,[l(o.$slots,"page-top"),m(t),l(o.$slots,"page-bottom")])}const ls=b(as,[["render",is]]),cs=_({__name:"VPContent",setup(o){const{page:e,frontmatter:t}=P(),{hasSidebar:n}=O();return(s,i)=>(a(),c("div",{class:N(["VPContent",{"has-sidebar":r(n),"is-home":r(t).layout==="home"}]),id:"VPContent"},[r(e).isNotFound?l(s.$slots,"not-found",{key:0},()=>[m(mt)],!0):r(t).layout==="page"?(a(),$(ls,{key:1},{"page-top":d(()=>[l(s.$slots,"page-top",{},void 0,!0)]),"page-bottom":d(()=>[l(s.$slots,"page-bottom",{},void 0,!0)]),_:3})):r(t).layout==="home"?(a(),$(ns,{key:2},{"home-hero-before":d(()=>[l(s.$slots,"home-hero-before",{},void 0,!0)]),"home-hero-info-before":d(()=>[l(s.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(s.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(s.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(s.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(s.$slots,"home-hero-image",{},void 0,!0)]),"home-hero-after":d(()=>[l(s.$slots,"home-hero-after",{},void 0,!0)]),"home-features-before":d(()=>[l(s.$slots,"home-features-before",{},void 0,!0)]),"home-features-after":d(()=>[l(s.$slots,"home-features-after",{},void 0,!0)]),_:3})):r(t).layout&&r(t).layout!=="doc"?(a(),$(R(r(t).layout),{key:3})):(a(),$(ko,{key:4},{"doc-top":d(()=>[l(s.$slots,"doc-top",{},void 0,!0)]),"doc-bottom":d(()=>[l(s.$slots,"doc-bottom",{},void 0,!0)]),"doc-footer-before":d(()=>[l(s.$slots,"doc-footer-before",{},void 0,!0)]),"doc-before":d(()=>[l(s.$slots,"doc-before",{},void 0,!0)]),"doc-after":d(()=>[l(s.$slots,"doc-after",{},void 0,!0)]),"aside-top":d(()=>[l(s.$slots,"aside-top",{},void 0,!0)]),"aside-outline-before":d(()=>[l(s.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(s.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(s.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(s.$slots,"aside-ads-after",{},void 0,!0)]),"aside-bottom":d(()=>[l(s.$slots,"aside-bottom",{},void 0,!0)]),_:3}))],2))}}),us=b(cs,[["__scopeId","data-v-1428d186"]]),ds={class:"container"},vs=["innerHTML"],ps=["innerHTML"],hs=_({__name:"VPFooter",setup(o){const{theme:e,frontmatter:t}=P(),{hasSidebar:n}=O();return(s,i)=>r(e).footer&&r(t).footer!==!1?(a(),c("footer",{key:0,class:N(["VPFooter",{"has-sidebar":r(n)}])},[v("div",ds,[r(e).footer.message?(a(),c("p",{key:0,class:"message",innerHTML:r(e).footer.message},null,8,vs)):f("",!0),r(e).footer.copyright?(a(),c("p",{key:1,class:"copyright",innerHTML:r(e).footer.copyright},null,8,ps)):f("",!0)])],2)):f("",!0)}}),fs=b(hs,[["__scopeId","data-v-e315a0ad"]]);function _s(){const{theme:o,frontmatter:e}=P(),t=Le([]),n=y(()=>t.value.length>0);return x(()=>{t.value=_e(e.value.outline??o.value.outline)}),{headers:t,hasLocalNav:n}}const ms=o=>(B("data-v-17a5e62e"),o=o(),H(),o),ks={class:"menu-text"},bs=ms(()=>v("span",{class:"vpi-chevron-right icon"},null,-1)),$s={class:"header"},gs={class:"outline"},ys=_({__name:"VPLocalNavOutlineDropdown",props:{headers:{},navHeight:{}},setup(o){const e=o,{theme:t}=P(),n=w(!1),s=w(0),i=w(),u=w();function h(k){var V;(V=i.value)!=null&&V.contains(k.target)||(n.value=!1)}j(n,k=>{if(k){document.addEventListener("click",h);return}document.removeEventListener("click",h)}),le("Escape",()=>{n.value=!1}),x(()=>{n.value=!1});function p(){n.value=!n.value,s.value=window.innerHeight+Math.min(window.scrollY-e.navHeight,0)}function g(k){k.target.classList.contains("outline-link")&&(u.value&&(u.value.style.transition="none"),We(()=>{n.value=!1}))}function L(){n.value=!1,window.scrollTo({top:0,left:0,behavior:"smooth"})}return(k,V)=>(a(),c("div",{class:"VPLocalNavOutlineDropdown",style:Se({"--vp-vh":s.value+"px"}),ref_key:"main",ref:i},[k.headers.length>0?(a(),c("button",{key:0,onClick:p,class:N({open:n.value})},[v("span",ks,I(r(Me)(r(t))),1),bs],2)):(a(),c("button",{key:1,onClick:L},I(r(t).returnToTopLabel||"Return to top"),1)),m(ve,{name:"flyout"},{default:d(()=>[n.value?(a(),c("div",{key:0,ref_key:"items",ref:u,class:"items",onClick:g},[v("div",$s,[v("a",{class:"top-link",href:"#",onClick:L},I(r(t).returnToTopLabel||"Return to top"),1)]),v("div",gs,[m(Ae,{headers:k.headers},null,8,["headers"])])],512)):f("",!0)]),_:1})],4))}}),Ps=b(ys,[["__scopeId","data-v-17a5e62e"]]),Ls=o=>(B("data-v-a6f0e41e"),o=o(),H(),o),Vs={class:"container"},Ss=["aria-expanded"],Ts=Ls(()=>v("span",{class:"vpi-align-left menu-icon"},null,-1)),Is={class:"menu-text"},ws=_({__name:"VPLocalNav",props:{open:{type:Boolean}},emits:["open-menu"],setup(o){const{theme:e,frontmatter:t}=P(),{hasSidebar:n}=O(),{headers:s}=_s(),{y:i}=Te(),u=w(0);W(()=>{u.value=parseInt(getComputedStyle(document.documentElement).getPropertyValue("--vp-nav-height"))}),x(()=>{s.value=_e(t.value.outline??e.value.outline)});const h=y(()=>s.value.length===0),p=y(()=>h.value&&!n.value),g=y(()=>({VPLocalNav:!0,"has-sidebar":n.value,empty:h.value,fixed:p.value}));return(L,k)=>r(t).layout!=="home"&&(!p.value||r(i)>=u.value)?(a(),c("div",{key:0,class:N(g.value)},[v("div",Vs,[r(n)?(a(),c("button",{key:0,class:"menu","aria-expanded":L.open,"aria-controls":"VPSidebarNav",onClick:k[0]||(k[0]=V=>L.$emit("open-menu"))},[Ts,v("span",Is,I(r(e).sidebarMenuLabel||"Menu"),1)],8,Ss)):f("",!0),m(Ps,{headers:r(s),navHeight:u.value},null,8,["headers","navHeight"])])],2)):f("",!0)}}),Ns=b(ws,[["__scopeId","data-v-a6f0e41e"]]);function Ms(){const o=w(!1);function e(){o.value=!0,window.addEventListener("resize",s)}function t(){o.value=!1,window.removeEventListener("resize",s)}function n(){o.value?t():e()}function s(){window.outerWidth>=768&&t()}const i=ee();return j(()=>i.path,t),{isScreenOpen:o,openScreen:e,closeScreen:t,toggleScreen:n}}const As={},Cs={class:"VPSwitch",type:"button",role:"switch"},Bs={class:"check"},Hs={key:0,class:"icon"};function Es(o,e){return a(),c("button",Cs,[v("span",Bs,[o.$slots.default?(a(),c("span",Hs,[l(o.$slots,"default",{},void 0,!0)])):f("",!0)])])}const Ds=b(As,[["render",Es],["__scopeId","data-v-1d5665e3"]]),Be=o=>(B("data-v-d1f28634"),o=o(),H(),o),Fs=Be(()=>v("span",{class:"vpi-sun sun"},null,-1)),Os=Be(()=>v("span",{class:"vpi-moon moon"},null,-1)),Us=_({__name:"VPSwitchAppearance",setup(o){const{isDark:e,theme:t}=P(),n=te("toggle-appearance",()=>{e.value=!e.value}),s=y(()=>e.value?t.value.lightModeSwitchTitle||"Switch to light theme":t.value.darkModeSwitchTitle||"Switch to dark theme");return(i,u)=>(a(),$(Ds,{title:s.value,class:"VPSwitchAppearance","aria-checked":r(e),onClick:r(n)},{default:d(()=>[Fs,Os]),_:1},8,["title","aria-checked","onClick"]))}}),me=b(Us,[["__scopeId","data-v-d1f28634"]]),Gs={key:0,class:"VPNavBarAppearance"},js=_({__name:"VPNavBarAppearance",setup(o){const{site:e}=P();return(t,n)=>r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",Gs,[m(me)])):f("",!0)}}),zs=b(js,[["__scopeId","data-v-e6aabb21"]]),ke=w();let He=!1,re=0;function qs(o){const e=w(!1);if(oe){!He&&Ks(),re++;const t=j(ke,n=>{var s,i,u;n===o.el.value||(s=o.el.value)!=null&&s.contains(n)?(e.value=!0,(i=o.onFocus)==null||i.call(o)):(e.value=!1,(u=o.onBlur)==null||u.call(o))});he(()=>{t(),re--,re||Rs()})}return Je(e)}function Ks(){document.addEventListener("focusin",Ee),He=!0,ke.value=document.activeElement}function Rs(){document.removeEventListener("focusin",Ee)}function Ee(){ke.value=document.activeElement}const Ws={class:"VPMenuLink"},Js=_({__name:"VPMenuLink",props:{item:{}},setup(o){const{page:e}=P();return(t,n)=>(a(),c("div",Ws,[m(D,{class:N({active:r(z)(r(e).relativePath,t.item.activeMatch||t.item.link,!!t.item.activeMatch)}),href:t.item.link,target:t.item.target,rel:t.item.rel},{default:d(()=>[F(I(t.item.text),1)]),_:1},8,["class","href","target","rel"])]))}}),se=b(Js,[["__scopeId","data-v-43f1e123"]]),Ys={class:"VPMenuGroup"},Qs={key:0,class:"title"},Xs=_({__name:"VPMenuGroup",props:{text:{},items:{}},setup(o){return(e,t)=>(a(),c("div",Ys,[e.text?(a(),c("p",Qs,I(e.text),1)):f("",!0),(a(!0),c(M,null,E(e.items,n=>(a(),c(M,null,["link"in n?(a(),$(se,{key:0,item:n},null,8,["item"])):f("",!0)],64))),256))]))}}),Zs=b(Xs,[["__scopeId","data-v-69e747b5"]]),xs={class:"VPMenu"},en={key:0,class:"items"},tn=_({__name:"VPMenu",props:{items:{}},setup(o){return(e,t)=>(a(),c("div",xs,[e.items?(a(),c("div",en,[(a(!0),c(M,null,E(e.items,n=>(a(),c(M,{key:n.text},["link"in n?(a(),$(se,{key:0,item:n},null,8,["item"])):(a(),$(Zs,{key:1,text:n.text,items:n.items},null,8,["text","items"]))],64))),128))])):f("",!0),l(e.$slots,"default",{},void 0,!0)]))}}),on=b(tn,[["__scopeId","data-v-e7ea1737"]]),sn=o=>(B("data-v-b6c34ac9"),o=o(),H(),o),nn=["aria-expanded","aria-label"],an={key:0,class:"text"},rn=["innerHTML"],ln=sn(()=>v("span",{class:"vpi-chevron-down text-icon"},null,-1)),cn={key:1,class:"vpi-more-horizontal icon"},un={class:"menu"},dn=_({__name:"VPFlyout",props:{icon:{},button:{},label:{},items:{}},setup(o){const e=w(!1),t=w();qs({el:t,onBlur:n});function n(){e.value=!1}return(s,i)=>(a(),c("div",{class:"VPFlyout",ref_key:"el",ref:t,onMouseenter:i[1]||(i[1]=u=>e.value=!0),onMouseleave:i[2]||(i[2]=u=>e.value=!1)},[v("button",{type:"button",class:"button","aria-haspopup":"true","aria-expanded":e.value,"aria-label":s.label,onClick:i[0]||(i[0]=u=>e.value=!e.value)},[s.button||s.icon?(a(),c("span",an,[s.icon?(a(),c("span",{key:0,class:N([s.icon,"option-icon"])},null,2)):f("",!0),s.button?(a(),c("span",{key:1,innerHTML:s.button},null,8,rn)):f("",!0),ln])):(a(),c("span",cn))],8,nn),v("div",un,[m(on,{items:s.items},{default:d(()=>[l(s.$slots,"default",{},void 0,!0)]),_:3},8,["items"])])],544))}}),be=b(dn,[["__scopeId","data-v-b6c34ac9"]]),vn=["href","aria-label","innerHTML"],pn=_({__name:"VPSocialLink",props:{icon:{},link:{},ariaLabel:{}},setup(o){const e=o,t=y(()=>typeof e.icon=="object"?e.icon.svg:``);return(n,s)=>(a(),c("a",{class:"VPSocialLink no-icon",href:n.link,"aria-label":n.ariaLabel??(typeof n.icon=="string"?n.icon:""),target:"_blank",rel:"noopener",innerHTML:t.value},null,8,vn))}}),hn=b(pn,[["__scopeId","data-v-eee4e7cb"]]),fn={class:"VPSocialLinks"},_n=_({__name:"VPSocialLinks",props:{links:{}},setup(o){return(e,t)=>(a(),c("div",fn,[(a(!0),c(M,null,E(e.links,({link:n,icon:s,ariaLabel:i})=>(a(),$(hn,{key:n,icon:s,link:n,ariaLabel:i},null,8,["icon","link","ariaLabel"]))),128))]))}}),$e=b(_n,[["__scopeId","data-v-7bc22406"]]),mn={key:0,class:"group translations"},kn={class:"trans-title"},bn={key:1,class:"group"},$n={class:"item appearance"},gn={class:"label"},yn={class:"appearance-action"},Pn={key:2,class:"group"},Ln={class:"item social-links"},Vn=_({__name:"VPNavBarExtra",setup(o){const{site:e,theme:t}=P(),{localeLinks:n,currentLang:s}=J({correspondingLink:!0}),i=y(()=>n.value.length&&s.value.label||e.value.appearance||t.value.socialLinks);return(u,h)=>i.value?(a(),$(be,{key:0,class:"VPNavBarExtra",label:"extra navigation"},{default:d(()=>[r(n).length&&r(s).label?(a(),c("div",mn,[v("p",kn,I(r(s).label),1),(a(!0),c(M,null,E(r(n),p=>(a(),$(se,{key:p.link,item:p},null,8,["item"]))),128))])):f("",!0),r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",bn,[v("div",$n,[v("p",gn,I(r(t).darkModeSwitchLabel||"Appearance"),1),v("div",yn,[m(me)])])])):f("",!0),r(t).socialLinks?(a(),c("div",Pn,[v("div",Ln,[m($e,{class:"social-links-list",links:r(t).socialLinks},null,8,["links"])])])):f("",!0)]),_:1})):f("",!0)}}),Sn=b(Vn,[["__scopeId","data-v-d0bd9dde"]]),Tn=o=>(B("data-v-e5dd9c1c"),o=o(),H(),o),In=["aria-expanded"],wn=Tn(()=>v("span",{class:"container"},[v("span",{class:"top"}),v("span",{class:"middle"}),v("span",{class:"bottom"})],-1)),Nn=[wn],Mn=_({__name:"VPNavBarHamburger",props:{active:{type:Boolean}},emits:["click"],setup(o){return(e,t)=>(a(),c("button",{type:"button",class:N(["VPNavBarHamburger",{active:e.active}]),"aria-label":"mobile navigation","aria-expanded":e.active,"aria-controls":"VPNavScreen",onClick:t[0]||(t[0]=n=>e.$emit("click"))},Nn,10,In))}}),An=b(Mn,[["__scopeId","data-v-e5dd9c1c"]]),Cn=["innerHTML"],Bn=_({__name:"VPNavBarMenuLink",props:{item:{}},setup(o){const{page:e}=P();return(t,n)=>(a(),$(D,{class:N({VPNavBarMenuLink:!0,active:r(z)(r(e).relativePath,t.item.activeMatch||t.item.link,!!t.item.activeMatch)}),href:t.item.link,noIcon:t.item.noIcon,target:t.item.target,rel:t.item.rel,tabindex:"0"},{default:d(()=>[v("span",{innerHTML:t.item.text},null,8,Cn)]),_:1},8,["class","href","noIcon","target","rel"]))}}),Hn=b(Bn,[["__scopeId","data-v-9c663999"]]),En=_({__name:"VPNavBarMenuGroup",props:{item:{}},setup(o){const e=o,{page:t}=P(),n=i=>"link"in i?z(t.value.relativePath,i.link,!!e.item.activeMatch):i.items.some(n),s=y(()=>n(e.item));return(i,u)=>(a(),$(be,{class:N({VPNavBarMenuGroup:!0,active:r(z)(r(t).relativePath,i.item.activeMatch,!!i.item.activeMatch)||s.value}),button:i.item.text,items:i.item.items},null,8,["class","button","items"]))}}),Dn=o=>(B("data-v-7f418b0f"),o=o(),H(),o),Fn={key:0,"aria-labelledby":"main-nav-aria-label",class:"VPNavBarMenu"},On=Dn(()=>v("span",{id:"main-nav-aria-label",class:"visually-hidden"},"Main Navigation",-1)),Un=_({__name:"VPNavBarMenu",setup(o){const{theme:e}=P();return(t,n)=>r(e).nav?(a(),c("nav",Fn,[On,(a(!0),c(M,null,E(r(e).nav,s=>(a(),c(M,{key:s.text},["link"in s?(a(),$(Hn,{key:0,item:s},null,8,["item"])):(a(),$(En,{key:1,item:s},null,8,["item"]))],64))),128))])):f("",!0)}}),Gn=b(Un,[["__scopeId","data-v-7f418b0f"]]);function jn(o){const{localeIndex:e,theme:t}=P();function n(s){var A,C,S;const i=s.split("."),u=(A=t.value.search)==null?void 0:A.options,h=u&&typeof u=="object",p=h&&((S=(C=u.locales)==null?void 0:C[e.value])==null?void 0:S.translations)||null,g=h&&u.translations||null;let L=p,k=g,V=o;const T=i.pop();for(const U of i){let G=null;const K=V==null?void 0:V[U];K&&(G=V=K);const ne=k==null?void 0:k[U];ne&&(G=k=ne);const ae=L==null?void 0:L[U];ae&&(G=L=ae),K||(V=G),ne||(k=G),ae||(L=G)}return(L==null?void 0:L[T])??(k==null?void 0:k[T])??(V==null?void 0:V[T])??""}return n}const zn=["aria-label"],qn={class:"DocSearch-Button-Container"},Kn=v("span",{class:"vp-icon DocSearch-Search-Icon"},null,-1),Rn={class:"DocSearch-Button-Placeholder"},Wn=v("span",{class:"DocSearch-Button-Keys"},[v("kbd",{class:"DocSearch-Button-Key"}),v("kbd",{class:"DocSearch-Button-Key"},"K")],-1),ge=_({__name:"VPNavBarSearchButton",setup(o){const t=jn({button:{buttonText:"Search",buttonAriaLabel:"Search"}});return(n,s)=>(a(),c("button",{type:"button",class:"DocSearch DocSearch-Button","aria-label":r(t)("button.buttonAriaLabel")},[v("span",qn,[Kn,v("span",Rn,I(r(t)("button.buttonText")),1)]),Wn],8,zn))}}),Jn={class:"VPNavBarSearch"},Yn={id:"local-search"},Qn={key:1,id:"docsearch"},Xn=_({__name:"VPNavBarSearch",setup(o){const e=Ye(()=>Qe(()=>import("./VPLocalSearchBox.CYTF2mH5.js"),__vite__mapDeps([0,1]))),t=()=>null,{theme:n}=P(),s=w(!1),i=w(!1);W(()=>{});function u(){s.value||(s.value=!0,setTimeout(h,16))}function h(){const k=new Event("keydown");k.key="k",k.metaKey=!0,window.dispatchEvent(k),setTimeout(()=>{document.querySelector(".DocSearch-Modal")||h()},16)}function p(k){const V=k.target,T=V.tagName;return V.isContentEditable||T==="INPUT"||T==="SELECT"||T==="TEXTAREA"}const g=w(!1);le("k",k=>{(k.ctrlKey||k.metaKey)&&(k.preventDefault(),g.value=!0)}),le("/",k=>{p(k)||(k.preventDefault(),g.value=!0)});const L="local";return(k,V)=>{var T;return a(),c("div",Jn,[r(L)==="local"?(a(),c(M,{key:0},[g.value?(a(),$(r(e),{key:0,onClose:V[0]||(V[0]=A=>g.value=!1)})):f("",!0),v("div",Yn,[m(ge,{onClick:V[1]||(V[1]=A=>g.value=!0)})])],64)):r(L)==="algolia"?(a(),c(M,{key:1},[s.value?(a(),$(r(t),{key:0,algolia:((T=r(n).search)==null?void 0:T.options)??r(n).algolia,onVnodeBeforeMount:V[2]||(V[2]=A=>i.value=!0)},null,8,["algolia"])):f("",!0),i.value?f("",!0):(a(),c("div",Qn,[m(ge,{onClick:u})]))],64)):f("",!0)])}}}),Zn=_({__name:"VPNavBarSocialLinks",setup(o){const{theme:e}=P();return(t,n)=>r(e).socialLinks?(a(),$($e,{key:0,class:"VPNavBarSocialLinks",links:r(e).socialLinks},null,8,["links"])):f("",!0)}}),xn=b(Zn,[["__scopeId","data-v-0394ad82"]]),ea=["href","rel","target"],ta={key:1},oa={key:2},sa=_({__name:"VPNavBarTitle",setup(o){const{site:e,theme:t}=P(),{hasSidebar:n}=O(),{currentLang:s}=J(),i=y(()=>{var p;return typeof t.value.logoLink=="string"?t.value.logoLink:(p=t.value.logoLink)==null?void 0:p.link}),u=y(()=>{var p;return typeof t.value.logoLink=="string"||(p=t.value.logoLink)==null?void 0:p.rel}),h=y(()=>{var p;return typeof t.value.logoLink=="string"||(p=t.value.logoLink)==null?void 0:p.target});return(p,g)=>(a(),c("div",{class:N(["VPNavBarTitle",{"has-sidebar":r(n)}])},[v("a",{class:"title",href:i.value??r(fe)(r(s).link),rel:u.value,target:h.value},[l(p.$slots,"nav-bar-title-before",{},void 0,!0),r(t).logo?(a(),$(X,{key:0,class:"logo",image:r(t).logo},null,8,["image"])):f("",!0),r(t).siteTitle?(a(),c("span",ta,I(r(t).siteTitle),1)):r(t).siteTitle===void 0?(a(),c("span",oa,I(r(e).title),1)):f("",!0),l(p.$slots,"nav-bar-title-after",{},void 0,!0)],8,ea)],2))}}),na=b(sa,[["__scopeId","data-v-ab179fa1"]]),aa={class:"items"},ra={class:"title"},ia=_({__name:"VPNavBarTranslations",setup(o){const{theme:e}=P(),{localeLinks:t,currentLang:n}=J({correspondingLink:!0});return(s,i)=>r(t).length&&r(n).label?(a(),$(be,{key:0,class:"VPNavBarTranslations",icon:"vpi-languages",label:r(e).langMenuLabel||"Change language"},{default:d(()=>[v("div",aa,[v("p",ra,I(r(n).label),1),(a(!0),c(M,null,E(r(t),u=>(a(),$(se,{key:u.link,item:u},null,8,["item"]))),128))])]),_:1},8,["label"])):f("",!0)}}),la=b(ia,[["__scopeId","data-v-88af2de4"]]),ca=o=>(B("data-v-ccf7ddec"),o=o(),H(),o),ua={class:"wrapper"},da={class:"container"},va={class:"title"},pa={class:"content"},ha={class:"content-body"},fa=ca(()=>v("div",{class:"divider"},[v("div",{class:"divider-line"})],-1)),_a=_({__name:"VPNavBar",props:{isScreenOpen:{type:Boolean}},emits:["toggle-screen"],setup(o){const{y:e}=Te(),{hasSidebar:t}=O(),{frontmatter:n}=P(),s=w({});return Pe(()=>{s.value={"has-sidebar":t.value,home:n.value.layout==="home",top:e.value===0}}),(i,u)=>(a(),c("div",{class:N(["VPNavBar",s.value])},[v("div",ua,[v("div",da,[v("div",va,[m(na,null,{"nav-bar-title-before":d(()=>[l(i.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(i.$slots,"nav-bar-title-after",{},void 0,!0)]),_:3})]),v("div",pa,[v("div",ha,[l(i.$slots,"nav-bar-content-before",{},void 0,!0),m(Xn,{class:"search"}),m(Gn,{class:"menu"}),m(la,{class:"translations"}),m(zs,{class:"appearance"}),m(xn,{class:"social-links"}),m(Sn,{class:"extra"}),l(i.$slots,"nav-bar-content-after",{},void 0,!0),m(An,{class:"hamburger",active:i.isScreenOpen,onClick:u[0]||(u[0]=h=>i.$emit("toggle-screen"))},null,8,["active"])])])])]),fa],2))}}),ma=b(_a,[["__scopeId","data-v-ccf7ddec"]]),ka={key:0,class:"VPNavScreenAppearance"},ba={class:"text"},$a=_({__name:"VPNavScreenAppearance",setup(o){const{site:e,theme:t}=P();return(n,s)=>r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",ka,[v("p",ba,I(r(t).darkModeSwitchLabel||"Appearance"),1),m(me)])):f("",!0)}}),ga=b($a,[["__scopeId","data-v-2d7af913"]]),ya=_({__name:"VPNavScreenMenuLink",props:{item:{}},setup(o){const e=te("close-screen");return(t,n)=>(a(),$(D,{class:"VPNavScreenMenuLink",href:t.item.link,target:t.item.target,rel:t.item.rel,onClick:r(e),innerHTML:t.item.text},null,8,["href","target","rel","onClick","innerHTML"]))}}),Pa=b(ya,[["__scopeId","data-v-7f31e1f6"]]),La=_({__name:"VPNavScreenMenuGroupLink",props:{item:{}},setup(o){const e=te("close-screen");return(t,n)=>(a(),$(D,{class:"VPNavScreenMenuGroupLink",href:t.item.link,target:t.item.target,rel:t.item.rel,onClick:r(e)},{default:d(()=>[F(I(t.item.text),1)]),_:1},8,["href","target","rel","onClick"]))}}),De=b(La,[["__scopeId","data-v-19976ae1"]]),Va={class:"VPNavScreenMenuGroupSection"},Sa={key:0,class:"title"},Ta=_({__name:"VPNavScreenMenuGroupSection",props:{text:{},items:{}},setup(o){return(e,t)=>(a(),c("div",Va,[e.text?(a(),c("p",Sa,I(e.text),1)):f("",!0),(a(!0),c(M,null,E(e.items,n=>(a(),$(De,{key:n.text,item:n},null,8,["item"]))),128))]))}}),Ia=b(Ta,[["__scopeId","data-v-8133b170"]]),wa=o=>(B("data-v-ff6087d4"),o=o(),H(),o),Na=["aria-controls","aria-expanded"],Ma=["innerHTML"],Aa=wa(()=>v("span",{class:"vpi-plus button-icon"},null,-1)),Ca=["id"],Ba={key:1,class:"group"},Ha=_({__name:"VPNavScreenMenuGroup",props:{text:{},items:{}},setup(o){const e=o,t=w(!1),n=y(()=>`NavScreenGroup-${e.text.replace(" ","-").toLowerCase()}`);function s(){t.value=!t.value}return(i,u)=>(a(),c("div",{class:N(["VPNavScreenMenuGroup",{open:t.value}])},[v("button",{class:"button","aria-controls":n.value,"aria-expanded":t.value,onClick:s},[v("span",{class:"button-text",innerHTML:i.text},null,8,Ma),Aa],8,Na),v("div",{id:n.value,class:"items"},[(a(!0),c(M,null,E(i.items,h=>(a(),c(M,{key:h.text},["link"in h?(a(),c("div",{key:h.text,class:"item"},[m(De,{item:h},null,8,["item"])])):(a(),c("div",Ba,[m(Ia,{text:h.text,items:h.items},null,8,["text","items"])]))],64))),128))],8,Ca)],2))}}),Ea=b(Ha,[["__scopeId","data-v-ff6087d4"]]),Da={key:0,class:"VPNavScreenMenu"},Fa=_({__name:"VPNavScreenMenu",setup(o){const{theme:e}=P();return(t,n)=>r(e).nav?(a(),c("nav",Da,[(a(!0),c(M,null,E(r(e).nav,s=>(a(),c(M,{key:s.text},["link"in s?(a(),$(Pa,{key:0,item:s},null,8,["item"])):(a(),$(Ea,{key:1,text:s.text||"",items:s.items},null,8,["text","items"]))],64))),128))])):f("",!0)}}),Oa=_({__name:"VPNavScreenSocialLinks",setup(o){const{theme:e}=P();return(t,n)=>r(e).socialLinks?(a(),$($e,{key:0,class:"VPNavScreenSocialLinks",links:r(e).socialLinks},null,8,["links"])):f("",!0)}}),Fe=o=>(B("data-v-858fe1a4"),o=o(),H(),o),Ua=Fe(()=>v("span",{class:"vpi-languages icon lang"},null,-1)),Ga=Fe(()=>v("span",{class:"vpi-chevron-down icon chevron"},null,-1)),ja={class:"list"},za=_({__name:"VPNavScreenTranslations",setup(o){const{localeLinks:e,currentLang:t}=J({correspondingLink:!0}),n=w(!1);function s(){n.value=!n.value}return(i,u)=>r(e).length&&r(t).label?(a(),c("div",{key:0,class:N(["VPNavScreenTranslations",{open:n.value}])},[v("button",{class:"title",onClick:s},[Ua,F(" "+I(r(t).label)+" ",1),Ga]),v("ul",ja,[(a(!0),c(M,null,E(r(e),h=>(a(),c("li",{key:h.link,class:"item"},[m(D,{class:"link",href:h.link},{default:d(()=>[F(I(h.text),1)]),_:2},1032,["href"])]))),128))])],2)):f("",!0)}}),qa=b(za,[["__scopeId","data-v-858fe1a4"]]),Ka={class:"container"},Ra=_({__name:"VPNavScreen",props:{open:{type:Boolean}},setup(o){const e=w(null),t=Ie(oe?document.body:null);return(n,s)=>(a(),$(ve,{name:"fade",onEnter:s[0]||(s[0]=i=>t.value=!0),onAfterLeave:s[1]||(s[1]=i=>t.value=!1)},{default:d(()=>[n.open?(a(),c("div",{key:0,class:"VPNavScreen",ref_key:"screen",ref:e,id:"VPNavScreen"},[v("div",Ka,[l(n.$slots,"nav-screen-content-before",{},void 0,!0),m(Fa,{class:"menu"}),m(qa,{class:"translations"}),m(ga,{class:"appearance"}),m(Oa,{class:"social-links"}),l(n.$slots,"nav-screen-content-after",{},void 0,!0)])],512)):f("",!0)]),_:3}))}}),Wa=b(Ra,[["__scopeId","data-v-cc5739dd"]]),Ja={key:0,class:"VPNav"},Ya=_({__name:"VPNav",setup(o){const{isScreenOpen:e,closeScreen:t,toggleScreen:n}=Ms(),{frontmatter:s}=P(),i=y(()=>s.value.navbar!==!1);return we("close-screen",t),Z(()=>{oe&&document.documentElement.classList.toggle("hide-nav",!i.value)}),(u,h)=>i.value?(a(),c("header",Ja,[m(ma,{"is-screen-open":r(e),onToggleScreen:r(n)},{"nav-bar-title-before":d(()=>[l(u.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(u.$slots,"nav-bar-title-after",{},void 0,!0)]),"nav-bar-content-before":d(()=>[l(u.$slots,"nav-bar-content-before",{},void 0,!0)]),"nav-bar-content-after":d(()=>[l(u.$slots,"nav-bar-content-after",{},void 0,!0)]),_:3},8,["is-screen-open","onToggleScreen"]),m(Wa,{open:r(e)},{"nav-screen-content-before":d(()=>[l(u.$slots,"nav-screen-content-before",{},void 0,!0)]),"nav-screen-content-after":d(()=>[l(u.$slots,"nav-screen-content-after",{},void 0,!0)]),_:3},8,["open"])])):f("",!0)}}),Qa=b(Ya,[["__scopeId","data-v-ae24b3ad"]]),Oe=o=>(B("data-v-b8d55f3b"),o=o(),H(),o),Xa=["role","tabindex"],Za=Oe(()=>v("div",{class:"indicator"},null,-1)),xa=Oe(()=>v("span",{class:"vpi-chevron-right caret-icon"},null,-1)),er=[xa],tr={key:1,class:"items"},or=_({__name:"VPSidebarItem",props:{item:{},depth:{}},setup(o){const e=o,{collapsed:t,collapsible:n,isLink:s,isActiveLink:i,hasActiveLink:u,hasChildren:h,toggle:p}=gt(y(()=>e.item)),g=y(()=>h.value?"section":"div"),L=y(()=>s.value?"a":"div"),k=y(()=>h.value?e.depth+2===7?"p":`h${e.depth+2}`:"p"),V=y(()=>s.value?void 0:"button"),T=y(()=>[[`level-${e.depth}`],{collapsible:n.value},{collapsed:t.value},{"is-link":s.value},{"is-active":i.value},{"has-active":u.value}]);function A(S){"key"in S&&S.key!=="Enter"||!e.item.link&&p()}function C(){e.item.link&&p()}return(S,U)=>{const G=q("VPSidebarItem",!0);return a(),$(R(g.value),{class:N(["VPSidebarItem",T.value])},{default:d(()=>[S.item.text?(a(),c("div",Y({key:0,class:"item",role:V.value},Ze(S.item.items?{click:A,keydown:A}:{},!0),{tabindex:S.item.items&&0}),[Za,S.item.link?(a(),$(D,{key:0,tag:L.value,class:"link",href:S.item.link,rel:S.item.rel,target:S.item.target},{default:d(()=>[(a(),$(R(k.value),{class:"text",innerHTML:S.item.text},null,8,["innerHTML"]))]),_:1},8,["tag","href","rel","target"])):(a(),$(R(k.value),{key:1,class:"text",innerHTML:S.item.text},null,8,["innerHTML"])),S.item.collapsed!=null&&S.item.items&&S.item.items.length?(a(),c("div",{key:2,class:"caret",role:"button","aria-label":"toggle section",onClick:C,onKeydown:Xe(C,["enter"]),tabindex:"0"},er,32)):f("",!0)],16,Xa)):f("",!0),S.item.items&&S.item.items.length?(a(),c("div",tr,[S.depth<5?(a(!0),c(M,{key:0},E(S.item.items,K=>(a(),$(G,{key:K.text,item:K,depth:S.depth+1},null,8,["item","depth"]))),128)):f("",!0)])):f("",!0)]),_:1},8,["class"])}}}),sr=b(or,[["__scopeId","data-v-b8d55f3b"]]),Ue=o=>(B("data-v-575e6a36"),o=o(),H(),o),nr=Ue(()=>v("div",{class:"curtain"},null,-1)),ar={class:"nav",id:"VPSidebarNav","aria-labelledby":"sidebar-aria-label",tabindex:"-1"},rr=Ue(()=>v("span",{class:"visually-hidden",id:"sidebar-aria-label"}," Sidebar Navigation ",-1)),ir=_({__name:"VPSidebar",props:{open:{type:Boolean}},setup(o){const{sidebarGroups:e,hasSidebar:t}=O(),n=o,s=w(null),i=Ie(oe?document.body:null);return j([n,s],()=>{var u;n.open?(i.value=!0,(u=s.value)==null||u.focus()):i.value=!1},{immediate:!0,flush:"post"}),(u,h)=>r(t)?(a(),c("aside",{key:0,class:N(["VPSidebar",{open:u.open}]),ref_key:"navEl",ref:s,onClick:h[0]||(h[0]=xe(()=>{},["stop"]))},[nr,v("nav",ar,[rr,l(u.$slots,"sidebar-nav-before",{},void 0,!0),(a(!0),c(M,null,E(r(e),p=>(a(),c("div",{key:p.text,class:"group"},[m(sr,{item:p,depth:0},null,8,["item"])]))),128)),l(u.$slots,"sidebar-nav-after",{},void 0,!0)])],2)):f("",!0)}}),lr=b(ir,[["__scopeId","data-v-575e6a36"]]),cr=_({__name:"VPSkipLink",setup(o){const e=ee(),t=w();j(()=>e.path,()=>t.value.focus());function n({target:s}){const i=document.getElementById(decodeURIComponent(s.hash).slice(1));if(i){const u=()=>{i.removeAttribute("tabindex"),i.removeEventListener("blur",u)};i.setAttribute("tabindex","-1"),i.addEventListener("blur",u),i.focus(),window.scrollTo(0,0)}}return(s,i)=>(a(),c(M,null,[v("span",{ref_key:"backToTop",ref:t,tabindex:"-1"},null,512),v("a",{href:"#VPContent",class:"VPSkipLink visually-hidden",onClick:n}," Skip to content ")],64))}}),ur=b(cr,[["__scopeId","data-v-0f60ec36"]]),dr=_({__name:"Layout",setup(o){const{isOpen:e,open:t,close:n}=O(),s=ee();j(()=>s.path,n),$t(e,n);const{frontmatter:i}=P(),u=et(),h=y(()=>!!u["home-hero-image"]);return we("hero-image-slot-exists",h),(p,g)=>{const L=q("Content");return r(i).layout!==!1?(a(),c("div",{key:0,class:N(["Layout",r(i).pageClass])},[l(p.$slots,"layout-top",{},void 0,!0),m(ur),m(at,{class:"backdrop",show:r(e),onClick:r(n)},null,8,["show","onClick"]),m(Qa,null,{"nav-bar-title-before":d(()=>[l(p.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(p.$slots,"nav-bar-title-after",{},void 0,!0)]),"nav-bar-content-before":d(()=>[l(p.$slots,"nav-bar-content-before",{},void 0,!0)]),"nav-bar-content-after":d(()=>[l(p.$slots,"nav-bar-content-after",{},void 0,!0)]),"nav-screen-content-before":d(()=>[l(p.$slots,"nav-screen-content-before",{},void 0,!0)]),"nav-screen-content-after":d(()=>[l(p.$slots,"nav-screen-content-after",{},void 0,!0)]),_:3}),m(Ns,{open:r(e),onOpenMenu:r(t)},null,8,["open","onOpenMenu"]),m(lr,{open:r(e)},{"sidebar-nav-before":d(()=>[l(p.$slots,"sidebar-nav-before",{},void 0,!0)]),"sidebar-nav-after":d(()=>[l(p.$slots,"sidebar-nav-after",{},void 0,!0)]),_:3},8,["open"]),m(us,null,{"page-top":d(()=>[l(p.$slots,"page-top",{},void 0,!0)]),"page-bottom":d(()=>[l(p.$slots,"page-bottom",{},void 0,!0)]),"not-found":d(()=>[l(p.$slots,"not-found",{},void 0,!0)]),"home-hero-before":d(()=>[l(p.$slots,"home-hero-before",{},void 0,!0)]),"home-hero-info-before":d(()=>[l(p.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(p.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(p.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(p.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(p.$slots,"home-hero-image",{},void 0,!0)]),"home-hero-after":d(()=>[l(p.$slots,"home-hero-after",{},void 0,!0)]),"home-features-before":d(()=>[l(p.$slots,"home-features-before",{},void 0,!0)]),"home-features-after":d(()=>[l(p.$slots,"home-features-after",{},void 0,!0)]),"doc-footer-before":d(()=>[l(p.$slots,"doc-footer-before",{},void 0,!0)]),"doc-before":d(()=>[l(p.$slots,"doc-before",{},void 0,!0)]),"doc-after":d(()=>[l(p.$slots,"doc-after",{},void 0,!0)]),"doc-top":d(()=>[l(p.$slots,"doc-top",{},void 0,!0)]),"doc-bottom":d(()=>[l(p.$slots,"doc-bottom",{},void 0,!0)]),"aside-top":d(()=>[l(p.$slots,"aside-top",{},void 0,!0)]),"aside-bottom":d(()=>[l(p.$slots,"aside-bottom",{},void 0,!0)]),"aside-outline-before":d(()=>[l(p.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(p.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(p.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(p.$slots,"aside-ads-after",{},void 0,!0)]),_:3}),m(fs),l(p.$slots,"layout-bottom",{},void 0,!0)],2)):(a(),$(L,{key:1}))}}}),vr=b(dr,[["__scopeId","data-v-5d98c3a5"]]),ye={Layout:vr,enhanceApp:({app:o})=>{o.component("Badge",ot)}},hr={extends:ye,Layout:()=>tt(ye.Layout,null,{}),enhanceApp({app:o,router:e,siteData:t}){}};export{hr as R,jn as c,P as u}; +const __vite__fileDeps=["assets/chunks/VPLocalSearchBox.DNH__Q03.js","assets/chunks/framework.DRnJpP2i.js"],__vite__mapDeps=i=>i.map(i=>__vite__fileDeps[i]); +import{d as _,o as a,c,r as l,n as N,a as F,t as I,b as $,w as d,e as f,T as ve,_ as b,u as Ge,i as je,f as ze,g as pe,h as y,j as v,k as r,p as B,l as H,m as z,q as ie,s as w,v as j,x as Z,y as W,z as he,A as Pe,B as qe,C as Ke,D as q,F as M,E,G as Le,H as x,I as m,J as R,K as Ve,L as ee,M as Y,N as te,O as Re,P as Se,Q as le,R as We,S as Te,U as oe,V as Je,W as Ye,X as Qe,Y as Ie,Z as we,$ as Xe,a0 as Ze,a1 as xe,a2 as et,a3 as tt}from"./framework.DRnJpP2i.js";const ot=_({__name:"VPBadge",props:{text:{},type:{default:"tip"}},setup(o){return(e,t)=>(a(),c("span",{class:N(["VPBadge",e.type])},[l(e.$slots,"default",{},()=>[F(I(e.text),1)])],2))}}),st={key:0,class:"VPBackdrop"},nt=_({__name:"VPBackdrop",props:{show:{type:Boolean}},setup(o){return(e,t)=>(a(),$(ve,{name:"fade"},{default:d(()=>[e.show?(a(),c("div",st)):f("",!0)]),_:1}))}}),at=b(nt,[["__scopeId","data-v-c79a1216"]]),P=Ge;function rt(o,e){let t,n=!1;return()=>{t&&clearTimeout(t),n?t=setTimeout(o,e):(o(),(n=!0)&&setTimeout(()=>n=!1,e))}}function ce(o){return/^\//.test(o)?o:`/${o}`}function fe(o){const{pathname:e,search:t,hash:n,protocol:s}=new URL(o,"http://a.com");if(je(o)||o.startsWith("#")||!s.startsWith("http")||!ze(e))return o;const{site:i}=P(),u=e.endsWith("/")||e.endsWith(".html")?o:o.replace(/(?:(^\.+)\/)?.*$/,`$1${e.replace(/(\.md)?$/,i.value.cleanUrls?"":".html")}${t}${n}`);return pe(u)}function J({correspondingLink:o=!1}={}){const{site:e,localeIndex:t,page:n,theme:s,hash:i}=P(),u=y(()=>{var p,g;return{label:(p=e.value.locales[t.value])==null?void 0:p.label,link:((g=e.value.locales[t.value])==null?void 0:g.link)||(t.value==="root"?"/":`/${t.value}/`)}});return{localeLinks:y(()=>Object.entries(e.value.locales).flatMap(([p,g])=>u.value.label===g.label?[]:{text:g.label,link:it(g.link||(p==="root"?"/":`/${p}/`),s.value.i18nRouting!==!1&&o,n.value.relativePath.slice(u.value.link.length-1),!e.value.cleanUrls)+i.value})),currentLang:u}}function it(o,e,t,n){return e?o.replace(/\/$/,"")+ce(t.replace(/(^|\/)index\.md$/,"$1").replace(/\.md$/,n?".html":"")):o}const lt=o=>(B("data-v-d6be1790"),o=o(),H(),o),ct={class:"NotFound"},ut={class:"code"},dt={class:"title"},vt=lt(()=>v("div",{class:"divider"},null,-1)),pt={class:"quote"},ht={class:"action"},ft=["href","aria-label"],_t=_({__name:"NotFound",setup(o){const{theme:e}=P(),{currentLang:t}=J();return(n,s)=>{var i,u,h,p,g;return a(),c("div",ct,[v("p",ut,I(((i=r(e).notFound)==null?void 0:i.code)??"404"),1),v("h1",dt,I(((u=r(e).notFound)==null?void 0:u.title)??"PAGE NOT FOUND"),1),vt,v("blockquote",pt,I(((h=r(e).notFound)==null?void 0:h.quote)??"But if you don't change your direction, and if you keep looking, you may end up where you are heading."),1),v("div",ht,[v("a",{class:"link",href:r(pe)(r(t).link),"aria-label":((p=r(e).notFound)==null?void 0:p.linkLabel)??"go to home"},I(((g=r(e).notFound)==null?void 0:g.linkText)??"Take me home"),9,ft)])])}}}),mt=b(_t,[["__scopeId","data-v-d6be1790"]]);function Ne(o,e){if(Array.isArray(o))return Q(o);if(o==null)return[];e=ce(e);const t=Object.keys(o).sort((s,i)=>i.split("/").length-s.split("/").length).find(s=>e.startsWith(ce(s))),n=t?o[t]:[];return Array.isArray(n)?Q(n):Q(n.items,n.base)}function kt(o){const e=[];let t=0;for(const n in o){const s=o[n];if(s.items){t=e.push(s);continue}e[t]||e.push({items:[]}),e[t].items.push(s)}return e}function bt(o){const e=[];function t(n){for(const s of n)s.text&&s.link&&e.push({text:s.text,link:s.link,docFooterText:s.docFooterText}),s.items&&t(s.items)}return t(o),e}function ue(o,e){return Array.isArray(e)?e.some(t=>ue(o,t)):z(o,e.link)?!0:e.items?ue(o,e.items):!1}function Q(o,e){return[...o].map(t=>{const n={...t},s=n.base||e;return s&&n.link&&(n.link=s+n.link),n.items&&(n.items=Q(n.items,s)),n})}function O(){const{frontmatter:o,page:e,theme:t}=P(),n=ie("(min-width: 960px)"),s=w(!1),i=y(()=>{const C=t.value.sidebar,S=e.value.relativePath;return C?Ne(C,S):[]}),u=w(i.value);j(i,(C,S)=>{JSON.stringify(C)!==JSON.stringify(S)&&(u.value=i.value)});const h=y(()=>o.value.sidebar!==!1&&u.value.length>0&&o.value.layout!=="home"),p=y(()=>g?o.value.aside==null?t.value.aside==="left":o.value.aside==="left":!1),g=y(()=>o.value.layout==="home"?!1:o.value.aside!=null?!!o.value.aside:t.value.aside!==!1),L=y(()=>h.value&&n.value),k=y(()=>h.value?kt(u.value):[]);function V(){s.value=!0}function T(){s.value=!1}function A(){s.value?T():V()}return{isOpen:s,sidebar:u,sidebarGroups:k,hasSidebar:h,hasAside:g,leftAside:p,isSidebarEnabled:L,open:V,close:T,toggle:A}}function $t(o,e){let t;Z(()=>{t=o.value?document.activeElement:void 0}),W(()=>{window.addEventListener("keyup",n)}),he(()=>{window.removeEventListener("keyup",n)});function n(s){s.key==="Escape"&&o.value&&(e(),t==null||t.focus())}}function gt(o){const{page:e,hash:t}=P(),n=w(!1),s=y(()=>o.value.collapsed!=null),i=y(()=>!!o.value.link),u=w(!1),h=()=>{u.value=z(e.value.relativePath,o.value.link)};j([e,o,t],h),W(h);const p=y(()=>u.value?!0:o.value.items?ue(e.value.relativePath,o.value.items):!1),g=y(()=>!!(o.value.items&&o.value.items.length));Z(()=>{n.value=!!(s.value&&o.value.collapsed)}),Pe(()=>{(u.value||p.value)&&(n.value=!1)});function L(){s.value&&(n.value=!n.value)}return{collapsed:n,collapsible:s,isLink:i,isActiveLink:u,hasActiveLink:p,hasChildren:g,toggle:L}}function yt(){const{hasSidebar:o}=O(),e=ie("(min-width: 960px)"),t=ie("(min-width: 1280px)");return{isAsideEnabled:y(()=>!t.value&&!e.value?!1:o.value?t.value:e.value)}}const de=[];function Me(o){return typeof o.outline=="object"&&!Array.isArray(o.outline)&&o.outline.label||o.outlineTitle||"On this page"}function _e(o){const e=[...document.querySelectorAll(".VPDoc :where(h1,h2,h3,h4,h5,h6)")].filter(t=>t.id&&t.hasChildNodes()).map(t=>{const n=Number(t.tagName[1]);return{element:t,title:Pt(t),link:"#"+t.id,level:n}});return Lt(e,o)}function Pt(o){let e="";for(const t of o.childNodes)if(t.nodeType===1){if(t.classList.contains("VPBadge")||t.classList.contains("header-anchor")||t.classList.contains("ignore-header"))continue;e+=t.textContent}else t.nodeType===3&&(e+=t.textContent);return e.trim()}function Lt(o,e){if(e===!1)return[];const t=(typeof e=="object"&&!Array.isArray(e)?e.level:e)||2,[n,s]=typeof t=="number"?[t,t]:t==="deep"?[2,6]:t;o=o.filter(u=>u.level>=n&&u.level<=s),de.length=0;for(const{element:u,link:h}of o)de.push({element:u,link:h});const i=[];e:for(let u=0;u=0;p--){const g=o[p];if(g.level{requestAnimationFrame(i),window.addEventListener("scroll",n)}),qe(()=>{u(location.hash)}),he(()=>{window.removeEventListener("scroll",n)});function i(){if(!t.value)return;const h=window.scrollY,p=window.innerHeight,g=document.body.offsetHeight,L=Math.abs(h+p-g)<1,k=de.map(({element:T,link:A})=>({link:A,top:St(T)})).filter(({top:T})=>!Number.isNaN(T)).sort((T,A)=>T.top-A.top);if(!k.length){u(null);return}if(h<1){u(null);return}if(L){u(k[k.length-1].link);return}let V=null;for(const{link:T,top:A}of k){if(A>h+Ke()+4)break;V=T}u(V)}function u(h){s&&s.classList.remove("active"),h==null?s=null:s=o.value.querySelector(`a[href="${decodeURIComponent(h)}"]`);const p=s;p?(p.classList.add("active"),e.value.style.top=p.offsetTop+39+"px",e.value.style.opacity="1"):(e.value.style.top="33px",e.value.style.opacity="0")}}function St(o){let e=0;for(;o!==document.body;){if(o===null)return NaN;e+=o.offsetTop,o=o.offsetParent}return e}const Tt=["href","title"],It=_({__name:"VPDocOutlineItem",props:{headers:{},root:{type:Boolean}},setup(o){function e({target:t}){const n=t.href.split("#")[1],s=document.getElementById(decodeURIComponent(n));s==null||s.focus({preventScroll:!0})}return(t,n)=>{const s=q("VPDocOutlineItem",!0);return a(),c("ul",{class:N(["VPDocOutlineItem",t.root?"root":"nested"])},[(a(!0),c(M,null,E(t.headers,({children:i,link:u,title:h})=>(a(),c("li",null,[v("a",{class:"outline-link",href:u,onClick:e,title:h},I(h),9,Tt),i!=null&&i.length?(a(),$(s,{key:0,headers:i},null,8,["headers"])):f("",!0)]))),256))],2)}}}),Ae=b(It,[["__scopeId","data-v-b933a997"]]),wt={class:"content"},Nt={"aria-level":"2",class:"outline-title",id:"doc-outline-aria-label",role:"heading"},Mt=_({__name:"VPDocAsideOutline",setup(o){const{frontmatter:e,theme:t}=P(),n=Le([]);x(()=>{n.value=_e(e.value.outline??t.value.outline)});const s=w(),i=w();return Vt(s,i),(u,h)=>(a(),c("nav",{"aria-labelledby":"doc-outline-aria-label",class:N(["VPDocAsideOutline",{"has-outline":n.value.length>0}]),ref_key:"container",ref:s},[v("div",wt,[v("div",{class:"outline-marker",ref_key:"marker",ref:i},null,512),v("div",Nt,I(r(Me)(r(t))),1),m(Ae,{headers:n.value,root:!0},null,8,["headers"])])],2))}}),At=b(Mt,[["__scopeId","data-v-a5bbad30"]]),Ct={class:"VPDocAsideCarbonAds"},Bt=_({__name:"VPDocAsideCarbonAds",props:{carbonAds:{}},setup(o){const e=()=>null;return(t,n)=>(a(),c("div",Ct,[m(r(e),{"carbon-ads":t.carbonAds},null,8,["carbon-ads"])]))}}),Ht=o=>(B("data-v-3f215769"),o=o(),H(),o),Et={class:"VPDocAside"},Dt=Ht(()=>v("div",{class:"spacer"},null,-1)),Ft=_({__name:"VPDocAside",setup(o){const{theme:e}=P();return(t,n)=>(a(),c("div",Et,[l(t.$slots,"aside-top",{},void 0,!0),l(t.$slots,"aside-outline-before",{},void 0,!0),m(At),l(t.$slots,"aside-outline-after",{},void 0,!0),Dt,l(t.$slots,"aside-ads-before",{},void 0,!0),r(e).carbonAds?(a(),$(Bt,{key:0,"carbon-ads":r(e).carbonAds},null,8,["carbon-ads"])):f("",!0),l(t.$slots,"aside-ads-after",{},void 0,!0),l(t.$slots,"aside-bottom",{},void 0,!0)]))}}),Ot=b(Ft,[["__scopeId","data-v-3f215769"]]);function Ut(){const{theme:o,page:e}=P();return y(()=>{const{text:t="Edit this page",pattern:n=""}=o.value.editLink||{};let s;return typeof n=="function"?s=n(e.value):s=n.replace(/:path/g,e.value.filePath),{url:s,text:t}})}function Gt(){const{page:o,theme:e,frontmatter:t}=P();return y(()=>{var g,L,k,V,T,A,C,S;const n=Ne(e.value.sidebar,o.value.relativePath),s=bt(n),i=jt(s,U=>U.link.replace(/[?#].*$/,"")),u=i.findIndex(U=>z(o.value.relativePath,U.link)),h=((g=e.value.docFooter)==null?void 0:g.prev)===!1&&!t.value.prev||t.value.prev===!1,p=((L=e.value.docFooter)==null?void 0:L.next)===!1&&!t.value.next||t.value.next===!1;return{prev:h?void 0:{text:(typeof t.value.prev=="string"?t.value.prev:typeof t.value.prev=="object"?t.value.prev.text:void 0)??((k=i[u-1])==null?void 0:k.docFooterText)??((V=i[u-1])==null?void 0:V.text),link:(typeof t.value.prev=="object"?t.value.prev.link:void 0)??((T=i[u-1])==null?void 0:T.link)},next:p?void 0:{text:(typeof t.value.next=="string"?t.value.next:typeof t.value.next=="object"?t.value.next.text:void 0)??((A=i[u+1])==null?void 0:A.docFooterText)??((C=i[u+1])==null?void 0:C.text),link:(typeof t.value.next=="object"?t.value.next.link:void 0)??((S=i[u+1])==null?void 0:S.link)}}})}function jt(o,e){const t=new Set;return o.filter(n=>{const s=e(n);return t.has(s)?!1:t.add(s)})}const D=_({__name:"VPLink",props:{tag:{},href:{},noIcon:{type:Boolean},target:{},rel:{}},setup(o){const e=o,t=y(()=>e.tag??(e.href?"a":"span")),n=y(()=>e.href&&Ve.test(e.href)||e.target==="_blank");return(s,i)=>(a(),$(R(t.value),{class:N(["VPLink",{link:s.href,"vp-external-link-icon":n.value,"no-icon":s.noIcon}]),href:s.href?r(fe)(s.href):void 0,target:s.target??(n.value?"_blank":void 0),rel:s.rel??(n.value?"noreferrer":void 0)},{default:d(()=>[l(s.$slots,"default")]),_:3},8,["class","href","target","rel"]))}}),zt={class:"VPLastUpdated"},qt=["datetime"],Kt=_({__name:"VPDocFooterLastUpdated",setup(o){const{theme:e,page:t,frontmatter:n,lang:s}=P(),i=y(()=>new Date(n.value.lastUpdated??t.value.lastUpdated)),u=y(()=>i.value.toISOString()),h=w("");return W(()=>{Z(()=>{var p,g,L;h.value=new Intl.DateTimeFormat((g=(p=e.value.lastUpdated)==null?void 0:p.formatOptions)!=null&&g.forceLocale?s.value:void 0,((L=e.value.lastUpdated)==null?void 0:L.formatOptions)??{dateStyle:"short",timeStyle:"short"}).format(i.value)})}),(p,g)=>{var L;return a(),c("p",zt,[F(I(((L=r(e).lastUpdated)==null?void 0:L.text)||r(e).lastUpdatedText||"Last updated")+": ",1),v("time",{datetime:u.value},I(h.value),9,qt)])}}}),Rt=b(Kt,[["__scopeId","data-v-7e05ebdb"]]),Ce=o=>(B("data-v-d4a0bba5"),o=o(),H(),o),Wt={key:0,class:"VPDocFooter"},Jt={key:0,class:"edit-info"},Yt={key:0,class:"edit-link"},Qt=Ce(()=>v("span",{class:"vpi-square-pen edit-link-icon"},null,-1)),Xt={key:1,class:"last-updated"},Zt={key:1,class:"prev-next","aria-labelledby":"doc-footer-aria-label"},xt=Ce(()=>v("span",{class:"visually-hidden",id:"doc-footer-aria-label"},"Pager",-1)),eo={class:"pager"},to=["innerHTML"],oo=["innerHTML"],so={class:"pager"},no=["innerHTML"],ao=["innerHTML"],ro=_({__name:"VPDocFooter",setup(o){const{theme:e,page:t,frontmatter:n}=P(),s=Ut(),i=Gt(),u=y(()=>e.value.editLink&&n.value.editLink!==!1),h=y(()=>t.value.lastUpdated&&n.value.lastUpdated!==!1),p=y(()=>u.value||h.value||i.value.prev||i.value.next);return(g,L)=>{var k,V,T,A;return p.value?(a(),c("footer",Wt,[l(g.$slots,"doc-footer-before",{},void 0,!0),u.value||h.value?(a(),c("div",Jt,[u.value?(a(),c("div",Yt,[m(D,{class:"edit-link-button",href:r(s).url,"no-icon":!0},{default:d(()=>[Qt,F(" "+I(r(s).text),1)]),_:1},8,["href"])])):f("",!0),h.value?(a(),c("div",Xt,[m(Rt)])):f("",!0)])):f("",!0),(k=r(i).prev)!=null&&k.link||(V=r(i).next)!=null&&V.link?(a(),c("nav",Zt,[xt,v("div",eo,[(T=r(i).prev)!=null&&T.link?(a(),$(D,{key:0,class:"pager-link prev",href:r(i).prev.link},{default:d(()=>{var C;return[v("span",{class:"desc",innerHTML:((C=r(e).docFooter)==null?void 0:C.prev)||"Previous page"},null,8,to),v("span",{class:"title",innerHTML:r(i).prev.text},null,8,oo)]}),_:1},8,["href"])):f("",!0)]),v("div",so,[(A=r(i).next)!=null&&A.link?(a(),$(D,{key:0,class:"pager-link next",href:r(i).next.link},{default:d(()=>{var C;return[v("span",{class:"desc",innerHTML:((C=r(e).docFooter)==null?void 0:C.next)||"Next page"},null,8,no),v("span",{class:"title",innerHTML:r(i).next.text},null,8,ao)]}),_:1},8,["href"])):f("",!0)])])):f("",!0)])):f("",!0)}}}),io=b(ro,[["__scopeId","data-v-d4a0bba5"]]),lo=o=>(B("data-v-39a288b8"),o=o(),H(),o),co={class:"container"},uo=lo(()=>v("div",{class:"aside-curtain"},null,-1)),vo={class:"aside-container"},po={class:"aside-content"},ho={class:"content"},fo={class:"content-container"},_o={class:"main"},mo=_({__name:"VPDoc",setup(o){const{theme:e}=P(),t=ee(),{hasSidebar:n,hasAside:s,leftAside:i}=O(),u=y(()=>t.path.replace(/[./]+/g,"_").replace(/_html$/,""));return(h,p)=>{const g=q("Content");return a(),c("div",{class:N(["VPDoc",{"has-sidebar":r(n),"has-aside":r(s)}])},[l(h.$slots,"doc-top",{},void 0,!0),v("div",co,[r(s)?(a(),c("div",{key:0,class:N(["aside",{"left-aside":r(i)}])},[uo,v("div",vo,[v("div",po,[m(Ot,null,{"aside-top":d(()=>[l(h.$slots,"aside-top",{},void 0,!0)]),"aside-bottom":d(()=>[l(h.$slots,"aside-bottom",{},void 0,!0)]),"aside-outline-before":d(()=>[l(h.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(h.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(h.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(h.$slots,"aside-ads-after",{},void 0,!0)]),_:3})])])],2)):f("",!0),v("div",ho,[v("div",fo,[l(h.$slots,"doc-before",{},void 0,!0),v("main",_o,[m(g,{class:N(["vp-doc",[u.value,r(e).externalLinkIcon&&"external-link-icon-enabled"]])},null,8,["class"])]),m(io,null,{"doc-footer-before":d(()=>[l(h.$slots,"doc-footer-before",{},void 0,!0)]),_:3}),l(h.$slots,"doc-after",{},void 0,!0)])])]),l(h.$slots,"doc-bottom",{},void 0,!0)],2)}}}),ko=b(mo,[["__scopeId","data-v-39a288b8"]]),bo=_({__name:"VPButton",props:{tag:{},size:{default:"medium"},theme:{default:"brand"},text:{},href:{},target:{},rel:{}},setup(o){const e=o,t=y(()=>e.href&&Ve.test(e.href)),n=y(()=>e.tag||e.href?"a":"button");return(s,i)=>(a(),$(R(n.value),{class:N(["VPButton",[s.size,s.theme]]),href:s.href?r(fe)(s.href):void 0,target:e.target??(t.value?"_blank":void 0),rel:e.rel??(t.value?"noreferrer":void 0)},{default:d(()=>[F(I(s.text),1)]),_:1},8,["class","href","target","rel"]))}}),$o=b(bo,[["__scopeId","data-v-cad61b99"]]),go=["src","alt"],yo=_({inheritAttrs:!1,__name:"VPImage",props:{image:{},alt:{}},setup(o){return(e,t)=>{const n=q("VPImage",!0);return e.image?(a(),c(M,{key:0},[typeof e.image=="string"||"src"in e.image?(a(),c("img",Y({key:0,class:"VPImage"},typeof e.image=="string"?e.$attrs:{...e.image,...e.$attrs},{src:r(pe)(typeof e.image=="string"?e.image:e.image.src),alt:e.alt??(typeof e.image=="string"?"":e.image.alt||"")}),null,16,go)):(a(),c(M,{key:1},[m(n,Y({class:"dark",image:e.image.dark,alt:e.image.alt},e.$attrs),null,16,["image","alt"]),m(n,Y({class:"light",image:e.image.light,alt:e.image.alt},e.$attrs),null,16,["image","alt"])],64))],64)):f("",!0)}}}),X=b(yo,[["__scopeId","data-v-8426fc1a"]]),Po=o=>(B("data-v-303bb580"),o=o(),H(),o),Lo={class:"container"},Vo={class:"main"},So={key:0,class:"name"},To=["innerHTML"],Io=["innerHTML"],wo=["innerHTML"],No={key:0,class:"actions"},Mo={key:0,class:"image"},Ao={class:"image-container"},Co=Po(()=>v("div",{class:"image-bg"},null,-1)),Bo=_({__name:"VPHero",props:{name:{},text:{},tagline:{},image:{},actions:{}},setup(o){const e=te("hero-image-slot-exists");return(t,n)=>(a(),c("div",{class:N(["VPHero",{"has-image":t.image||r(e)}])},[v("div",Lo,[v("div",Vo,[l(t.$slots,"home-hero-info-before",{},void 0,!0),l(t.$slots,"home-hero-info",{},()=>[t.name?(a(),c("h1",So,[v("span",{innerHTML:t.name,class:"clip"},null,8,To)])):f("",!0),t.text?(a(),c("p",{key:1,innerHTML:t.text,class:"text"},null,8,Io)):f("",!0),t.tagline?(a(),c("p",{key:2,innerHTML:t.tagline,class:"tagline"},null,8,wo)):f("",!0)],!0),l(t.$slots,"home-hero-info-after",{},void 0,!0),t.actions?(a(),c("div",No,[(a(!0),c(M,null,E(t.actions,s=>(a(),c("div",{key:s.link,class:"action"},[m($o,{tag:"a",size:"medium",theme:s.theme,text:s.text,href:s.link,target:s.target,rel:s.rel},null,8,["theme","text","href","target","rel"])]))),128))])):f("",!0),l(t.$slots,"home-hero-actions-after",{},void 0,!0)]),t.image||r(e)?(a(),c("div",Mo,[v("div",Ao,[Co,l(t.$slots,"home-hero-image",{},()=>[t.image?(a(),$(X,{key:0,class:"image-src",image:t.image},null,8,["image"])):f("",!0)],!0)])])):f("",!0)])],2))}}),Ho=b(Bo,[["__scopeId","data-v-303bb580"]]),Eo=_({__name:"VPHomeHero",setup(o){const{frontmatter:e}=P();return(t,n)=>r(e).hero?(a(),$(Ho,{key:0,class:"VPHomeHero",name:r(e).hero.name,text:r(e).hero.text,tagline:r(e).hero.tagline,image:r(e).hero.image,actions:r(e).hero.actions},{"home-hero-info-before":d(()=>[l(t.$slots,"home-hero-info-before")]),"home-hero-info":d(()=>[l(t.$slots,"home-hero-info")]),"home-hero-info-after":d(()=>[l(t.$slots,"home-hero-info-after")]),"home-hero-actions-after":d(()=>[l(t.$slots,"home-hero-actions-after")]),"home-hero-image":d(()=>[l(t.$slots,"home-hero-image")]),_:3},8,["name","text","tagline","image","actions"])):f("",!0)}}),Do=o=>(B("data-v-a3976bdc"),o=o(),H(),o),Fo={class:"box"},Oo={key:0,class:"icon"},Uo=["innerHTML"],Go=["innerHTML"],jo=["innerHTML"],zo={key:4,class:"link-text"},qo={class:"link-text-value"},Ko=Do(()=>v("span",{class:"vpi-arrow-right link-text-icon"},null,-1)),Ro=_({__name:"VPFeature",props:{icon:{},title:{},details:{},link:{},linkText:{},rel:{},target:{}},setup(o){return(e,t)=>(a(),$(D,{class:"VPFeature",href:e.link,rel:e.rel,target:e.target,"no-icon":!0,tag:e.link?"a":"div"},{default:d(()=>[v("article",Fo,[typeof e.icon=="object"&&e.icon.wrap?(a(),c("div",Oo,[m(X,{image:e.icon,alt:e.icon.alt,height:e.icon.height||48,width:e.icon.width||48},null,8,["image","alt","height","width"])])):typeof e.icon=="object"?(a(),$(X,{key:1,image:e.icon,alt:e.icon.alt,height:e.icon.height||48,width:e.icon.width||48},null,8,["image","alt","height","width"])):e.icon?(a(),c("div",{key:2,class:"icon",innerHTML:e.icon},null,8,Uo)):f("",!0),v("h2",{class:"title",innerHTML:e.title},null,8,Go),e.details?(a(),c("p",{key:3,class:"details",innerHTML:e.details},null,8,jo)):f("",!0),e.linkText?(a(),c("div",zo,[v("p",qo,[F(I(e.linkText)+" ",1),Ko])])):f("",!0)])]),_:1},8,["href","rel","target","tag"]))}}),Wo=b(Ro,[["__scopeId","data-v-a3976bdc"]]),Jo={key:0,class:"VPFeatures"},Yo={class:"container"},Qo={class:"items"},Xo=_({__name:"VPFeatures",props:{features:{}},setup(o){const e=o,t=y(()=>{const n=e.features.length;if(n){if(n===2)return"grid-2";if(n===3)return"grid-3";if(n%3===0)return"grid-6";if(n>3)return"grid-4"}else return});return(n,s)=>n.features?(a(),c("div",Jo,[v("div",Yo,[v("div",Qo,[(a(!0),c(M,null,E(n.features,i=>(a(),c("div",{key:i.title,class:N(["item",[t.value]])},[m(Wo,{icon:i.icon,title:i.title,details:i.details,link:i.link,"link-text":i.linkText,rel:i.rel,target:i.target},null,8,["icon","title","details","link","link-text","rel","target"])],2))),128))])])])):f("",!0)}}),Zo=b(Xo,[["__scopeId","data-v-a6181336"]]),xo=_({__name:"VPHomeFeatures",setup(o){const{frontmatter:e}=P();return(t,n)=>r(e).features?(a(),$(Zo,{key:0,class:"VPHomeFeatures",features:r(e).features},null,8,["features"])):f("",!0)}}),es=_({__name:"VPHomeContent",setup(o){const{width:e}=Re({initialWidth:0,includeScrollbar:!1});return(t,n)=>(a(),c("div",{class:"vp-doc container",style:Se(r(e)?{"--vp-offset":`calc(50% - ${r(e)/2}px)`}:{})},[l(t.$slots,"default",{},void 0,!0)],4))}}),ts=b(es,[["__scopeId","data-v-8e2d4988"]]),os={class:"VPHome"},ss=_({__name:"VPHome",setup(o){const{frontmatter:e}=P();return(t,n)=>{const s=q("Content");return a(),c("div",os,[l(t.$slots,"home-hero-before",{},void 0,!0),m(Eo,null,{"home-hero-info-before":d(()=>[l(t.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(t.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(t.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(t.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(t.$slots,"home-hero-image",{},void 0,!0)]),_:3}),l(t.$slots,"home-hero-after",{},void 0,!0),l(t.$slots,"home-features-before",{},void 0,!0),m(xo),l(t.$slots,"home-features-after",{},void 0,!0),r(e).markdownStyles!==!1?(a(),$(ts,{key:0},{default:d(()=>[m(s)]),_:1})):(a(),$(s,{key:1}))])}}}),ns=b(ss,[["__scopeId","data-v-686f80a6"]]),as={},rs={class:"VPPage"};function is(o,e){const t=q("Content");return a(),c("div",rs,[l(o.$slots,"page-top"),m(t),l(o.$slots,"page-bottom")])}const ls=b(as,[["render",is]]),cs=_({__name:"VPContent",setup(o){const{page:e,frontmatter:t}=P(),{hasSidebar:n}=O();return(s,i)=>(a(),c("div",{class:N(["VPContent",{"has-sidebar":r(n),"is-home":r(t).layout==="home"}]),id:"VPContent"},[r(e).isNotFound?l(s.$slots,"not-found",{key:0},()=>[m(mt)],!0):r(t).layout==="page"?(a(),$(ls,{key:1},{"page-top":d(()=>[l(s.$slots,"page-top",{},void 0,!0)]),"page-bottom":d(()=>[l(s.$slots,"page-bottom",{},void 0,!0)]),_:3})):r(t).layout==="home"?(a(),$(ns,{key:2},{"home-hero-before":d(()=>[l(s.$slots,"home-hero-before",{},void 0,!0)]),"home-hero-info-before":d(()=>[l(s.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(s.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(s.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(s.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(s.$slots,"home-hero-image",{},void 0,!0)]),"home-hero-after":d(()=>[l(s.$slots,"home-hero-after",{},void 0,!0)]),"home-features-before":d(()=>[l(s.$slots,"home-features-before",{},void 0,!0)]),"home-features-after":d(()=>[l(s.$slots,"home-features-after",{},void 0,!0)]),_:3})):r(t).layout&&r(t).layout!=="doc"?(a(),$(R(r(t).layout),{key:3})):(a(),$(ko,{key:4},{"doc-top":d(()=>[l(s.$slots,"doc-top",{},void 0,!0)]),"doc-bottom":d(()=>[l(s.$slots,"doc-bottom",{},void 0,!0)]),"doc-footer-before":d(()=>[l(s.$slots,"doc-footer-before",{},void 0,!0)]),"doc-before":d(()=>[l(s.$slots,"doc-before",{},void 0,!0)]),"doc-after":d(()=>[l(s.$slots,"doc-after",{},void 0,!0)]),"aside-top":d(()=>[l(s.$slots,"aside-top",{},void 0,!0)]),"aside-outline-before":d(()=>[l(s.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(s.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(s.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(s.$slots,"aside-ads-after",{},void 0,!0)]),"aside-bottom":d(()=>[l(s.$slots,"aside-bottom",{},void 0,!0)]),_:3}))],2))}}),us=b(cs,[["__scopeId","data-v-1428d186"]]),ds={class:"container"},vs=["innerHTML"],ps=["innerHTML"],hs=_({__name:"VPFooter",setup(o){const{theme:e,frontmatter:t}=P(),{hasSidebar:n}=O();return(s,i)=>r(e).footer&&r(t).footer!==!1?(a(),c("footer",{key:0,class:N(["VPFooter",{"has-sidebar":r(n)}])},[v("div",ds,[r(e).footer.message?(a(),c("p",{key:0,class:"message",innerHTML:r(e).footer.message},null,8,vs)):f("",!0),r(e).footer.copyright?(a(),c("p",{key:1,class:"copyright",innerHTML:r(e).footer.copyright},null,8,ps)):f("",!0)])],2)):f("",!0)}}),fs=b(hs,[["__scopeId","data-v-e315a0ad"]]);function _s(){const{theme:o,frontmatter:e}=P(),t=Le([]),n=y(()=>t.value.length>0);return x(()=>{t.value=_e(e.value.outline??o.value.outline)}),{headers:t,hasLocalNav:n}}const ms=o=>(B("data-v-17a5e62e"),o=o(),H(),o),ks={class:"menu-text"},bs=ms(()=>v("span",{class:"vpi-chevron-right icon"},null,-1)),$s={class:"header"},gs={class:"outline"},ys=_({__name:"VPLocalNavOutlineDropdown",props:{headers:{},navHeight:{}},setup(o){const e=o,{theme:t}=P(),n=w(!1),s=w(0),i=w(),u=w();function h(k){var V;(V=i.value)!=null&&V.contains(k.target)||(n.value=!1)}j(n,k=>{if(k){document.addEventListener("click",h);return}document.removeEventListener("click",h)}),le("Escape",()=>{n.value=!1}),x(()=>{n.value=!1});function p(){n.value=!n.value,s.value=window.innerHeight+Math.min(window.scrollY-e.navHeight,0)}function g(k){k.target.classList.contains("outline-link")&&(u.value&&(u.value.style.transition="none"),We(()=>{n.value=!1}))}function L(){n.value=!1,window.scrollTo({top:0,left:0,behavior:"smooth"})}return(k,V)=>(a(),c("div",{class:"VPLocalNavOutlineDropdown",style:Se({"--vp-vh":s.value+"px"}),ref_key:"main",ref:i},[k.headers.length>0?(a(),c("button",{key:0,onClick:p,class:N({open:n.value})},[v("span",ks,I(r(Me)(r(t))),1),bs],2)):(a(),c("button",{key:1,onClick:L},I(r(t).returnToTopLabel||"Return to top"),1)),m(ve,{name:"flyout"},{default:d(()=>[n.value?(a(),c("div",{key:0,ref_key:"items",ref:u,class:"items",onClick:g},[v("div",$s,[v("a",{class:"top-link",href:"#",onClick:L},I(r(t).returnToTopLabel||"Return to top"),1)]),v("div",gs,[m(Ae,{headers:k.headers},null,8,["headers"])])],512)):f("",!0)]),_:1})],4))}}),Ps=b(ys,[["__scopeId","data-v-17a5e62e"]]),Ls=o=>(B("data-v-a6f0e41e"),o=o(),H(),o),Vs={class:"container"},Ss=["aria-expanded"],Ts=Ls(()=>v("span",{class:"vpi-align-left menu-icon"},null,-1)),Is={class:"menu-text"},ws=_({__name:"VPLocalNav",props:{open:{type:Boolean}},emits:["open-menu"],setup(o){const{theme:e,frontmatter:t}=P(),{hasSidebar:n}=O(),{headers:s}=_s(),{y:i}=Te(),u=w(0);W(()=>{u.value=parseInt(getComputedStyle(document.documentElement).getPropertyValue("--vp-nav-height"))}),x(()=>{s.value=_e(t.value.outline??e.value.outline)});const h=y(()=>s.value.length===0),p=y(()=>h.value&&!n.value),g=y(()=>({VPLocalNav:!0,"has-sidebar":n.value,empty:h.value,fixed:p.value}));return(L,k)=>r(t).layout!=="home"&&(!p.value||r(i)>=u.value)?(a(),c("div",{key:0,class:N(g.value)},[v("div",Vs,[r(n)?(a(),c("button",{key:0,class:"menu","aria-expanded":L.open,"aria-controls":"VPSidebarNav",onClick:k[0]||(k[0]=V=>L.$emit("open-menu"))},[Ts,v("span",Is,I(r(e).sidebarMenuLabel||"Menu"),1)],8,Ss)):f("",!0),m(Ps,{headers:r(s),navHeight:u.value},null,8,["headers","navHeight"])])],2)):f("",!0)}}),Ns=b(ws,[["__scopeId","data-v-a6f0e41e"]]);function Ms(){const o=w(!1);function e(){o.value=!0,window.addEventListener("resize",s)}function t(){o.value=!1,window.removeEventListener("resize",s)}function n(){o.value?t():e()}function s(){window.outerWidth>=768&&t()}const i=ee();return j(()=>i.path,t),{isScreenOpen:o,openScreen:e,closeScreen:t,toggleScreen:n}}const As={},Cs={class:"VPSwitch",type:"button",role:"switch"},Bs={class:"check"},Hs={key:0,class:"icon"};function Es(o,e){return a(),c("button",Cs,[v("span",Bs,[o.$slots.default?(a(),c("span",Hs,[l(o.$slots,"default",{},void 0,!0)])):f("",!0)])])}const Ds=b(As,[["render",Es],["__scopeId","data-v-1d5665e3"]]),Be=o=>(B("data-v-d1f28634"),o=o(),H(),o),Fs=Be(()=>v("span",{class:"vpi-sun sun"},null,-1)),Os=Be(()=>v("span",{class:"vpi-moon moon"},null,-1)),Us=_({__name:"VPSwitchAppearance",setup(o){const{isDark:e,theme:t}=P(),n=te("toggle-appearance",()=>{e.value=!e.value}),s=y(()=>e.value?t.value.lightModeSwitchTitle||"Switch to light theme":t.value.darkModeSwitchTitle||"Switch to dark theme");return(i,u)=>(a(),$(Ds,{title:s.value,class:"VPSwitchAppearance","aria-checked":r(e),onClick:r(n)},{default:d(()=>[Fs,Os]),_:1},8,["title","aria-checked","onClick"]))}}),me=b(Us,[["__scopeId","data-v-d1f28634"]]),Gs={key:0,class:"VPNavBarAppearance"},js=_({__name:"VPNavBarAppearance",setup(o){const{site:e}=P();return(t,n)=>r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",Gs,[m(me)])):f("",!0)}}),zs=b(js,[["__scopeId","data-v-e6aabb21"]]),ke=w();let He=!1,re=0;function qs(o){const e=w(!1);if(oe){!He&&Ks(),re++;const t=j(ke,n=>{var s,i,u;n===o.el.value||(s=o.el.value)!=null&&s.contains(n)?(e.value=!0,(i=o.onFocus)==null||i.call(o)):(e.value=!1,(u=o.onBlur)==null||u.call(o))});he(()=>{t(),re--,re||Rs()})}return Je(e)}function Ks(){document.addEventListener("focusin",Ee),He=!0,ke.value=document.activeElement}function Rs(){document.removeEventListener("focusin",Ee)}function Ee(){ke.value=document.activeElement}const Ws={class:"VPMenuLink"},Js=_({__name:"VPMenuLink",props:{item:{}},setup(o){const{page:e}=P();return(t,n)=>(a(),c("div",Ws,[m(D,{class:N({active:r(z)(r(e).relativePath,t.item.activeMatch||t.item.link,!!t.item.activeMatch)}),href:t.item.link,target:t.item.target,rel:t.item.rel},{default:d(()=>[F(I(t.item.text),1)]),_:1},8,["class","href","target","rel"])]))}}),se=b(Js,[["__scopeId","data-v-43f1e123"]]),Ys={class:"VPMenuGroup"},Qs={key:0,class:"title"},Xs=_({__name:"VPMenuGroup",props:{text:{},items:{}},setup(o){return(e,t)=>(a(),c("div",Ys,[e.text?(a(),c("p",Qs,I(e.text),1)):f("",!0),(a(!0),c(M,null,E(e.items,n=>(a(),c(M,null,["link"in n?(a(),$(se,{key:0,item:n},null,8,["item"])):f("",!0)],64))),256))]))}}),Zs=b(Xs,[["__scopeId","data-v-69e747b5"]]),xs={class:"VPMenu"},en={key:0,class:"items"},tn=_({__name:"VPMenu",props:{items:{}},setup(o){return(e,t)=>(a(),c("div",xs,[e.items?(a(),c("div",en,[(a(!0),c(M,null,E(e.items,n=>(a(),c(M,{key:n.text},["link"in n?(a(),$(se,{key:0,item:n},null,8,["item"])):(a(),$(Zs,{key:1,text:n.text,items:n.items},null,8,["text","items"]))],64))),128))])):f("",!0),l(e.$slots,"default",{},void 0,!0)]))}}),on=b(tn,[["__scopeId","data-v-e7ea1737"]]),sn=o=>(B("data-v-b6c34ac9"),o=o(),H(),o),nn=["aria-expanded","aria-label"],an={key:0,class:"text"},rn=["innerHTML"],ln=sn(()=>v("span",{class:"vpi-chevron-down text-icon"},null,-1)),cn={key:1,class:"vpi-more-horizontal icon"},un={class:"menu"},dn=_({__name:"VPFlyout",props:{icon:{},button:{},label:{},items:{}},setup(o){const e=w(!1),t=w();qs({el:t,onBlur:n});function n(){e.value=!1}return(s,i)=>(a(),c("div",{class:"VPFlyout",ref_key:"el",ref:t,onMouseenter:i[1]||(i[1]=u=>e.value=!0),onMouseleave:i[2]||(i[2]=u=>e.value=!1)},[v("button",{type:"button",class:"button","aria-haspopup":"true","aria-expanded":e.value,"aria-label":s.label,onClick:i[0]||(i[0]=u=>e.value=!e.value)},[s.button||s.icon?(a(),c("span",an,[s.icon?(a(),c("span",{key:0,class:N([s.icon,"option-icon"])},null,2)):f("",!0),s.button?(a(),c("span",{key:1,innerHTML:s.button},null,8,rn)):f("",!0),ln])):(a(),c("span",cn))],8,nn),v("div",un,[m(on,{items:s.items},{default:d(()=>[l(s.$slots,"default",{},void 0,!0)]),_:3},8,["items"])])],544))}}),be=b(dn,[["__scopeId","data-v-b6c34ac9"]]),vn=["href","aria-label","innerHTML"],pn=_({__name:"VPSocialLink",props:{icon:{},link:{},ariaLabel:{}},setup(o){const e=o,t=y(()=>typeof e.icon=="object"?e.icon.svg:``);return(n,s)=>(a(),c("a",{class:"VPSocialLink no-icon",href:n.link,"aria-label":n.ariaLabel??(typeof n.icon=="string"?n.icon:""),target:"_blank",rel:"noopener",innerHTML:t.value},null,8,vn))}}),hn=b(pn,[["__scopeId","data-v-eee4e7cb"]]),fn={class:"VPSocialLinks"},_n=_({__name:"VPSocialLinks",props:{links:{}},setup(o){return(e,t)=>(a(),c("div",fn,[(a(!0),c(M,null,E(e.links,({link:n,icon:s,ariaLabel:i})=>(a(),$(hn,{key:n,icon:s,link:n,ariaLabel:i},null,8,["icon","link","ariaLabel"]))),128))]))}}),$e=b(_n,[["__scopeId","data-v-7bc22406"]]),mn={key:0,class:"group translations"},kn={class:"trans-title"},bn={key:1,class:"group"},$n={class:"item appearance"},gn={class:"label"},yn={class:"appearance-action"},Pn={key:2,class:"group"},Ln={class:"item social-links"},Vn=_({__name:"VPNavBarExtra",setup(o){const{site:e,theme:t}=P(),{localeLinks:n,currentLang:s}=J({correspondingLink:!0}),i=y(()=>n.value.length&&s.value.label||e.value.appearance||t.value.socialLinks);return(u,h)=>i.value?(a(),$(be,{key:0,class:"VPNavBarExtra",label:"extra navigation"},{default:d(()=>[r(n).length&&r(s).label?(a(),c("div",mn,[v("p",kn,I(r(s).label),1),(a(!0),c(M,null,E(r(n),p=>(a(),$(se,{key:p.link,item:p},null,8,["item"]))),128))])):f("",!0),r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",bn,[v("div",$n,[v("p",gn,I(r(t).darkModeSwitchLabel||"Appearance"),1),v("div",yn,[m(me)])])])):f("",!0),r(t).socialLinks?(a(),c("div",Pn,[v("div",Ln,[m($e,{class:"social-links-list",links:r(t).socialLinks},null,8,["links"])])])):f("",!0)]),_:1})):f("",!0)}}),Sn=b(Vn,[["__scopeId","data-v-d0bd9dde"]]),Tn=o=>(B("data-v-e5dd9c1c"),o=o(),H(),o),In=["aria-expanded"],wn=Tn(()=>v("span",{class:"container"},[v("span",{class:"top"}),v("span",{class:"middle"}),v("span",{class:"bottom"})],-1)),Nn=[wn],Mn=_({__name:"VPNavBarHamburger",props:{active:{type:Boolean}},emits:["click"],setup(o){return(e,t)=>(a(),c("button",{type:"button",class:N(["VPNavBarHamburger",{active:e.active}]),"aria-label":"mobile navigation","aria-expanded":e.active,"aria-controls":"VPNavScreen",onClick:t[0]||(t[0]=n=>e.$emit("click"))},Nn,10,In))}}),An=b(Mn,[["__scopeId","data-v-e5dd9c1c"]]),Cn=["innerHTML"],Bn=_({__name:"VPNavBarMenuLink",props:{item:{}},setup(o){const{page:e}=P();return(t,n)=>(a(),$(D,{class:N({VPNavBarMenuLink:!0,active:r(z)(r(e).relativePath,t.item.activeMatch||t.item.link,!!t.item.activeMatch)}),href:t.item.link,noIcon:t.item.noIcon,target:t.item.target,rel:t.item.rel,tabindex:"0"},{default:d(()=>[v("span",{innerHTML:t.item.text},null,8,Cn)]),_:1},8,["class","href","noIcon","target","rel"]))}}),Hn=b(Bn,[["__scopeId","data-v-9c663999"]]),En=_({__name:"VPNavBarMenuGroup",props:{item:{}},setup(o){const e=o,{page:t}=P(),n=i=>"link"in i?z(t.value.relativePath,i.link,!!e.item.activeMatch):i.items.some(n),s=y(()=>n(e.item));return(i,u)=>(a(),$(be,{class:N({VPNavBarMenuGroup:!0,active:r(z)(r(t).relativePath,i.item.activeMatch,!!i.item.activeMatch)||s.value}),button:i.item.text,items:i.item.items},null,8,["class","button","items"]))}}),Dn=o=>(B("data-v-7f418b0f"),o=o(),H(),o),Fn={key:0,"aria-labelledby":"main-nav-aria-label",class:"VPNavBarMenu"},On=Dn(()=>v("span",{id:"main-nav-aria-label",class:"visually-hidden"},"Main Navigation",-1)),Un=_({__name:"VPNavBarMenu",setup(o){const{theme:e}=P();return(t,n)=>r(e).nav?(a(),c("nav",Fn,[On,(a(!0),c(M,null,E(r(e).nav,s=>(a(),c(M,{key:s.text},["link"in s?(a(),$(Hn,{key:0,item:s},null,8,["item"])):(a(),$(En,{key:1,item:s},null,8,["item"]))],64))),128))])):f("",!0)}}),Gn=b(Un,[["__scopeId","data-v-7f418b0f"]]);function jn(o){const{localeIndex:e,theme:t}=P();function n(s){var A,C,S;const i=s.split("."),u=(A=t.value.search)==null?void 0:A.options,h=u&&typeof u=="object",p=h&&((S=(C=u.locales)==null?void 0:C[e.value])==null?void 0:S.translations)||null,g=h&&u.translations||null;let L=p,k=g,V=o;const T=i.pop();for(const U of i){let G=null;const K=V==null?void 0:V[U];K&&(G=V=K);const ne=k==null?void 0:k[U];ne&&(G=k=ne);const ae=L==null?void 0:L[U];ae&&(G=L=ae),K||(V=G),ne||(k=G),ae||(L=G)}return(L==null?void 0:L[T])??(k==null?void 0:k[T])??(V==null?void 0:V[T])??""}return n}const zn=["aria-label"],qn={class:"DocSearch-Button-Container"},Kn=v("span",{class:"vp-icon DocSearch-Search-Icon"},null,-1),Rn={class:"DocSearch-Button-Placeholder"},Wn=v("span",{class:"DocSearch-Button-Keys"},[v("kbd",{class:"DocSearch-Button-Key"}),v("kbd",{class:"DocSearch-Button-Key"},"K")],-1),ge=_({__name:"VPNavBarSearchButton",setup(o){const t=jn({button:{buttonText:"Search",buttonAriaLabel:"Search"}});return(n,s)=>(a(),c("button",{type:"button",class:"DocSearch DocSearch-Button","aria-label":r(t)("button.buttonAriaLabel")},[v("span",qn,[Kn,v("span",Rn,I(r(t)("button.buttonText")),1)]),Wn],8,zn))}}),Jn={class:"VPNavBarSearch"},Yn={id:"local-search"},Qn={key:1,id:"docsearch"},Xn=_({__name:"VPNavBarSearch",setup(o){const e=Ye(()=>Qe(()=>import("./VPLocalSearchBox.DNH__Q03.js"),__vite__mapDeps([0,1]))),t=()=>null,{theme:n}=P(),s=w(!1),i=w(!1);W(()=>{});function u(){s.value||(s.value=!0,setTimeout(h,16))}function h(){const k=new Event("keydown");k.key="k",k.metaKey=!0,window.dispatchEvent(k),setTimeout(()=>{document.querySelector(".DocSearch-Modal")||h()},16)}function p(k){const V=k.target,T=V.tagName;return V.isContentEditable||T==="INPUT"||T==="SELECT"||T==="TEXTAREA"}const g=w(!1);le("k",k=>{(k.ctrlKey||k.metaKey)&&(k.preventDefault(),g.value=!0)}),le("/",k=>{p(k)||(k.preventDefault(),g.value=!0)});const L="local";return(k,V)=>{var T;return a(),c("div",Jn,[r(L)==="local"?(a(),c(M,{key:0},[g.value?(a(),$(r(e),{key:0,onClose:V[0]||(V[0]=A=>g.value=!1)})):f("",!0),v("div",Yn,[m(ge,{onClick:V[1]||(V[1]=A=>g.value=!0)})])],64)):r(L)==="algolia"?(a(),c(M,{key:1},[s.value?(a(),$(r(t),{key:0,algolia:((T=r(n).search)==null?void 0:T.options)??r(n).algolia,onVnodeBeforeMount:V[2]||(V[2]=A=>i.value=!0)},null,8,["algolia"])):f("",!0),i.value?f("",!0):(a(),c("div",Qn,[m(ge,{onClick:u})]))],64)):f("",!0)])}}}),Zn=_({__name:"VPNavBarSocialLinks",setup(o){const{theme:e}=P();return(t,n)=>r(e).socialLinks?(a(),$($e,{key:0,class:"VPNavBarSocialLinks",links:r(e).socialLinks},null,8,["links"])):f("",!0)}}),xn=b(Zn,[["__scopeId","data-v-0394ad82"]]),ea=["href","rel","target"],ta={key:1},oa={key:2},sa=_({__name:"VPNavBarTitle",setup(o){const{site:e,theme:t}=P(),{hasSidebar:n}=O(),{currentLang:s}=J(),i=y(()=>{var p;return typeof t.value.logoLink=="string"?t.value.logoLink:(p=t.value.logoLink)==null?void 0:p.link}),u=y(()=>{var p;return typeof t.value.logoLink=="string"||(p=t.value.logoLink)==null?void 0:p.rel}),h=y(()=>{var p;return typeof t.value.logoLink=="string"||(p=t.value.logoLink)==null?void 0:p.target});return(p,g)=>(a(),c("div",{class:N(["VPNavBarTitle",{"has-sidebar":r(n)}])},[v("a",{class:"title",href:i.value??r(fe)(r(s).link),rel:u.value,target:h.value},[l(p.$slots,"nav-bar-title-before",{},void 0,!0),r(t).logo?(a(),$(X,{key:0,class:"logo",image:r(t).logo},null,8,["image"])):f("",!0),r(t).siteTitle?(a(),c("span",ta,I(r(t).siteTitle),1)):r(t).siteTitle===void 0?(a(),c("span",oa,I(r(e).title),1)):f("",!0),l(p.$slots,"nav-bar-title-after",{},void 0,!0)],8,ea)],2))}}),na=b(sa,[["__scopeId","data-v-ab179fa1"]]),aa={class:"items"},ra={class:"title"},ia=_({__name:"VPNavBarTranslations",setup(o){const{theme:e}=P(),{localeLinks:t,currentLang:n}=J({correspondingLink:!0});return(s,i)=>r(t).length&&r(n).label?(a(),$(be,{key:0,class:"VPNavBarTranslations",icon:"vpi-languages",label:r(e).langMenuLabel||"Change language"},{default:d(()=>[v("div",aa,[v("p",ra,I(r(n).label),1),(a(!0),c(M,null,E(r(t),u=>(a(),$(se,{key:u.link,item:u},null,8,["item"]))),128))])]),_:1},8,["label"])):f("",!0)}}),la=b(ia,[["__scopeId","data-v-88af2de4"]]),ca=o=>(B("data-v-ccf7ddec"),o=o(),H(),o),ua={class:"wrapper"},da={class:"container"},va={class:"title"},pa={class:"content"},ha={class:"content-body"},fa=ca(()=>v("div",{class:"divider"},[v("div",{class:"divider-line"})],-1)),_a=_({__name:"VPNavBar",props:{isScreenOpen:{type:Boolean}},emits:["toggle-screen"],setup(o){const{y:e}=Te(),{hasSidebar:t}=O(),{frontmatter:n}=P(),s=w({});return Pe(()=>{s.value={"has-sidebar":t.value,home:n.value.layout==="home",top:e.value===0}}),(i,u)=>(a(),c("div",{class:N(["VPNavBar",s.value])},[v("div",ua,[v("div",da,[v("div",va,[m(na,null,{"nav-bar-title-before":d(()=>[l(i.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(i.$slots,"nav-bar-title-after",{},void 0,!0)]),_:3})]),v("div",pa,[v("div",ha,[l(i.$slots,"nav-bar-content-before",{},void 0,!0),m(Xn,{class:"search"}),m(Gn,{class:"menu"}),m(la,{class:"translations"}),m(zs,{class:"appearance"}),m(xn,{class:"social-links"}),m(Sn,{class:"extra"}),l(i.$slots,"nav-bar-content-after",{},void 0,!0),m(An,{class:"hamburger",active:i.isScreenOpen,onClick:u[0]||(u[0]=h=>i.$emit("toggle-screen"))},null,8,["active"])])])])]),fa],2))}}),ma=b(_a,[["__scopeId","data-v-ccf7ddec"]]),ka={key:0,class:"VPNavScreenAppearance"},ba={class:"text"},$a=_({__name:"VPNavScreenAppearance",setup(o){const{site:e,theme:t}=P();return(n,s)=>r(e).appearance&&r(e).appearance!=="force-dark"?(a(),c("div",ka,[v("p",ba,I(r(t).darkModeSwitchLabel||"Appearance"),1),m(me)])):f("",!0)}}),ga=b($a,[["__scopeId","data-v-2d7af913"]]),ya=_({__name:"VPNavScreenMenuLink",props:{item:{}},setup(o){const e=te("close-screen");return(t,n)=>(a(),$(D,{class:"VPNavScreenMenuLink",href:t.item.link,target:t.item.target,rel:t.item.rel,onClick:r(e),innerHTML:t.item.text},null,8,["href","target","rel","onClick","innerHTML"]))}}),Pa=b(ya,[["__scopeId","data-v-7f31e1f6"]]),La=_({__name:"VPNavScreenMenuGroupLink",props:{item:{}},setup(o){const e=te("close-screen");return(t,n)=>(a(),$(D,{class:"VPNavScreenMenuGroupLink",href:t.item.link,target:t.item.target,rel:t.item.rel,onClick:r(e)},{default:d(()=>[F(I(t.item.text),1)]),_:1},8,["href","target","rel","onClick"]))}}),De=b(La,[["__scopeId","data-v-19976ae1"]]),Va={class:"VPNavScreenMenuGroupSection"},Sa={key:0,class:"title"},Ta=_({__name:"VPNavScreenMenuGroupSection",props:{text:{},items:{}},setup(o){return(e,t)=>(a(),c("div",Va,[e.text?(a(),c("p",Sa,I(e.text),1)):f("",!0),(a(!0),c(M,null,E(e.items,n=>(a(),$(De,{key:n.text,item:n},null,8,["item"]))),128))]))}}),Ia=b(Ta,[["__scopeId","data-v-8133b170"]]),wa=o=>(B("data-v-ff6087d4"),o=o(),H(),o),Na=["aria-controls","aria-expanded"],Ma=["innerHTML"],Aa=wa(()=>v("span",{class:"vpi-plus button-icon"},null,-1)),Ca=["id"],Ba={key:1,class:"group"},Ha=_({__name:"VPNavScreenMenuGroup",props:{text:{},items:{}},setup(o){const e=o,t=w(!1),n=y(()=>`NavScreenGroup-${e.text.replace(" ","-").toLowerCase()}`);function s(){t.value=!t.value}return(i,u)=>(a(),c("div",{class:N(["VPNavScreenMenuGroup",{open:t.value}])},[v("button",{class:"button","aria-controls":n.value,"aria-expanded":t.value,onClick:s},[v("span",{class:"button-text",innerHTML:i.text},null,8,Ma),Aa],8,Na),v("div",{id:n.value,class:"items"},[(a(!0),c(M,null,E(i.items,h=>(a(),c(M,{key:h.text},["link"in h?(a(),c("div",{key:h.text,class:"item"},[m(De,{item:h},null,8,["item"])])):(a(),c("div",Ba,[m(Ia,{text:h.text,items:h.items},null,8,["text","items"])]))],64))),128))],8,Ca)],2))}}),Ea=b(Ha,[["__scopeId","data-v-ff6087d4"]]),Da={key:0,class:"VPNavScreenMenu"},Fa=_({__name:"VPNavScreenMenu",setup(o){const{theme:e}=P();return(t,n)=>r(e).nav?(a(),c("nav",Da,[(a(!0),c(M,null,E(r(e).nav,s=>(a(),c(M,{key:s.text},["link"in s?(a(),$(Pa,{key:0,item:s},null,8,["item"])):(a(),$(Ea,{key:1,text:s.text||"",items:s.items},null,8,["text","items"]))],64))),128))])):f("",!0)}}),Oa=_({__name:"VPNavScreenSocialLinks",setup(o){const{theme:e}=P();return(t,n)=>r(e).socialLinks?(a(),$($e,{key:0,class:"VPNavScreenSocialLinks",links:r(e).socialLinks},null,8,["links"])):f("",!0)}}),Fe=o=>(B("data-v-858fe1a4"),o=o(),H(),o),Ua=Fe(()=>v("span",{class:"vpi-languages icon lang"},null,-1)),Ga=Fe(()=>v("span",{class:"vpi-chevron-down icon chevron"},null,-1)),ja={class:"list"},za=_({__name:"VPNavScreenTranslations",setup(o){const{localeLinks:e,currentLang:t}=J({correspondingLink:!0}),n=w(!1);function s(){n.value=!n.value}return(i,u)=>r(e).length&&r(t).label?(a(),c("div",{key:0,class:N(["VPNavScreenTranslations",{open:n.value}])},[v("button",{class:"title",onClick:s},[Ua,F(" "+I(r(t).label)+" ",1),Ga]),v("ul",ja,[(a(!0),c(M,null,E(r(e),h=>(a(),c("li",{key:h.link,class:"item"},[m(D,{class:"link",href:h.link},{default:d(()=>[F(I(h.text),1)]),_:2},1032,["href"])]))),128))])],2)):f("",!0)}}),qa=b(za,[["__scopeId","data-v-858fe1a4"]]),Ka={class:"container"},Ra=_({__name:"VPNavScreen",props:{open:{type:Boolean}},setup(o){const e=w(null),t=Ie(oe?document.body:null);return(n,s)=>(a(),$(ve,{name:"fade",onEnter:s[0]||(s[0]=i=>t.value=!0),onAfterLeave:s[1]||(s[1]=i=>t.value=!1)},{default:d(()=>[n.open?(a(),c("div",{key:0,class:"VPNavScreen",ref_key:"screen",ref:e,id:"VPNavScreen"},[v("div",Ka,[l(n.$slots,"nav-screen-content-before",{},void 0,!0),m(Fa,{class:"menu"}),m(qa,{class:"translations"}),m(ga,{class:"appearance"}),m(Oa,{class:"social-links"}),l(n.$slots,"nav-screen-content-after",{},void 0,!0)])],512)):f("",!0)]),_:3}))}}),Wa=b(Ra,[["__scopeId","data-v-cc5739dd"]]),Ja={key:0,class:"VPNav"},Ya=_({__name:"VPNav",setup(o){const{isScreenOpen:e,closeScreen:t,toggleScreen:n}=Ms(),{frontmatter:s}=P(),i=y(()=>s.value.navbar!==!1);return we("close-screen",t),Z(()=>{oe&&document.documentElement.classList.toggle("hide-nav",!i.value)}),(u,h)=>i.value?(a(),c("header",Ja,[m(ma,{"is-screen-open":r(e),onToggleScreen:r(n)},{"nav-bar-title-before":d(()=>[l(u.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(u.$slots,"nav-bar-title-after",{},void 0,!0)]),"nav-bar-content-before":d(()=>[l(u.$slots,"nav-bar-content-before",{},void 0,!0)]),"nav-bar-content-after":d(()=>[l(u.$slots,"nav-bar-content-after",{},void 0,!0)]),_:3},8,["is-screen-open","onToggleScreen"]),m(Wa,{open:r(e)},{"nav-screen-content-before":d(()=>[l(u.$slots,"nav-screen-content-before",{},void 0,!0)]),"nav-screen-content-after":d(()=>[l(u.$slots,"nav-screen-content-after",{},void 0,!0)]),_:3},8,["open"])])):f("",!0)}}),Qa=b(Ya,[["__scopeId","data-v-ae24b3ad"]]),Oe=o=>(B("data-v-b8d55f3b"),o=o(),H(),o),Xa=["role","tabindex"],Za=Oe(()=>v("div",{class:"indicator"},null,-1)),xa=Oe(()=>v("span",{class:"vpi-chevron-right caret-icon"},null,-1)),er=[xa],tr={key:1,class:"items"},or=_({__name:"VPSidebarItem",props:{item:{},depth:{}},setup(o){const e=o,{collapsed:t,collapsible:n,isLink:s,isActiveLink:i,hasActiveLink:u,hasChildren:h,toggle:p}=gt(y(()=>e.item)),g=y(()=>h.value?"section":"div"),L=y(()=>s.value?"a":"div"),k=y(()=>h.value?e.depth+2===7?"p":`h${e.depth+2}`:"p"),V=y(()=>s.value?void 0:"button"),T=y(()=>[[`level-${e.depth}`],{collapsible:n.value},{collapsed:t.value},{"is-link":s.value},{"is-active":i.value},{"has-active":u.value}]);function A(S){"key"in S&&S.key!=="Enter"||!e.item.link&&p()}function C(){e.item.link&&p()}return(S,U)=>{const G=q("VPSidebarItem",!0);return a(),$(R(g.value),{class:N(["VPSidebarItem",T.value])},{default:d(()=>[S.item.text?(a(),c("div",Y({key:0,class:"item",role:V.value},Ze(S.item.items?{click:A,keydown:A}:{},!0),{tabindex:S.item.items&&0}),[Za,S.item.link?(a(),$(D,{key:0,tag:L.value,class:"link",href:S.item.link,rel:S.item.rel,target:S.item.target},{default:d(()=>[(a(),$(R(k.value),{class:"text",innerHTML:S.item.text},null,8,["innerHTML"]))]),_:1},8,["tag","href","rel","target"])):(a(),$(R(k.value),{key:1,class:"text",innerHTML:S.item.text},null,8,["innerHTML"])),S.item.collapsed!=null&&S.item.items&&S.item.items.length?(a(),c("div",{key:2,class:"caret",role:"button","aria-label":"toggle section",onClick:C,onKeydown:Xe(C,["enter"]),tabindex:"0"},er,32)):f("",!0)],16,Xa)):f("",!0),S.item.items&&S.item.items.length?(a(),c("div",tr,[S.depth<5?(a(!0),c(M,{key:0},E(S.item.items,K=>(a(),$(G,{key:K.text,item:K,depth:S.depth+1},null,8,["item","depth"]))),128)):f("",!0)])):f("",!0)]),_:1},8,["class"])}}}),sr=b(or,[["__scopeId","data-v-b8d55f3b"]]),Ue=o=>(B("data-v-575e6a36"),o=o(),H(),o),nr=Ue(()=>v("div",{class:"curtain"},null,-1)),ar={class:"nav",id:"VPSidebarNav","aria-labelledby":"sidebar-aria-label",tabindex:"-1"},rr=Ue(()=>v("span",{class:"visually-hidden",id:"sidebar-aria-label"}," Sidebar Navigation ",-1)),ir=_({__name:"VPSidebar",props:{open:{type:Boolean}},setup(o){const{sidebarGroups:e,hasSidebar:t}=O(),n=o,s=w(null),i=Ie(oe?document.body:null);return j([n,s],()=>{var u;n.open?(i.value=!0,(u=s.value)==null||u.focus()):i.value=!1},{immediate:!0,flush:"post"}),(u,h)=>r(t)?(a(),c("aside",{key:0,class:N(["VPSidebar",{open:u.open}]),ref_key:"navEl",ref:s,onClick:h[0]||(h[0]=xe(()=>{},["stop"]))},[nr,v("nav",ar,[rr,l(u.$slots,"sidebar-nav-before",{},void 0,!0),(a(!0),c(M,null,E(r(e),p=>(a(),c("div",{key:p.text,class:"group"},[m(sr,{item:p,depth:0},null,8,["item"])]))),128)),l(u.$slots,"sidebar-nav-after",{},void 0,!0)])],2)):f("",!0)}}),lr=b(ir,[["__scopeId","data-v-575e6a36"]]),cr=_({__name:"VPSkipLink",setup(o){const e=ee(),t=w();j(()=>e.path,()=>t.value.focus());function n({target:s}){const i=document.getElementById(decodeURIComponent(s.hash).slice(1));if(i){const u=()=>{i.removeAttribute("tabindex"),i.removeEventListener("blur",u)};i.setAttribute("tabindex","-1"),i.addEventListener("blur",u),i.focus(),window.scrollTo(0,0)}}return(s,i)=>(a(),c(M,null,[v("span",{ref_key:"backToTop",ref:t,tabindex:"-1"},null,512),v("a",{href:"#VPContent",class:"VPSkipLink visually-hidden",onClick:n}," Skip to content ")],64))}}),ur=b(cr,[["__scopeId","data-v-0f60ec36"]]),dr=_({__name:"Layout",setup(o){const{isOpen:e,open:t,close:n}=O(),s=ee();j(()=>s.path,n),$t(e,n);const{frontmatter:i}=P(),u=et(),h=y(()=>!!u["home-hero-image"]);return we("hero-image-slot-exists",h),(p,g)=>{const L=q("Content");return r(i).layout!==!1?(a(),c("div",{key:0,class:N(["Layout",r(i).pageClass])},[l(p.$slots,"layout-top",{},void 0,!0),m(ur),m(at,{class:"backdrop",show:r(e),onClick:r(n)},null,8,["show","onClick"]),m(Qa,null,{"nav-bar-title-before":d(()=>[l(p.$slots,"nav-bar-title-before",{},void 0,!0)]),"nav-bar-title-after":d(()=>[l(p.$slots,"nav-bar-title-after",{},void 0,!0)]),"nav-bar-content-before":d(()=>[l(p.$slots,"nav-bar-content-before",{},void 0,!0)]),"nav-bar-content-after":d(()=>[l(p.$slots,"nav-bar-content-after",{},void 0,!0)]),"nav-screen-content-before":d(()=>[l(p.$slots,"nav-screen-content-before",{},void 0,!0)]),"nav-screen-content-after":d(()=>[l(p.$slots,"nav-screen-content-after",{},void 0,!0)]),_:3}),m(Ns,{open:r(e),onOpenMenu:r(t)},null,8,["open","onOpenMenu"]),m(lr,{open:r(e)},{"sidebar-nav-before":d(()=>[l(p.$slots,"sidebar-nav-before",{},void 0,!0)]),"sidebar-nav-after":d(()=>[l(p.$slots,"sidebar-nav-after",{},void 0,!0)]),_:3},8,["open"]),m(us,null,{"page-top":d(()=>[l(p.$slots,"page-top",{},void 0,!0)]),"page-bottom":d(()=>[l(p.$slots,"page-bottom",{},void 0,!0)]),"not-found":d(()=>[l(p.$slots,"not-found",{},void 0,!0)]),"home-hero-before":d(()=>[l(p.$slots,"home-hero-before",{},void 0,!0)]),"home-hero-info-before":d(()=>[l(p.$slots,"home-hero-info-before",{},void 0,!0)]),"home-hero-info":d(()=>[l(p.$slots,"home-hero-info",{},void 0,!0)]),"home-hero-info-after":d(()=>[l(p.$slots,"home-hero-info-after",{},void 0,!0)]),"home-hero-actions-after":d(()=>[l(p.$slots,"home-hero-actions-after",{},void 0,!0)]),"home-hero-image":d(()=>[l(p.$slots,"home-hero-image",{},void 0,!0)]),"home-hero-after":d(()=>[l(p.$slots,"home-hero-after",{},void 0,!0)]),"home-features-before":d(()=>[l(p.$slots,"home-features-before",{},void 0,!0)]),"home-features-after":d(()=>[l(p.$slots,"home-features-after",{},void 0,!0)]),"doc-footer-before":d(()=>[l(p.$slots,"doc-footer-before",{},void 0,!0)]),"doc-before":d(()=>[l(p.$slots,"doc-before",{},void 0,!0)]),"doc-after":d(()=>[l(p.$slots,"doc-after",{},void 0,!0)]),"doc-top":d(()=>[l(p.$slots,"doc-top",{},void 0,!0)]),"doc-bottom":d(()=>[l(p.$slots,"doc-bottom",{},void 0,!0)]),"aside-top":d(()=>[l(p.$slots,"aside-top",{},void 0,!0)]),"aside-bottom":d(()=>[l(p.$slots,"aside-bottom",{},void 0,!0)]),"aside-outline-before":d(()=>[l(p.$slots,"aside-outline-before",{},void 0,!0)]),"aside-outline-after":d(()=>[l(p.$slots,"aside-outline-after",{},void 0,!0)]),"aside-ads-before":d(()=>[l(p.$slots,"aside-ads-before",{},void 0,!0)]),"aside-ads-after":d(()=>[l(p.$slots,"aside-ads-after",{},void 0,!0)]),_:3}),m(fs),l(p.$slots,"layout-bottom",{},void 0,!0)],2)):(a(),$(L,{key:1}))}}}),vr=b(dr,[["__scopeId","data-v-5d98c3a5"]]),ye={Layout:vr,enhanceApp:({app:o})=>{o.component("Badge",ot)}},hr={extends:ye,Layout:()=>tt(ye.Layout,null,{}),enhanceApp({app:o,router:e,siteData:t}){}};export{hr as R,jn as c,P as u}; diff --git a/docs/.vitepress/dist/assets/fsm-image-0010.T24H2Nci.png b/docs/.vitepress/dist/assets/fsm-image-0010.T24H2Nci.png new file mode 100644 index 0000000000000000000000000000000000000000..fb31096d6660c22f0032fe299f5038bb95c66ef6 GIT binary patch literal 12056 zcmdtIRaD$h5H3hU5`rhVySqC~0>RzgU4y#>cXxLP?jCe-XK;6y!F2|f|L)zVy-)jc z&%F8$T`j-vs_L&RTtQA8837Lg1_lOMQsS2q49th^_mcYc9e~N#YC(Dbz}rh` zI>Er8^#4~rB-5kd!@w|&O8)w(;+}P$9d3*v+TLSr=4hY0jkYJWzoP1l8K*5e=d$Tp z5LQs_UhsFTe32Z+z-K5&I_e%&C$rEx1Tsp(?+X~~440+sW} zCyVOA>c^3A9yeh~Pwj~+*dl`%&%@anrv(nSd>e()lgz6jOqXQQnl0a?wHNt;$YgX$ z*H+ukI{1gy+Y6MWI@gqvlExLn_-n4?E?(=ebx1HQC7E*dbM{B>UlOngWOl7Eq%u){ z-^R75T#yn(?&=z%4e6Thet*cg!m+T19GaFJfd!{2E%G}}OTC?%=^|<$2GolWbnyQm zy=w33dCo9TJFo&7Z|6pPiXs~G_e8~7qVFzm^l zAQf_y94$rYQ~P|cu(`QFSgVvn;VbWDeJATbuP9H_E^^XDtx_~L9BlPSO282Ggz zzI`kQfNcvKZ&SzUO+r}^eDQd2vaQUb`oEz$<*DH!Z8kDMwfTBkBXDGHixtN+3eXLL zc2+_VNol7YH|EEl&V5OC_VRkuG>rFy-Xvv>A(;A~BZF;b@FGx(3e=oz_A3L58{_w> z;;^KTxZvy-FAo(y0x!r*cc%b$zD~ulUBpVsVSo_tet6Z8gEfqO7Rj`I`rknsmU3L$Tt=HH1E+V^_Tizj}% zCb@v;y+u<>I`ajqXfh}CcQRnib?pyL^twEByf2&_gEYK5n6hOS_o@# zYCyO$V%rR9yz_`F`GgPNlNi9kxAXh1-QmsG_)IwjeJ59&tvD~Uc+1q*HorA=lOE4{ zV(Cht2`tx*QYLJRwR`Rk3Rl9@T4g0}cj=65rV`zz9FdXZXIw`)KWR1mF851O+Lm~E zroQ?W2d}O&eH1o55xJWRICzRYPN}z592#3PC*!6fmTil~95&f8c#~p|vEf$snU<&d za__f4H)ukGVl?UpROhw=+U^osSN7YftwpOb>W$W|eiPFlid^U96KY8GMcR05Q_y#< zcw4JDYYbyq9GNhGgBipxK6%V9CGU;3a(MA@W5Y4F2BeaF0!p@7wN}5ykwW2dA7Eo& zUPryYB;Q*c-34tmb5iz#>{V_htWYRv}9%qTKj&!9(;-Y63|GT^&i^Lm7)DmC`l7fnDv+zp^G5CYD zLR9EUs}w!AH;H}Do@@_$IhYCZf@cy-`=6VS6UwtqQn_rdZ7R;5s!mf-sPRV~JwE(R ztXaH>jfsm@g?FlY-*$jhG1(}-q4|h^J^wsMWem_u3^$r1Z5os&b96aszF()p=`;N! zo7=&2_6!%OCL-#obuAU_CacNFGP!w{B3Az?{@d{RO8dQdo zm1Vy6ST~*4RS>~QEk(9*UR@g=+A>tL+fG++>mrP?=Lh-kLzCyc51fmKrqoGWwEKfkGKA^TAk_gJAL>2t!^UgFM1;kcD@ z%*fTNBc=(#%0ESj4NS(n)M=d@;y-`V#e3!(2PAkMX>w zZ7%Upg4Hyo@q)Y_+o`#1c3`OKcZ7ybxu*KT*l82mCA5heG+L3FOyqv-rANRJBvv~A1+M@|d%Gs>zpK``mAI388d|rLK zt_=iTi*+tvp<=q9EcaXvz^ju)R-?#X@dWz@kV@5lwfn?o+8i$FHu#21wp9@!E`pMY zEeNBq<7~`ksv&tGDYc|mVS{>S$ksto~ni?viToUbD`~c2aTam&O_S1JSr4NgWYlHUVG*phlgG+(1IHaPq zW-++YvUo|o-LRx(U{}TFzL3cL0hq6txcvK*(?J!*mH$MKFHN*)917E?G9iwP<9W_e zg6xrX@nRUC@&DS}7{%o4D3&BAq`8;w>maV<0{^0DGu>aJMH+NR^*<63Fc*JConQbS zSOtsC58@9ZlBmhb7^UOvy!IO3H~%N03p&z+Yryt~Tt^5mO?3Bg3gw4aEVUlGOnP-YP+t1J zn8d02mDFcO^w%B+^tB-WW4akM`Nqo!A3A|zVF~5>AA~KB%Har$QjUP{c{U?Y$=7Ia zHINFdfk#mu6?gz0=s&Z$K#~+E_lG3_zAT+XM$JN{oW+mkn}Nq3HQy(iQczYWWDH|%E)Xpvk zOTe{RI|+f zjeVRLPPeRA`5B|L1^0OiT{Ol-oE<+h_hx%JOC&VmOe$prc2rd|Bqubvg=ikJU=#6> zd)~sv0h;n4c7xpE?BC8pr|}qn2mdg#y!0|PJ{?0et#GI7D>2f$*IOt2S2+o!M}OE( zcKc|)zI^p_IBqCq*J%9S37IxY;atTcTT0=wlJHBecdwGO?h)~b(82|sA(i(1}WoX@%B^vzToo@u5l zfD<2*(q+ibdWPiP>dk~rA}&7kJrXKIi~`I2;!ld#rp`WUlBNr_6`BC8R_J;`5H)qmuv3%t3O@zi00Oat`bqxDCh4naXu`{eatHHujmJ ztZz20Jc!?F@1adJf__lpzOQe}2;^)d=6Ox%aIESmJOZglnD->-wL6qMxDw@eC=Xs7 zEBG6J*~}iqY?x zZ{X~%AGXQa;8yQI8M|c?MDE0`;<*R1n~L&84op*!JytGj1fPs3C;7x=ahJSaeU%2a zjC>a|B%0Qc&!WE7azxR!&CnJfZl}*uN81CrUm1`?<7{FKRtpx@XPvE7ogec%q#27V>gpCk1`5=emcMM zqC-vtl68H6ZVFeNbaaWYMWJniaf#@COvq9>xk*mo-CoqH@cpW^jrGMhi<$IN0Z0sZ zSN93_Iz}<^Ad`ymaC0*AIne{ITPtOC^3J$v$`2|D%;W9zk;&nIa)K6R>w~kn;(~o! zt&02%GW0URH@B17@(&{bV(BLekE={VWMHYtlWhG3KaNC+-_v@;TB#C*{b5d>Uko)3 zrFdemI1#WYyWwTE8ucoRW|7d8QpX_mEVk`KNj=G>fj5<=w(WD#l{kmw*)Vbil2PKn zjP^34aWzwU?Y%xk=Ja6O|AUOWT}C7uO@AUUcJOs1_LU)~5&Fd3CfptEHZgP3_wAad zN}n$_jdIo*F$oM`X-n^A0$BW@W$U~L^ob(^t^h$+RdJYTZZ(uZ9gJ8 z!aBiA{ByJTd2LY`-#~GH%oy}lVDpQdWbs5@AT>v_)@(DmT(;haADOfbcl5y48^(_$ zCml-ata)>mz(2{Y#~pN0n(Jgg(q8HF5eBlk7~1L~U8y1fugIP)4>01QWD#G{Y=|a% zB*D_R;@{t2W9rP%DFJWUT$TRnJoLdTCs^NATaA3XcxbcrttEK_>#aMaDD0J42!bjP zJo2--Y=YS{BBn!re-};(t}jPqXDmrJ$;a<j0Ntl;{CFHv zuCx2sSiFjRkIJAvcbArY=Np6BegkA|&o`{jysBJ3nVQi|tBYTl$0+9xw=>5fxt`5R z{e5?M#Gunob@(83RPD?URC#+~28bUr>70@N>V9?9gk~5GRaBR(;--9yt|o62t`7ZS z6{2L^UbA&!zVwy#U=y1u`=u$g#}z4c&Uv?UuRYrk-fHQm^4Z}2kY8U6@GmZ&1>A$S1i-z@w6^mVpOQTnTl;%mv{*AuF+@S2zYh)aywvs%-#)eLXBoy%?Qp& zwkkQwkB83SJmk)WTHji*oY@wMN3uU?{sG9sAzzD`DC7Y$U%n7qx7gc)Rde1|zW;BK z>@ETQR4ssR+$2MVyA~R_#E*DPc?Vj;VhkIuw028}ZtNGdw|u|?({5w<#(UbpUT4@R z{{Y3`^J>!BEtx>EEcz|5f^&B=5T#XG&`i`D%+7%Pu=)KAe1o-e;Fs-Lh8uD6lB)MN z;ShndD3030`Lrzk_H5A@&_J3x8MUXV^}_h&(?7`tgbCNgD$pb2KQc{92Y|Eo@P6k( zyCt)?X^_Pdq9C=zgAfq(`>}wRq5S;q_@QQ=DfJutVttdcOPFs_3I>EpX*cJo`q!>R zTl|aWa4|c%2mZkqZPuRt^hkJ1_mz*2;%0PI<-d@r|8jF5q}N>5PD&_a1wJIJ#(Knv zgV`%T!(d+sct~bF$&H3d(g$zu)Jw_e3lDZ(3Ouw?v0+2 zbYQed@z2&9(k{;51D#)=XA3AQcaR_#QQt&>cIakAY~&sQB;QS?uIkH%1vbZ}px`%@ ziVL19Kll301XcWz+CXfj*tJsUsdSPHmyotZzK_p4N;bVeI`Ycl>0#8O@+2ol3az{aCv}lk9)(DkuT%hKw~92Ev@?fP0Mhb9Jq= z;;_ALL|w^n9x!zA1-Oi+)?d0$g>NS3Fdme&S=y@nn*ixQAf^lyCEbmeoH^Vq=5PPE?i3~QLC$1oOmAZwddJ>ppk>=Kw3cJd7S9n7SsdmT zqp`5vjT$nWP-h6VWIt3wq=nEeG{61fr;PxPJP3~vpA2!hy7#;fL9@lsjI1~r=5Vp& zZ}VOCU`3CBnpZbwX=wB-Ym~dQATB#o|Bk8d96Y5;eeZ$r-zc_0pA%}d>nn<~B8sf7ze$xS z1s!=ai3`o+Oz;aByj!X$woU0>GHZB?Y z`F$%ZXq8Uj#U`UpCMK4{9{n~5w#~Oqn#38W>Fiwu3ELa}zC~r18J0-k_OScVS8W6m zXyeV-jU_{UPhS0s;*gJ{k@Ku=?EAC&5h#cvIL@-k^%9Gz*PEI+DjCj7GDJV_(baFWox5l2+)eF#m(`+?fR1OOHE8T&XKL#o z8HN-R26jPCj_R0W%=)>BF(qV4!TdWZhnZ1cuR(p~WlhM|KLPYIE^DGgFH!$6+_qae zcIyLtd{1a>8-aLw`r0Y0K%`aX7bEVqaE}!^G{w!3x?>}+$ym*o#Cz2I0PBYod!Ia4 zBjw8UWTz3Y>?6vGsm3Tn;SzncDc+`o*qN?ST&&QcUodTMb7_jv{?)_`FXroml5yX@*Y&JvbV!^6r7NjL_$x z!x;YVa?GHCiXmO?)JceBZ1BEm@U^JAnzWdt!@g15NzEH!-D5H$6`J4z3jvXylGcqC zIPQ)87LC-H%lkOjj})SN(@evd>`-AyAAHq<{vlCJxS0Mhbn4&pG$QtcO~vzR4TxLZ z?BA7BY&7U3QmF1=c^zEow`~8hAfiVEftF?FDpvLh_}G}FW`S=ACH)a8cV?qIuwMK~ zv^3?%5hg>o#%c)PxJIZ4C0a3}A?2h1oJQwn#fia}F12<}e|D>K#q6{b72y8k;q0M5 z(I>s%0DEIiYX)4=QngiaYu=n_oFH>Z*y{&ngWid%NW?;@-7q?hp#C^Lk z1jQ$y?$2vqjj!}&^x~LKIS_VeqSoG|laf|AqlR@OVz2!m1#wex#hF~wA5 z*PlF$i{L9!X==lbGnuhhn6lvLKaw10w5mVVI*01S%sM^~!F`ssV#(rs_W_`fP`)s2 zgxxvbnn+5VeRY_|alKDK&BUczLnX^VQQ5LS@(E>eODdc|qJInZ?<>l}cok~m@Ja4{ z&(rp7|FjvS{piVEg|t=so8AHeHKdzKM8Of{sM} z3t_f)F=a;^%FFle8oz}N9KrH6ZjT?ih9H|Gca$N9G!(4j#?W=g4G7<6snFxdg&la4 zcr@a;mQF<2nQQ!gBfR{iN%&v5Y#Fc}gfluw;4Rp4pyn4N_pUt1n$^*-k+&NyD1uhD zv&Klh5Eb=1U10V=^+$rnoqY*^%Vpo`-$&)!D>;tB4&+d=nm!{?0RZXf6uVD(P6#S0rG_aw}c~SuQJBGN7_saBw-P){tufe?@p>k=Tt&^G8nVcrm3#m z_GFc5eUb%E$SBsT2u3^7XT|XL?Vuj9eB2L3eZycm|?sb)M@x*q>4Wb@1#hq zu$qQGA|ec79*ATdh|`d})AUT`q2Qp_HBVehemi4`L($}4`IsCRZY^(3^*72x<;zI= zW8lvaMx71L9WS7)WNrGaFXQ&=8)-yK{wnT5k2q0373n@d=NNsQXY4i8;jjXesO#TZ z3VDf(X6$ZuB|fCZysB5=s;2PdBj zfV_AZunReGyc~Y)=7{@{aq|@-nft2_P3*`TYHyU3-jYy-2HiiI^4brDNd)9xu;BT@%N`^c@Yi0uw16<(8@gfe!@ z6ERBx)X7!k*`jy{!UVhYXF%nC@v1G)yUxS@?YX{?D-|<{b8Si-pRkq|+erMCwV%Qz zoWG8fOjpbTB-)SD!fxa>#k3ds-VwZX3Feog4}VkF2(sK1Z${4f1O0%_=cD`hf&gP! zv~UK5I9`@(&U+;r=dn`(tx6`Ya>Y@bDA=!gnOml>SG^-o`x1!h&$JkT=&&JE)o*4? zwv-Fua+M-Lb+=$__rBj)51j_fJi<^ctkk+I88I3ZI(JZL`wY^*Vkt`;Dmv^L5=9Y5m7N4Wd*X4sCiwpOZCK2G87SrXY?Rlfd z`lZI8!Lv@;FTdKjaJty7fkJ2W5$b37ZJ083<%`}D^9do&>D!M-B%5FBBfkTl1XkV% zT8|pgxab+E$<9b?UYq7ZcJa$!+39r*71y?}_&Cl09Sdx1U2g+n(es`EHfSng2Z~Sn z`Ca?LA$3f4$Jb?RGFbX*sx5|qf-pdPtqqL||`18scfS ztnDA-vV}`V&G7ujwKUNrtUjreQJCA(hCktIWelP^Hclpnw$e zWoW{QIZ00}NCf*HsE^{Ph7+$EXVx9pm)Egj&2hA7bTEF&2`pHkpHr}84f2iin@Xy^ zIpg7S$&!{fCAZ3q*$9N+o#u=lzw!cO+Uf@Aw6Y}{?o}vi;eG3JrAyygZ8KHX=f?@8 zQJpre2Zm{d~Fn9x3CAg2&_b)vlhzD(?9C zahVR0zbPhoX{LX`v2D#CIM0P9jiRVijIp9P|K5w*?0^Zn4f=_op*b4{vI@ST3|8sC zPTh&Hoa~_swGM@1Q8ukU!&K9y*pK747wF?h$>b%D!~_(CfD^DJK)vJk5fi4%O7T+R zbrVx>(A(n#=8q&hxp6_2Jm5|!#|5b}n?-Fo+^zdM#&jl=C9g%OG{RSvHO z9q?$K)%M&?f!=JsSk@#w1V<-ykzpXqk37BGek8*5foX#YKlH)xwn3h_`gHN{6Pd7U zp%ECh7idX44~2tV$sAH%yI17$Y@rgxEz0aLdFD;?e)QFSfRm@-*~Pa;p}5$6^9 zh390Bj|lo=uI-kHdo!fU5kkVs4C(2P5tMHWO>EAr5!4Aj#%5@th*_?4qHW{Ob6)!-n)J;ZvJD>&;|qTe_W zhAGMVVHO%gR_}d;L?^2B^`{2{`bgA~RN};J5St9uT_b65duq~ECv(Ptw=~%EVgUIR z{d#ovG^8uU)bR9$UyK#!pEwr(NdE4VQRv&wK>H9^=gmSW_-t{8*$1Je#(THORDkZS zO5xx6hjIAS7{-H?5f8S*sV3H&WlpWvH4*Mf8= zWJK|1I6uAe(Q@hNldb|a5qMUmjb(2+j6u?4qk3i+`KCVCBQy0y$^Z}VIKNPu#iw0B zPLCBQOP?IzEz_(~Y1HgvOTEd2vVVbrdG;q5vp~42TOh%gC8A6LWrdHL^)l>B*)KgY-Eamj7lLQk8X2aO-LdXCRN4pa zto#e$11vXB9W4vIZW*B=yvXhS&zsqBA*QP}BG0U?u{8WP#Tu+q^%(}On8&3%vW+QG z`Ym3E!fX{5<4KkZki1&&shN%uHHC_6`PWwC{;+~{U({V$;1>v5_!!&3(LOk28I!@f zc7rR+j%+L8B*bnepnRUQ2)y+B$9Rr3{Q-1sM?=B3f*7vLYKiSk zw3ja2hoxht;PtHtL~%>Mr+ffI;YO_)BzmJ@L9KYTg5fQX zSZT^ti@z-6A6y9DM!BS$TYgF|-Am62q27MF(&$R})ZA7s`1o^2m1LNboe9Vg? zh2&+=Dt470bH?Sa8 zcEqvi2s8@QYRb`6?|t!qu!k>W&8x7SmKI_Tu=i)A+xx2GNaXqYH0+5TCG3|xZi<$d z>rdQt7!nPk&8>1GX4W2tPSz0i=8u+7f20Wy< z5jY+S#;=p6T-Q->3*n7Di>@JFDD+7@0A;2B_Op^UHZB=+McE z37XuLee+plmUAw%j^BF+g@sVxJlw%Fb-!O2icLZjD3=BOE63htjgH&epPJF#tevsn zuND%NaOb-M0-0R&@9}Nn(_f#Gwd3VJZ8?^#I0-!>&mULQsOF+A>^3`y=nuczUlx1S z-&GVuP5@Db7uRR9dEcki7o)X|hE)1~xs!Tl0ekJW0=A1jy;FVvn?Uc()WMPgHM=*6 zjz*Kich#BlvM;`F(`6cO=DAYuxW)fVei17H2RBLUr`Fyau3meo{@3sEoFflXzfqT+ zITmCrHJfE{FeRu4EqcT$VEzw;fApS^lH{ zLlg!9@T!Tr+}JC6K4k=%JT!)Y71C@7z`iQR7d+y`kqZ~%C>Vw*v{KU{p%8!m&h{KH z0y4--p&Aq->3a5MTrHoqMO3TQXtx>Q0ZoT}Cy25GgnRit;EX|Zjc(@CLENWOhF6zNjddg1=vO^p(w+=qgUDP{5?VB?nI-WeR= zCWC-%9fNazb6g=Y$5>_cN$sfsoQK|MO04e@h>nF+YUP06)v z-T9-gAo=qc$|4e=B2#4ujQ>swM|PzmUyg?`PT&&f`;@CXy)V#ULA5CY&*S!L_lfrg=+IAew+8PBE!GTV`YwhSr+RSuwVu3cCHd*m<#DCN6BU1dL zGx&+#pOa>^rUwCJpVSa0tJ+7UirQDTbo!^v1h{NRE`wQU_U zgoCrTto0p<`2BW$O{=V?G!_PLGmr-zJgMT3GCZe1nUfP#ZB*#H0Nes~V+4g1*mKs! zmcC>eP@c|Kv@-mS$KzRC{3V9fMYES8a1(1z>~%qm|0@in8^go(H8XR9Vb-YnlN=tY z_w)U#{TE2x#^dW-xc?*RnE!?R();UYN=EFOD%SHmT{CHP zkBTm~b|UWp`)*$u4c9)g2pl&VM_wLvcIKP8b`@@0^DT1GUEy7YVGPHd*R^Byy z2@Q!(nigTvN80&D>sB$P-Qf%X3Ud%dk$Y9Fnw36T<<%P${0~_(Hli*O8mD*MoE7ei z1(nIe1kyXrWBQo3KP3QtL>COAPK}u!yo|GS!8`k-@8tl!sp(o$c0V=+s-$n8+$_BF sI`$;S|K(QwPjklq-^8o`Z{-{8d!AqXAiHPoe<|;hqH@2gg$)A!FWc6^IRF3v literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0016.BirHtMRq.png b/docs/.vitepress/dist/assets/fsm-image-0016.BirHtMRq.png new file mode 100644 index 0000000000000000000000000000000000000000..571de688216f38934f9dc7924a8bf66b1c5fc880 GIT binary patch literal 53847 zcmeFZWmJ}37d3iQQqn0P-6#l3cbC#Fp-3Z*NP~eOsI(~EAP9(ngp`D|v~)MpAr0TU zeV*6%J>ReM{~X3}FoxW5U3;&+)?9PWb-mP3Q^doe!a*Pqc*;t0S_lN{0s?`CiG>RP zLzGb)1HZ7Hl?>ew2>d4GKa^N5d};)u4_jGITE{bSEeYG5RCl!QVktrWv%BERbsqy% zbS%QR^o$8uAtCgkjP%o}?X@_Z361F){;Roj(*jtZIntkn=FX+2U&i|Ufe;magu)P& zLFzZcrS~iOHFdnp(>0YM$El^39V0lZzBo1Qsi|X*GM@qe|m*5#l5b z9UZx%I3Ba_SqQ1_Q^^221fo92Stom|bJlgGk0pREl8&95dl93S>INBNkH8lrkpAhd zAPObzd5v`(zC$hNHXLf|g86a;!VlF9g+9bNcK9VBg_rQ~Gzq~EVpaqy{vi%RI+!pf z)uEJuChOBD4Hta^jDk`WLiT!n1Qw%(Xxh61J0EJXPe~zfzf|+t6kS4~pdi0SrgTyx zlkY`|b@w@y@7i$jT%4JITSv$4)C2-yjJ8FFc#5w_{yR23J^lLKFLLNtW@cst1jT)R zUt?#l@ICsx%!`ibVcQ~`)@Ii-t@d=;nQuFocs%utKtf6SX$EVZ*8Dp@OPqqu{v34$ zg%<~#GZ@^BabbAXn^~h;W%`v%~uW#rE@@@~slFOZCUy8mp@ zZhhcG|IKbO+498^>y#vZ`=76_+_a*K|Dc^S8E|o?mQ&()^85Y!_p`~aVdPoNwrNpV zh$Ms=ivM)Y!DLuyC=M>}-Om;;8TnZ~=Uxjgj|^GxiJ$HDhYr1=-ccOtH{Y|vQ}gnZ zpHF?7H-ARGJZ0kQR&Zj{_ zmwqIX&~mgJReZs^8iMG7m73PB2x1a%5P)l}p8rnmq%;2Q*-zh-_42`d{c?kA&o1F< zwU-d$Go(v$I;@H3Y9M~o4v4obRg7L--&GYmnC1U`VEi`s92Vvo#IoGZh=TXFMCOJ++9UKB*#5t|FD7CQ1A$z%HS_GCr!tfn5@u6Di?axS7J>ruV?YEPtHXzQ8hQBiT%3MWR>UfxiD%q*WZ6lXcL_&hkOhb^{(ho~(>l8OxTgZWc_2NTt`si}b!H`FBmY@csv?lQx&^cUr5R!vRKw6ru*9?9cnCPQh?9S70} z>_+PGw`@D#@iHmCp%L@kYSf=e3#O&IWutL%es;3A(*O0bQ>b!{_kPu{!ml<*$vzt^ zx~K(}yFWy~KKkXMihUKXd;SHvi2JfsQR!#czJj~uYIp89{QPLxpM57GHv2;YsUU<) zo?!{=2ZPsC{B}cyN$=h%6_vg)J<6!4sAz3fO6dB2_OQ$9CN_=y9iwdf2CkKY3CEmr za>2cyMyO8obqfMg^8uyxCLUhmH9G-m>b6hnf@=j>Sy`ExnZ?9TE0>a^c|MXqHgjri zdllA>p`SMy`Ikgd?hkVc2$!1+j;TSS&5qt1U z`t0>8axA}rC2M$&J=YSR)1g`vE_p6glNDQf`-Nii!F;s3TC$ke-g2+|Y>8FZY#t*E z)*{ziT>W{&=Q}$)RqiV?j{|-r3Y((^TxCW`U8+SbmC^mi5MGjA!8DuTRI98;NJXXc z4Y>{JX$U9nZ)#VLj*i&Wlf|5;>udc^miHmVV4sCuW}8;}b4p4|y5k~ZW1IOo_S!ot zD=STXTkBiP9#n7jt4nG=_g{Q(Emn>#!!A5na5s+Tc+9D8fq%U6cBB@M_hpo6pJ^_s zyR9-}Hy;xR(FL6LI+iDNE#7siiOs(OM>8viv^Q?7Rg;!pj{B1)Kl)54TdeR0?^$%} zEixYG(cv!+34?Y8ni=0`8iN-yvy@h@tUIG@@otf&V&^SsW@Wktn?2r~yh=^2%8(`- zjL*!zzdFeDn89U+l0F_E-Cl~B=9}REbycum?4=?soiG!>ka!(_YwcIs;Zewhgb0Tus zO?5CnPk1m8wRRRm(?dr8USFm%Yqag^z=zVsh9KPPCrS^_#Z(Yai4SplaN2*$hilOO z{P^ip#LvCe!LSAdc6(9XdAkd*pkUm1^Nh3m=48?r4v!2^KmP(_DZJ4e*U-#3DEf$q zDOBaUeGDIb$g|s!pyhW zsLXD!7mid+)Qm3YAt@K@)b_Y3X?d$t2Tc%*XJC=%6Rk*N@(PIVckkZK&(CY;sI{G6 z7x;aIf=XEZXF0|bgHyAr{UHfKwcV>1F>k^uzT(qZ{Gf%HnD`pGYB;U9r{d+vOdZ)mR+_61>#7vMdON2QdHHbP_phoTGx%cCB=~`c@s^0ikYI4%07tpC4$T z!0mZ`5+aU)P)QQ=8O+nY#=AUtInXSy2tjCEuh03^SfnE0!vE_;a#WP-#&{*pF)t#u z{mDOnt=6#mcK81M87RexYD>G_l0`A3IO#Rl5fTvSQqCx5+i%8|jAK5}FDwienutnB zoF8t_nYW{MKEn#+`OjxCDQplW4IHjx()kjSlMC4PF&klPqtqWD;R^RO*W~uvN#jq~ z?b&AX>qc_&@>hjjRagiqn7O#}t-9j7;ALH1`{S2}*5ldTDwMiALOvpHK*hJWxH|KLBGDl$ zC+Fh$R}rLGA@9B16oTF!SVK$`61CC{#c+Xyu3tY3cCVRZFM53_#GYaQ92Ua$F-GV6 z_QPR+A&FE~sGCE%qv+1r7l3gzTir#H$iplFNE!cr!}{6?VfF!i2V*i7W^tWe4 zUs(DaOUY3v&oHYNpZ7!7vEOJ-F@$l z;Dkk)k^N9%S4#S%5$-fsY#>jFQUqW*z%x+5lFSG=1806IO9rUliL5k!s!o8vOm>K~ zg_ho#Xxg1Do)8sfUNYkSd+lh{zDQn9Zg~Co+S)@gBU^OeEZ0^|x5gKEQ05^1V+Ez8 zJNTuaGIHzt`%CbAs_k(yb8MZBYeW-4uSN9@B>!3GSX_Cj>W!_s+W;42+Bo z=EW8k7F$%IC;|x%u%!!c(ZV>L{lhqxIiISaRJtIVFCD5>wpy~q3|n<4!x0vAnl#qX zsONfCNgZa)sI_fo4tR@wX8+fax^Aq(hf=4h+L-IitgMR*3%X}BPwQcMP7z;QVL=$j zZ^z$Kc4wK}vO%_!H{`RsUqD>js#;?8yBiijrf z$!f2i<9pmh*F2aeL!+YjW>8}RO^mJohQwg9)+#vz1tZcTh}NVckqiN++ZS)ioRp8F zJoL?*Y$Y!MpqjIMHa+z14FE*wY46t5kI=~D3Q*tb`pUYz>hk{E3p{E#%bNUJX+d`q z1R{&X0DP;gWM}NSYA~$H=_)P;0hEr6xn(z?bQ*Cq`g>y{f$z!p*VJyBcWzJ^qg+8Q z=c%uHC2_M7)PBDALM;?oOnYyCLIneS=GAr}PyYRl%-zNLdGJM+q6%{$Z22tx6MI6~2{=xoVHB&2y3k(o=sziExXfY|G4;4<0RYs>P( z4}LK6*;2)s!VrZF&Ne3dgVo6zA5TxuB#}pe1z4?>Ro`Aq+mE?>cDmcW;7>66(U^<- zU=5R7S#f!Nw9I~nYjP&{T6tgUFLELxmm!nK99kkDmC{l*U1Cew@|AemF6JeV=1Hnv z2qe7z6?M4N$HGGNaO}(V00tcWK!ESQcT7zu_09Y&%g1D8Wm9}MgtIIr3AyRhto_;x zI<0oo<-^*~IDhOP7OxF_&^9zQTnf}*W=s+H5fBwU>3n~)I^zBNqq%U&2L1M+=qo(C zGRDT^uLUPPXBsf7@>;^_axhfJV(?c+k542{;>X90MO;+Wmbz1NQW#7kAbrN%tiIHGP(u7Bp9`C}RŪc)biY?iBww~}- z?rRVKe8WIPLxbZ)RqtI#$0DU?duXXpFgM{qax1E|&k2=ee0=Td+rHV**to*fzO(F* zBH>qka6q)MTj~tGZSaH`YITFY?H1(GOL$a#7Ok=b;2^kly63Y3i?)&4e{fcKL02`s0I5^|+@|BR>o7cGM)FHtlo?PW9}co}X+A za}8IxWH7SG?46)p_QkNlS`teC_Pk?)^KG)q%FOvN9ECa`IL=U z%bTl@$6YWN%-h=9&Lw;w%tlpJZJ~Y-%g0K;sICx#CIBK&OC|p%Cl4pSNhzGAJ|-N& zf|~uGcOh@RFMUdcBV~w0A|dhqgX|nUHG5i*9>4DH%TisCpeT$&seH+n;@by1U}Zdn zmPd}PXe);3y|9AI%ge|EPNx0ri{x%u14SORIQQ@0cdFk0o~N6C&9SeqZ(cQ2U|$Ma z>3Dh(T=tDuFEPVK{Elyh*G^S??dGq`RG)?#_7^KuUZ zPGPvjF?&(uSNx*|EHwODjc~D@kT#2WKFjuk@<|nSjtAdg&8P>_r(@$1A_33*;$piK zhY$2O#Nw*LG;bC<;==AzS70TTu>DBT`)D8?8R%LJ3BQL&(eWXClWgIynfo&AvF8gs z0WPt(IiE+$aN_IdlaZ0#Ns$m|WK4$^3W=Oe4bAoZws17Q78gwEl{+i8(fA z3}X78-6bU_S5Q!BpP-T^L;X|HXSCh|pnDM<3@P2jF>s-hmz|{d<9ZlR?Tz=hiHL}x z7fZ(^+m6VfTD^q8fDS^|JwBBtm{hKCTK`c-#j=760RiXtUA>4+do*M@MeEi+zJ2FT zfniBDrJpW8f;$(>z4>RMiM>F=y2nWePhBV1QBt7JFLhKqF=)eqid@|urSd?;{NODk%8yvxnwMjZ`9 z5A+8}Af~bqLAOV7-N-^90h-=NlC7q>j3l}*tgCkt=Ux!;>UOs(Ce_6@H<4c!SSXdY|{@B>q(3&fr@#JFtdwnbsO9b)a zK3@jyOsYML0|+>#r{!X!qf4NEFbmfB984BJP?VN_^3-KU3gbWUSJBjz)=<^MLjYqG z*q6{Kv}ueD>Rc)XdA;cT>uA&1K%7mZ*1C#sp&N`Jk(W^pJ*WH3M(S^QHURmsThBH$6cp_wM2ljuCb zv79MS5`F9;k|a3gy9?F#)Lq~bO6}}bZo^1w-JU_pn^vy|?UDo>;>CgpV=xeAz;qfh zxVm}Z;~?f)EI6S{x%o8>A+;e>1QnG08ab|b-S?IjNbyFI?PIq0p-tJ-bamZ{WKz_q za7_XP9+F#hdN>!(5&Okq?ClbP1E*Vi~T@uWK2v8Y zv-AQt(ziSnaFMhxzY+4QXbzno3l^s!Vyuri;Ov*#RYxcoY*c-mTCcm|zKKalm>3zU z1Rbvh5ZJa`r}!z{mvspyX>EUmYPS6}cVUJLS*%#^#B0Q#0@UzA(^P-%DuM7t)|DU| z+m17DWF0z^IBGxIUGl2AK{ok0H8oYg$W$$--@KTeCB0Eox^!}3o%gtTAG!y6X08t( zKDb++|1PiVy_+ni6uJ5mfv5%KcuRWEfZPubLv(@Ouj}RG=`k@MT5Ezm(~!J`ue>Fj zjH3ot0IXznBgb!OtIH=msI$HUa&C{l+@XI-ndr|R#nY2M-`xXhEWXPsp-aI3)Uz0c z2a5=a!UU_YO88dTQL959(a_Z)n%C=`rE(mv;2ri2>Nt)0{3AxI7w!QXjvrfFTS(&T z_<0;66%!Z>H})1}OLd2p1f%(2Dj>x*!0QHgA?5LEei5u34d94;y#h2$d}scMxLgj3 zRyR4K8BEV7vPG2b;h2;YpYF?kI>KqRRX4`ls7j2D9ZZwOR4D}RtlD|zX?`d;RV?z=(9+IsUF8PIWodY9_fJvb}YEpp%|| z?BO4&aCzYB>S|yxoL^MCDl_MZaK8lM@N`@(=Vj%i9_7z=kW^GBH6r^iMn=X~edtvRlo%OD)q5I#71cSGjIi55 z(utYeIZT@jyDdjbe+0v#Cfv?$YHD(-JJXB2 zWT;6dhk`i8f}8mf#iEJ?CvA_q;`v=4(+)PdKA!Zlwy|Lsp9|$=;Bk@3y$v~8FzEe_ z`wgBNv~%BIXAHe0VFPgfwvgJSl=s2+z4b9cEWe-;AVZ<&=5T?8whv&rTB|gbvz&+w zQE!dJOBE{K6#We(>SFiH$FAbHz!e>7SH^{E5nts98GR^_Kj;me&FIj{VOqM>Leoo< zy)LmwjO)}W)iU}*4Ss1AKEft~Rs7?_!Vtz$Q?t!s85tQXZS)g5?Z;Qsnrx`AHqN|}rUvO#d zg5-O!Ii*hV`ls*j5o?PZ^IXQVIq|uCJu~fBd*c%9309imyr}Vgfh8p4(o2;fLYx6{ zVf1ZKd}L&#xVU)l_EeFXWb;)qIa1OBbesDi1Mu?l&T|P)xRVr-mdRMkm@z(7c+RN7 zJ#M5#8x}MSLQAvxdttY1yPFp@=CO=iAvQ8!ZF@DtA32glba8h9C}5IU3oC+i@O6d9H*2GjYo4=JTPV<3{?cH-$7zX3-n z&qz!~b-Y&6&23V(_dpQX!4v4^CPB=B12^$#$YebIX?kl$xCCb~o)_gfUnEnpaU@DL z{jo#kxL?D{BRGccxME{Pl#NCe1`Er*8OWIFzm?DxF8+JCEt>6UEi^2wdDvwkTM!XQ zhs@c|l|G2qS4Dq1mWw8-^$|I)wK+WCc-X_`Cnq3_tE{XHut7HyhiP+Rw=jP|@38a} z#SrYH4oxnpB6LBLYdW4Q^!&5f$JtQ^LlpBCnjePiK2 zCgK_@GE*;S;^i&Y&DVqSs`98G06EZzRwzFJnJRJK#^zyQxc}`b#x)D>55E;5qhC)) zAdo!DExF{ZAhc)WlhJ~N-FxZ2rfVCP?C#s*qgSgLh?v5*5Jh`$-}d+SL!yWma8P+8 zQyVq=Vlt}s7J)NB^FClKL3@i4c4HuL?D&8cD~(vDL89u|5O%E&>`Dol$fxX1tOP+D zS7Sd*nQ?}&hs@HOEDpXkx!FU+mdp7>`!&Mi-7ZFb1WEh>HE0_17!#(}Iv(T|;WNiG= zXs&Y2m8qz1O<}(afoMcVi_MSbFbcAAy9m>W3{o;OFGtywKC3ml(t7HS+S!)}>L>^W zR0ysu>gML=d2Uy)ms*U1mNJEnw2eihbo2-*9%P8cYiC=unl=P+nTu02_Mqb8)OZ(@ z#BLxEmjV7GQiWZqNqep2BaKSgSsqDQZe+#ioQ0?`IyN^@r>0^A-h*}!v30dUNr_MH zNoc!KOw(b>!_EYM_s`gFsa|NU*GIBiq_Z4;f}UgWF* z!N$^Blnt;_4kScG(g3u(9ttQbC>UKM_bxE2J1^;$P@UDv{+vq0jktscx0tI^p-8~v zMluTMw+7IMT2A!sAet=5&IjXe>Ql9T0502Pl#JIrPME^QW{-41y|NHUbF`rzjjMb9 zF{>&eA|e6^KRQv5+xr1m*m3{anq0n$f|uhCOHdsFyMClVdI}c15{@z&8f1(PflYC& zJ+jh@fMy4lV^^`+(dGyU4HoT};o$)rl}o^1{N9}EF1q{Wq2Z@{DC?A0uR0VPEZZN| z&LqCv#HGEj$PWn@0;+3V?%7m>;?bJ~oe1{OoRg~+>XNTfssnguMj{AWJr zX{oGChA=HH^agKfdsClv{?SfQw3j3=h&W}b39j%pnHNXA3CX@8=1lVo%i(C;t-JTl zyets)=FLRe4Q3m=xR4={jbbV%(X)&mR}~c;?BEGUASI@bw7q6!XGo|89hq|&%)CJA zUbyc}E$~q31UeW!7mEDWt7%?SK;M;vA_as3vU4->r1o;AKm_vsd##GKr#q_AZE}3P zb_49D@8jZfVrXBah@$r@rUaZH0s96V38w@QKYMtB^_ zqPWS5EZNUc;MnP~q$^k*Zp}bQv6+Erf6lb}(m=0OpovOTw#ljTD!26Uth-2;)h^&X ziz7lZaW=KYT+NJ24n67exEN1S1ACDvKJW5~C_^2qY4bzW8V&BrV(y!gL z{*gJMYzUB!`0Fa3A?+j3b8@^bplvOS17N)L57E7JMr~#-P{{d8*zdwic?M{Bg;%?Kz7$pA(MbE zc3i;PWX)Gt!Fdu8D#&!j^v4X!>MupVdq+9*eZ}21+$OkD+NsOR4M(I3I3hwpTms%6k?XA_0jy}7{``H1j`>)9)8dhbdijrl@Rqs5 zs-FC?*udrtaIhC%cs~yT4W>2{3oN3b-9QMQ!k~=&Wtt`776wFp?w_@LA?BhEc?nbvkZda5mIl8B?6k2d+uvsG zck32cByZS}e$!Cs2C=+rmHs-+K<|b)Awn9N8a0|f8Ndd{%RMih+xWWqqpgi71!u++ z_UsyYSmO-jCkKE3+TnvCvj7&ew0)l1!*ngJeKb)N`qqC!sIYeCn~oA6t>-jKLKitX z`A_Iv056$TI6wKhw73Yyl4R!Z4+Wy*Kx%dafE+reV{oVS0W>!&_Q#KC<9e9^7BBR?ys^TQ!aM)X>+n-o|-QY zNBFK?TYG!gab|}yE0c&j?>Pd?3Yo1qWj89B^!oU)TY#dS^jb=)J6m@GjG3{Jp3YcY z%y?&Xt&)E9YpNqw;JrUPuJZgtgmuLITuv8PH#gm}eyemGWnVOktS!ZAt+&(&DMH{^ z5IVfBWZ{gjlNoW8z$;Zbs+8P)^f@8l>m?-z{=YY1#6S`8$=TS!*l6&RQ*za_?_3CU zVZdyGlJ|*-YM*-3A*9|TZ`5DbUH`o9;-n7vd5~+HrWC;%#_{KcGe65R6kg})6^ugD zmz}zbiO?WN{^8<^xVJk9jo_dmeT54S5L{&4a|*HI0(}0ie`UPJr#v7W6Onosi4_AH z@E*3jrnW9Nz^4%kl%7E#ERnZxm_o#)Fz(!l2O5XI1|9m}PkB!S(MJvKh|hsz3}lve zz`%$twsC43aB)FG1fuZZ06xVX2R3?I9l6M%z)~U(fh8WCqEDqqzdQpO zke_|3EOinZXzgHes@FavdDzsZ**d&jz;1(*iu32sFS#Q8f|zdAKsXJFqC`sapy}=JwmTg{frY-(2M! z9j&dNKn5axE!ID1yi{32e1!F`lMY`FV3Ft1(ABzi17)2ned1D$faCbE1s^cPpFVvG znQokM9)9?xr$-~F-wRYVjQb2EJyeJ7Wb8Lu%L-gK<+v9YQs@NP=dOcN>xJg6D$`$Z zSMazuJ3HIT+WNuT6VB&8O6`$j!~3(}-nw3%=87ASC>kiZdjQAI2ijLNY(7(eD4wR? zFDdf$i_~goH$~AdWBJO197h|hu#wF!*^}R{+T?bl<_vmINTM8#?VX*ZcU@D-OfE^W zRCq>;MRBv5Sn#!WRM*>IKF$fzX<6ynx@w6ws{dT1|GKKOvMtzC)YRV0sG`(JJ&yLx zQVge6(fBfl5|3(D`P2>_LlcEr2Rv2=Z|F|7=Z64Bv9PlK{GiRo#3W0K2I@$t)9+qk z%IFIPm$+YAE-q-HDtS9uQ{$8N>(4**T-wui zj}=qlz4{P|&uoY3tyZ-Ijc6uy|ECc-wa1xT3+D zp)T>6FXw=KB5^qV4A?=*=F4#dX3soVKjd73+;FcxaBt7uQJ*WBxoKApD#f8pUE-Vj zA+OwZ@_*NDZx>s+dCEO+whBv?G}5lA8i@#q8G=rI!mbSXWT)|p$OnPNvZ*M(K@4WL zkSZc5vR(ZMN5@dF2Ns<^N$l-;P}pVxRQMh}j|AgmuZ38B8y3G?Abn=JD_Z(fy-j(E zM`!Dc7*P%*?;^kUP7)-2?75hez?rDUW+;DiFO2HOFnWFoJP`;^6k2q zmno+g^5<)Na>p zRSji-&-81e!i9-LRYs-({5$mRs}-Uz>Ih0WpA=DI10{fesKU{dTNV=>6$4_bM)evX z3o9!tC|1gPP&?uL&z`A@-D%#EwXsoz57esieG`)fMjMZ>XMlU&4&@8r+DvmHo3v82 z+8xZ;6w*e-lz9YJFR9=oeR(tibB2F2M^)ftOOK}N4@@l^9Dy| zCX&_TbE=qm0Zi-5{Yf8=T>(-nqjEEfrTFf&0oOPM zr9Ws~lgwCDdYQ{L&Qrh5-FxpCsPgE~;~rxUNVDqo*S!Ahf3LAUaKaJ*BGJaVG)OpL z2PPG&J6`3=LS^V@DKp02>HKw!En`OHx!MY}F$d)t7!_SF*W{A6@HKRp74ub1BoszkF& zvXXH%UPTkO880yiFITr>wVX|(fswEFayuS`LY;B$hMpchZ=?L6IluU_DW{=!STnXZPi(*xk)ijT_EH`@cTvy~raw0|NtJ-&3xl z>IQqEOP%1mw&0UjRD`oA(ptg{s?>cQHVL2K4?*j&>ZCNv;9SS82t)gOcao`Qqu^WY zvMOnup%nKnWyEulRxiv^Pk9p-#uWQay*I92A3eP=nEl$dhXB7VESS|pa${(7Z+t2w z_`7F?^pcrcm-48x^=JvuYW#h%;STyOpdi)I3ONU&%0{!^0cQF8FAhUjPP~u)Keou2 zpaUBPUmu3`LEegg(^z66jVV@x=L*j>mu17o4!FYJby-#^)8TkQO#sH>C>FCVr{QVq* z^U+5Ky8hk)O4TLM1UG8L+!k+xbtgRFR2KV|;s5jf&7x~u5a&yWmg7DDdx}pzo`wUhrf24Ojq#U_L+HB@(Fg>Pfjzx{n#!mA5e|tTIWe- zn1&o^@C3|ecF7u#w^L($!w>bURHpId%6Uc?L`WC{a#pdL(V2E)m}aq>pU2Ne*xYoX z6q_$U^?6=&NaVt_{36s+_(kUYk`iM7MnQF zU8%`zNQ9e*{eu&A$}jR#lXTw&l1s4$A3NyGfZK1UNF)&xaD^COW23ED;TIGHGjUDn z+15-WXvB90C7%`@QdDU(=7@N1-ShSq$tnia5)?H8_Fa`C_ZHhTAl+?ldifK`^U)ez z00q>02IXNHSeyOm{crk#h1*YxoPTx_(B;L``@bPgSjjQg2?}ulOJkYAHIOXz#PXi( zMX%&yahefb{gJ?Lukg6tu1ujKjdIgnq_MHlwkzd)F9&>-ks^s=URpw-#>8&om>jbs zNM}u+F4u96RY@g~1MUcSO8nqw`1C9+3s9C~52A8DrU(5<677GBELTiAcZ#viwsBn- z-pqQusjjXDhNe#(4;wozh8z<8!KhUUSgLyOw(A332KX49<(iX06G5>twRyl$utu+B zCpa>eYBR9hrk*dMq2$E zD6ry{Uf>EnsnvLwK9fvJH39T8$9<)Tvm@2{@xY&1jz8>ff~Von!muv>8G z)gr>4aH!{+g6oWCLYt3F#mIe=-M05Ei$A7wSwf47WJ*dfekVdcjJ($>=7w6LP+GpX z#lIn)IOy%a4xPm^Vp-o0r0=}raNRdT82%ocLq&9!3NgLxwCh~^9Ncg#zTwcFH(GMS zoW&`C*Wc@7ac|!$EY(6P0*@qUwkhQ^>=F|!8imj&0bHfdT~S@p%arKv%w(kn9U zTDS zyoTJalrl3SjJ&;tQ=x>UqzSNw=jO7v2UPk7C5z>qUg(jfzh`cyZqLyxH2#3Dbg&5r z%WARnJt^C=FNY_)CBI`9&k7*8(gg4!19`FIUAa}{Iu7GcAE&`c6*(r-+Pwhut9X`| z_qk(lI)MGN!W&f!)TMejzfNTlBj-$0-g%C zdt9X-@xfDOk~7fRlw8CPc0iFywfEJa%Zw=`OK%ASf~lpdGW`r|rz@%3)|7q2O$K0!$S2y{4b) zYHN$UJJq2Z3L9Q(aBWX1JEqi3Gjl+Rx?}z0K6KT~R8xnchknS~$cWxd-2S0*o9Qaz zY^~B;z8s4pEvU2ecFD+TD^oaomQU{Ls<85lU8JF-A7kq4pkZY!|_GSdHGF8T{01awG?HUG5bH4WzT{ymCeH`a%-Y zxLq!h&p|;{i(bcFFZSN$`6^-4h)3Gzui4nxw0{p)x>*mtCLimUfiPs}z4dTdqu1q} z9@L7e@0fE%6`9g{?4~d$1LqN(2+;cli`w@9z5F)4Bc;?@A~qr6E-)y_a33KV%evWA z{F+9%59mi4GQYCD@QOosMrN+eYYUS_pa*EJrpxg=jNUpYE)3Ht(*{VxDSi^wxIS5P zm(;3N@XV;ctv!~jjd}UWU5}A`m(xQR5gFns2H6)n#-huuE05)ZVaJ^Yhaab^Xo#(% zo3Ol;ru{Sxo=I>lxSv2{)?zQFSUvvP61NS9V+9yoovz=HfGL1oC^d@=Xlr&PeQX~K zT4BUjXTJ!1OL-2z`Ptc0yFpG~UQLb(>@UR6JPr{qT)}wM3NCMU3@3ixHNlJQ$jQuv zb&vhJ4Xq6kh@xq;;2Y2%+zE+@j*OIEmBkJxu3Jjwh*iA+d&wmkvgaz#|GHUkq6yu3^B8 z16;=`6~!hzn@Vu3QZ_c_nNqfvqjp;&8q!sms2P3p(QtM^iY%S#T$4ZYsTNIvTY6i)O=SgThbw6ZY+y7XitLR&oV&m*T~BVo7+5jaBG?EHpOoUKHqP;E7GsHhcgrj1c<%K*0+YE zY@g`7+X+^9O{MvTHPi$x-I$~n)%~^8=ve6L-J=gd67dp#B1bq2J7Sqp5&?a#NvL#3 zvIOFCEw|&iZ{3RF)sj;1E6Wo@d?Y~WUkxw|_u(AX@`PJx*Lge4}~B+%I5 zFxlLP?S-liUW1p;Q^qQV;Oa1ju~d$BkR<`o7@m-ACw}Xn*>UoOj}ntPf&sDo-;#O^ z$@&kFBXtv?(I~Y@PISSRiUWo=?V)y$P?tEe0KXJFQ}(r3{2h>HO6mw!sSZmLIJC0| z!2AYd43kcJ3JRCPLwVhodmtM-XC;8U1X@lu7_eb`kCX(5&|Tv0MN%zLo4l+_gHYZz zr~>FmHnq6m!@k|aE0m;G$dh~T4*SI$&uQ||$S05J&@hgRPj^!;_NotOZA1GEo_}=? z^4|%)mBA3u{-~*__Ly_wVd=<&aB)4Bngu_!e-pV)9&2{|+NLIOJ|Wfn$mBH&<3zM09rK6x6Z3a05w3kS-g63*y zKV(&DTbF{{nEny&yv!`2)1f=y!(%?UFkk1pTrgG=r>z}KvZ4Nj%=aIXM zBeDZ$P$7RIUtZjGW+Y&f-s!oSp`LVrl+L_!_ zBGIk%7{(O+BmzR%*u3ToA&z?*qkZd-A71OMcqL?-$XSNP!Ek+UkpsGy{k6?TdxbYN z%3~VUBTyc6uY#;GR)Mkz^Uh4?y@OTCJcgg2_Yqv3*H#zLGR{~uuNIPQ(Bkvju_(;Q z%(+&TBO@nA!tS`p2bMd>#Fm2lsI$T-a02jr7viVWuK#1>$n)%cli96(BeRH|WvN+Q zsaXJKW9`9|0vcvBy?^?1WigcQc>b_iJQgut*5iHIDLN7oS=Qb%dwmI7+KnIrJ{}%* z(jUD!kS<|Z2U_f}k2l}?XzMed)$5-=6~j9LdPRIT7cyFY+-GE za%jVahul=?33W2^xHh(Dmjf%MB|Jz_zI2>O_T3^voIeihFo|fPV_>WVp8lpC|&>1)aptFGZ zxo$rEj`sn(W6+Bi{(wF~x1dxPiH?eDDDeq(O~uKkI}9}=6tsb&Fh2jLk1|JUkO^-h z6^3s?S&i@9R}VPu|7_VoYU>_=v_1XlJQ?$6Z(AcdlpMF-ba~eYcPI2Naa`Ib>vfcJ z7hz!n{^xN;<8N54`Kt@r`f8Ocv_*#pxeA6# z&X|wJ2@bLb|+W63=enNtcL<=NX}}q;Ongm2@QoFhg*HMO*Zt=#`ya; zcf{iIKbDVQfEgCoJhKAqyjoODY}vO1eSWx!+8Zt6cb49!%gW5GKHcdsx3Su0ITw9~ z1MJ5i-e8c)39>lQBQTIuZy3HT(v-5gOA66;nL>3d2CH-&SSK82n5&37(3+5T4Fc4o zkq4P^6ql!3L$`(1QT%Er(s$O^*y}d)cM)Wx<@*7S!kf zV3`5XZ$paxp@#xF+1Wee3bB+r6t%MyO-aNnugN{Ll$;tQbRBYw-10Dy{^_dk zb+yEVA^G1j3VWG$z;``2C0oV%YMtu|sy+g^C-9pEMr*v6{q+{`8_=WwoQ^{VWid`j z@H`wGFR6Pla3E6By+=&}x$@MVGK#zk*=L7GEPy`?N##7%FgC3AQP8^#}6>Q7)33FVgB_OtTwxq|v|E|F-DRy%7}VNcBCR;=RUQfx=g ztlQ{-EOJ1s{zKv@Mgr*8PE5_++-$4_71UpG@7wQF%gW66L|lDSWPj-4KNrDBN=kYQ z9=uRU7LLfia3QsT*UFu9RH`fz&(gApofe8o*~x>T4O0#X6Ew4J{J62Ytp;2;VW7-Z zB|RU(g?nV|rcO2D;pr$5@P;%B%=14~75n#=rY7Xb-O`eFDOQu`2e`rDLw*;{&|EU& z{w|&B?SFYA4ook1hpf!|8A~@*o*Wue!)yz>IV)B1iEHPihP0t_(TIy&?+jD?-ru#wf?1JRgU0h zb3;djACgvTq84~PxQAkPzk*$!L)j;B9y;7RpS9}z&tT9pjgRc1bt>IoB3(;@5pDJx zw#DPfMz*}?rHEQaS=(^yCk$`sB!AreGk ztEbPK&C`TLpnefapcN8IXap8v$!%k^KqjmL`a^twKs%kN(Aqvv3Z>Q@96C~>(_9Pm z!3xJ5@Jx-)75Avm8k3_X*6PPP{^|0u8nI|*M4yTxJ|aC65K~PdM0tDJdD)jq|L2C& z*XCi=Dtik?2a%4Uu;#v0X*ARBE8HTOwkW>xtb&4Y&6|&eXn;l}Y16uuo38H|Ol_b{ z^xq3;e88@mn`>4laYpKMuyF=NYR}U_JMqUGiHYKEE;9bV@T@l2}R8J=X2z5`1Q`n^GQP{{w~`Loi+Kxcp?MSA@UQ0nH*IH4KjVL@N5aurJ6 z2HQ%1efx`f*jHZS8(5us>wg0i2H6US05Fbk#Zqbz3ed0riVy8i+)|+3`~LmAmcPiu z;TzttOQy$n;%{fe%*~e74h_u?*3act-z4bGKc!;bnOT7G3xS8j5m8fM=^(SMrh71a z<9|NwWP zQx|7bFn*tt?5*PXVyE+Fw+4IJ$U_*atX6n6&VsXgx70@3@Kc*bdhP>^Se9Jhpo|Hy z+1d@{64BD01Ch{5cO@HN>C%7iwg&)HPCv*tZKjMy`PWRUVl09f+X1h{5F18ft`WOF zI3&#H0A?1GfHreCtJMVb1a-+X9S++9Mt#1Wr|*>5d;W6Fpt!tJC{;A9^%ZVbJw5{P z6DB#!tFR(i3HcM|YB*)X8_q?h?*$eHfW(0(tQ;-Y&sXOMa?67U4;mX902_D!g_z}@ z?~sA|SDDaq-l~{qWn)_ccS*6qHP+~t)$mx3#C$dxSy?Y7|NO5KHt+z2J(7j1co%z{ zJ~a4>03y8JGof@pnI3wUM>T((gcPt~v11Zph6On;y^3&JbI17m4O}5qG@6~~0G%Q~ ze2Uq!`BEx16K!fMUXZpH`*8tI8rjsnwTf8^B|N9E>jI_%7R*Bar-y+5$pLfP+QJbA zOW5>&xzN73-c;FySqSl#l^-xTI{@1C2EaEBZ49F0r)TmPEJlfz8&yr zO~SZJ%4c?C>?qRz-j7zui`U2p;CP~sK_TK|*_YcHrYv0mCp3F}pA~q#0g_)_{mPqW z0G2YDA|zPQ?;IIF%rDW>h1miQv3`3PGzF=fIfu^iUPq3)z1)H4|Llk);gv2pb0E^T z$%9_l#qiudOy~Xsn93#<;)tFowbhQ;Gu;-4h~DVWA+QVpGv5I`H|8<)<>M|bSCLP9 z5v@q%qaoU zWyd=!^Ja-wR3o6mff;9=d_4yMbkfPnwxR4_`Aiw!_7Lgra%Am&#P=5#NJ06ZeayW9 z)V4?yvGb4VhkK4t?WpA$fWaDdWU%_1jf(-rdnF^R5`PAEyah;+nBxmLxa7acLoKL2tV?h^v}61|!fO3^T>&R*P-&M*m=rAAGDWvN`@L0s8THdb4?+dM_jcv9++r>#edH-7@AVhtBOJtoL~ap0qg|tWr^Ja zWCLiDion)`1SH`6Nj<^Q*3pScOdJPMq4G*CWsG6jLwHsJvIK(hiMXehap)YhbR=)c zyX>wHtMmt;fSn0EGne(TS=iWsq}Jb$e7DD`G+Oo#hywo-bE=;_?MW((Rsp>OEu5yx z=voJvlr-NV|382a{6FA{xecKNh&ffsF5Rwf_M~xF{Et+NG6$bZwQzF_X~n%w3R$=!WcEcR6oc^VDUZuuoZickOCS7r$s=W>w22IApwYRd(Wky5Dnn&Ib=tkCMG6JyzNpbIX+?uY}>>Z*;P z(9zqk!oo-iT_8L1slXrLU8LW*@z8r|4#?jA--4vLS{c@8kUdn)`z8RqJ$?Fg?3JiD zl5!$X&v8@ep@cp4nES^4pg*rQG@|9|nA$E$r+uw!ph-O2P8fEpjASnidp_eaGcZsR z20rd{Kwct7ll}4-jK3h*9)r|SSf02=Y@!p1*c&`RdTIL#Z5!%W`P>gwcA?oMnloyz zcb#;r00~3Gc3>X4MKTw^Tw86hKNGjY&=H#Ry@{Ua)A_A;<2cKmV%;1{4S#GukWQl= zHR{?yR(v^2!-*D~eqEBHx3sBpqA%P!*$%|7oyu{1BzArElj44-UY7&Jz_qgj)#cn# zwEQ|T6Ia@;KR&;y2<8p}OR-gN&Pt)60%XOX;W=^>{|F~gi_HjAOUty~ucS^1HpcQl z%cME?%lq2%-TnbRafa(FihfSLdoMSx{=AyyQup)h)2#h-7J^7X0_HVl)UY}iQAgEr zRi@~-W5}!`8Q0mI=V}Sv{6PmgM(g@ITR%keIN;_qI|LR<$?tgf1-49w`P?Qnjy z(kWbq5;W)s-z9(}T+&YO>wlsCBlh3u0P!09e~bCgQ%ihayj(;eNiYMI-*^&BJ9_l~CyeV72FW z^NF=cE{-)>k5=q__RNM@>c72OqxLLKW|;qI1;J9;aWg&Cc%3NS|-17C*rs$)b3&cY)Il5yh9B? z-*9@^ReE-I)~?sG#x1l;HL%W{^?UbM=ow^H4r__}Bu zb-tj;fOD1X*XWt zHGitkjkKz%S49wu(lhQnLoNMqbwqd4Q7)SGK;4NemTjejSAMSELvj60y+jc8#(WG| zK!U?CdkUdlxT1G5hvhg!$Z^or{_60qZ;~ltde2b?RTz?lKzlncR&@b)P3ZC zp|2^L9odqd@Ev?Ev2b^z!wXs_Oajh!|SlQ$O!e; zF+LXQRd?MLYDBT1o}gP1Jo`jX+H+rWM9Q8T?-#j8R&5PC-2kt@EJx6TwaitkNn-

Qf`%Ds6Ue7n|7rG~q(Lpf>iH2#xz$0U7-s%PQz>)OJy0XzJoC5O5^H9c;>3> zk8c|CrSZw)+3x(?^@9JoCcKqX>$x91k7SjN=U&DQ(7ttzrAc?UeO|+A(;k2bag3dzjl!+tVD>@H_vV}KMcoD_MFe~{d^j_<_K>wkaMk$qdl3CeRCJ7oF9__G zTP-VD9D5_b?eflCHh6<*3&{i{`kZoa@fp{kSTirR>geBhen?(W&=CgG%8YwlNs~hl zSK#8jmXyRtQ$I4PAy!yLupsR=yZ_IlRvf-Vyp-YE0UWrp;!;Y8y@tp}Vw@qQXDFu$ z`&e052wf-PwC@25j*JHVA)C{Lo74mO|D0rNyawLtA^9<8yx~MqGt3jHs?w5^cQ1>+ zJd>G{VkP|Nw~>+Bx+X>5usx}3@oi@>+BfJptj}FSLGqet;eznF)x0H;iCdkn6h}l) zCy03{kFUK(R0qL{v*vL^Sxi6CstGuXSV?huk2|$*evFGT%v;@t=jm=O<}v~NGQrztP*fuV?{kb-d$o@`@WSXZ&nFzPf-rcCM|W za7KiGFFl8`M|0U{3f}|fHwtY_?>zrkBsor={-oVTg*?0HJj3^QlJY&_o}Z7fZ&uix32O)B~ z)i$-a0()Gxy1$KL6})_Kx^=Uyij`855}CGsR2y}`e_9UnNyiSEV>6pe<+movp9ph0 zVKBbs=n{eTtI6%WNb*0pMJcD-F6a2Bv?hk8GPh8T@`LxIMK0dTB%`Qyw#wyCBMbRY zKc~1oSRnh3Jup8IY`f$=?<{IX-r9@pNwmoWSb%?SH7E_;j&{&{No(DuA zYH{ZnR{iP_aXulKk?Ln6Vp8Z4^Z(ikVH2is88Jwekj~zc$a&&9ZmfW`5oMrg z-JqYH{n#Kigw5qYEju@NXVz>sizTEn+kcV5Fo&oDuIbz~h1aGp0<;9nZw3Z#GS%dA z(Tn9F8t4iAhAm(B{#Y>SWcH0UI);o0P&h@2`;sLNS-JmFK97@+97$#zYr9LqAVNw- zRRt(1FY1l|_an5jE%kQFV^(6S^P@8qbj`@?D-<#i*QilR_1w+nyg`2i)up*k0KQJe z#PhR}|HXUSN6XrGZYDw!adB~D!uCS*B^*FP&X@!XRGP=0GI|FTq{h3IuPgajq>RsP z`;#YO%ZRTiv8pkO%M1EY-{od#d(0Xf+23&ZYCzFJ@^UN{l$V6SJ zyR(AoQcmA%I=&g62Ktblrz|a*bKBm$c?0svX3sv@2Bbwlh*AH0E_`r{scE9Ha*Bu6 zxWYkq`Dyu zZcDk&_Q9BA!7Q zU<|rM`8PdaRn6@+_#WyUL{P!NYnrp1>HnE~%Rg`sL}uU+WE{#3EG(|2rsA32ymrkK zTW_|Isa?^4RLVBaxXj|?4y(61rsqcYsjS6}98;sZRWw%3JxLDEtFta<$W{Ap67gyL z{!#g;eBR9bY*;@iBs2x{19;+1Wt1h)lyzp72;5Q8Uu$ecRw~jXSzMo-X_0v{AaVH6 z5!1LbXiRDEZLfE=Yux$#;U;cl7=*zQ9k;iQ-KyG~uI|`wprmFn`HNh~*kEiEA<#dYk)^=G zV48wk-NfWTI|=f-GqVdgwc^|+In`t1mAcP-gsU@#RsSTHi1=Mexs_k?mw%)_efBM5 z@WRLR=aYHX9U`ssf-i~-(oD(Y(kFy34u54O;pLhZ-pp;BTee+O)t2^9aC-gwVb}xU zOU4G?mAUy*P^URvg-#P6i{)x+-NM_=4{j6`)vWDV31W?K((8H7loH3(cZStvm!MCu z4D&K!Bz`F(Q5_3FroSm>%@qJ{^yIen? z_l%RHmU2S)$DDacznr4&U*AAH%Sk_v;RA%Lve@z(KZKRY^<{J2pIlZ!;%+c zELYgkz%8Z_?T}UWCagokRNm_+!!Ly9EXT?yhTiS&HV?a%RYsa3ENbGh3h_%+WaJn0 z%^Mlz5}>H$S?`_%{ZWrVY;e{FK;LI5+qhdgo*IB;w0&VqF78|AakuRq;@r6F0+SR?6TV4Cm98xcX!7B3E8 zy!Zp2ZVuQIckT?*tUd{ahPSsj@^5LwiT2h*1`T7!I#hD}=!L3EBIxA9J_R*5-=w%+p$#;@spTEz}MBzTtK7=X)i|7)wD0ySQ48yw_SNNvo>&YUkTH&Ck~*nQT6D_D5fJT3wu7 ztTB+4s@r;=oSN)*IMR-q6DpmN7}(w2jnkTrAJsD?hH%uq2cTq{$R}`O#uFC<<7LvM z8`oZZ2hLsVJ{f2vDka4*+<5*g}SCwdC24(=o(eR^;|BA7mWgww<2tIYk4*{~bVc6nmh`({;7l@-r zC$`Ix&H#fMXX~Zr%`@mR|I)N?ixW7T?R09w6=fV~f+6gIfbLDD9R zpbg4{_SjY9^Pe6wy1VJqnbdP93L0-E13CW=0pk1S_5?yu1HMBMr#xo|^o=@xrde`9 zl(&x>C<@-}htSB|3_xrwmn!7xr-Xi71?;dp0KM0mJz`qs`crDby0eZDjFK5kp=`IcvEtY!_Hl!B}%J;S{IhgUAC zZC9y6D@azPb8Pv_3*zH{pAWBoyL{XW-1H|r^)ypP@pQPRcJACs^|-g5JcPK^`|7i4 zGDrltX2O$%OjX!kw_oQ7n;S&}bL$Nb28OLZveU|aCIlhgU{%7-zJvt?C}uYGqK;TH zpDwOASdhZ=#+yW2mwXL*O)QrM4YIZ0b|5MWnDqdvB}W$F`0DRNBP8-UduZ`MJ4E z^@@oPiD%oa>I%WWTV@gW8yh=&^p`78!Y^xT*|;E(m1{5hi&y78m8+swILNNE6YZ1y ze0NMR0aLXvv$Qm)AzNSR#^qF^j^Q$RB(XaNFw>-herY2 z9C`Kn`!`b;k_w63$iZp1uQFU^$mh^J{rU+)F#b2E$8bozl>Gj6L+i-iD%VjBHG!#P zsv(p$W7lQ26IaFB5kGZ__t0)5Q7!Y1xkH^i-~Cx&+pbqe0|DK3EW}^$A96n4>F}*# z-M2Rg$&cF{`^_(oKkZP~m{}r62_y5=;v?ngB530fep$hlI;#VF-19Ro70f6=PaDfc zWN;-8=CXVEHFY6M?H$%YQo)$U-l~Ca^RvoJ0@)uD4l4-S)X8xFgngmbK7R&qm65Tr ztFoQl2sCygo#qj}CrLRbqyxut5>4|Zd^r?C1M&N+!01`oT38BSMnTTJ+Es$ICI z4iV$UPu2Ak*n-~-Lz6KxIz3oxp3>^Cq{pDTF*Yvl_?YcijVjfN5mID2g;!psBe6zC zC<1UlA<#Eh+I+EI?RreX+#!#7^bH@@;4{vMdp*r#Ui3_`d8b_GGumT|wkswvPfw}%FnX4Q#@iQ+G1BYooZ5m+3R%a4x@E;-rpXMBB`A9Ltd`?F`sj8kW- z=#P7rG8hMx!zP&+*q&o@akr|=7t(i0h7H4+%0WPr5kz+W^x*c5TgVqciI@}`ssH-2 ze7L(}a&x1eS3q<|`k`7rt&=3SYEPTAW(0)Sa2s$=2kLNdRd)az z9kkD-=gL^UmnZWDmbTa7SsyfKi4vYJ zVNRVz@A}$QNkK{e z47TQjG;Svk$7X%a{YkXOnMI3l%F4*+DfdGP5C{s0!aA9ShR&pa`ZygS?(-NbE+|s9 zL#{9io0Z_Xg(Q`STnh2~ur2f`u5OlP<2-+D1J_@O7jik6s6MSc!%pG7Jn!fo0jmK^ zKzGCTu!--jb<=d|je1v~l8ehB6WyvS#v|Vw0{X0?c5AFiF~%)dD}EnPF=Z2PolB*9 ziCzI*#d6NX@KeOpA>xt6M$(yeM7ZXi4io4sWq+#MR&+n(rMxwdd(PR8B^8j^T$jupj5`_WeB$OK+Pb<4Cya$3zLRWkZ#Z_i^woy|j$!m%&^cWJ z3XCDVQ3v1%d*GNLV(LCQsERNBcwsSmPSmZcU+vsl=5kQZt{u}S&wr_=Ik;n-wkPYR zCf(C?*}FNRVD)u*i^>hMMQSqKBfRN<96@4G-7y#tbSyHko9ZzIV$ zzQke?U_RqHBk=_{=eHHPYT-Ks`V1(fE3+&^q;{xN@gw*2LFC=IR&#d;>A`E&t}YE$ zxIDCc!;aLBJ`M)rbBbt*)Or)vN0+2?CMiTb4@J|9u(y zy}G}@^7<~1I4GRA(vK7CIhKOyPU^sAQmdC|Zg7fuB|U^>xnekivMk~2#oVR)InA*` zsO6vNpIwyRx3Bk`%MxM!gVy&eC|Yr!&bP!CQ^_c8`aJYdu2i$PJ#%BTWVETZ$c{Sv z6c-w=6TY;&X*^b;W`vNFGZ=<#qr+`(JQFAG8U&SDlxKelsm7tCdc8KMMK_$nTm7Ts zlhUY*k7N2{#qZL{&-fE0_CL~EP=S|;uE#Q8pRJGgmdS4EGDXsDU}X}1CaSfsajL8d zt-YN#;5fI}W6I=hD_w9mU=i?HWIPQiT3e4h&D^IQyg!BnlfZS9{jVWSoC!<&_L+UR z9L?%GVib0cc7kQ)>43e8D_`!Cen*w{g*v5-bB6Q|Yl^VB*ujI=G1LxZ*)@QmRcWai zl|_%_9`_MHGK2j-x+zC#RYKk7{r;#T$Nqb_OByOrpd5UIu3=|AX?>cGKH77EHyOUm zj;gg3b&qLvQ$wXo%*)tE=%$Ymm+5LwwVcAQd1KWCnuEEGjI>9>Udc_@y-ZXOt8Y(* z05o%Vh|EJkOs323Di4c~{XRNi3Ur%6pRQ%i;gJZwtLTiFtfaBSODTOiiTz_b^)KP) zO^lFurX(%I|0YSg=9~2%{lleUX^`eo?ucA=1sF-qp-|Fbmi-a(Fd&TyUn*)~$K?J= z|BDLpnV(Sq@yIWh0B<>RS6_vgZRIGQrs!8+A{bf_5V%;uOZhtDE`{TWWrOd-v%LfY zAx^Q)RC*kJROlNz4`1{GH&R8dcKj|8b~SLH#{jE1Pnt!wMAi_qa1$HuX0zT{ZTX{P zpS|e0$7+^NIe^(?Zn*Aj=+)QhSpCL)OAdp!I;Kud4%SK&{1SHptwrs=jQZ4mO}?lV zl7C-#r0h?1AqbT2+?yOjgR0#{hHr=&>k72$=E3Cj2A4o_+#J^K!y^;{oML5_zV8S8 z4@5Ms-g`URC0>0L(ITZwy~c;Tkk|wkYA&poB#0P*9V@FvvzvWo;WK}khknDwM&glI z{?6RwlNdQY-43JJbQxC_a?kxI-qw<5AMPmsrSQB<@yIP`8_{U>?r~A!+!K1#NB!4F zuP;gl5V7xRmfKK|JTD#O8asG!92xwK)NM)mbE4|(Djq8Z$1ULdz$*dt6<0ONDL{G@ z+sa;Be3w5_h>@x?qASPk(`vK)8ta1emYh2%ZJn+kk zXqo9srmR$i!QW3c_My%ottr*OkOaThJ@>{F*S6WemDyvw#kIf8vZ}NF$>)WteP2}e zZ`ze%H;FQu^a2|Cu_Mv8vn&tFJj?X5V_nt5sz3tz%rtHjkcTB^5g={HJ4uGybNNHB z=-9_K1S;#-*H+N8H~bCNqJ^WagG?inJ0m^a3Wv(+2YY_9VNKM1{c-sDtJ%DFi5b>( zOZRBZe)sq1S0q9IvbEODze<@uRA}1Yqdn?WZr3_i2%a<5#<^_Ugt6gFHT0cVe_--e ztvyFF)o|^O(s}yeda-EV%(})(5rRO(kb`lh)_zN!3SFCHX?Da2J=oxKR&g<{#6O!9 zzIV)0Q=hx1^8CF6-OqI;40PLMe^;(+wtK$C_tEaGxh#|4szO!6h6FL+O4JfYG&Kpr zM$QZLKKD6Qb*6{-(FV?8nF~h(@$vl2gn>VJO1ViOE3 z&{;yjI8wm(#rx`?4`;4)yV~J&#Mc6nl=<&@9yjMHHkFdPQqR+~Rc$JayPsJr-?{q~ zalXvMMq$=@dTBrfuas?TBybRl*D*YJ)G*}nR#AT9l=~o8uzx-GgzXZzke4CH@%#f1@q&fHlCP8A;>b9(ZJ_6zJhu!jiYpbBEnb1pH z?dRpSC;E+QHLXv03RTBmhp>Ulp#x6Rp9rLRM`xd+1dR2ZXW7FamTGEhCr^F^QN%l3 zSUwccS8a!-MDlwnjguD~zbmj6qw}If=*PEM<$!W`YkYh6)VNZT0Cd!iB#0kD$^7d5 zRk}^f1eH9o(PMCWgFC@p24F{$Dk{F0$)bVg&%+kWq*0AC6r8Fvu{h2J|1DznAwPRW zm5R|yo_d>_8W3W($Gns^gVN(a2R@>VA;iLZ9i3N+MRyk=?k@!1WEP+{Bp zRTTB-DD7!g{@FN55e3V|%jQ&f4!VwPamxmh2dUjd_-YCUH8eGg>oXJ&wl@MM!uX2{ zD34Wz&j!jE#52yaI2Vcw!rZ&z3HM#EZLupVZ~ha?E0Y}yQH11 z!gug}5D?&pu#IOR)`hVuy}*Xd?94Hy%jYCZ_t=T@0RdIop`v26IfqveK#3IofVBh` zEWYVnT+*h0R}P7Z7>|1JS}y{H4D~rBksZyrHVC{05X-j?V#^^-GcDbFc&oPH84_r% z^Y#clpme>AJ7Crr+>>+vy{`GQnW}%jzIQ>nMfb7W_aBn>52Nek3$Z0?&X43;=Aeh}Y|VrA+hmm;TSr$10|xXQ3L;i7+3QdVKt-Ef!*f4PFJ<$p=~TQ%GEi2`ZS!xrfG@3 z+^-`?^Zr?+o$85Y1=f}Zdx;JQWJOv*d_~P>WGv;fkn!dG7b z)Nutiw;|qOZamNTW*0DTs(l&sbF8mP5Ft(RyyY6d%by+4scxbe{m#f4_412_@7=02 zyDS->12zG-75*JjB1WwmrBZB`b0ughM_;wdsl_+#fb3uJ6TUdAroT zCx6cNzybWv9^JU>LBT!I#I+kxCx&A1Cm$a%4Y2#w>C319%NG1A)NH;zWRV*a4Am1S4BV=g901P?!%BfYhtEWe_A;JW{r7AZU0<-=02|-(h_J?*y48TVPqU3qk`Q0Loh932KOW!P z%09F?#RGL6-+@^|I(`X3^G1Wf@c24*ePg!%tS9Evs{Yp6&DOF1Op^FreRN28*` z`4B&R2g^buzMN7lv+1{Yx1E{~cDAPcv)SjemV*$+DRPuWMQ?@)Jiztsr_{_`{N3mt zSICvd`OOemJ8M845?4Cj+x(m-h{hw~U8Ju9+WKjByii-}1&Nxu}Bl1@LZ<2ETQ z_SdOt_Yp=7SR2|e8F=Dhp8^_<-THVG?*~g~325Z`H+MF!-#gv2>FpV~+!lPv`Gjkh zq(7Zl(aBOCdJQBG*{kghHDYs$IhDvKh4OOyHwrFj(qkwSAZ|!kFztG^cX{)_TVKiY zqq>NV*JSPvJ{@2Hn6oz>A5r0t9Hm`Yf|y z6ZsvWn_sa%;I79V*3^KLzO6B1!zpZT;KElI(>|sMHg@%0{BiNpj_g0XoTNp?KupEV zARKSuc;nqT!?2K$kdaQ}Fq{gqyR{VbDk4oJ_1r&wF<};t@!lyt<>0I+Doy!&jxXCj z3UE1Jt#^yVpczO(hEHPt9Wf!FynYd}c!%}%smaI;jju*>GC3(}#U-$`*JtaT8CWS~ zA2^s=yrDNz%4F#cZdU(#w~Y@8$2`A1e1C9p&hkjSA{vMXA81F+kPW$IQUu(s+Y>C6Iho!N!-2*X}5cMJMK2TU%*Uw(nhaBuI$XYLNIY0 zqpdKyZMnbs>u8i%IOUgw0!k{XbC@kV$i*#&PaZH(x4)Roim)8MP;#qN`vh;dBkJ_M6x~p` zKoMvTQ?mTYt-BUGXOf7sYEO4(f;UB)N{nmnn!5_BOLDFjeLQ>0a|QLR#SU75OGzQ8mh_GWsaj$11^%yqZ^A`akxy1q_>@{G@Z3%P z5x)uHtJ~$sPTLyxn@)WdZk!bUfPf^4a@o^<8|?(==~cpRY^f|0$T3u|65qnI{A%9q zQAd1EJ6K(nFTCA8>OYtz1AS%99fT@;;vWWZm0qn^AuUf(`bp2$caZL^7H{XXvgKVW z1YrO8&;w)mWyG>rOXYcea3dE^+`sp(vd(Gwk6VRbq{Xug{IY8?`h7-pP(k#P&Abga=f%+0 zlWGQuWi#8j{yo4#q})|K0yD?%m8CYb=dftiuVFrDV;j94$?sEyc=C>Y)T3n0^LA63feVj`j{q$213FAr}Bc*BH3vvcGOb%9+7}3d2ZA&rgT9D1u@%8%r zz^8F`8&1HfYS29BwGg|gfGJ4ll{;K%fL9TZ^uQ|_bmg0HWgQA??OopJ#`%r=VAT}g zT$vYFN$}5nkAlE~1<&h*+9W<)L$PHBfE0mvrVgFG6t)^g`Bqs4)?e0@5;nc4ED2n_GPIf6 z=;9pYX2^@a|Nm-e1l`NgX`mIe7gLB>tVD&4os1-bsf0y zF>)YTBclXz&kcfVQI9yEjK`%HCLg0;Wz*>T1xO(p#>xk@~BDfLaRlJiLvN}6sDPiA89O-^2( z$`Me7Jck`loq~W5l6114yhTl58mdHF8aMi`BBT&luErWwJQo{go7Rsf9|gI2WnqfM z_V^0nd*gjA)HfB?aus5s%&VbeLZj!tQq#I}%_n(lSu_01n2H8`P}KV+Ma-JucL@sK z^z=wSNPh$>vfFB1RtfZ+)3r+xY<`i9iI(e_zzw+6{)Un>Eef$t7V+u$lzC_Ve_HT} z0$Bx!P8Kzx#6_=)0uMc|)9EwKwr*!{2M7Spg6LDVtxWZ9XH5?aA5KtCb4?W`{&~l0eGu8C_W%cg5}i2wqURSA6O1bn)xJQ z;h7A)BTgfk!erSNC< zaYf_2RquTe4Cq2F4sq=ASDG(W{m*aLX)GAJnVy~wr@e-{I*6lJL!8#4|M>}RH1*j- zdY!(P;oNIScEtJubkxKP_R3FRSai)xECPhbk9Zi21qg0gP7?-z9upmnoV#n63fvAZ zN}pE1;lM3y^bHE?gixd?I0D$h8DI_jCZt*r7vl{Jg0ERtNepIB&q=N}x$t;QDVKZ; zQIN!=5eX^OV*q?BV+n_eF;i~2R-DtB40CM_C?lGasx^swN+GO%WS_~(nbMFrMmeo3 zNSond$IMBgTJQGODT}Z2cR@@MK>`IN&Ba?wZvU;FY&4&jQ2O>1nmiscF);-N1rZSu zz*@U^?}q*npd(x=kmDysRrfujDtxNh+9 zlF~=>9OR5e{HBD@X$9nMzB*t%+X5^O1kXNylU9#fThWC40*qIz@-Uc{! z9<}#NnOfh%qCZkPwp8&~M9M;N3doZQJWG>tLwG zsUFVvVFTHr=#B9QtzbQylTc^xZ#@rx2pcM^Cu4t6vjGNbLzc zta^EFF(GF09r=hzq91Pg8Eldod9!|mb)Kp<$3rhV?ln>cFm(y%VmznE=3>&-BE%d|!hmkWqL-S+(A znbrpHojdC0CSkYBl#R#le%vL>qLcJTnUnq&f0I;gtD#wVyKhRcW)8UwiDYa<0acve zzT&8frMNAV7$Qf?ThtmN717tW-6jsAZ{)@3Cr&I@z?5v3TJN-Ou!k5`?+|uBlD4N` zk(@SbD#!&V4abL!gwzY{T@GeE+}Mj)8CnX@y7=tf^vJ(k%+4!O^yr_Y`h ziRb2~)z&>38pR|&67Mq*FR~D=QiH6ut7FZI^>#&fi>_b9*@6}fU6&wv3{hmo4wUlc z<2Tn!e<}_QYigk5sRACT6@6<;mHNGT0lL@jMl3M7=y9sR`KG?%w-Ems#p;7;jI#&y~KjA~Ls&elKjr z`t0WwJ^jsbUsa3^zLS1;!L)MBZZKMR=Xc+_%SNvn@i(d{9(pes@=&HHuP|L6bRy-S z@r$=si*jMWI#s4W{=S9 zW{K5j^FhTLw7n%JbdWBwInroT=$GgdJ5#L$EvBj9p@&-f{zUn=;_K0rBTUOHKR>_T zgYXDJLG5?ChMA~!eXy|SN_GN!EwkOcjy9K?BvL49-mb%u>9PYxK?lX1Xfp5Z@K^e^ zCLkJX0zdO{tHLwu_xhb-y&(`{Vu&>qv__pNduSRC>Fc-cO5}?rwWItN-J>J%NdnrJ zjFpYVxYrwMO-!dsU)5(RGm z*!pX_Glgjg*&<^VpS^Z_5JYE_2n3pgCgln$i8$4RkhdL{jU@67q{E080ZI{i^C$Qc z-!J8lzfkv@?nSANh!4z#KsNiGDB&O5vUt(4Lg1|Nb~_6T3p+c~kGQ`RHby(-RzvQI znn-7Jy%WoKvD8xX%S+%Uh0naMN8BDsifYANH@c3H8P2zduk9Tsa0H}$_@Vsn$z{FdRnV*4{eT3)C8}+i3e5sQInVHKjZh-tYN) zY2N%Qyd2v$usZr=>;rT@>NX`?UtIS(`bFiYpFnOJrGb)bSZ1<-p-KqZt+4ni5jK*h z-6sTdmdFDVeYe*(j|-CuHAU`VV+d5SerHauMT43uVWJu?_fK8d@4HXFz$tbfNt_UQ zUV~NkX$9&Bq!xL8g8Y!lhJHXL-`g}ngX-w~1lU&rnL(X7qBJ{OUdf%j-o~4hgZH{Q z=aRN6_3Y-w#_8H=g(Tz2W`o2E*gOo(et{lOS2)dVT7^59xnsxlcZ6q6?n-;P^~+k6g*S z{*^i8MKHc{ccB*UseJlv{E@Uu2UL~D;Si+qTRgh`>*o5ZW?GBMK*uKk9SdP8h$ewR&C7j&E6O(?ddixb7HnHORnvtRiVAF)02QEV-9efEQ>^lp2n zWo4quUZkV_{SPRI66-kwI8fUGSGs%RG4&_+N^4PU7-*~W43FJ*Z;#~?STj^X$bi9( zUh~`PH4?`jZFn2(i~`F^j#O2*AXwLJPN^w$ty-o6&G?52>S zR$^^72@EiCR#?A!f8Z7$uC4UpLT;|p#AVcRBev^03?eC5sw@mO!Q zX$KgGW5(~Dx!d>MD_P`$C9LBQvrM&3UExJwc&q#qGZ@7ylj_gzvC}(f3|>!1U*Ak@ zJpIc@w@h9QlMu9n zB=-rr2&yu%tftHPV;!i-wCtaoqL* zUO$qT^{jbj$zZVBtGVs%(3AXv&QtpQP~_CcwZVEHIrcdA?LAtLmQ5s@kJtQGOEuhX z;KorjW%=CFraECk_L19n8Zg52bS9dxq>RR1q@ed*GN~L2yB5Cxp@LUK3oYFM9w_`|ubyzq)wdbjd6LTfz323HH(V z`WFGaVuy`@9%#t00-iHFnSe2=PeS-#9V&j+nM9+om`Qo0@8?b79XYfP7~vy9L6(&i z6MMA>h}k6FHOW)@&}QevWCEZ<=~I*P{zN;}Q;wXf0=D(uI5>eO&aa)}>x_TGrN`~S z{Q?iWM84lqb}O-KS+6WB(Ai4e7Q?~8%xsyhMrNT4nI7C@hzXb8{kt>ErUS@RVM8bg zc%V+7(G+Z}xVZZLdEB%-0yOjT(~}nj2Bw6aG}%2Gp#*c#sS_E#Roc6)@WTdeYDE&G z`WRI!pT>P=jL)KuaqdOOj4>z*?h~=;)4hc+*Vm@kJ12HooQHP&=huGzJ@c;3>dS;% zN;`n*Up1*r7CAC<&{1ox_3{`?#d(^6PCm#sxI7%pXmBi(`gWeQoajsOVKZCt$~SRM^(d2H zd*vyqURZEet2xxRi^?!K^x1G2%T2-?U|q__H(H4F%Kg}(DINzi`m;6f2x=tI1=3Y#8T6<`Li5vEsrfQFxyW{hIkz~cEAF`UeRE}cU(!8upxhe` zUE?%Of0E;{|Dp%>*ZGGFuW$iEEn4j|+yqIDo~?}0^V+WuZ|a@-djDKMgA?CpMY?FG!j7Gzm zmEzzpF0mOIVm-&Flm(dZSgYYibvVK^b}Xe+2|$~|)`-{>?}do1DRSyjK- zOPk3$DSc#ad#BsadW{lP+nyJD?T`V;VPy0I3N`-2;~$To*-+*$?4!;nHBbHL?G2sT=gS0M#$W(FaTIO+{0m*Y@C-<0&}(AbZiuP*n05wwWIqH(jyf^Z zPNJ;UTa3>sxagStF2(3mNr{M0LA7gA#uNwf9WMgZb-G!d`!Js*b@$@rg=;tN6ol6w zBJM-O8-PaBv-YnZxR`VMgO08EJ~rh*L*o&>(XEHvPK=!w6>f4h%--!La%<CMXr3^PFr2%NC5qC7a3CoUZg)u^4-$>Zz^6%+b}d$NxAR2MuM z)YNh6+dfCdBIOOsPslyTnmyg-tifu<3Y~iA_{C1mx18*JEOz;Y&F^n`VLj7-YeNTY zA3&p_l%50ojJuhQb^@_uK%zvO=m&mn{U5-Nj*<|H2J%+U`N0QO2OLLz zHJ}jvuPB!MBL+Sc8f2-!7YA8o^O>K?sx#;Qld zBI&4?3DJ&c$$Q7JprT`9ZbHGW^Wd4S|NDPMqGERMER=0;Sh9US`WUp#XX%`Gr#1GH zQ3*oA8myzGRZwy42a@AvR@@PsG?R1R|N1W8KZxA;rff!lPJ<)IaY+-M*0|i@5)1|8 zHvO)OIEQN4>21-0VoL*;JCF^bA){v6yJ5k)s@~(6sMOTH3E|x)--+q8x`_fk2>j)h z@7tF1V~v#a52EN6hbKlfThg+-JW3e+B`Z-73omaq<|x8zjlp?s zEiJ3h*H@pz>PvaJF;mJ<5ks_aUm3nfvS>oxb*EhO{>}syC|i5Q>OuO5Ak+y*3XazC zO9pI8DXfy;y#MpWKxrsz#yXZFyW?3wyi%t>JhrB#Rvjdb7tt`EXwVmB->Zn^FIF3rpo@fKZ}u(=;`=Yi3CQT=dxp~ zH`ytl-`S9O!zB&LZu=Qk4;Gj9yf?0DjBQf3HG~$GS5|gc$9Yfy8W{ptid`P%O8>oa zNR4dcLUvZ&A+Uma$=rJy8BAk~L*HW^YAD8vklaR6C#(C4n^#Ck$6MWHcDnGnoaFv= ze@LY`l35uU@57|yA#taz&ju^}x4fJ5fNjrymFM4R*yXQ5;>XUefJewv_9ixqzsP(7 zEs|0)!Hzg~gQTwEr*$Wv1$oqGpVoaWZ`=3049QP91?Urh96A%1AT5ik3%I}Wb+jAq z%HO~^&xCht_ZQ`bpQH8g85trmPbV0y#^Em2SGE;sI{>QC-2_jCS5r5#@|oV@h-)<6 zCdL)Q{R>J~y^Jl!S~qcBqK-QU2@<+Ce&KJQiy0p@2VMAE`p3iMqi%YtuX-o3ZnFKH zg})8oC_4sKZH9>o^cCiX|3bxs_A4?XLf9}{4Rc@cgD5;N_-y|M;1PSU7DLuSv3%hQ zZfdR1_76;#yQE*Ei($6y-%$1&gAxOa1C3sW?1i?<`$1>6y1x92>C)W0OfVT(*m&3T z3mj)1@;FOpNtGLUbSPv=X=uzn1~#=(6&$78hR#H#%fig1CYg@>{xTs==A@HdN7v^a zUeGiW#j8JT5Z!iJ=e%B=0#7t<_IVINDkZ#lb~%DYuaU7L0e}Iak81Txk2cd#BE=M3 z-sY{8O!%4*6UL>bF|)BzT~bbVNAn)2xam?!qAD>z7awX?K~?LxYjGKAk>EIb%c5JK zkU((uRmm=+6ENj8tgl^}+OPtn`Qx08Z=Bffx0OuU{ zpAt3KP5;K3Qa{2?ykG*KLH3nakQgSDA$f{=PN(-YI;!KIqC4}`?6FP|I7EF{@PmSh zq~StiotZC7%BYzwt(w}HE^)FRhzHFBhjevUCT_*dvo-0}8l=7>-OTpya;7B_-_-$> z%&N#l(8MW*O>Xxm)4K#ADVLMr&jb{*L?B_j%Rk?^&36X7de=KO*Gu++HSc8ja~>e2 zlo#y4fdk8!d#2zuUl8|t^29sJfuz3=Zq4o`Le{y7TUKKTNpCqA@}+Gik;*ALW@<-# z5CYnWCGAfbTu$56(cH(#5jraZj`8$5c?>Ub5=}Go+L>YjfGYy>NY{q(W0V0mNu(*v;UPHe1!dE;Ti!VSr;HP5L1w7pG<1s8O0m?Rsy}OiWsUe!r z2S{J&{uY7&XM<;fOoy~VYezr$Sg2&|Y_RJFwqCTDb_Ud*hm~9J z{xqG52g5GwILL7FEBqqi6(Ts5Dciec{wP38w<9#6r)dS}5gdW0yS$_wUbD8pu7-hr ziHG&YyUn}o_3dWHO_}lmcm5Z&YCnYfz^$EIn%rO=9i8%xbp}w$dvgX$f#x-o zlY=$}Ym}F-*{dX7rs|;-Nvj~$2a=x6dRk74 z;sa*XzxoD(+W2o)L69T=AKtR2PsqDjsF7vtl&!mF9c^^Y+w+}_e=m7C;8}!iBlU}p+M>}tztu?uzcQ#`I7K~HwDAPmTS-?6U;|A-O(=LQAwpT? z>3T`TH2eo%<>g7%&X6MaY`I-_9e3c4Vw7iY^v0slm4C~e2CQ;^Q!8OcsG@d(sY~O- zaRs$3ELFi#AlQO%&LLyp@7`Zjv^qeF`Np6`C?u?YIvh6+Aa z0t&;TltimeT3Q~tMkJoHUPNbpo098e2d4)phfaWi$!MVpX9yvFB4Xks@X zH%a~X8l2QgbC|aZH-8>MLE4Hmc2UUtGVAUNhTNf7&Bj#kE!^Dj zCz+Et;T9o+xdOUl1*k?(ek^t+tV2W?(Mkc!1vsp8=|~o!PR4OQigQr0UZ`(+J)3ec zvvzUxdsNc8-wH6S49p*Xxy^@isPs`mr_Ai;$);fL&j`e|tq=GYL6^5)*>>6EMl z#s+AuKL_0{v@i0YVstBCqD4uy41gM)-4P_K%5|EtyLUP5gHR#~)(GeYPP$Gzj}8nf zaL=X*Mc?)dey;TQF@*?T2H?4ZAco5CgG`KSyg$26*fV;L{kt(%jgU*zwef6k>L)V+ zMN1m-m!Cfq_-B?z<6RO}1b%J_;rUgjZ7ysTyO(#%%5sLfL;V1B^*Ff3qedHc{8U5M z2#pdA?~_3NRRAf$EN38u8NYAg!So;qyp|H2Ve#?tE;RA+yPNLTm^?%J_^zczSH~pJ zoOSj2;lVP&`$NIg=D&L1m9VO+3Zx$3Zy9I)ZP?Xec>%7}12}Z~wA`j{a~aayI)m0Z zq07U{uXB5VTth)!ou}+rJ%XpZP75v)TWQ zjR;1?3FR$fNEwBi|AWk|Eab7h1KtXzbNi`Oy1fM;MWD`^tuZfW|O%} zc}}L}OTMvw37GKr&S&sdf4MC}wgn7H)ai>D(qQk*vM{_uI{^>4AF!~Bx(n5KRC02@ zlF<3g^&)!Iu*=5Q57{r<&f&W@{FPEeef{FXKm-oRz>c(xh=>T(eX}S<>^M|rf83OB zeDL?%Z0BAw|8YMS=heW=2#)s&Pwtwju-4;SLp~7bw8d-ak@J@B6R5603dH^g(voFc zABE!(G=YJjbu2{R#%d^T!o2$LCk~PqvWJpP+MkQ!KxSrU-V6Dk#QoY)4KJGR*cnV5-{S0+9k>FwKS1WmxaX8=vNq}ZH(V*8`T3x(?M+rqn<$x>Ip z@Y3qaUb}{8f^*}a933R}g?`8$2+@_aevgBg$4K7wk#s(DRn0YBf&39zGP-J<%mgrD0 z15J#fU`U?;{s290P5_i;@SCnhScsu_wr~1^?9V>M8+Ln12P<6k(1(C5lQ$?bEIXOU z*QyCS5-}h?DQWpR-5#im4(!}XT|tCP#3B*IN)eH9;H*dR($+TG13E<$%34HStF%Mc zf`Zmcz6Rq1cDy2+vsE`*8j#!6x)Fl&bHp~@&h33C++5BMU@K1C0H2^MSCn;;UL#vZ zx1CCj&_m@1CE(^@X0cmC^e%K2Y3b-*#9+N3m0!k~SHp)4njRCBE_+Jo6xVzY=Y*<& zP{M9d5=o&dr?nAwnA|OU0?I^`3(h#J5J@J`%O!jYDY3!8RY572CSDW`*ckN({H5y~ z8d`eZJ_^b|b1PdXlw-uPmkO2suNXj6e?@-GlySzWH(I04Up%Q-a@)3adUVusWl{|0=0y z?*&vxrNy9CAYDauU-@$`(PD>8-9Kq6n|5``?Nx{qoM$>~cD#aMK+z)$Vg>_Pm-Fto zftZ}^t#X6bkK<`{bQ1Rqqq@NP4}oXgR%1)+*&@nnD)E+dflIx5jDz8aSLtIS4FewS zBfr}8_hX<_ao$?ap+2|I;0WQ~g+~SY4F>%!$>q!i?^JoYx~GPTqH;BP8+Cqc%t2Di zcGeu<3Hq#DGXKA~P=rS%V4B%Z&_>jOWp7u-IYd+tb>Og6RAy{$;gQO#5}e~o*`9X8 za&f1nrTSryXxIe)x&0zMLqY7{vg0=K@p(TilP|3qJm5#~rVB@uWfYOGZpmD1P74Ne zv+Ju5go1!ze_wq9E9Kl`sM>hL=FJB8I#*$@u6igaW;Yu+mD^WbKEHQ-VYz5hXj>1rS+E#@ z24L3doAKMXEkIQ#k}cxX(V65>QGs77+)}0rUd>efoI|aUHmSfgO^o=7De=nQw3%P5 z>|j_@c6JmjHITBuM+1FI(&>FPc+_LxitY?_W?p(n+W0b@jRo`U5h2iKdn;-o&)p#L z_pvlkzPWwyc0xiZa~dL^8`Y*lOrou$b*@ATQD4Ve6*nC???BqX#^!~NI(t>?z>a-I zfMVjKql4Bi;=Ineqt&?VG8&owcWuXnrC4#Wvxj;MixYJ#N_v#CwJU1Ffbqjq~~a%{HFN5k(3dzPAq!LmlbMHPb$p@;P|+yqmAcB96wnxXG(a! z0Jk&_EQTR%g!RUMl)x>q8T3_qY`j;Wr{%bk5+S0GEcMVne(8U2Mz2m|eZ68<;16N6 zNBH<`kWo)ZGjFth;vpv7Z*yO8c{8q1Y&t4_J4Z%2LHntx;pgXf>Z!1lYg|07^WXYS z$>P5-*3MvOtEKe{j4b*i5+=!*u`rh@otxh`?W&@5e=&4)Mo*freJ{=lBo-U5fVZ&s z(GbHox?N(*{}O1cK1twgiKfNj=2_Mk!ZCBoXi@L})!`GoMw^)@J}9veU+ajH1Do>X zXl?k0&d;jJo}h6t81AdxDpRYXFsq z=s8}}bEcq*v`q_BIwyhGL|nZJ+~aEUv#ZK~7K`E+q0vqH={7?>2-MJ7t_fBIsM?ey zg`vt!+}wM#cQ3u-VPv1&2Xam+i1Fde70f}4DaDOAk~rY`g)M|g#ujH^I&kI`@W)@# zwQ5)>`{rFzxZ5-;T>v-%_Y5(c5G8`-cTB^8Bo>G&h|jNP7?+;AR)fe%>pFxUK5{&1 zUf$z~=WZ*2#z)OGIt@1+{qI7v?pa7Xf-QdCO>D=GlYj->f=q`?(FZV0tQ z!@^$3?YC>#_~L}9z6Oy+Y6b(lLR`?vZ{2LeyW(GwmcGf3P09@?=OAXPoIbs+Dn@nR zwfBF*JU))}%5vx{lCvGtzMl2qaI{r(d(FYLLL1@*v%*1Q)bn5*Yb>>2UM$NLy(M$60PBpB5@W_l@O)}dN`XMH zz!J|C@NVVDP(Yp^tYbRIp#5I;_j#rPWbLEMTP92GRSnbd2{y_Tx;4kn>_Qi z{%1V!ECpv1vmX>y|2b5Mt7JAszXJ!h1c=DjIS%Y+c*VE!ayrj$Rt zmNG(6^zMO=Kl+6#c>jH018WzWslcjHVF}79F1vnx{&J4hzBV#vB+GacVf8a!#9+{- zc6fcdJ)QTb+dg{7e_2cX>a3tGVuPdw=sT9h0ZFcp^0Z=pHIL-(t`_~c%xXEVJiDj= zV($8Xf0<^Ly8i`e>~Tmy1JL&5?1kb0CT?GTs4VP5MdC(-arXEnhn{vYV9IbGbtsCv z^RMy86bVhe4@ zm}b7}jdlp${{c*Ski3b#g8!SF%KPtk9~_1lur4Pj zw{@3b14S}spWhk$gp;(O`|Xbpa3Efvb|i01JY(|(kV1n+Q3v+S3m&AU9vwtU;_Fgnlq1whle90 zBayIpRhGG2v%X;bPDa5P=MI$_psp8d$Wsnpgkuu=rwVy4Yn*Gr6Y->0H95YdNtW9F zJeK0iDJG&>dFG6@Ue^>5JXlBTu~y&^Se`5~30~Tj@oI~#r)X#?OnsHC4=OWCHg!4p zOtBtfq31y_sTrfx@-RZipp2)2;Au?-;v*8eg%3n1t!;W_nIM=%$n7H|$;=e{{S$J9 zm_*OLCiqljFCGvrTyV&L`f9y3At#R!J_9J^H_7}HJg>?Xr=i4k6@M~M4X=LQh*(!D z)N<*UbE%e1CnS3kvk3bl4Z0b7>`i%bI3-gTcI^Wi}p&6M` zggK5%uLLSMmr7k!t){_<@69`Q-3*ol9^mEp4;bX26gJR^R<0cs1vSIhY z^wG8rVUwdIdh$yYZplX6n)8dHwja?J zR57+nRibRY(6$=xStIJ$R9Q^5su5e;8N>ZN$^bL~HL^)UQ4jE!SI^_7zUS& zqtxAIr5H|0NzCYRI1ElkQL6UL{e3RZYW&HlS6lCyy?e{fWq9^RcZc(e1Nh4 zrHA`??SUEz7vC2-W2_}y6HI#E0o4QOBLgvDO4L2_V&5uLVZb1U0Ew4q9ih4rdgzby zthtKe#QjwyfVweS>guLN&bRtXKHza}Wo0$0^z)stEBu;w$a^yfP9HQ7F=Z#!gE@zP zQ)h(@6EkJxb3|g}Tw`sW{y3AcyE%=94^p4lK%< zt;WgT9%MQq?_an#$(bmy8>joCv2MV2Q|kEhwGt5;-wJj)DfOE!hyb$mFqHb@?e;y^ zci;6l#&R{xTlZ2sp*d9d8cV&+yc6zQkkVpEc(!-U3ZvhTO(qZ(|6;lsrrtn$#6}%q3%J(hDumMCFXk=21X`EN*q@3_AXui+HG*M zH9co%O`W6WBBqjKm>U^~LOq5Ty@&koMZ(>@rD5#Z2A|sTP|FU$0G z`H4PcdWPB_y3Etn2HC}gX(08P&hI<3PO`oW7p=cH>4}CQL5D`P5H^}Yd*$U|0*8=5Dh(#+O97c~5YMW9^prDFVLNr0ip$=L;MMNudde%P*?$ax2<%2WpDt{SUic zLqKl`7iD{7J80)i)C%SMk0i^+Csxu93c&CmTrFyA(o=Rek#R5E#|zaspL1SW7EZ6B zpxU^{%7S27hVV-d>a;sfM*V;j*x}LP4hwgN3dT`=$OHw*?K-6OgX%VHh))WSNO(gbnY{1Me+%rb&!#M?3|}7Wex5ye zRKW~g3rbeyy1przI{nJNGYDs${*u0KaD80j2`|vnEl|VzmG+-m125maL_iIjcAG{ zCr3wbm-{L3k4yL+sCUy7emYHL%&$lglW}Nh?@=bSVL=CN@?vu^1YhrI+{RrC$0yJ% zT)vMih&xIR0kizXp>vOcnrOvZ7;vzSYL7=nA`!o~k>aAHms-t(om7gh>zmk%eGt_C(opfR`Ln7v zHH*P>Zps)sw{da}-^!$kQ}g@eWi03mo`096PM#lIKj$(ryEG~LMe57BH;YM4yM)pW zVr)knTDykVUAAzS#w^2er=m}_n=JwiGk&H#=>ucHFcjD}K#FRKM!o}#IC1VX57&%ZVw~g1#B@s@=67o)h+os>`D7+|OjcYylQb&sP3q=L^g=`( zq!Ui?;bxd*JtjW45E?4qg6UCk+uL|f zyM}SLlovFAU!}f)01z}2pn2HX*jSQN{?bYr`$rcN#a7dNde+uW zaw5s3LBGvC)=TyAp2NbUqb{#^%qHq-EcFRJ1CKApKlQMzr$S=H?AO-TMlB7ElAm)Q z+TLyve z9)!}`sWoldhGKf#GPLfV{-}_&xR4{ZfR>SS`K+-+N4<%2aUYfS#+Kma zPbaqS{s@CJ9f6GroR;0M3~qbacxbI0qRuctt!6wmgh8=4sXjdh8!VosT4T;uLVQH{ z0c`e?87e)eY+e=exa+T0nOtt}{4BI|xA#)o@L8z&Vv`49;L32D!}vx>21CRkAP{b; z`lU*{I= z($Udz8;%7m5bC zzV%cd6c)bz(F1K{?`ehfXpB@1uMJsX{b_2gwoNG3&iHD&@=Tt7?Wnk_vex!XUS3kO znL0_5sUemk$~vBD%n=2X!=q2wK}{>kPyncQa!Fg#lX_9Yk|_BlaG|35Rr^S;>gpXQzSHaDVs=VV%Tb!i zS`(woeCdyjhn=~Vb*F{oz3pV|+qJz|;a{&6ZLJ(;rQHhjAwIto*(#?je+ToW<%YFX z73B9QaEqxbnAb;rJdY+2h&OY)gDJ(HnQZi-G{xw|Eet+(wlie)XjoH|`-5Qh4&#-{ zeN?bmV~G3n%p{-2lHta+#-^q_jcx7ipJ&)gHZFt)6p#sF=w-Tpfd$izfR9L1I>z$u z-Sb%T_y~*d|K6+`Vi(MQO=i4!+ZOus9v*LnRD~5i)=#ohTCXuC$DN_DzIFxeLw+f# zlDl_lv-)}d_eUjsXo-ViKPp`Gv8AKql#=|G4svTfL`!~=*_fNvYH^lFQokfbpV1Lp zC*Y3(xj}t)5fO(qHM21(ZXkkHGb{b~_q;ad!+(;+iS<*Je$%OjoSj#S!lL5XwF7QF zGuiUye%hD5p+IOtCAQE*XcFR{U*{*TbL&o`e}TXP?S1Bs#n24SiZjmkUV8g=+lu<^ zYO0h^W8|pf1*Y!OuP7q73n8t?;gQztee%LF{Dk;&{nFNY$_MH`TkZNe$Q#@D(?qd} zEp$iK{$3&Sm=7x}eqD9<)6=(Yq{XoBOE}?|{kyxZdEbDq z=H=xH3k!ppAnQGI+Q5M2=lkw%KXhPyZ{AWoLHy1T%b-Rsv%}k&%?fR=b&UDKYhbp# zL6m#&&mG0}9M2Ao6N>`j$Xk}nyA~x)&YH;|KcO0E)oKZY!Hyxdk+HG9wXyxvN4-*y z7#2dGF&P@t)SJNlJNuqI<||o*&)REVu;OI7{P)giY6XO1pHT%W9*G7GE2?liKYz2( zOx>c7ytf!YJ1HrcshlMo%-F*no39+boQa2&oXo{4><{GLa0gsVT+2B}Io6eYUy@|} zy>N=(n6&oN^002TgrVQ|U8(Ocp=F5|q6<>YJAqkQJG_NB8NYn_@=x``&lSL0lLudZ zE; zsIIpCG>O!e26a7#O|w}Q%)gPD7~G_Ey_1VR!kLXM{jy<{uZqZL0lLeJE+e74vS%LV z<~jn&G&If;8y*?y8yn~}3poDY15-Il-b}i}<$cxs(CB?tKGMyi)?+e?P023@Qg2j* z)^4zP@LhU?C8h8_wc)Qfs5UQ!zM7typMS__z_c{mVNFL%o6U)NJ}zH{8)6Wgh#h*3 z!&fCm%@Jj+{Oz-VA{vkC23R@6Om6DY(sRxj)>RZA(&FuZy}1L-AXa;j_^s zSytg#EEhxF5q(fxf_==HKk?mY5cu~V>`f6)2Q~()oJtVVve|S4%}j^A(swAf2g9rt zHvW`t7x7IA?$I=p!X_k_M zw1QINL=4k<)fT09e-;?1z#hk~eWoDpu0AG89MQ%`Mos`(OG~}c`GA1R0!+Io{=>3d z>&s=(%&M(bUD??hY2oUtyPdgDHM6j=5W`KtNmy$ki2LpRK;}>(?&wt-r&XYfizW=(_krJR4H(gp~#D^o4;sh!9HG*Y32Q@-8=AbME~4 zySZL-w!YMl#b=Dltlm@SuPa)lWnsJm>Qs&`p5QQBpyyegp@cEfihsWet+KHgGMcDs zFupP|F(C{hfdK&l_AoMPLvI>$d7E_w2Iqf_PHa@FI$ z{no^!^tM^UNr)FQSkYO+G;*d`NdB2mG3 z{SGwAE)M=vW}(u=M|MQ9XSW}X z7e;q9|HIBPQ`wK|lpxXaYyh{bv&8*Qg)UpLQ`vtXoLX9%@Ls8);|C7u73gS}>S$+s z?T&uNX>>!8&~jxz7z|mfJoN_rXM`&XG!6*ok|O6?dn>DI9^vT!{!MD@!e(y z47Dw_gEnh+Q2f5`@!1%RTa1p5hO6dHk&CYs?d?Yf1rV*5m)b<^snY$~r_n_n6XRq# zsYhS0X=!Pxs!A2}0#TAn%I(mT$NlTI!jEsC|L{@tNzX-*36?)&OB(I~}ajl49uPkrg*NWmIkzaCg&2}3hqhgODtq7$}^_fH`^3e54jG0d?xYd7U8o4wsDS>Osn<ELI zmE`2AFs0z#y?@(39xOYKnrmf;+K%bz?F;MTq6w81`Lj{tmNc!bxYp5XTuXi%o6dK# zDY+cz7nHCtW2N{mPj;EgM^>1sTQ|buO3$_R>$h*2<2`_`SZhY!q0jmOdhvr9`u!Kus_hepAQK!|*RPh)+rb$C4y7wa` zpItqwm^W{X^OLBXS!fo0Dhdnt274YAZ7*&%*{-D0B_@RtW{IZCFmtbSe3 z#AF_)czbCS{&k>V#oT+x8A7DOz`*dPd!r~X0wx(=$2iwmufd;}M~E5Q_`5WQY2$U1 n5d*sM%0ecLKmLFHc$NC#!N424UzP?EAFiRIb0}ZwSit`Qia=6} literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0022.B4FawsqK.png b/docs/.vitepress/dist/assets/fsm-image-0022.B4FawsqK.png new file mode 100644 index 0000000000000000000000000000000000000000..6f7df0b34994a4d2dc48f6dc4609fc188d0b941c GIT binary patch literal 16890 zcmdtJcT`hbxGx%I+XX}rl&VAe$BK`<2!mx_pf< z{?jft!T8Pg#J3@Tge|r-FA_w8rIudh>WNOnavc#A7xp_(pZ}KPORd5zUE|i9Hq{t} zu7c*xS%+pl;N<46be*`nn>)rdm?yo9v?UM-#urp%WWHmYYq290j;(3!>B>oMO--Dw z-ESEL3=+hlnx|EEKQ;z2d+hDHU7$95`GS81T?J2w;AKUWA31f~X2=fL;8*2>jathe z9v+_UOhlHswT%OEUAdX)m9fGp+>76D%^fPhLx^sW%qnh!Gzt`XaPwV!@C9ssMy|g z+O1{UbnBULMW4~uBGb->g#wpO)-`nP-cIYi6oz5KiX?C zQkh*}J$-Npfwg=+($vCdpJP8LUJ-gy>+0%ok~IoRQXcMGZ5N)JOrpht{*YSUpO*v& zIF2=__4FVP@O8`YY(9{Yky#G5`~X8&Jx~z{rd$=BhC(2piojzPUb=K2r9N-w;=5@% z*vz7tt381!I3(o@qlQ2>20tt5(x7>?vWu;ndt9)nr_R5Q9P61!D|^~NB^v1D`giW~W1^FyI2?$orahsck8b{xNg zT0~Z<+A!P`AP_Wy<-z7KFDaEN<{BUY!IX%W7VL{$pUpm_m-*aZ0+Kl7W1X>v9@ z@rX+Nb&n^n<5;Z#^l2V>P3icc$LU)vx_uGH#~MG$#Y?;7f?rg0n<&A_ zH(=LUgoE>dK<3_%&kyp|=fC~Ov-`HF>Iw$}&eoU88dbI%?_T}5OTbIo`7A^!pnXW-+{BlcT{KDS>#1J;PG2#L!i zovhV)*tD!$#=JC|Z$JX&264MIAt52;+b6Gm(x6%6M#DGA`QA5dUJ*Rg9W3I^OIyp; zZVqK{J%}xy>F#`jyf#VqYL1Od3ZjKc#i7-LAargC2;_Z9jK8(J5&Z+Rh0IWsRgB|( z|D;AM&OtPdhd~b+m@uV(DVT<&71x8Ytu@{q`CJXj`aF1$w30+n#vVzx>pJOlQm893+?spPVQ%UOG_F`UbF<&sNRG&?u$D$@7{(ASyXbqo>>n1L83NFjM zzG_?hgHJo(?D?qQR3lsxx`q6FZ{&HRXxc8#i{zBrcxkWoG^JP-!JL)4SRWBrPRRBd zduK}lL*DsFe3UbG^r}lPtC~j5TT*w*gQ&%%Qd3XQ8jN$R@RFFp%LZD39i=eEqA zt+VF9`&Ww%j?`<01w9tWxbQ^T;ZW=$*Wf7Zk6M?Nq0jnlOEo>kUtn;eM41+zHAjjl z!Ofm*i1CsLyb?n8FVP032ZaXOD5U#tXm^pcB>c3zG1OM>QYWGROf z>N_=V^}7$1^@ua6u;}oOJn1sV(k-$z=7RG4h)5MeI#GMg4<35-Icg+S+);82ZraOaf) zfhHS8F!&Id#239e-tt=Tf^K0v7K^SzXWP@-w|C`w&2}VF=A7lF>Zy6fw45q667Ks= zJ`Hr)rohQ-N{pT(nLkWR1$Op5_sTl|`J*N`ik)ayh#N~nu(__d{>;|p&)+Xa3+Qt<5@ki%(G;t! zSulZI_K~ZfWyah!v1g0ovA&cS*y{@V#XDb^Ha7WW>lSGuIdfIm4EAq|%h}Ml?S#h( z@$JL1?dRnkc}P)1>qxhCUSaxbca8mUv5sjdp?fcRtlIt_GA1G7im=Xm&qfNzz0Z(m zFb^m`l*87ybUC5gZ;QL}=}i+0CR62j8(~v)g$J@fVXQzOTAr);^XW+j#k;>F=b7^25L zpL;f``<y5anbhMMD_P-e2*3Oeqbwl)%-u_72;BkVwVMl9#F z^7Njr-~$RTlMlR#U?Ac=Z3YkpTP}T;W^-UE!Eq>^9K7$mwAgFERUgVW{m^JAK9p=^oWv8*np@E;aEp7fqc8nByEx{G0ZfO zg>Bp0-(3$bJ;@iO`^6fsTtkMSIL+=NlSm>dd8r8xsZRd_6ZoKKxq}sdff4v&y^eq( z+M|@>6Kflj%VgPy<56B>ftbayoO1Wn=H}*t-H4=?b3E+{Qup+15~WS-?br6+zU@d9 zD={Coz<21Yz@=Vsp{v#&L#`LTvK(j$mu#XNZ=0PRt%9oPzTq`=n@fb{k(yPZ=4S|~ z$K>ZX%G5A`UVAl~sM9Y^AN>asM$X>wA4KECHBfg(*akzqe$YRv%+K#yv02eK4`P!{ zBcaAN_W0^tY|zd#F>Y>dKF3berHs(B2?$KPRaEd}pu(&tA#z)@a4(AhfNAB-wpNBO zyHMqvwW=s|<3QKC6Xa3yqt&BW2IB|hZWXld0gxt02gQ94bLf~(Nlx8L$ZH=(tl?9G zp3U)}n~PEVL=f2mVotkm-IIZfgYkR#BB$(fk5roIeq)6w3${~ZpOuhm!G!7N@N1OP zIeG7hqowP+euKZQ+K|Z@Ak=li$kced2G%< z{+v7(+|`|XE6=c&e=}Xgb3=PFLp@5@!NEbtW2-{q%?E5yFc&(Sejuw1^HXgd(J!Ot z0g}qFg|LoGd>F`d%W3y)BB+Z`PJHF{a?8sR4T~zu33_bNQL0aRS;OE{!1Aq~Nq9P^ zr?;n-6ET-5c*O&y+;508vB=WkEi-frTe(PRQW8b3k3C~PYx zl?F3!2S>mwY(en+9xN?(^LjKm)0(@`g`|92xX;)mJ#R>rHM+Dt({f&*wuwK>mhO?f z;w=)E1ND$fQ#uwaoy%w;?u}81_Tz8OBk+J4d(a*`L049yhezv$@x|Xpw&UwSYcbZc z!`z{Hm#2^5PU8FEE$;g5PUuXDKpJViQ##A{iITE>A#_OfvYa-HCiGAzwt1hhyoad5 zH}*;tXFZ?xRZS3cE9`qM zN_Y%ey=057VY#u((@QM7^weXaS}tJ*7w)xO^KrK8yN`phc7atz>Du@ng`v}&8Gcg& z^ulHJKeG4cxQ`C!zOpPB!^ytKxc*s$V_AhXvS*|P3YC{jO_br!h0)S(xalI3_F{%r zGo?Rl_-IXyO-ij$bz4FyM~)mB8I;uVOspc1vidRbAB+8aFPFY{!-XyP1`WL;Z`}^X z{zz(P6fS@T5&^bQ+$X-ieU(L>n4=MprZkwRUj~~OT%+|n6~Aiy{NNBeukyj>JeffMMQ zY-P`6wDV8^nmtreh*r2?5)#5i%i$OKUWG9EA>1I-6(9daI0XAXj{A9^{ZS- zC|^;vmz>)%Hs$m2&wBT8H41&yICaii6`q8!T1OB_=~9;P;>)@Ks+XvIk_NSPnNbL{ z^_}9VMP8@d_V{=28WOK9t;DNiMUhf2=hvvl+P#F#F`YQ!lE{2agImjySb4x#Hn%i^!ZI~o}sZ%MEZ z*959St*OgyZlbs?x-u1UB`q5d`ZVFohSr-Ism^ms_g5Q(+2CSsYI?H`>uv{8ecWTNGfSSN<7B2oD{Rje0D9?DE{+5@G zwQfldnUEsMxD7A%<--x_y{|;8o>8;slF)gnqazI`X!XR#n(*G2FI>3r%3>gy;Aja@ zF`3N{8C1J|CSN0ZqoA_$T6^G@EHS7u`1MwQ*K__vn}b)p!}34#WA}lxrY0!u;-$7K z%JQCobBu29Y%T(kVTbDUN!Q)&wa*mCg4raaqT{ph+Mm+)`L0P#YX>1MNm(9g?11C8eMU>Ezz<`zx zTmMN}aaEK{wCg3~P@eQ4-+pLB%n(9Bt0HN;E0t!IF-qQNy<1i>117*5r2A4hCRZOJ zXTvc2G0M1~_7_`D7$u;_pnzcHkn987IAS&RfvyIs@7zUnmD2-OvBRfx0c}ct3wloR zs0cCMw&7>YoEbvyh;2(t+i3aodpbHJ@#*{jSLT`J$rrw(0j3p{pnS|$?h1D=WoWqrr8{cJ-jpn)xtK>KJfm2 zbqUFl$@A&%kELwh*5wN>E4#Di&R5mlbt(;veDKRE4_9*(E|0VDM%L8L(%19<0LD4-_`3$SL^UiQyBSejwz((tyah?mcVMC>-!P*$f5*}G+8cNdAie) z>dI2IbX*ppM$W9nv@>aVYy$MsQtA{YmnSRW1&m+5Y~l(^crn{@E8ozoTSM}T#q;N% z@r_6IzodQH830FfI7*&v5(ESrhWJJ+?=bh12!ynNPPTQe14e#nDWJ?~%&TIXjz!Km*O*0(i<2=nG!O;$^nd?x_ zKi$LP*K7N2Vt(;+_CFrT-rrard+@XOv(M4l>1l1&{Ry9AEF;CspxeerNYiYzukyYk~-bG6Z{MWucgBbe2<0BEa~r*ls9>SO>F zOYPE->XXoct4R+i=a6|o)tb~%>uFc-NdGVkVSvyp z4SSmHvfVr9%?Gc#b@!2+xRN$^&Ux!Myo6EqnFj<Eb*X(ibKNXE2w)_D zHQa1=tvki+n*3`GZK-5-5#?08_xyPpwXM^m(&e!l>-eQ}7_AvN6WjSr$Pz2Mj6d*k zShq55lsu1I{KYIE;sE=M0AUYg2@p~*2=iUGT3R|^QR`aB>2(jbgGWd2;S^TZsnM*$ zR!wI@^>RE5a>gvi;z}G^b2fA*y=oJMI~|1A`ik~AUh%FY!XFfk4wY(TEe__IBfXwh zYul9Vo~s!ig9*stda0$&1a!l40A!hD=9$0djD1K{_ug7V%S9sqS+=1`%zTDUN1*a$eC;Y{}@fTU<>D$Bk7{_S2uk9Dp zPbe5aIxQ#@1+$@1zl~NoFTMg~yd3RPn0sFz=#}V>c$bYP8l>>&fLrD}*w#_&;A`ly z9)QL}dHLeECbug~ELtV^%W=M=J!!@~t?r6EswIRW2&H~gTO5vb=XIFMB@+&!PLxiU8RYxx!evH*V@W8sOb4~DbB%I-DtVL?ae{{${sCKuFaryc~G z*Ot-e0JV**ButqZG~ubDPSdpO-Xfh@1I&7{jRk|jP_kanw|E>WxweMuwZ%C&0+k&YLmL!~$z^@#^eY68PBKGX;CdYtjsSimK%`t&O^pd*y1IBi-3QwTY}VA%?z2j#0TjQ)l~Gn+u6^Iy{+p*qojl?) z;fKcL2_H;S>I^jNR|*0X1SI(f074|fCb_~5>d&ZH{($WGzI$rT(CK;au+RO$FROO? zN7E#H=)BL7`-SySQP3}z1v~yQC#NDG+7gYQS!jL6D&_hN86SsxF80IRIN&2%qpIDE%Cx5EyT;Ghf5X<2 za{I)?1ab<*P5?|Z-pH1CAroZVlDsIKJ;^e5pZMKE=x$cC0PPv$%LDSZK`#M4V)IC@&-L z@a5N7e5;7GV0C!&9lqWj_v(I4#ekmdeUOF~>y> zf$b6*Je?ZAAWL``%w$XGH!^svr^hR;+Okm9fT2?f@W;(O>oaLPoF5huGdG^+=$(FH z3&c~zX>l1w0Cgf-@Icd8?k@CHy*N0O=3vTyM6^q&1G`jN4T=5P+Ukfq5EmS|jZ zt*4q^yB)iZ7#adHU+e4%TstI+?fBGmH}A1)K~@#|#I&Ir1X0_D0nZ~nSZJ`U@-v8$ zg6q#fyTwYdAwUC)!ks#GO7;)x6Q?s7pZwTTC|X8^Zt)Q4mEv;^t8558 z#*>Y783_41#svk+?K+_71D`;D;c=oD>>{wmgsl6@-5+Y)IFcy-s&M!08G@9pDu*F8 zQ*NvKm82yh^X-fn5)r@B$_FC&j5@kVR~TuV><#^>2Z^F@-qN`d|#x@t};m&$E20pbtaox4#bJdALR-s&#Wd9nA3a1trGPnd<@ zNRfNG!j&YU?NN<*I02&0Z-LIw`3|}Upq|rAf?sTMM&fVVIBf!KbBdDcg0zX1yUtGi zG3vU;UzHc!Mmqx*GRk zbMhh(T3k0)KaVkuphCAlH^_gXRJ^|hu=IyzP(?y?O@R3CCM9w{^JehvTQ8nQu$ge5 ztY2sz>ba`Wu=P#;8j^h@ZfR)=Ak)EIRY!}L(|{m1g#Wyto=%v6{*Y)KKXMTOES9b^ zIHt_P$!gHkz3&N&?;l=9yS)va(egejA;J7F{HLJ;XH>HBOF`%o$64Sq77rrL9N@G5 z*VvbU6{nIY!Ifm31T^*U>AfOSaY!5vCkPiI?m$}y3(i%$M@GbyTeoV`;DLca1pc)i z;a+XYnyQ+C%}T-L2S8=DDbaso1bS@8pwH}R zg$J~8Yk5*MpXO)^>l6dzlQ>*NY1b`d!|w!+?|*`}vo_;F%KIe=1&}@Q{V^9H7Npq* z_$B24>szeUt-#TV&4P$zpn?I3P>J)$ldZ zeLA=bh~)zwr!9(;#wy9bV9Iez`3dfOaNXV>f(Xp*Pu+B8prnwW=1A+rMxj(2~94G!$)zX*uU6WDSHNpE1FeQ5xchQnTj? z8iU1OIfuz0S0#u}>Z9PgqcXjBEF%PB1m4@*Ymbl)sEx*9?f``u1{N`~A$7gSn8?kM z{wz)XT7JVzRY1p`X#VqIgufofcHOye4v_bO91UGPLEqEN)6Y5&c@X_P7QECNw`>=0 zrYl7=j43e*yF28sYDC1s9cv(MeGtfbCIV`%)OvU%_thfM05x*8AL$7Kl+I<|3Emao-Qh;l}tUv%9p!eQ*Fw@X$bNB0n5jf^qHS$q?v+8JVKex#yX&L4Eg16&%779D- zg#?rUn4^n=x37zv;1Hn*Pf{hQU%JA!H){7QFSzyVm6=#SBB}((aXTLuJW>rKs3Bvb zqHa5NJu8qbmcOp0HHT@Z3G@6L;GpyY=fu0%0ix zOS#bX+GjG1F!|#Yp%#cak%MCq>s-!w6(Dbme`FDI2R21RM-ahc?m9vBeDVjE<c z1@)%nxb7#PviCbj)6SOOiQytsP5nu$v$G%gQ{GI^7Tv26P6i@gf3E8KU5m_8O!SWc z<5*M`v~xu%ivKCQi|h9I>(Uzlt$At3j7r&dFjEIJO1%dsXhTOnLEe|9rmcmwu=y~J zLBKp^fe7^^WEbY#gnzFN%Lim*z9@P}|W10CmLTDcbibHceINF7YKVLoY;MD_*L=F3TzX$jJ{$o_Jfd#WkG^)G?egPFAt zsNk&kH2^l23UjmHHE<$JX0tT)$H;lV{A;B^gbs+?Hl{)!}pIs=}syF ziW7{$34gLR%!;nJm@bp`6mp6gV;abKs<2_f=3x3r?>z>cejkZ&)Dop;tT{NLgB)9m zrDNr{@Z4w$ox!Ul0nz1#w6q~sW`e>ii3NvH-QB3CmaKH9)q0HNR}I2tUbtaiXl-jD zpSd(vVdQHKtb~Wd$ZY#?;3q`vmrtmZv(Nb;`;vf8`=Joqk9YuV+WbrRz?@As{==%* z!7jYF0}u2*d5%^ku@DK}49>#UT>yD%owwU`%HDBpw9f(hJxgnSwj)wFn^kQSc%Vt= zMPbK5I<%-kOe`SzvmghiIpqDktdNBo*okqk?RAg_n|Ltq(tr2v{SyFq_m*l&%zsRf zkTnpmf~^^=s)ib4fm@z+RD(vJa$$fr+S>lDG%%}JMM{|`<9WKj z9>n>5C9&%M8Zb)w45lfkR%eGVp%>@3yN!TC`_+S2zgI4BFz0F3W{oGw-C_Ga!H-_Y zlH}G33`Jl@k5#(0mUkOXA3hKxy#}wWakNo^_ z&3pn1H!qTR9hei|;s8btfLaCgS71(vA8Z=vlPfWFte*3evWN$Vh`;}jKVH~W8O(UP z2Or)#CY(p4Ou~E^SogxxY_zfR%w*JC~E6ZwHhG>`dn%^!~PVThKZ3 z6Yp@5ZE9)JOkfgS^*C{Bqi#w264}{^m;^%yz)ngMd0zLf?+K#uu;rhZK>qLBb?`J% zfkA*(+BpFrkE>U&Es!C^a)UjIJj9m>oFVcMAIOGRY!vN*QLYOS02Uko0uVF4yL4)d z?Sr}j*V@V00Zsq%WY@tAU(Ny>nDrCV>9iRGzi1B}s8%%sQ-QSII{PqqzAYM;+G=*| z$VjD-CAh5;dTSAfAwv)&-OWXL^6($B*goX|ehlOqkP*=T^zfgp8Gsj3PUGWiDUE=> zOBP69tau_C5$ir0-}}oSakF{it@7;AEs_0%i#UV~u(UusxOPkA8X``spIm;PIDbYV`8#3#k5FtNCm3M^Xy$I# zA80UsWAjK^p6XWY(XB<>GJgFrwh+Bc<`zXsn>KT`7cYRADO(sF2&1n35oTuRDJ3%v zy}W>|3NE+%$){~rVZSAe{tyV5v@!bkb-5kpnkF+pDVchDdcX+m!x~?>5m>x594vm~ z3a}0Q1^#9md{}-RsR-;cs#=Hd)|mO-Pb-d=I1c`Uw`O210txCk$=wTJw{OS* z1kt@geJb2I8nAO@l?U?nusFxHfxoW>ECl`^3yEeCZz-$-?a}aWdM^b#MotO=M~am`O)lGn#=#b2w3+-eYkQJysZ)pyA6vQPhR== z+md!*uH8eRCs{#(%5x2BWZGC>_B$+FMAH8{o3?3aN-UUHiW&nm*w#=m>&*iN14Q$v zzYb?AAq+dwseP6*=!9T6HqZpj-4y~Y9ch9Tf4(F#93L09@UMS-O_FcyJ3Me&p#=mg z10CJJu7P5qD=p`1HPE^>k$`mnsobmS<^*Pt>^ODb&RBCvV}UF{x^AqU~oXu(wd z%~q{E-ZBp0CNCd4$8A8GvUvK}K0lqgC4{+GBOQ{DUcY?gwD0c=f!gna?a}B%gK*(3 z3kI5rRRaAvjh}x-R+Oj`kbw!Fi!*$ztk<61=k+_7t9CH4n724{o+tAY2#}K;1$o#fR)U4(gLVS zww16$H6AK37?_t=`MpQ1Gjw)L>A~L#vtYfTKR{j`c-#LU{6V=4u(2-{vjiA3GO)>A zFOye#(StlSZegUTweZ2>8(aM}50x$6kZ%h5TmMZ#&x# zC2r+R>4F)$N|)dyz;nT9a+mnjTgHRxc$HVk8#yZOF)p+xK`x0c9!bbTw*a7Q0%jYc z8x|f$p_B%&SoD)<(7MS@HClJLe6H@%K|r35V*F-plOVNv?Vu{sYyw|RPh{#J{wRM8gL-)J&UuBv6U_D8XapQwpT>ogOOoh%6Oy~_ieHw+l30NMIf+rRX zLMc=(@4fwlztYaRGH275IG3Qa~pn>mgverYps+W%KKVR?%#h@CJCK6 z&7fcY{h&&IQ==%);Z3Km_CCRmW>S!odtYrKr(B@!MnT+U&<9pJJw5#h!p%aS`}ZYu z2bX+Ir1r;_|#)C$n1lr=u;jwbhu~IE) zkElh%@haijIpW#e|pm89k>7 zRve=CdB&kp&7Zx;l79XX+l-g%B^wff`nAsOr7l4DD-?Ei{`hYTXa}Bq;ZjF}lr>V^ zYejFk${i#BuS)*VuPB1Lg)8E zY_QO zd)}UX$rof=8mxSd_2Cef5PFy8An1?&^GaZ$RJqJ^FW7=8i9$XIX6CxB9N_O@hy2oT z->n+};{adZ6RG87D8zVh_Lz;=4A&2Gt)s;P7!F_>8~Ct>Hll8mZ(S>gi*(EC>h2yB z)?+SvXyD{a&BE=En^2Va@QNoCs2jmtDbXZ{tbE+*R74T}EfyU3Hv@vr$9)u2LA{+7|%3IKAB|ED>c zQ22*un>Jh+updmK=1FN#K z^1@;iBIN*1?iWox3ZYE*`Ch@8N(ZEjVgNl;>5c1ZJYM*MSS~6mph>&V!Ye3l={m zpVHPhwUD4j;r+h%dszfyY6Q9Ms|5%;;OHvqfpcyRK$^}~=wPnF)bQ)N#{cCZrwFX? z)h$%F0BRKngF4798+57CR)?S8=IX->sJ}u zf)Tj?YAqqy=OSQtL5-cJ63#U&TkiJ^xDIM8bue8`yZt|QNVf@pJwYu17eK}48So6B z;{3bHPX8DC@_WgP>k}H@U=p-w8C3h&rNBg%sek`#WhywQi-_Y+u{CzL!qFJJ5XmDc zp|*Xy@gIRThUqj$J7*|M&ay_-8{`z=rflW(N zT5Y>jjVDl0Jvhrzo)W^Se2i^UF+vU0`L3|Dw8pA7W7Vl&JpQb`Tf^1n;g~I;lKBVr zCMQcsD{fc+%f)05@LqPnsDS@`cyL(WC@&AsN@T&=)VNEjJ7K>8G4z25C790{hX<1 zaR@c=MH9k^xCP8r@S)Ns>!}FY|Hx#D(;xoZKhFD~_~7qm<$=KKz>Y3}S~6LwcLvuoPEVRVf8 zytd?*g|hV-1kevHr{4OK`#xD!@Jb@93P65w2GmAR%9v-9ePIC*I2dMHs?&P7S{B4` zys%9ZU-P5p*VlT1ludeB@#HK(L#%+HudkEgq3U0ZzoA#jls`Flg-pGa(I}4wDqyne z*d&0D3SJl~Iu*|z3&1r3d=>~e)h>+OL`$VFeNPSennk2g%ZLmI&gmTG*T*-+d0HKy zKNH1YK*5kDz%E*HCxf459MY@%ljL`GB#BP-$oBP<4rTPU%!8^sXZ?3L`_{MdIQ5Ia zUjX(~zw$#RAWNdT2_HY69%d5#(eXy)Z^M7H4-TG@(D<9@+cBu=SN*=EJ^pqx>Rf9S za_gsDXA~lu-(X|+;RFpp7VE$HEoD#ue|5b*1`J2DL-EUFmdGmie(vEa=S{|7YPzKF zY7`>D2V4@M-EqJM#F@2}_w%n0$NxhdSik)40XUovvTAYwHAVOODuyRD6yTvbgci?^E((= zq;~lmR*oID9nF{7QjQgA{mTzs@qmH{(MST8PkRu2MUIU@)U~Uwn=KAd@G;d8>*LRp{nEMa#=jPR^-nfW z$;Wj=)!;suOPK_T2BMFPpMM3Fnl<$NA5a$8a(#jeM2Esbbburo0fVVHb}>*yRkJaG z^oy#C0@4P)^V3N;$@y*OAOHHe0F1@Gd-u+OnYV=voGtfXS@yer-i=^T?G`Jwl$Nxx za9cjeG-v0nE*T%-f{6+VI!wMjap|?p%`|0oBApHg@bZ5H-ldR9~#UIu7F?6$XTMw z{eJ7ghPBT6icYrz)9w%_h-?Rsy3KJ-6MPizWVJri)=ze#;S2)6k-jB75-e@U(pQ^f nSf#vw&?)XP{GYq(`)uxgP7N8#Zy3M^Kpx2|-_O1K?9KlLz@DFW literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0029.CbRphb6c.png b/docs/.vitepress/dist/assets/fsm-image-0029.CbRphb6c.png new file mode 100644 index 0000000000000000000000000000000000000000..a8b515dd51a1882547628dd609cb9f4ad4b3fdf6 GIT binary patch literal 52790 zcmdqJ1zVNd_BXs}X#u56QjktTQjw5ONkIgakdW?D1nCw@>5`O`ln!YWL_)fxJD;)K z=ly?(_gvRL?yb1E?>WaD<5!blH5EC097-Gn0)ej}FQb7#pq9Zu_}5V3cXCQg9pM*j zJ9#|^1cIOq`439m9Rex@;`@q%jI@?(@rkNf`yLJh84-K{9b-boW9`=X*2@o$fwKgwRg-{ z1$m)vmeRDNJ0)II4KB``zc>1m2l|pl_O2}8IS`1Chgaq!}{e70x(7V;lq{okh?ZM7%IaI%JY&o=vYb;)ycb9 z5K8*)IBdc*D81MdCl^6WLqd|t94?S2vZ8{DFvJ!>LC{Zinfb+=e4~@_bY1RGasK;@ zB1|@>TdPp#Gn;14#;?jd5)w}o2k{+CZD$(a;*wQajwi=8 zTL=wCLzEN2*80YjcC$i3P>_;7=OL#QvOb=)ZQ4-Z z>wIeRv)IUu9mNviyMX~!6lv3TNO#w+d1fX}=?ord4Bc$19W9DeykYSvf|kF=Ws9~! z+t5PnX+^{@G=E;UQ=V{3(VcHp z3>4|yVGHGd(f?B6f>3}t(9hun4KaKTmV1^huj4~Rw>;d?_tsC4%4WHr3m`qq}uazBj` z{r%-lVmi73^)Q7ek*Ik_U#o^*CQJHAq(ljZQF;=hbp6}F{96}J6Qd@up4^ScbG|5O zquQ8>V{xplJ{#j@>FMd7C%ZQepBMqi!BqHSFB%$;YS zVrNiOP*@01UY+l$8tE?f`S+Aeej`G-Ah$M>axMMBWyb48neI|wB4hZwBJ9zyaK40; zdoIH?&^SJs`)v&V{e2CAd>S#Ds*e|EM@RenzssIsCB1MS94UVtN55#8t3p#T!BK|8 zN0fRNnTtUDTO^r-f!v>%_>{+IXL53KR>A9pZr1d7PA7f`vs#IJojQiJ^LZR@DD@@d z3?vD4vp>SSiTK}3;NOqrHf|iwR@^gKHRzRI>ZjQ@#S*>ib6KB|@HmD=xjaL7pqgCL z+wPNP*;~{S%B2;yCKRBEqw#EKCoxH6+7Y7Lw*4|qU zM~2Sp@3043V%aq1!#NCVA_od(jp!Y`q1{=I6-2qiqCdfQb$9>u_wd$(z%Fu3RSLVR z`o-a;?@6n*&`&y9N8$((0~G)2?fS@BC!LS0hX*08*tq#oT(^JgJHnCA_gprMvS$b6 zm&AmGs!ZG8L*IQV5cuw$&*8)itx@=msE4@&;S~IVeIit^%ho*yvlYn#T1xHbWpnpX zW^0kdaQlWXDTiM9n~k|p3VuTexv|%sotHgK_MXiCP-K>eP2>c;L4YXu-v(S|9)3IG}U`tTq?tni!3B6Rml>HLQnAJr7Ujm!KwS8 z#3G2JS+|QaD}sisJqF9iuESXh)6>&dKZ~7|uXMu#WkRWh!*6ugIjzfJ<+YDDdVL*w z8Ot0}-=4vgXWS%_=s?iTA+qQCU?5d|b=bD)q9Zt^3(I#I8+p7&ZjH$6Bnq^2gi#q~ zVt3INCb4lCH~xNJ(d`{7s?1|KdVB4+ChO(-$=Aneg*I@?mu71I{hVZmzzz-yfWw9c|@Gh&ruj zYw?*#1yc#zC{>9G2xuwxA8b|6c<=4*@+B(al5(gGj8y1y#B&+d1=ZVe(IHZ)kW-2+ zLv#n9P9j-!aI)%^gE>kYPiIF*G=s%pI!aTVy@y2JlLk?znL@4nj3?1Mz6~Bn(SKPD zB;eR^J{|R|`1`YjF2%6Hy)wS0il^bEX3iMli;IK(nq!%fbz9~= zvG!uBCG8<(xwOa=Blr$KkygyrZfEWx9UWaji&VMn>zqA!b9UX**{QECCwLRytguIJ zsQ>x{UnsIxhS9$||9#z}>~zM#Ga7ufw>QuA)uznU&W>kdSLd_7g2h-+udwa(0`CDi(?ed7B{=8Iw!4NGGou3pT<68#CYd^XjmMiiZGN# z!d!84gwu-LUn9zq1uA73w0L$nY1_@frCZu;UKRNOvwPBJs?N>LjYiVj(4n+5>v327 zq;*vQ7U6Cp79nj{|GU;ee138~Z*T7`*5f{y@2h&G+)s*Rg_wquRCTVf5O%!Cev;cP zYd@6n&`b`R(K@PfdIzgX7b1oQT9RBi^$_vjvNyNy+RvdI?QU-$pYII_Vp$m#8;aEF zXUgJp+RLX~Pn0({HUcoven5Zy|JI@T%DS{}#W+k!s&i*&r|IhQp3?}c(uZ`m`L*G9 zKlnU2j8b}+=c}jPL#o9FsRdB@m@;ZfZ?UFD zddb}uB|7=XGxwvU$O@!)-7C^Bg()PE*o}Zs*WMs^Lqf0 z-{G`2dP~}bFR?40+n_3xFH2QjLeO$FUEp!Cq557_r;-qzv9WQ_oBs3t5!E$!EJP|l zvU0EZn@yLVJ$t5I(K$Ce-QZzn-2T{D@dgSs(0NY(@(g)P>x_?rRvLt<5o2%t^)u3g zLqe!{Onf6EB8FZv-BBqSo#NIh8V8tHDHG>#xS?0R8Ad6nvGtJf+d!&@#QyBV5K^Ni z?*>nq<*RGWdnicQi2eH+sjvqpFIQxpustk;Q@OT74Xi2wjp(-hQV(h(&2=At2JCI^ z&VJFU6h$ZK7H~$qPgirHyxZ5t3Z2%T!t*i8hK`p$4fq&L*>QKmQ>WOln{A?jegxw> zLIlannn^~(`6kru$p&K;j`+-b008Xq9(;xh^7uM6-Q;ssw8C4cNa)&^wt16?=o7OO zquu$n1RWN>W9hE=JvZKE;t&O#k|g}0#Rsg~%V;~|=>PSiZS?XWODW?Fud_oqArfx8 zSy^uLVZxrCo~EXzx4)-L2e`6b!A!_u!xVIg@)o<sK*FKT0+hE2+ z-0)pR!zOQ|-k!HX=XDk%EW_wPsGJQmZwH-yS`%5RQHeG~Y0`EIWyhf28;W*Ud*1#_ z5%C%o`PnxpgsjQ+Obf@>78m0reJ)|cl9X7c)jrTmtHB{uNvsVap#J`MW1=FTd~bQc zg*sBohsL+>U-M;vgZK35Qzl$s92}&$Gz&d(A=dmfF)ZmnRZ>LFr>5Kv=+^_Fcw(qe zpyMA$ubq5w!ay`n{lktO1=@vO>l4$Sgz zLLnz^!YQqc} zZ0|zZdrKIRc5DdxivK|PhGw7bJ*PDp4d2JqKv^HTns8d-@h_jRmo!CRr{Fb3m&HJI zSt36^|CZkc9Sm1!|MhNrr^m-1lyU&f>}E+6`W3Ag!5KJvUZK~)gh1$FAz$F3nVw%C zZePm1gak$cItjz6ry>rmSl!9(fCocJIrX#TiE2ntXHk)#ttwrGYr02AM6@%k$o(1c zf#TGKYwi-v+Q`e5@v_XN>t6s={l|yic&vH$$$oqS`0@j*YU-WG#V_9*Mv4dV<2G&q z^0XG9RPZsgSwi@lAyI=EMl`DFuA7z#Z~$Uj`jA6{oTTX4YXk&bhBe!8)=Gzn5L}3V zkgZ^O7Qo1tELzMtqb{t(8bOBjP*5Be`cpgrP3sSf6TqvX|J$3cVb=9K>0Hu%jsf34 z(u;}xwiYsO^b~OrHpN6_{ri%stQ*6+KX8LWXW0U^ZUo>x?2Jc-&CG*e&a7*yMkhCI{}rq{jozbCXAeTpdi z!9g_2zZTw6-}>oe@VtS<6-x3VT>bp) z-IK}-NA1eZ*i^~9q=1!?+=zGYI-!~?vrZXD=+F`A$Y~)jOkFuxwqEl3?C>ux8CUXM zdsEO90Q~)iZEo~B%gD&s{9T*5nUlw?>qUxVeOY2yOH58qPD+}SI?CgPkotiJpJIo( zI?)@8!sZK28lP6IMm z+kidj+saH+(}Z=ZgqLUv(F@c*8pMAEvMRfDxdngptdpR8Zm~Ck)njXM@gYkZ!Tkph z0AWu-u@g$3BPAH9uH2uS0QOdgnfds3;~qb`)jqqr+C9m3a(XIcF>D5h=W)rC2FFzm zz{>#emG4U%67gCge69YS2r9lYGVUkT{Lk?yY`;!z{`n& zqbcvps}ynw+JluE?Be4z`JQ~nzqZSNJc?ysio z)if~OeZ9~HqK|TlN8#9`5?~LogPHrQBX8TJ>fr-N^EIu06s;~Vzu+N%fAfxz$D#Ew zzNNzGZ3X=~U5vo%|7%F9YQnr4DOjuZAO7U!<+)6|y>s7#C51Mz3mCnSc{41_-1?0% zHTKcQ1iwi$qG!g8?Vtt=iY-d;=IbH*}%Hq2C%*<}x$0@TJ^|-2D@GErHt@N~%J{ z&->!EOn2z5L>oN{BJrON%7{L5ucEDo$GKw-Z2hdVtQZ0P>YGi>8{|Ae8%;tX8z~TiE{%tMoLC8lS66Xbubv z4E6Q(ABA2~-n`kq>?WT^q*z2{WVg8~rk_4(6J_kyi#B(*)kJvhS~|m!Uo5&m!dD3$ zo+N$M{rqQ%af#07k1Zxap`lFch59dd(6yEi#&`oA|J+q+R*$L7>Ui>l;S!WlP!WX^ zl>i@)@Cm&@LD(Tb;pqO9xs;-%&dD(RX-@*TZS|zb{z{b5Tcx*9@$cTgje3%J*PcP# zzkvN%+EpGc1f~KiY{%~qc=|_yHWXQiuM4Q1{m|wR!Q|pim$C>GxsLN(@n7JYiJI~E~?Cb@0 zf4;#ygn|o+Um2l)hUV#Ofq@vtg%)Y^<~CwVsMA^oG@F-Yy84xt+05ix*sqfvA{mBS z!fEbV3mL#l11}da|I1*NvcbWO7~lX8x_>sTE$=q_ z1%34!C2FKJ^2_gy_B(odo(JMn!IQhX)>!jpF>lHwD`O}TO}zDcM!GWz!%FQ0iYt$~ zdY&Ia{}&F{Y`A>F>E*>4^P|}9ogES9jSqEixL>GHXUQCGPW^R0dxK4K%=v=>b(Z?y zo6jYeFpJ_VeH7?6EyII}dH>q_eR6S<-}P?%w$t?|d&?kgqex!sfXDf_wYcT`X516g zo$c))88gt+&(5`n=norVetGeTnK`1try9Q(=HnK1~H)w_}5 zX~Ef8sAS&9+kZgXgQ*IVd#86W;w}<~Z(>k*R3*SkZpV&{}uL>ldyWOn6`0tLQNL9fk@};`< z+#A4(PGQ^w)O*6T>9WGn7`Vwzp~7~Qauc_(3N|8Z-t>#Os1RH{ydu4doTUv>@3DBrYVVWXMd(2`(7<7ZWkbpB zH-C%Nw)y)?v|FL9IE@u(BSrk7jCPnU;xJ;6@;b$}!lIrKkOU)te~Qi$hFCrT+2d&iMF5olrW(D_%00V(^(2JPoce$^Q24pp_+YIG|;v94QX{ zFSi?*d0W;ol4X~;VI0c3eM>|5?gL=)rE1ZM+4wSH{p71NC-);q2D(lEJw!7Ms4!VZ zy?sC|?{RObmzyb+@9PvE0LIXtyV2Se#mL5+vRvlXzYLrnIXO^Tf>SCM8SbsfMny$o z2KcqTCpkgP_9Kt4Z0Vnk!B1!uv%n6%PrMB>JzEuIVtQlk22P2Y74zY3G4J!cY=m_~ zvn>H9npu({G%YMHBAK7f52X@Tm1O!)Qh}a8C{@~SMxMQHkvQLePa{ZXDIVSRk8k|SN@r)9&t+}58N*OBKPqfV=9&KIAJAxn=Re&rnQIHq;xsG1 z#Rx4axUCbEUj7e+h)ty6Wg`df+h6CeBe+-Ov?ecq6jA8S3=XP^a9?=$_<-y;Jv|LG z1;`jeCk*z_*^F>oz|_Xu9QXpqN#DDO$RcLdF9#DLr=FJPkLMGUEQ(EpVVmC7(W z02zHuXMspU4IQ&CW3K~k&K4sn!M=TG;B%u}!b#s@)HCC&gE~Kqu3e}zhQYI>+x3os zCZiCV((Xbx1siePpRZeunMI@=y0gDWP-d(C+tBaDk4sXgY=@Vf9-ZB8Zs_<&#fyQQA`lN) zK}~E<`PVS$lctLF_-$=%2}Fv_t*=@^i`9&%H}8#qEqf?FOnjd#l0F?B@Kbg*9AkdU zFgQ)au?>W8;MlyLgBfv8o!E&kXF%dCl*~Z%!VpozifjX9W_+OTsD7LU%RuGDH_Q)x zKJeG^F(1H|I!U9kq3B%zvvyeVhoTzp28;?ay^!_zATJkcLxbHPRP%@BCV(y}*lrG$ z7)yfG>9XYvLhbK=^B^1)I=#<2Pa3xz5hg;-m6l@}sa^nJU3+|0dxWrj&Udha2_NxT z{orsv`(;%Um7ml0v0OEpBk*^{3qowvuTz(c3C5z2nJBhbzy_UKIo_G?Uqthr{O@cs z9`Zl$qu0Cu_P(o-+e#XRA08SiKp6&F^v8fb$ugTMQB_q{z5x(E`HjG5xJk1-kY=pR z80a}sZcg1Z7<_Y1djTe!8)xh@^asac>lt%% zm%zSR1!JZr+#C$U#3P?wUY3i$1YyT9_{+7agoQ3xV{oQO*;@a!zMHfbdgKGb66^c6 z&G(L0?)dolfGg@r5)rdY$U1ov+0B%xcyR!znw9aS^p7N*^8o@SFkHD5el`J`xjOyX z1fSjc0z6*%kIf?^4$$bRc@?rXvL9v97U+CllX*J&5DRp&TZtKU;!xX2P-}xun5&s9 z#>6C(aqV8iCcThQ{1apE6Z2v8QSONF@SJ3G@~h=EpCzxDi5HOrkMq?0@O;24WGjn* z*$dhnAV^hxKQ84|v58>2-nEP#sC;!d*QDnNsXE{;&41}9C+eK6)@I}$J{0+@L{FE@ z|AN4L@z0+jsN-N2SMa}meGwt;(cH!oPJXQ*>b??63X^>XLsF0QH>g+8#-ydCHxld) z*0gi!-g{A<9jqsSa|3MZS&7;IhwR$;Q+c0Z9`wR?ZGHKpB}X>xefEm)N$C{fIs@7$^pX*S=o_HT0)8Li6!{~-%O;kuUN;o$+; z_X4EnaxQhnWC?ovL6EPSo24oy`sRE`72vQu{;a?FIlP++^b z|IQa5k6M9NzL?uC5@j)-Z0lfnF2T_S{tFZk0virM7h4MvOyVJEWg}!U>+RntS;5_V_(AZEZA1 zPvGJY-VsoQbpY4hWuDp$0df4_Nt0eO4#epysx!zW%hS z2Edj=66x5iFZ18VZ@&HYE++tn&BT2*%t`Y;`mZ>N#HLFkfo1V43-X;tb&i0e^s1~W zEKvI>5&wgyD}WoyqG9W&!M67-G@V4?hDWs;)i)v5^^H=plMD z_P+&pj6tFY>kBGbDB7L&_V%~$-cjKNf`qgZ{d5Qg zp@KlZ8W9~`30sfv^suy}<9?EDqeb=P_vd@vF|6(B8hlPBQuX-dxbch(k07qFxVU)G zfG)nJ=~p7eUL2hP#M_cv-?cQSJNdU~%(tJn!f zt_Hw|8hri%9cjmn>vJ8`t*?)+HCOy&XXq486Mc~beQA<20|Nt-lV4TSBtTCC<5`SC zKl<8+t$chO>(Uq^XyPshcP}Fur#|4ChyL{J?2o2M_3-eD-8v6%|lq^AngYMrMMl9%Z!LfHP`i9szXC{kwSfj1?T^ci5|i~i)HXfCP2*hbf?ZP-UQ50A66 zGux@UxPj7lZ*10Cj>%DH(f=Lj(Xdnzhn$jUuGzSSK*YPEJEJ)#&8`!wS$(VaJov&@~B#-(Up;lV0qNU!SR-v0eWm z8PrrF{^MBt^3r=-&13%q0qw=bKn#Bb?LAU@55emQE{HeyzMvAE_MM+RK6Wkp2!b6D z&kyaUNRKs9mp3DWRfeu~9YF&doF;bznym|jMEW)RJlRqF$J+;n8i(EZ_STAV!m+NH z(%FdO!Gbg{&|)LLt(+v(;Cb@8yskw(s_&yL;Iw}szk--eg?-!>OXngRjqc!iuBe0wsVdOb0b1=atK=6?XeLnZ zGKt&6I4d{rr3~wUss);@F&bbk+Fa_8R)D5;6=0@p&F=ho3FCI_rw8wbkqj9kGRKV6 zEYf5gExat!*4EaE!^{mzn5TRDU5i^Ugek>P&%Kfwr(HLbOSp{dwvUHq_T^kgXs`Ab zR6Vk$Y042bx1cJ-TJwl5om!8H9k#*OhaAnPM(Y&>xpQe1C2V=AyIkintC&?kipUqTo~bXgE&K&Mi$lhxBn+_O99(%p z^CQM63ATr~O+WAL4Yv!?;aZ>;wojVv?;rA=>VDskZ$qJx8^xvD>&{E@u~t<>Q@tE5 zhg^#=Ky=?1AIuUEUGfq}Q)SQOUcSuhHnRlGymc*|S^r+j_Yo1gm%*7UyMXY*1+0FA zascD;KALigpcOBemjAAEp~W76Ubk6OU<(A25>jQ=ldps(fl?~q+zr&cL6J4BZsfSV za4t#My&Z$f(bn7B`}3zuPb{0he;L`GV$tjJz+=x_E717fG$)MfKQ7P;mI)lQ3=0i4 z1eOOWn=fy?YuA|5sou=~B_8Fs6j=-a4;SQQL&yUuxE-IIG=OCQ3Shh&4&QiP+4auf zj#RAE5fM{mUWE~3$?Mr<@;KwKbljQEo6#`$gUFxW*Wm61>eugwPLf&&e(rtxZ+h%N+5q%Y_oTrckd4;!o+@yWUks#< zMNb}l=!GMGItmRHJ}nc*E+$6fMBUhuGL`G5 zFJEj_V5|%tVgPAsi|yq(pqSMPzxs89lG0Luanx#hQD~NTx$4mFL?Sbc4}ZxOwJcEV&7$C!YdVJe72idTA5eN^wUIuEl3s{*;iwa zjTN%|IM}0QIF2ulX5QgZ5EBtemp5Ard9X@hX@y2cMgnhv#@hgs3I-H59^MySj%eTa z`rt(kb2%*asT+~;SLbQ<7aM(z-sMSDax@n0O5mo;kAx}E-6MM`T+okRPR!?kizcP= zuj`@ls)(7W*pAr`}GF(4#l(C7xev{boo8_LbyhNI~-2qQx7KuOehiijzukCXMn;rPn=^4!e<6|nIW z1%~>A9|l>3kK#FMeXhK<-{uvPmkYn=M-*cr4=$P$Z3^pwc1We$-V$I)wWj3L-}Nq0 z@s5M{LWHmv60c3Ey;-@*hN(yroKobSOi2Cn_H)wczaT;YzklMn^Kcj)7U9!N8SdakJ%1~MGqk+OL60dOnG;2uN6>x&k-P_Z{NHb?1rAa znuEQ-DU0iS2AHI|!jH=)J>F!k*sylE^yvd)bja0+cpjJbt44OOMcH-c`4GPy98y;O zMzhv)sLGJ|MBZuI48#GJnC{L_x%+~HJ{!$`XzlNBGU^T1yE!=9D*eDBLAZd1==%cO znZ;zX7Dme2ar9fOksN#{f5G+(o{2&4#Fx{fu4wGx0a)crYAy=GuhJg6Kb+(0s(2R| zh>4EAtuSFGA}R`&bk2TSkzwsFggPDlGR%cge3xmF1xcZmDdD)H1~_AV{hq^;l3-X} zVkD*h-H;qYjq*j$5wntldGxwJ?%3h6dZk!_Z+IA%fL~VI7%5}tw6Q^k=4ZtV)rtwj zJhfZlnSD_=XO$4YasE}hR){ySIRKa*?(RB8y2$Y9YXyJef;5ei=NTM>i!7~(2G;Yfw%uLN6y55hiVc6_cbj>Zs#gY7Irug*7c<9;2b$nuAee^F{{+3gw$}4Ux9j*+uS_@&JqpvLCNX)5bCUC?( zgTfrj%;DCwT_hJ4kd2RBkU9;8&>0+3}UKnW;o<&GMO zSsl08qf(2&sV$3C!!kUwzQ7;ov8>5L?R?C&8icfCo)1X#?? zTo{(=O8>;C$N;rmv~XH+{W8;smZ!!Ze=DI3${s~pU?@?W5v^q*ddtzU2x1|!DEfP= zelNT&%-KFFj~uYw*<1*B4@8Z@i@X5K&>iA!jahrP*K@mI%0cr=R+3(uxmIZT?RD zbpa&Z$(B}mVj#i5uFGFZj{rZ?`69H%$PZ$K~DG>uPKV%kipMnAO7`S%;JuA@?0yU;tZWNDbG^MW;I%xqWdT*1UTs0?@`ScALz`S&<4oqbYu4(??t{zJ zmrWO!XIo}A3e_NaDbEQMq9j$gpR?RlrZ?V)zMuaFSrzgARRJuBZsfNh{ZdV{teuX+~zxe&M9NEZZ0gGtJO~d+v!oXI??$L=YUqmhH z*kvt*taMMzOZ0y}T;$u8zIsZVAj*B{RpZt|<+sI*o1fZIpE>9EZB~3HCiLwL_BdPf zBx9nh{YqJ^Vuq6ahF|)TJO{nbW8$93#(R)*qoYd$Qc|EEP#!)ih(fc!H8W$vQ)Kit z-rRgG5!(ArXe#B@6NB&#q`w-4;vmkh>AAbPLDW=9bhCgW{%>@mR!0V#dByYZ^1AW@qL)RR^Y-@k zw{G160H#iMXSe}A^k}D(4uXH>P&FVV$4td(?8-Vi$UIxEVqk*A*|*kKg$3=BCl`u zV;S}wE*0FP0T(Zpd!D{bJM(g z98NawG^+$z=(Vz(fE&LIkOV0l!;o^LN&>#MbFDNTlQE+&f0>e!lD&`^+(BvPTQNM4 z`(XPE2fHiT4$Bd|6N+=&8ti@Uo9q2i46Bk&fC#}k1zLs-6D}0at$hj<8YXTU`7&-Y z23nIU4|J*bAOAApmVV^(5zvIx4p-@-S@2hqRK`iV96Gt0Qin?u7b zSxPet`+4}kD;9hxYaRKo8sc9@E1=(C(|Dsl4b8vel^TAN%net|L8<;^2@ilIfbkj|;315S3d#=XeR+uvevAwZE0*~h*#e=raE6hWTXLmJDM%0Yc}8Tz$jk&Z83Eb47UBg9nD3 zC~tXQ<9P@I($#ohUz<^Pf2_6>YXJHt!i-?!I(^BgR0O5q`(K89(~w7&4QqN;iyU)~;tasNG{&ohkkD|M>vh*`>@M25cU@N!!^(HXUENRw_LVoTYzIS;jbzt zw0s&LA8)!m4QbR%y*o&K*rws478)E(!DE78dl=Bttb$E!OI6$CY{I?jpF(qt6bSF5>csAy=b2IPEZ*Ys>SS1mFATFmp# z`O~}n;YBmz;BbdX^_?mI_IJMg?M$`XoQrJF+YcWzeEi^kIf!*XX{IIbP zR)IZIW>9Hl8lkrKq{Lct`ns`QE>OOcb$>MRncLr~ufh|qPR7{~M%5UIds3MdAHdlH z?h@Mr_H!WOye`{XqbJ_9!9zD9PXKhP14?cbAGrHBw=wap=*?`Eoi3Wn zg}$b`>iDJ7qqsI>jqFhC$&`3l?`nr@O4_wsX%Y(82XTq*U=zahu zJ|AoI=JPr|Gk0buVruFlp?zrbpCqhcZN_35Gy%=qDx1Jo=@}g_Q}G`D&V^97EL<~! z3=7`(Jx-xH%df4vw{m3*t$bJsHcnl}<^P3-L#mNaTG8WnVU zHZxw)PyW%n<)n^Hm&e;<_AoeS1#uE|?31^5cW%58_c;y_W&S`8u4RH2h!S)M0Yz0x z71MKg6{n&=Vu!65&jA)kjhI-0G`8i>V&&D|ShiL+CzNw!u#o@NWd;2oMlKahks)VdD1p?otVJ*!|DmW)MAvJ9mHF+LVZA%bHV_THHB2v>C|> zE3Oy@OOO}`bgp_!b;UaFR;bn$dq`cwMX^A8)Livy!odSnxAPNlxJ&AH-*=n64+^Sr zSPnm(aOBiM*9)^b^*uiLX$<1daGrW5IHJojsuCg)Q6G1z?Agu*xZv;a4>3$_L87R>iW;3V zhIrt{ROd2W3$|65g}G{}BQ`5yJ^dDNp$w z4q@{*v-xMn2(olA10KMaI{ydtI4fAC=DnoE6YyYh zN-*OG)1}dhtB^kK3YHYMe9qqCGtsAikhe7Dv3@_9sdEZp7wOD60cX)%OzKDAYV!Mv za4i98(67P5E=d^0(z?$kjP{=EH#miCUblZ_Ws0i7Lwtu`dSJ)t=5#N zeY*b^T+lUV-XF1o>l1m{kOz@vO%k+XG1vUA0qX0jB>=U|%uH=nWb9`b69<{Ui8qX; zigb3|DR7$3U;DBTc8t82@^Auh(uE;^YQ9jsQ9)E>Yu0~&>D}y$!uQ?*s43E15{et8 zTsaI)uLkcJ_^A0Ud0U{wmerHuVq#_szUh{_VDC-uCtDV;(`kZ-UvsZ6@0G@+ww&n> z>61oJHz_Fuw*S$LPg=uac>*vL0}j$3=$2n(_}0v(z+*09dx~$~f~2jkX@+Z?L8>G0 zH5V5b;^N}CH`yJ*Y~Ju-aajQG8qz#D+>4*9r(cJsYXAI+%8y>VOW1^D^7xE^+MZP-1(UkZS+Pws$)Fyr6g zIlsF~>ljKo^BEYYRvNhFUm-lqIn?&t7EO7IV0!8p5OqNf%zB&yk(DeP&g6RL$p@rC zzv$O0!7a{s{{5qv*9g2^HZqHuMRTB7(EaX2)%pS= z-)UTc20uvbl08g(R0*dseN7XV>GDPiH@(E^3ga*iaI`B|O zvlkxkHi~2(FZ9AtgA0im_4N<4TSV=<;-8JLrx5r0KSKqOzh0D;nq}UZ9Zj*-v zp-OYkonDPr5{*q zfXFVh0c|`WDjR(54FFS7LdbM{yyLJJfP7GIve_SfZ&@A5ZT}wW6_T~|!uCY)U1R3j z2}fDnmm5N_9a&Us0SeGU4!kI#_o@>5FI)vTIOy)*aXPkVlCaYBN z&U|N#6F3=$5Oqi!ctS>6egE(}w|2n|y>$?Wf~d+r&H`aC=Cvg(?N|E>~NgYHEM+d%$!f*MK_4TlOZQ9Ji;kTR8 zMf%917TJ=z1eK8WERm!;r+Nmu(W3cW)?#aGE1345?+78d0)0F{y6yQF+-FUqwtuB* zMe3cchaQ5kyxwI34%-v5xFF-Bzmsy0^C=SHYK1W;q$<74;m0AYC1`^pPK=X<_a%#p zX?F*yGjV2g$nkZP0?iLhH?FX(nrJurDVC3~!yZP)-L9kgh&x$OPIgJtoX9U{16^M5 zcXH5_4H!}q4I1s6V}%JeM-{Q>hbUc^H9c_iD;H=r@Kx{i&(%zCgjm%+<96Y!_?#^z z*=qEvrAwhmWLoWm#xDKQcjIDru{S?1of~XMVc2$(AT)0C`#Mb~E&g>%w5+m3*@dH| z;E%MKM=SxW@477-@O?X+yDp}jB7(6FZ_FNbjQEA;?vsx5&M+#uu?Y50?WVlU#Kgqq z&>m_NWLQw>J#Nw1fl&r6V<-ZCPDcR{-%S3x1MA`m=ibkefh#aq=Y2obU$CW-kwt>f zHliKS0?s^!`#ng)ie7?fGWH`tKNZfKy`x#Ue_v}J9|f=HvE5pX+8sy8Op!_E;J}kz zugkU(lgaskE)hfefQ!yWtb~BpEbB}8Zfb!Iz+>HCd%sb%Eeaw*1IdX0X&>O=_)V%R zoqrEx8t5&oUp3$)!D+D+X>LELeP<*Ab{~=#su}Qt+GE7Q_6T{qu6!S#QeZYFj$M0q zZ||%9d|=M;ElX^oqWl(!;UtQ=mFIkU?pX;^Qmg_{9-}i)fHqQr@>Q87%#K!$lzPwm zsg2OJ($E6mVtjqltL7uSL|{hgzitOZ9V#>Ll^|sp(=|^oFOf^hv2I^qUl6t6M=$6s z_ifdLi6Xe9s=uR*C4kcb^3CZ%f0A&-h~2xJHlOtTiaP(~cYrVr(Wd1x;)VI9s|#)? z)|FvE&8v6rdLHu#vVAtFCT&u$bi;$6)=?}EPCNt=v)MK?!0605d`FpKJwr+K5n*<% zn5tlQ%*k-&gZBl<0GEKpV3M5-$zSV5LCKj zj6EGwg!+iPUqDbb3*q`9oRvWLdgPnj7$#i#F3pB);{Ff34>CeUTr?4U{&f?6qPbPz zsevecI^?`M{IH{pXCUsO+5zi4az1I2NLQulW0F1{C8ZH}ABD`!$Vki=)OS(oI^m~O zfc-y}JTSWT&7TcYzEii>D$uPEt zCNW-Tl1x7`gzqn8JDsf0Zdtjz^j)zNnf%;D_rl1!$wY4t#8AF?sG2b?IvY#hpYP>l z73UVu{lrK<l&>H*en}6DEo;xxik%^@m`rTTXj&h{BR^HK6{2oJNf`3$(zw zspJMJk_9yl<3?_@)#5K2d@1>N$gh6GweBCE9$>94#?&%n|ArVog!yPCJnuF%4#w_5 zxk1kw0ws{);rs1zZ;;_YZ#Gaa>)EA?jL9Ehv!fiQgD_-$00kT2kK@r)=k*_dk-^SS zlK9U`={qxZ&LPdALDLNwV#}gCFY`xTv(Cp>CYSQN?k8Oooow}HWK_AsqWSGH*M5hq zE4(&wWEnOa8XAHfq=YOgT*;RX6oa2Tbjb+?Mk(gHjf|i?Y{XLAQyTAa}--m{NVNnBroPz(k zVU3-19BTN%t(&)11=$f!6A(`z131-z3@OFd)||f#R>#V^iaovZEBAs+%D3sFF zdC?1@CIz3ovI|Y@G=x<6{m-UmMqtcnOATXvG!4`ERn5TJKq-qIA@}swi&1A5)|ZY4Gua_X8&Ruhe%P#c5#hFt^xo9 z!VZwYr42r0^VMy$G%7oPvLKbcuW^h)Ev2c5)yrWLgh0)Jrvl?@|@Db`gSBQ+z)0;4}4flt# zh7?!eo@|s4a*GbekL__^^M1&q(quV{07yJ`2?2i{O2ho2TpeNuF;0CP+mJW&r_|Wu*D@diTa4Sm@+OB-YHMjh>C!zh^8M>IgnW*Ido2Zt= zx>zZ2&Kt)_ducuqGuq0qz`IjzI)%pJuWtpWOAM2i){pDf82*ptLwddbKWXwE+z6o$ zkc69VxdyQmsP|f-#9m_3M6mTc+)R(Yz7mlTfeHmAYJAAg*~jlFiDe(=yGvp9*^?Oj zM61wkQ!uW>1}8SBg<@x{%x2G0UQ?9{M%XA8)=o0ybwP2AZGhmF3Nz6&fW@nRy#rT0 z*ZaqHhj!(f(oEosgEkGUQ_$*?Ct$J>&GPR-x*nrYUZVbz~u3 zS=371_j@l13@(cmbU#zG-$xu}M{$kp)btpd$+1-LI;X3p>!9TC0|NsRzl0A82Y@rn9FwiNBHd{t;NU-mR>xIJLv5s9UWD;bSXx<=C#?ysNn% zrzR|72)1vsPyK`u6F{DEWLzFeRRnE5*<8x8YhncWWFR>}0NI=!*&BAj4Oe6{s4 zZ^HdHI8=?yOlD3Ax6WUIg%?J%0$NIwI%8MJtB_?mklLx@a9du;B%!W3$@x<#B_!kt zatW}yS98TzsKeVJCCXlTx63xeTFMP$`F-6mZ;tGj%e4)o(c4j9FvOKU$4A@*@ux#Z?ASr!hMr*wu4+g&Q~NQA?Ud{(0$LIz&jxtm5RB6MQj)ou_3gP% zz0LK}scz@qWiQ3#LQ!&}`rlxQ7}XlcJ~Sp|&6#PX_z~xZ|JI1STi~((@y`#5lyD3r z=!gKjt45SPp$c_NdM8s3A8r;GDD)6zAxPcX4vU+za{ z36zA+HCY#^WVU#Z|Lu;=exWQEu=}1Cy~*5935x(8Mn?%~=2pKK|#&$qX? z;ZBMG{~Nf88{lxhi@}z@xbb>x1RWQBL+$So0@NFDSBZOmeFaYjG_JtM6nHA@`nG)i zzB9(neCfi%`!ViSDEu1p9*JdWuT(^xbALzX&5XnifPWU$u0ut1`BP!Ls~m-Ll~}BI z$O?3hdjan0DNz?CY~q{`^rwnmIBGI)y(u7&Tpp-Ts@gXGfdWag-3PH6p->=eO4+>? zeN^W!>(ANSk!?cG$6@a7yYNK?C-GXd&ApU4W-m{6dpP`?VtMXfa4tA_S^pR$9QrAQ zzjz$l@PWPD3>lE6j`{`KKBA9}6Lwy~6pR8i=IR%olC#4NcmkmCXKg!>^&Vwaioq-L z^J}-*C=z;6A6bEO0e?bBim(aZ%mJZ<;dkgYpG6zvc77-_k68K6GD2zRW)@X}V=@c! zR3A^vvaS*7^-xr8{|%vfU_fFK)R!Y|DM5Qh>b8)@$15p{!or@!BeG#ScX=k+WT{iZ zTcURiSw09>q&v7DvzI+Z!~4@5hA9?R9sxoIUNq_r0SMteHUR;h3GW(%E)%%zNX zeCQ^XZ-?j&w`b5v*77%&yGCZ?rA=0d*8h{*x&7ErK;B%M%q8ZYx}*aKZfbuN*!lcG z7zk=>CJSM>#n#r=9R33gN<%_P(ylT>|5AEPa9bk)t^sf{fvo!##2A62@8#Lr@;tW= z!VeHGUEcRU>SB|BDSAkNf*E1sum1-H*=mV`ql9=gL*zG4L6MB6s8l35G;EIDHvY_^8 z^zSsrs_2KzTBU>_a^Zg)U9K}fJ{R3VeCL~BJ^}!gO3-rFK@^o{*S25mH=MP%D3#JQ z!M^O`8o%B5F;Cc8a#X~A$OT`Sjq^sy(Kp0@hI6l7pktloUoSQN4qeeGPD+l`ymwnv zbJdaXG%?3^h@Uh8JkcNCKHnrSRJy@F3SQvDtqHw;pAb~=;C!=faf6NSptYLz*>E*N zF~EKev+f$&KeuH#p4o9v-`U}jl3s&QYWq!7+@X?uFkl)O*aZ5Fa9u@8$-DmjJD^sg zIrqIg4UoB|y@0wkH}_e00dBM=jxR!;;;ggORXutV2peJRo+EP$JVg(3dNnsh-_`je z|NmYzP|ounmpbCBfa4i@fm&`A>|Zd-=lbEIZ!HyTq-`6eZ3y4K?F3@@&PV-!zb9R5 zEfS0?Mtb~rb)&P$@AzFTH)gs3E7Rk=XBd;j5t={iKJ#uZ{)X$mLD`M!3*UJ-Di%al z#(%zcLe71$1tthrl77DB<_&}Cpw%?yoBPi^9eahDW3t@{6Qij4O#$qQIl<%zRdAUs zfd}awa%{Bw8qhJZg7KmEE}4pTj=HBhcjR{f!vY2$_=vy1mVeUaGJ#ZZIRwj^nN8)t z-q1iKUEGr=p8s{F7y6s5qM=zQ6kRNZRGD)|Ab_f0n zRD7l7Av7GI9dc5|0BC9F16EB@AzU_4U$*=C82g?|rAHRk5&1aHVCkfvjXadSVs>BY zle>8{CUCjRmcmwNxdf?R$)vGoE>w{@8jIDj@f~jpm~$Dmo(h<*-o%x{fCZd>ybZpO@i^G5H z@9QZPL4e~4^n#yv~xJ~d84(F6j71=SNo#6 z2W8a+cU&D8ldub%CAUxdg0+KVN1MaEjycmQnl#zMwl5llJ8S59CsraAV203yzq-M+ z?W_9hm9*Z`P{q?+=^xF@Qk#iN!!Nd+Q8lnf(Q3Ly=4*ow`N1B-3m>>_=DKy!nEvMEt_T=xw@cP z`tM?Hp&1^Vn5=j76UG46-r0lk4@{i~{So0h-nYj_bBb;}0EgSGOz+_sKjP+3PFenb zYQnnqauCt|*Ye;JR75w~?{9siRYgSkq3Kah){i>0SdQ&1IS9dOA3^Hr{ zKWdlm^>dH`l>x4WkCnq(E#-wcp<2Juj~gKg0ZL9Kubbk6`KT-&_v$HU*yYvbD~+@( zuB^z7k<#Y=7w|Rj1Jf0KgieSNz}xa)I70HVR$?%Au6U;FmnzMo$2o%!%kZ~(H~5$@ z0Fgtf4ILHvoIwa&Xeg;%;^~^P7P&DK&E>_CNY15#4KHJb@bleC6g|s3E$X~vTzgSh zybdv8$DmM}YW|b|hl6Yu=Q~ilonPGHi_z!rLQHQ~VzM&o{}i1|1uET8tkY%crZlhE z7wK+8FY469L^cT(>t<*YYs$|Nh9|*Oalh(3F${2&cEDb`1?n|vhmmwZ%FG35zF9dz zua;?;vYf4jf1|PmJ3F_7w6@D&R*n%8C2>7204=XLKpsT#|I3}kqF4UJhmUbynK@r> zI}JmAXGOc!7mE+srSSCO5ZunIKl*^a(7aq;fOLgg@5-#-`tQ=g4`vUxuSO z$QUL;jN^cbIWCNiE ziMwxk{g&olf!&a1!|WmZ1D1nJe7= zYmD{^)1m1VO}3CV!hHhTkh!Jtz2~Z5Kh9@zO(=|!c+A>Jcr0Zv0X((fmPmP{$5nsX zR1ZP1qiHSD4|X#7B)L(Nv*(iy(AXwH=2$ z&SavbP+$RVgy0noC{fbxxq>@qR;y@d4Of)ezlin*P;x5|TW6bXZ5JfAOh0;uI(A*A z$~~4Y7n?)e#M|(&EX4O~tb9tnyjbzsH+`5J1lz&n$DoLE6qd9WLFGnmW$dF8#4psq z)5!IjFh|~aFL-xRq@uZq#L8;m2sC z*g?Or;WqRb{jC`8^&!7;ja{cDbbK3r{YFK$nn~S0;#r^bUuq<-k!0%L7|7>ZE$*=QTXK1Ea=0xdHVqW z9B1qKZd9MoFkXN=Z0LqKh?9jvXazKYEr`*ob4=5CS?F#p`(B(BT(d!tg7*V)|d zDR;Z)tZv(8B7EjMr8`W_(@9MS*9xKg=r`2nF0Zd`R<8eOE>(H(m2zg2aUAJqPZne= zZkLqtl%bFE-P z6hK6P*vcvv>%@<-MtO4-6%`>*pX5=6s? zZBIOpV!2S7<>xTz|IpX8h<3r?XY-|?rA@GH0{ssnlfFf<oVom6Me>!C6=1qmy?gG^eQuJ;;$h+scWJNtA|?QY6<-Mi4es!H%bor-rO6Mio7h^FBOOmU~y zf_H+uf;l#Uq=k{crc75?7p~g^5T$~l3}q7h*$NGgfyC%62lS>Wj20FgOcI0k&V-xU zBDxNLmfjQsPhg(<^iWh(DQG}3ZE#@$PFIgWw#W3x39XR~@6=*y%MVh%X}#g7U>NY( zgFe*v%djWq?CK-Imchi$P z>05X`{lMHMCMNDMqXucPQgwog26R2wXA_Ztj5;mTOH^7<&#l0HQ|1v2cuwc6#g%FC zP13bl!dDixu$hKc(>cO57fr8A6(c``O5WMs1+H%y0`3d-VY2MJO$$Z+rk3vUOX^+ zpMOH;;A5RzJ{y|Z*KqY6yujG7ql$BXcc#WtS@ktxJ3+1rJGh-CX?RUl);mKXUcDLK z9eBrF(0E^`T5duN0He4|lqXsU1M0nmU_{U~ySm!#=HK=}{I)W!CVGbp&-&Ni9`T=A zn}Fyjdr@N#RbTOgEHL^(WPq9E=SPoKDb4XOm7x<8<;4DYxjk7}F7E(uI|Ou@$_BXM zeFB{1fUNwd;+2rKD-1<3R*FepurolgQO^VN040NjkXNlZ=cdXOKJzM_AhjppZK z4Ya!Y`sr21_4n?esk5g{gDgPzh3px0>#)d!m^yc!)WQA&HQJ6qHdSF^p%+?*l&vAW z$1W~BGMJMDvv^)FIk<0=E=b!C5fyrZa9{|Gw95s%F!ldOZe7x_*!AbsUs+Dm9*Wmfmdc%&vt z+Br115Dbc8dIR^Z>?7CWeTet`a-Z_k3pvIVDxC&09abs|m#AwPIa*e>-)@`YeWFW3 zpe>a_(FXDeC{Z%3nsyg7fJa6g2i`DCd7=GdW@c76+o1GUj25bbE;Z;S2s4m_2druV z{jA|w&Z_T4LHpiVV&W#jysge4_j#8aHjmn4#7tDBkYctdXgMCB5OhhM?Es~1%!EQP%>*m-d4z69?d|* z$-Zy#E`(;T9_p3gQ6F{mYqu~Tz%eJ){dN}(+Kor26jymQRvv;U2#L$a;PXx|N*?QT z1f=48Gir$tP#U>eM~GO2;F^0}$*s8os=`K$_Q@i~%)vpS*Uh;G91?Wm1nhrf?mU8eIG>|3t^~TK2Me%CL+Rgd9^Xkc&(EOK8qtfoGsH}C zND08#&^JU0FdkC>^2MBk^pxD?vDoaB!?k3$;Hp;cwbzRe^UNm@?asr>JV@# z>O~TKASNMdDF>mV0HlXN=AMxG5Kn}MXypfUYw4AEA*6ZWHx1tU$MpA!cTus`mc)p< z>Vm-R-|aOdlHx9tXmV(tggifxITGi;hlh2x{e7lre^P56^}F)wVt}^{Sd5=C`Q3ke;bkTrzd1Mr$j$?O z=)2uNebmn973?8vn8zjCVakck^#2n5%H~1;;NchZy2>^Fk}{O8q*>=<+uTM=6#P*v z9;)E*kVJ3b)3*Z;MSHs#LlV$+@>;KL>g2xTFOn)Tf*KsCul2yWK?L?1zDnL^AUsQ# z68V3-V*bvbC0e0X7&-g@DN6V1-)?`BMFOIKQ|BQn6_?Nt5$P}};bnvZW#KBG-XTaA z(2vBny6uS}sNL!`&Y-jNZ4zBHK>t=ycAL1Dv9U2kHQN2hQZ2MsK7+1K=upJ9YM?mR z_`ws+UT*7s3%Zd<*c+D#Xlj_5n5ypOm&uT_@c$_g>*ad`f*xYim8q%UFfyN()DiI< z;>r1sphA0Vt8w6^ec4^CX!L6iU?Tk>2ZxH>c?C8+J&vB_2l{LJ7jFaz6)vQ+2W1q{ z13CCi>aem_s&p7Tn;s~nFoVY7UG@N!`i_ozdJAvjBi?Nyszu-kV!&J0^&b?;_kx?U z<6(L}Z2@5c+gUfbL0DWLxA=)YPHL2 zi_iC7uDIxmR#NJdwk(xFjAz+nR4l+uKX$!Mxm*R|)vwq4Z+d&d^O~2QUQ*f1xSRDE z8(y)*rRb^~L`73DZ!4w+?k!2cViWBZj;)OhM+K~2WdnE<+JQj34``(`Gxs8G^-%Ri zeoV;ag(;wm+BtlV-XBEPhcg~y)L3@%{dx<=3Z1mh+z?8@w>P%8pK?b)Y?j-hC?8C# ztzFD_>>k7^L-|pF%af|_!F!y!_qEg;UK~oAq@DkiOm;!-e`^}$ZvCv|qM}oB&NA6~ zaC9U9TE|XA?KqpDF<+G+^gixbN$g|n(1w_vV~;o62(A)U9eg^ck|)<9GY7uoUQ zp)&51`|kC5&7bhg@Ea0_r@C>tI60Nb-txdlJ%Xl2oJCPqcU;AD@UDLjZYOyclk*XD zU&2g7um zfccD8IMo!b8AO)z*(1&&ou$Q!3%F$;A3=Z%K|fr{Q}L{LdUOr=uW(9VW2`TO?OJ~5 z;p=Q`zX%M$&gpTWLDX?!D161>lanA`dVw`dwc*uL*eBqp4yOjC_HHb}$LO(iL9DLY z;nf1yShJv~#n1o9^b6S(mgz$x!*ypA*$eGa4If|^z)Z8B^m$(C={}(-i8o+S6UM;% z1P2)zZ$v=u{9hCA+#&L{lIY?>9KPQ3c?B{Al zb}N=-DfArREd9_VYO-V`F`__A>@(0Rb&s`iAqhTvi zXj;x6ja-8=R1>I+=`SU`$y6Eqb`m!z?+G2mAS&Xkzv9U}u+-9JV@mF+OB(p7W$33v zkN0*6{FC15+3M&+i>MV4-WeMNMz0TzUwLfZbaPEu0dZIKzgynlRj+Ph`^Eb!jbsmN zQCQ80?UxBaO#1zqDh76#>y( z=m}^T3_lA5v(HyS092AbnS|25)@)T3AZkd^w?1L6|R?aDn3gV@E zKxzfBYEkN}8gHG7hWc8AXT4L-2{?ueklZ#&RJ_WJL4(0;i+67*K7+3Q0^FO%doL~B zfoeCfuC*aIO`EU=%K!|rHD~DuP%V_5tv}}U)V)rq(FDqKSm$YC;#w;ay%ZS%Be=+7 z#8EBJerw2SW+)+?LlQ@(=g-0Vb%c_!}`51QYev7+T)b~|TBtUK3@07MkyyGRn z>?Qu@+nO($a?GA`waB^W)`*tart0#&SOWUR{L$iZO!ZFpi>R4sJfT$1WM}i@4nf2J3Y=o|YmUZgSh35L>q-T5c zjf~$aBQ)WZ7l;0mc8i}B2PI*qnThG>GpSWO0Z*F)|H(?w_Y#EH@Evhx8BK<`xhB z0v-$rYgq4@=;*c)82&3L6cl<_fD-x-1_(iY0m~jlHwK`oHi!np0^Z;yNMKRnNuVl- zZAyOjEM%uL?HAofKE0BumM z8+rdEHZ}?Jr5PzF`Ig3B@pv>1fmE$D`V`>u9oyr^7>Qpzc+zVi?{pzp>hkz!p5Nfo z$yF614s;4GF0Qz(_W_>Z6VSkX^;PzVM@N!0%T^cn?56TGCfaG1-0%YieA_Fx5y)Sgv4?qK-uwW%SqUNWfPkJaH&>sq}xaaskhJE|th&1R3_HHPz# zIH6c#RaD&@e|fj^f+DffohR&5-`7?_4uDFoh9Ki;p_Nwq1fiX}rtpyxEr$CP-y)9Z z%%;ABf;c<;@K)0{26QfYWsUqMb#X#8-J0DNM*W&03t6>J4}F^mZRQ>0*e97vt<{p^ zhM4*Xp?Y+5ltte@40A9NkKTJA$*|*?G|}4hUJGXz-V`V@*FgP)sp};S6F?ZkyMWry zpiDs4cFN~_{*-5mS*Sjut07=oza%h0oC?&Puh2E7%;gzmu+_hEbkZ}t5y_q$qJ-!(BL zB*Q{HBa<8onXFIVfyo%sP3hgx!ex)7@u{aidgs6n1O=e3vLC$@F=WU%Y` zQ)BPK4j0q*&!0)iYDN)*9E&FF=d2ropiBl>N57rQJjJaixzyJ!+zfZ58%h7udcFW} zd1M!`w~~JVT~#`1Y?^%4JTJipqmn_9jK9lsYKk=w9of9}WR@|rbZe6k()X}zk1$Eg zq5g4tNI^*naQD>^ZdHL8yn&<3jt8{(+}TKLSI3<$DB5U@L(1)qH+W*GV>HWG3;6OgaXH1 z*%m;WT=xRgx+6M>Fm3>oDh?P`C=d<6D2+ zp1=5vJ-`;X3Tru|L-2@+)jlesn}e`OwHf6g0?78GC+V8Eo8~LeYp8RezmWlA2ej(t z5~z$K)SXE)ccX;SV>CFxnGsdX=>C=TeDU64ZvHjpNP8QJ$@I30guBOk9cMP`i+j`k zm%pG{>gf0^V{cVz$&jaVXU88nOTezvI)CvyBn|Hfd~|s1rNXn|3a9l|!NVhhXRL5J zZ3|`T&-;+s)qG}~?er;((oFEgzrmjyC8EAp>IUsDtf850u*f3S&(m0#Mwt8mgNq%m zQdH*j#OQ!JJfriI{p(Tg;$7He(#E?UMA&H?-8TbZ6BJUZhaaB8WuvdixumBV4a20O zSIvs0QAN~XvlCPHsG};SLyQmu>r9aKRc{J{wRe}2DbxP9HGAEy>e#JM`Ir*taN??8 zdir#|t@a|AB?}A;d@=dy3!Q{^4|nq|B)4E?s|zGvouk7TH`~jJ7f)G-vE7ys7YDv6 z0TB@cqkEm7>x&h(wtaNA;u5zzN>VJl-1D7y4cUymHsJiC1TJ6*15GD9@;0ztP2!42 z6QY*+!Ndd8g*M!`1j4z?FgKRhrY=$##%%7eVdSnj|wl8(YzhOJ=s zd~5hf_;YK8JC7TN=-hVV7Q9c{Cu(++9P33<&1S4Q-Ll|j7ZL4kXc%j*-~~F%$ZXRgBaoiudIQWriw)qcRYk~) za*Z*D>NDb^K<4X#!g6-iKE>q`_n|+uF7FqI%60$%Tg>FtW+exm6sCkegd&a6kY=Ts zX`v@{#jCsCMF;u5k~o;C&cUO-^z!iy(rmA@oyNGl$K*Qc(z4$_o8FE^l!tz#LufN1 zjXsK?XP@)g7uDmSyF3#NE0np;A#Gn`YI`3EBU=p-ya$H%B&UZ#B!)K8KciWuyO;C@ ziwX-_9eEyPf7aoKNAouhay4+PIzUti1{&i#JS4V8b$74C?Z09eJ%)Kb;?^`w2dz#| zOFut!?a&k$#;UQWpN*Kl?{XK^L;>In&f?blKZ}YqD9@qIN;!+44H(+KCB*ZTYou`4 z_0OKe;JnY{f~!p_b04Cbe`oKevA3N<2#`E~mWGkeCVR!M(mOf#{sSgX{{u)s%J{3d z{(DH$W~EL%GiS)nY1`w+d+XMu`|V;t;AlttUWfhnk37yQc1s*3P1|1YJNr?9D5LiC zRtxse*eTVDbiX0vhqq@4$X)ICdh~1h|Ll-bj&9Zd@|psO2sXH3 zJVos< zP6KiZ&LFE{_NBPWEqfduFxY~g6D3I}YgDik5*;JW*Wt{jK9RK)~C(>JD zR&!~^fMG$twEpHBDqd^nZzOZ|&cnwX+}yC-h;E5fR+i~MzyGo_GdnQo*4gp?M(uIB zeSq_1P+lDTgT78+$YLzmFJ)&ykOJ`T)EDIt5!U!_c07@2p{z@1MA+{2Y z6H5FvWlZjQi$l` zDfip3WyJBK7G@fzZrDL_ehRZ6=-Ta?z-$k}&LZC5KS1&Y)HcPvGVx4oOCdSKZ6hR5j)qTtX<~{mq$jRDuT5h(6fDfCm-At=^YQVbCYFf9pF?;QeU^g++AL& zk_Fv7i450-upv;T#?D`29+ravZ95+VmrV1LZbilr_Jy7ZA#{wr5J$~JPPGn>-%N+@ zSfJCfW6lnlF3+D)pRQwjVkwEgyIys8Zza+aeRT;E0Ah6f_8Pz&Edsj2t z2n6v*7H82YP*?uv&JO4@$mDS*0^uIgbO5Y-z~#vwM%Zx;8S(MQm3{GpSe0(fE#|?Z zivF*?2{f%;k^NFmuz42x#HOYuC;~rT%3qz@!vfNgW|W@02+?j4c$eeN;a($6T(U^O zgSjs4PL-Y(Mjh{P6icZLdiqEx+!r*=k6ZN$?;Iiodl4S-3`2mW=sQ-k|Jk~D8k6c0 zbA33{B1`*X1m5*`=`%e;x4zvH%Pv8}`!w``BlFoJpbY^``0qza1MTcs<-eg;7iga>N{@;V_x3XiYbr|7^|%=xDzPE zNoN60Y<|A;SxD!<_cIM9Q%PRlos7(B^YMXPh5dF`2W)#yR*g?Pt4G{NO+7VZ&?13X za4I@l{?m=>u6jBC#A0E^$2?|i)SqMcVWJstIV6c(|o>+Cz*dSS)Xtvwi!3mdfdczme= zbA;tro2St%cLD?&tW+chq^EK--6%D{TAoD8>99MT`^xIvSmVp&j z!%^9BW0ebC5;$KwwY6NS0N*V<`xz>YswT`V!f@*4Z9!{*?|VTa0aqeqH+b}ACjX%( zFl9%qMw)jxAU^|@qeQ7_lvOf6Sde}M+bk8_FZi3^DF17Pr3JdDn!tQInX`};pM&Zc zCT^f}VLwwTqv%9JI%9FuA3(5A-QWa}iG%H)EB?Hm?N!=LQ*^c~^N(MtpG8JU(EMEL z%$ieYuyJrAK(A+6rx!C(!!p6JiHft}tU%QUYp$`2uzGAZ`xa2u<1^_iR zS5#EQ_H`#{IfTb}%3Nrbx`>{EG7M(mQGAX4x-UjP=!L%^0Ux~d7YqGYYT;=9NU}=4 zu>>VEJ)AQ9t0Y|)-{R+&i>HAQ5VOI}s#9{mlF@Vz)>f#=?M{^^R0XvF5*?rB2XT_b z&#M*}U|O0%E^iM^CmwELF?Leat^Y=T-tYU@1S8L>P=`B@_!Y3n5vOaoZdRfm`XfV- zIYWL~00f;0tlE&}f%BR#?n;qJB%jGFc=5C58d;T2_NtZ22D}BFW6$`SFiKYk^!DM+ z0kzYAc{U-uJUn>E@nxkC7I;-GK`Pxn(@cOTq~X6?YN;e5>ApzTDJ3qRof%dW{@m)+ z2CSC)94?AbCl?y;SKlW$pvE3UJaYrri)=~<(JojG~M?a?}VCHg$YT%}TvTxVe zfmwf&h{ZpQfD2l`_oq5QUh+qMyXEOv;*ph=HMhM2sjqKG;E2ZV65kJBQcS6oxqx}D zMTyUREJ~%sKbLwr4|;7#*JPMul%o^ZtDXzbt5rfRpFCPx?%`6639cragT&qml523kT#wF7KYv2*N;STWBfi zUS0Wwr|!m&ZAlX@(Bq)&&YAC;AK1t_ao3AV^)CEyj>kcJi((b(?Kf`lx&uRVjj9 zfn=ClMt6HL8=+3}m^>*5I1KPXF^}tOYL0+lMA=MjoeKMx8QBZtS*#*UBtiX>LfZDq zc5bs}XPHJCttRE_ock3wxLjMxBSk&GN(m0@Y8)a}=-;}RJ7lM&z!hV}U?kXRn<7Sp zzt$T>p_HWl?eJ`(~2Cbx3Z0-#PH@Ljh>QNYz zA=)OG47PwZsHpMqe-OgyqiluU{R}Em$qbgelfEwmlq7B45fz;-8z6>^hAS6kWsF{J zy)ytBYXfwOWO9BgMkiI92%9r&7*CBq7ah1U@s?xD>vYw?tw|*{qU29mf6V7+fXG?$ z`+uQU8u6Vi;S5;dzZ-eI1pT05RDXTFcD|^Rrze+a$12QlTgsyP)A_e03YRps{dblj z)(0?Z4*-gxl@;7m|HD#mTssX2D6LHpy7B{6f=dXV1b_sN4Gd`cK$ZJ$kQr+OlL3EV zAZP~0TkuLf<@3@%!r+Y~qf>&j084uC{{cE)%bTxswmboAwydzB1Z-OLLvkTMGBF_m zmx#i+%X2#NT})Q}ZzWHPlam6~z(dAh9hE zbW~f5vEw#>gB)7U%*vX2uin1Cwbc&0cwl}!I}cGIek+T@-$Min_wL9|*QZ4?(x{ke zh-_!-AHq8u^C)WX@l+Z1xvUF|H@bL)l2HS1+q?gX@}Lv=4!1lsKx5_g$erm&C*aW( z$Loe!-Mz#O!V6*XYF7?~#Bk5k0Af`FgP`J`-5zM&6H{R029B zBs5-%QEI|vPIsBik9g=N%0j4or$hDqpLlXReEBn>kamN1kJmArHcmF7D8qe8+VXE@ zo{chQCI#^yF%~4L{PUl?yP6RgZidg3FR|$FaQVN-MdUg;8VGuP@G~@=1~32=0lU_-s>?EXVshPL~V~Jxz zNO}Um9ay)Rn3#B0ij$HE9kEO8PVezo+mZV1O#xFg*~n|rwgEngScb0>vua~BVq3q!cqU0a)utc2;a**8RyW#x5R+9sIS7gK*wF1V5sRzKzQ zzkBtS_kLHhL#OC0nh&iuPgd)_4ax=;_zG*-FU8Gt@;tL}--!M4*+EvHKW^G2ruN&; zwXdo=gYr~v!Uu{;tv?kr|NOUuTY}9B2pqn&0%8F8rmCtc3VYWt-sY;x<4aBLhe;mN zSNP1DlAFsJu982JAEpmK>BPNpDH(lI4hJJv{N0U6S@X6HG0>VtMqZQ3pGKv9NYnIz zS2T~Jo>7}7PT(ehG>ek;GJmCg66P05i}C}yq^N5KS?}v4o{%djy(sxyc27$S340V} zY~ai)g#K-i@i_O^8p6IKjlamNHV6^YrLXr_R~6SkxE#5zD_ozE3Ldz;L0ilLw>f%@ z6TDJk@o?y1jgxeMlYVOYOE1&34t(jWt3MM7ys?;(5DoGK3JT0xF7z1oh!R*$jLI{6 z@0h)VKm7vFLQPzW%L|R+Wx-I1MN<2|KyG>EF>zgQ2ho!_?AHSiQ@{6gqYtJ*!j$Ub zI@EZukeOcbhl{?~sE4ts%7_xC)>S%ra`ccqnyNJk^ zVIl7I@#8hRROP3ssq^FQn_o+Uk1OLa62K<;u#lp>z_vvDz*W`SQh$#iJ;@w{=ns~S zp3Fc-oS>qfXLfzOa~nFyMfb?a$fHwfv^}VTpcL>62>5$+B{)+8P$<LobyBrUa>!({4n3+5FgFm8}Z>YgFl0x{3ss!M|p_(|!Ar zM^7DU_1Nx1ou{CgA@m7D+aE0{ZziOgOkt=v$xSERZd0q3dwhEco}e4JD?|1`ONz?9 za;GW?4Xk+!B4_&frNO~X$h4fIiWIG-p$p0yH3kYyP@+#sJKP7g+s(?((T>~$?Uf*e+nw~%xn>{57$WdW?yFFZJ3Ks`*8Vqg4io^_>e!)^$Z2V8T z$gl`27S!Lzz=oa>D0l+c#v;{x3O^C{xeVK{Usplsk_Ut9cRY>f(a_H6TmA!6RDHF! zt}Y2aK9oHULS`$m%^VeJDhAh9Cf%8eF=qZI+Y!3de`4|qNMcYwJ2^OTP(6To4D$CY zE9L1Z$oLjPI1ytPeH7mPQ9GLl-3T?)N_Y!!(>}EI)a`NM>4u?b`zuO!?ku+L4@`2) z5qvn%eo92DYX25mT?#q*HBP?EgKOFkDU|ei?LYyYrw-klju~I8QIz1v?~T{khN2CP z1}wgT1X!_3brU1+M-(?%7b*Yus~`4F^6dZjQdnG^N+$rgin;lG-yu#;PJ{c!SzS<2 zms)^P%rwZ@J|`$OF(zzRwK_geL_W*WIlN zq*)Fj7!!j?1)wE=O4c1vJbiW$UBlq{QkILzyCo&{3KH6O=UU2`#;0E;+NnrAeV%}M z>JMq&LPA1-?E%W?iGa38c57a~bX#R<40 zkj)6%@>hc&&^kaAD?y{H-}#a2@sF%Dtu`vc?pPNLrIxqre!k;ZkGcP%+@enHUjRD< z0wt`%^NS1rXTo4|1_tED259t4D^t)|K^S;+VG$S)<>A+uB=H`n~Am-rHeE6WcEUWUwr(VI7rj87&fE}So zCXoIOAWLh%6lGf;ejoDroz=rI1{?ypcV9stCJUE`y6B+;~Nw`Hk5 z8|qhPeO~HB^yoChOdAS9ihEo}fGfK4DyQE2^y}^yOYoD8&dju{CQ?}8F%suPrlG7WV{lKp zx|SRIy~lkcm}E{6pa!qhViZ6i(Cg9m_5ujB_oZD!+v9ag*05;6h0jj~=<@x}OT|#m z($Gb^k~pyXmY$IMMnK{qQhxd^`f!!w%R(U3?hf2x9I&WJwih{~`Slk#t!KDg;^KY) z90z+P#@K=JAjS@}W(T5OP#LpRlOT~c*Jh4lWgpjec2uKWeGawnZTcMdEo(pvA1?<2IYrrGHc>Q9An0bcXtTX&8#55`ZAN?RIayfB+}u zZ#LDeHK=!&@vVI?)Ls%IB0qnB5Mq~jqTe%*2la)cRjQ0Ky4d5}1@$KN0f0#?L1V%! z=!nIz`S0v({JBUhi9DpZ-3tZ4BltP{>rL}Fa4=2ZYghfoqq;)`jwB;kC0B!T9F8hR zrLTY{9ItB)$bs2&z}`EdH-!TQm0~PHtaXHfZW&))Da7BK~BCF zQ0#9%9LNRQ!Ijt09{3-4XF*P2Hj%mPgIC1P#x1G3S{zHGGuV3xE1XIuytZI{dG#oU zn(~zj>Y<_eZ$1*g1Uw2A(=Ff$5)M*k8P&&*av_Dow2)Dp*z^WOx^{MUP>%ryOY?x| z5Bt91^3P3chArivsbRu;!E=Ap{8JTcL`EXmh3|LmLNnOuXDUM$ z_vZhava&u8iX90d2MCW|fA z0RXx*`pJq8fl~MJjJ;=2^fx>D6kaI=M5uvZ=fR-=`V7FSGZ3}G$jq#B?SuTfn_JGp zi(uXIG8_TPi(-UQ3OLj6NK0GjFzdKJJv`UKUW-J%7XK;MVkeIhK|r!TT!Mf{0%|Zl z5^idRjkFAY6JishambR%g%lrY$ScpnC?oR0Sh;{J0JgbxcX#U)%NMmf{xnn{3#U0G zEyKrpm#XiZ_H8XmxNjQ_%aDBtqTQcAutYP>UagjWmgOj!0ITmN63IYDMh0$h3%qy? z@MJ=7j zw?Y`oy0KD{{NLwg&)$5|HD{9iK-*3s4E_O#5aj{!6B&GI8kurp&jD?gk6)8g{vrLJIh%$=|@4EH8@8|mme4pR?JUI8c?(5pu-fOS5He6uV z`Ry<0fE8S?YMDyKe!GUv;^L36y3kzw!)dR?ZXxf83AXhe{BoN~GnP1(vmpi48S|0P zO?Nqiaff~drbl}~U4Z}Ca}VX+0CostnC=fBwqo$-3nN9X*Uwql8Rvzt&QT0bzxbtX zzITU(kqFFD4*h9AGIew5sTB5CE|I!gFekh@NK7Vquc!nC1o_DNYMSkEk|sX>^Te1 zGPo5cN-Gq3><|xVD<1`!IK~3F4~{^4`Oitc?3Hi*Rf^k=it;@q)#>%6g^JPzuO%Rj4TKrJ<@}k!aNgApU4SUro>Cd@yj5>7+mZR}gCB)4FoDlUVhMypN`1E)9 za~kW++_xo*v-DT9mi0x-^zU%S05v1NyfR?8Ci=ORJZDg8G51`tRQ$~vP{FZ5FUtQ1) z=i%x11w8%VzJYKl;adUmsyA(5k4?C!Yu9M-h)BvBL3h7v=*V?fS2Iv75fZJFYF(O5 zO;koTm;|{~<;P5gvxD_%*lk+WYYsxEpxHyJELREGNK@1FU~VTW1WY?i-Fp>glugGS z`I?Sv>g6#yzHzVOQ)9i%&C3e`BedcV?1zg=a-Vo)Q0@tyFF!4_b7$Ov!Af13jEac8 zKAV^+=hv*hs0;R@U8GNxQv22|%F4=adf-s$?Hbm7x;s8%eLI8F?C7nED!fAIZiLBL zQP}H#45Cwg98*RYFWNc&yk;5Z%oSJi>aUC`(|Pxr!Bv#O^Os`fcpX|f;LmsB>;fgS z!h2D8Ymw-0U!q6%z`21A?3qd}L~BYT)u>gTId2vQIwCdGqYw1x*nh z9OQv*FS+!Rf)Hcyvu$6#l%Yj{)^Yk7x3;jqnf7ca*sRW^`DdmIQ1{vuqQLPEq0kGrA&a*xo@2ODfkjXxhT(kVG67$$9{ zyDy(VrJe0y+bI=i~MkQL$)5QrYVa62c`5Qzzl#gUQhj^1b%Kw>fZY1i+D zx3pjqtX5%sF4pSkrgE2KL`ncP7bhoP*nL$T1)#iYKtXVQnPnJJ`3lP|PbII6ht$%V zRy@IF5qv4wzV}?v-vUkf|4jtow<(a^lH|EhV)WmWbly%6qnh4Uw5tSv8^oei*AApN zi12yTy?GvIL~i|T5G}T28F^b!6tXrK2(`+>dKI^n32GPijArI}ad=z{zScz@beNaA z=la#FlCVf-T8GpyecON`lD=wdxqbWgH4-Cp++AH!4Q#02m9hjsS`n-5m{L#|rJ~2- zcmtPL%_%3RfD3~vANi!-gPS;l+~U<4P;l}e3$W$gbqu|kPqUWCM5@Sc$5~`hc@?r# z)>oXnTBn=LJ89{S&gCtjV}b>>sis*UAe6eQh(iO%jYl!>8NOKqExuLNGOhxZUAsz3 zN(iH&Yu_2gy`bEmLDs&Ohe!MGJ`VC~<~p!($1v;f`K@bvplMu$U)}t|0(v3@P25@x z637+37sMHSEK^FgOZ#6*qhZ4eI=%J=l)617Tq#tVsN+Dxq3z0$dJ0kb(2IajR z3dF(AHR^9#*YlvNnBWlp`0$2b`en~6ulmN8&9_&EyW3WJfh0=Y^N;kdAhagfif}6neT*dc6Fw8{vvXx%GH4^?&IUU72Bxa(KpIk zy`f!Jfe<+KR^>YHr8MX@dPj*iqhDgr_3-cqi424R(XY?E!K0$cikOs;juEp#IvlT@ z>i{p{H|ET8<*c!;z`7|qFx+o7%zwC-_lRP>7=KID-|2`K&R^78#|5UgIthntk;|#{ z(o>MgbL^1AbR^iKV(iadQTnHAuVOlB@UHI1$CVGRv$3ouY~qplfR!gzj^?JXb+T>R2qRi4P7b?j?#@=@Gz z>dONgE5?fH-d>~!Zzu2gfS}-gaHI5?MTHas?^+$5YP5YEuJL`-L~n%o_>Hf$e?x#4-w(=JSX}SD(z|l|YAGBq;rOTZMQ>Y|HaUJJ>6b z{eb8iyzB{^2S>-8vtymj3Qj?}nLV3gHE_D#@m7C^6NAX@$R#xRUb16mP2Za9=$vZA zgN2#zXi`Rp64>KFA5gNJMPZaOA_t(mtG4V#l8>?fEp%_EYnDck4>V+bef65GJ9h5$ z@+tQ9_D1cXG9$ayxK2Kl9K{;sO~}disv{l!^5sk2S^0(MvMx{s!x#~g9wioAgu}+T z3mD9T>>N`*kq0}8v1vsXK|Bl*(q6*_#31`|s&wnK6Zbvl3cT+u&wm?j59N?Fdm^Z? zA9q~J8Hoo0?wg=zTYHN3tM0)Q`n^wagKJ+{fkANdh74NWU}#qzuJxy3vi!?)1mHl$ zZS_9zx+RhW4%(N=2a0~rS#aF z3TS5tNFwGfRBs|=%>f_|A;RD^=JO$^U_==8k#F_F$EuLP1M#TsLWwvT0T8V5a0&?&@gx{w+&)c_e ze>~wKe29Xx##bp;oMU$+#s1~nbn*UGY`Uxs;}r=PXD4Q6W~bdk#RKG=+@vV}`6o)f zNCTQ42h3>bI~3kb`XOH9y|_9BbdJN)JPt7gqTLq5V=M*=ntj*67}vV^CxrK8Ko-V0 z%cjE2M-3x{W9?VmT)A@o@WiB3&94124JleYL&k>ao_39@?S4oa153;O0%97uU2m`^ zPQ=+JfqQ$d`(=LYmR$r_=r^B`kYT9R=3nKoa2mgTe}lwnb>0_&nqX7L10{Z##iO@F zWHo&@3b>yi^ws~hg6m*JLqj@>JAH9mPftF+=+IDs!m?7;6BZ40p6^~G!q#4xFQyBD zIS;_bMbM;-d&-EmF2?Mo(nxq1E``NkHdZ`S-0N-dBH%L;2&vpSz9F}GLWJT3`v-zg+ZGrlkj&5P8jcUD;qhp*y*cP zR~Dzlm@y&_y7fkkfD+Wd$pa)@u}4rNkAVo&% z0F2;-YiX9k0?qF^+WW9YF*pDFSl+-qYfpI+n%*BfI^t5NTN%mrTeR-Uo4Zbu{!^pk_d$I#&~UT<^`YIN_B{5^f71Yi$qKbTxV9#aL{sMTpVjr zyZ5 zBP7z~bLkNs)|L=XzAt>C#XlYjw-W&GxL8WUm+G!8-oTQic+Q)1JKP!1O1Ike$T!;945}n9yTxM^ggA7>tJnhd(i{`3i zJmeGc`H7bb!OHK3`;~~*(wCz)?;5}C`*BzA>Fa}wfa_T>>v?W2eUs2tV8Ue+RRRr6 zfQ7i3Gyih?@!kvF7@Bc|hmB-iAGpQtS(*Uxj1z8W9HEGEO=? zPhd_^!1NTPeyE6*cJAEiA1_pF`ku_q%}ofa7X^^JZ`kmwudfkcKyWba-*=o$Z=$ag zzi+|!rBiU>6&zB`-FlIJnO|OCeD=(1pBZ}#V$O&1`A%AABUlJh(a>jT(9cgT(21xK zC?3m&VB@iI<2gDzIJ4O4JavOnY2IkeKE?KjWV#^iPirVf~XlONIWeFgzrOqdKxuD{}!dwUE6j6T?$w} z*B~rJgxYK&KXX%MQn?clTYRISxZ0p}dEqB|-axW-Smqc^1e{76U^LAKxkTtDV_o3*9bQjoZ19~S0F7uAJHa#&)yq)S5BuGN= z*A)6smI`}u2WiON#f2iCS2w4pG2;Q8ia)&_9`vjqc!M=5#A)9fH5QzS zb`*vJZQLB#I*;52Y;3ZzO)lQXy0{RRy-nte6&Fb^08a0SN{8}ebj@3yU)4( zXpFwn`m9s0Je;i|jb@RU5Jjer(cgZhU8`b6_3xU9^}Bc|<*lu2UxJrl=ec{4Uw&BfL9HJi9^T;y;vs#U zt@|s{^Tf@G(&5904~0`~EpB=*WiM&q0yy*OtOkeNzrazWM3hct^GJF#yy+ibDWk*X z`miVr0I7)Y3W}Mm53;9+z~7YMUQ<0VUaHcr7eI7A*QB?X`Mx-B2=^7$e+Q}(Jrvu! z`gYXWz9ZItJM)s?uiJi__8p&zYG84MTr#;D;D{zqyJ}UGVW_NpA}S^<0zp_~BDPn@i$T z3yi%L8(l4MIJ-?vVa1pBmeOIoRt(f$=$Gyd_F{C8_@n zM;sM0RQruBXTAk@{~j{(cj?Ujon`xW>oEOt*m+)Q>r-?}Rgpr!Vf2WMCn5Kp)c@`& zjiq6bvymnNR5dpXiysaiIhf z5B%?bLXc-UHvTjBb*lXOwQC(f7gRw$|#gn)8qP9D8XLkN+_RW0&if7957MB z^%RkzqZG^b-^)#?C3F^&mX#werYmZCv`?1=e}(SO$_^;hqvT!4TWk6UN&VEy^4FCF zdD|Q3(@hb9Rx@qbjgV!8@_;v!Qt&qc@H|Gx z#=d~?vKn3(R>MngySfi6JG*j19TZZO??+5IUAo%aw>cMZP}d0N>`6|9c1@p5?(%OCFq7#q+!GL?9SNT;*kBt{et*k$HfrkVIisF9M{KdhV)f~DWT z2Q8d?M&%F>84^U&(|P`j6FQxS?*qmz>_1FM-P>YxwXq=odnMGR zjnK5iqvFL2Pi)^-jfG1NM(&?j@_-^o*S5fusn{!am$!Qe#mH&(8R1wC{X%>~LKl4A zo^vazub;TI%Om9qb2t77`m2D50p}Dv(vN7F%+Fa9d?|eOj5z7Pdh!BmL0C>`@r+`) z=j?#1MtQhoDV_@|DGY0GhzVprI4H_QIgDdT+b2Xf4$`x?udmiRn1gE_y7XK1tYL0( zPKZaDSe?n9y_b2r&`5<*fnDdb;eJz>zBjaej6~cfuaMTrLgdZMdk4fnHLvl2i0#LZ zT^p*e)9~>)+k4v7_|0c0RO%Mzot(x&f<2Dy~~!Z>*)$WhkuJU$;( zG%G*I+`YjRmv#?O^PChIN#&{0lSSl&u^@P^z)L4Bb$ljRIo&15M+oLcuzyO2Up!|! z`vDLtbpZzh=4+;YTTFHNZ$)}axE^%JVPUcfb?EscKTUo_t7iUeNlww21FT)VqILPW z7$Dxolfh9OCtTxD3{~%i;&>_DIx)M%*D0FGCS2@JJ5|l|QSRtc;b@{J+$<)RXT2tR z8(E3yN|J{)8N;F8Oi*;7&$d9KhgRo^=F(2yr@w+62d~#&X%0VZ&8ksBi+TstcEAA@;4v#ummv^ap@2PS4ED zOiWX zN|8f&h&^Ua;h$iT_eZM_4#7~h?bx-e(yOGn7y|^kTtv!lUj9|xUjP=J>B=TsnpseN z9dTe#(O?vflbc)4q4ZBU2hU9(mtU*k%fvQc-G7?J8RBvMG&P~gQBh#NlF8JEm_T?$ zLLYSGC_4Yxc5oAm}A`SQNr*xnPouqt`2%DI99oF-@q+}P1+DQ>!C)tl`g@ECbV0MM- z_B!A8(-?CG^tG?xMhge)9Ms+om6K0-RaYDW7wysrW(}-yRkw#1Z)6 zrhUqd0XdT>dZ2dQ^QV`_Cwx-KVOVG7;S2e#N_)V1iq=J5ApP%=NAriA{fr)Hvlet* zLi6vgebSngVx|bufF=Q3hu#1hwsDQ{%fy2a-Cd5RkTGQk3R?X=Sb7X=%Q~n0uthYqu!nzjy{Px%V;AM~b`)-o{$_y* zgug*hyLZBcldLJT8fiX?7?Ms(YTW-)KlkTi24%yxJ->gQz1EY@+VxE5xQ_IVTiaAC$zNmS?K%w*jYK>mW-1#eL>cvdfrQ4LyU`U4z}&* zq3t3FmBBfjYuDPy#a&*WxBZ(uV*GKwK%94TL3&9+bfxbh@umJP4aYO zQ7pHvei&oRn%A%r*45PovC8|MW=Yc2&)nGg1+Sv`#NFK|4sf&Y@SL4a_E+tFp~sMy ztq#KI>KD=%F7XAc$kuiU`}zBubt?-~eJOz#HCXTN{&G;p;Lp#V$ISDLUiY+JICV$w z|Lcber!mjH$=?okr5y#$pMg(t$$pOt3!;P4LlYujtjlHFw_N7_L0sBKI~Dvm?Ts3Q z7K>Ixmsc^;3bw!qZBTh!x7cyfrRjvS885!tgUUk+mwIhxCCmy5bB^aNfix4i3G4oy z-MnFGB(y|>V<_#o(#2qICr^2AU+;K}#PN}VqqLk>(4b;?h6eX$@VtnWN7$P7*jFsM zub0>K&(HOR8p|VcOy*gE)jvzPs&4uQXfh?<6D<9>;ldLcqzIrZBs{XCu0>6uM1V%* z;Wupypv5`4xGW4r8#*3;WxzG=ksT|YLT{0{e)oM^led8-35;Fh(V0N6izCCNIB1Wr zzB#wP8-G#l4Udjq!W^%O*}j63?avn;}$q_6+{?99Yz4SdT0aVJ-IXHU;ig=3gg z?RV{ndQ>@xb^k3=VFxT$C+FrupBGmDDa&Fk2av1H#VSnqG3nPk>cta@>w`YruEf@D9vs#1fOKbwbm7E@%5EXM8RzQ;pm( zf4+M5F$TW`C^);h3Cdam#vz?~GGN3RtVxjkIOM23;3`nt)l~w(-eXJh3rV>Y#4>I( zK#4G7qWl?pDfKQQB0N?|};gd zS+sh=94eS;4~*Y@(^>;PCa@X|l2tUqH}qY^R}tq;KX)iSDp?ruV=0H0kLkaQMZ5e5 zVIqhVh`#K?RyqNmPw@et6*PaZpxGFIdK8@e@4tTSu`DMY`=!ek8SDF)c|9~ZScv1fVdjO1{aukdY1$lmy9Yq&iV9mO!rW*79bs_MXU4~O zKooL9k?Mgx1tK5j75G1vU7DXP+?^{-)?WR&HL~>>eiDnUccQ-<#kFE(zR$_d@@04p zVHld}H&8nX3I%R|%k)rI0s4bPWi`2>D~aMN5X~msRnJ8FiyMH>gaPTc#J4 zQjmxBmX@B^wF#?t~H$X4&Ird3;6;$dc@E_S@Qx!CLR#ZBp5AJ zd!BoB@%0?@2SJ4&LXn?bdE&RsFlTS1d>*-WEm z+v@v2;g$FbA_q`_)9w{Ds~xE)Ql6tW<^qzty^c`txqJ6+J5)bMeHa)*;ewTSF+hvT z^eP9`Qd2AaD_?ucuI!z8Y(-i*qQL7~G2u!T$a?Y zYcb_~8g9Ji);?Y_>aBUA!<67PdUQ5c_pP)$ByJzPa_2&#>#Vo!NeijXXP@y^SB@|4 zsZl7H?UDEMPA+TfblB}B{HegYmXnE#o4TpF8M&i{xjFxuHQT!e(R@3dMYX_KJ|)aW z3Xtz+H*9SLea_BK*ZKD)>e#rr%;w=l_P*v9PmfB$&j1?Q$m`ePGn=~KP>(VhD0lh% zUN%~8x8?I(+}wMw>a0sRo@ZOX;bOwATbwqd$F89LaQ7~s%I2lbZf9Ga&yL1XW*E!4MDPN%CfjIGznHRpQH=|bQI?a_yzK?l!JzX{-Cz;h`=b#OTemjb zDHzC6Df*802A<4?qB+}6{eI|;Z@c-v({b+L!Z?Y@Jr5h4zQ14Vy^od@8AvR*(L}$& zB!xn~B_FIOPpzFXTb&IJ*>Cs3y{Pum;rv$|Hq`eFh9tP0E~K0q0F=_yBpNHIYhdu` z0ZjagcC$-_H=EqbW`z*}l!#`H(6r1qao&-u<2W9z&X(tSpzN zqaQiAT^X5~neWwaPKgERie11HzRzO2s%p2TY}&(zOP3j$s8jntV)Cst zSr|%kJB1q&5jADZnVFgV*U!QPYSD1sAqq|621ZGRmd~I2HTI6{*3gnAx;XYU5Er)Us`KD^7ChOKV_xX5dwL0d8exC=o=yCaer!JD{<~rZ}H8_F+C+OCuh3lu!BRksQZT!3s27% z2I>8h*FWtV8GA*7xK3tpDavb9ec2AU=CtvdGY`BDC7D3PP|n2&M}(>2=FQ8~DDPor zi1Zj28x0MO%OBFX7_kMmJ4e^O7*(b52QeHM9oI~Ih~lk{HRyFlXm4D5ZqHP3Gt01q zeQjM(1K^k3-ia1F5!-Y zs*;tinOyz=fvr3(Y>1`JA<}h@%Gx#{S&7o12YOlS!&;<)}!D+htP6#>T{> zul^bwK51cksjK z_NM&Ezw?(qaoc>g-?B?9P-Zas=z}Uw=Z8@-JIauH>G2}Rl?S>Oy1OlI@4tQf_RP#r zvyxh$XbaN-{%{(M`MIv$k8`_Irk!<)ro4qXENWG)=2DyWSGqw5dPl+ZNVGODoZ)2? z?6V1aCxQ#bEeUbKIpz=dMl$IT>*^DgQc>MmdH;St2nMK19z7DDcr~^5`hbG^rWFho z@+sRRDS0(n%{0x1#^O~RHEEHJ_^rEl*Ggn5n$2MpCc?yidJH)AU?!`)VE}?MI5hOr ztr&gVo}QE5#@u@7#jw3P)EoBFI?)iJa$Qz;cNgGAwTnHfxA(8*=Pw4Stg1@8XP5d( zzL!W+0aqY6;xzDIfAsPtUnCz%e;e_On|j>T^QC1k;qTYf=$4(#J(8U{0`?iY5kOc~ zwr_U^FxI1F{#Z#_c@D(WYv*T4gRCU|jjNwJe^n#j+}P7|4u=hk?%jXpJr#}{CNrl= zI6+=o&>`hoB>|aN_%*+1t)vnGqs73?jFJaBatja@R=mi d|F3_{sO4x4$F-ilMos)xy?q9IpJ-ZA{s-|GWl{hD literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0035.BXkF_Dg3.png b/docs/.vitepress/dist/assets/fsm-image-0035.BXkF_Dg3.png new file mode 100644 index 0000000000000000000000000000000000000000..81b2651499c3b1a175f351513d7957c35905f84a GIT binary patch literal 32624 zcmd?Rc{J4j{|8DbDN>V^y)a~o3fUt&W7mvbQnDrco;^!iEW;%Gl4T4L*~*s4R(46* zWlzbL?DskJS-#)j@1Ar2x%ZxX?&qA(QH^;ouh(;VJRi@;^A)P8u1Ir`@gNx)8I7`% zoHiNR-os>Md*lx6fq%IWJ(dnXsO**Woyf=z*OC4w$DcjSL`LSzt}G{waZi{{Ts5R? zSSzJGAb#@MeHA0EaPE#Wxlq<{#*U{q`_lppsXI=J-ngKkdL%OC+^DXg!s7?`4>|^D zNz3K>{`AiC;QPjVH`C%lUR=_5r|k=$R5e`Gmie)6y1G)wkHD?T$le59sW`Orr--sE z_?25e<<67B|RmX{XQZE>F4l43>E3;o8tro>8IaWO(f|jnQjVt=V#vkFD}62 z?J++pe^t)&?%kWl!PVPa@jg>4L%tegGWB7N@!ONhzMZ9e_wJR9flc>2h~e>mPJr=`R#C3FZT*sS_C#xU>SPU>P zF5T`qnK}RX5G$gy?NV<0Y z?bEbuk~TIr5*`a<&kakjRr`GBZFyt>@+CeQgL|`Sq(4VZhe~FVTT(2xrSV`)hf*L&n9)@@!mOoOOUv zrRT?jj8v(mg>e7-yf{ef|9t-e*C++na8dpV`wxz3AQ|JdWG# z6B;@$woXnKarg?p^Mv;ykF_P9V)~f_sfC674fZz^1*JqUV zlqe>{u-c+yO?*~^=(TTFz;>y>x#xeFMU1n{Yh}Q#$n0$(mEB;)2y$s+b7kl}AKw!d z^#qZ~n3$}s_Sw%bUtwL>Q>(Yu-q%iu*Q2~w$77ZXDl5Hz^uOYdN=Qfu4&MK&xq;1B z^-$B*><|1cOVeF*gOz8y;F}@zxS8x~`8ak;AAC$qj-jrxF^hpvsi0PPK*-+7=Wiy; zWh|t~$U4ah+G}Z6r=3qr-uWVke&zc8%TR#L=fWV#PMOc~X;Hy>(*peb1gm@^aWo09 z|I)l8U(tTwrVQ?(Dm3*+xkoWGVXG5z&Jtbj?S=R2$3>-4+^a_>@fA|7;n z{;aI>gwGhYxVqG(@ya-wW+qYGZR%_NW2TSKQ|M!S0=~GfxlXnwM*A(vnF2udvx2wxW#u;$E%8>$T3Sa}9I0p)PcezR z|2*}+f4VEH_OSKgc!kL`?jrVs2W_g(I{(^Bc8bLhm2uwYw7$Onq1uk#-o~b_^`UL; zLNH0Mhi-L+2 ziMpe+^R3EYg@^3EQ{D_LEE(ZuE*>5pvtHcl3B!W@_RY_Gt9_-sIn}g$E$bgK6lo6f z9NIi>7tf}HN2AeZDjE^-M5|s}%eJ5N7yC->bluc3i$|_Cpr)DkQHtfbpM8Nh_Ah{# z2mWKhE){Z~i3p2+%gjtb9_zw?U+-ls<@&1E7+y3zIR}NqIS8h*dB@f=6yR3ag{pV+km&!ZQ{o^h zF6Su$Hga)oPoKyxv>Hk)|n&qcApDxh4H_@ zMw|uj#aA5)-|FBoGchqaMQx_9KNwTM|tLOYj2tLD#y!zdust z$%~%$2SGtWY~D+e9DRnRwk%RUUSKOZy7}fB2eHWI5QsRvd+BuWW{Ql9U&E#LN1F=H zQiii$b~MAs#~W&DzL~q3j*e55mUlXS49nkt9I}cZ*n)sqtzRP(yYoy0t5xPId~s@% zh|OVMEiEoCI4j}1)nCAVT;pX#KhxM0-;LirC#AURuZ@;{S_J=k^yra>)Fy^Pkz9efyNZxVZQ!&$!%cbwN3bt4S#7 z(97#JdlU~xa}}aI`n=kR>4&+we@j=peCwH4{B>__&GS-=MY6X!0WC9kMxDGqhhHwQT$_&*SH#QrB9q}$l;C#@AY3z6dFkqJm=08 zrJ*HW$1X%}PwM7hbGYfTwc&AXG!yOR_9%q8NvOP{B7rTeukhAG)uwv^EF96F3)pjT zr7bJDXig``VbRLdBZnyV^3UVGd@)!nyVEBW+w_=8m|s9Zw&k9yOmD!ej1JL*hn;nH z_6~xoUtmCT{l1>n>h1MvceXzFJ&*|ndClD2pNc;w)T9Kj!Y*w1t#xN#9lvrUQP2$W z(!3=t==kyD-~g9hXHRYl=HJRgWBeekpkJ9aA(~^m{iX7ZUTK%q*b?icx%SrRNjjdU z)uXDo>lUo7o-BF!h>cO-moJQrjFtk63k&W`i03lDzW$PB6&iYps$3boyExGz?lME? zts)-yWaFF3w)T2`VL-&YPA-g&&cx)T6TcO!#MYxHQGKuE`wy!$Z=!IT_%Oo6 zu9N0+^_j|Q;~q_>SGPa$Eob`(W7$PSMs!WQkummT%FT5b`F{WU-sh<2cB;45`CAWf zC(o8~4`V4C z5oNpNE+0&t${kI8Q{o!b>O&T~HydHRT31fTvoD+{5JjHyuD$)prs0>qK3TRmth#YY zNv!DhzCNahGM&|H&G@s%#>SD{T^Ibn7$CU51g@{l*2T?j{i@@` zKacW)NH?P@D=Vw@G61FDkcLt=Z;5l6YEOlw_7$pO-n|e)A1{;Gba5c!Af`#IKoc1v z@Xqqro`>oAsDW2bS$TOsN{4*P8Nx#9A^A!P)L%3?PDYl_8b`WxTe6g!**;h`jk?t? z4XJ^!11v{riDUMe2Lx`si&?^E+-wMoW&XsO_Tt5hMrxvGCWFA;U$gt_HSw?eA00od zlPi#!mUbiOV!7K~9{1A-OzU&dxY=17>(puLbe2|qSb?j?#&=4UA6!vTsK+5y!0i$(XZhS#`8`9&1w-rQ_iAqmQGNUV>_Dk!* z2GQF+=bS>G3R>Y(n>F{|?3K&d?|fM~WO-@O?GBx z{Y4Ib*ke~L&#Qlb+@XuKQi8(8bjL~_pdOzU(b@CQw?m0&$8MoZeUUpK%i(bY5uBPC z&F&|lHtb{+#^QpPKAHGhnS&jKQDV^y|2(MDZDt}Tjk1DDCnY$NHx_;Q-=FE>RY^}# z7?z0|sJy!}{yt`luE{qAji2W`02X%XMn z0jE>{<=LcSm1i%!peB+WLLoWXm1eR(9!;uKBl!Ps{+d^IPENVwcyn*>Qx6?@C`)1| zfYvWxzKDs5&1htM?I&Uw<^ssbt{A*@p4KKle)#a=ZuC%%GXx(O#9DP_szv7#2#p)3 zxK91E#5%~(P?DDm@L_Ru^z@lH_{**(>@4tnyF59pqA(VH5dsIczWfxtYfo7=juQT= z-=GQJJ^e99cPqM|{qJkW5r|`!woFV+CRJVxLTBObHrd+R;>R%AVAbSgenkoBj$8(bhtmu#O#A&&ScA4#m6ZdF410l1*bB(KyWeO2-3jnBjIQpw z>ycYhUGc(pqm=`5WLRQRl0Z)9UGP&$hSE8^Ss~ z^E4y}fm@KMjivb%wC&@wr1*CgDS4lkRpO_Gtg0XBaT!QfwKkQb-Ti|SmMJ$!8^M6v zy#vYmrjB9pWe(rJe}5cz9KK$H;bHiX3sdEw85kH2(VuG<(aLF}7W+o}EvKEr|EFP5+d|Daoy~imS?AT8-9o-qRfB$|}(x(?_->A~yv!P}&u z0nGw_^ou6{lj)>^2|9Jl?@wkVJ)sf{NN_+qR17Cx@+0)YlNn!0O=k`DE z=|Fl&HSCunt7Aw&?=t`@%U{3V;EA_`$oPDcn)D)N;PI_x7-ifIu*Sc(cXSV|{2+$s zT>{mAzCSgV=hA=wn@u(;)e27k_rJr=^NWFl{Moqshrl7~)Je|O5-+@E)9t?VprQ_# zX{hg+{K?hS)aE6FL*G6;aemZWu=76rR>Qg5kSB5;_Q%alCV7Ui91g= zS*L{*n|$!_q14`_#`o{Vou@P_!cEhDLVWGe-|SFid$;}~UGmngTixg0{kazSO}uyn z*D)?t0)b#@W#zHC=2B6*&az`X>SwTNCA@?SQUnkEH}(nJpVp<-dR z!(6av_W!fbc(HN7F7-S&Y>KF_uixN#CI82$GxlpDj}vgPbKqvAAO+<&VllPEfqZw@ zcrN*&vajI1_7cthY~H1vltpsb|N88!b_SgQIM>e3WOS1e)AwK(Xb3o}oe-?;4ilNA9Ch2P!z(I9;8A79tr zL)2DQR<^aZg&6Jaz4`O!3uTB$TO(?{RL@!^yJP3hn zRl$>p%Uy!PExU)kdSyZtc8|1NJT+LJ?%4W|YBX5>)B7-1P=%#VBJSuyL946#36jMU zz>-ykxEyVQK*y`BLgmgbBW=@P4#qr;221_(FFi=obd`5b5*syqk>3+rF zKjBU}3yr8M)@WVYSam9er69eo)~ZWuMp{}Ll)ds*ZHIQg!~`LIRuvGFEeU#(b~2owCT+0O!(g;bwjIDMpI`TFHaDAToC zAxRJFv^6&`=-gIH7a(zvn#i^~V?4N9Qe{wH5?j?c#7W_y&iN-0Q|n8+KYjYNPruYQ zapaYpYP`_ngz}7xeIGNVB7`Hzd!I)mfVX5`Jci$XK}pLRi2A&5=gue;>ch5-mD06q zte2ty6KEpsfWy^@opBvPfROhj4oct={inM*>F#(iG579ntj(Gss}H4ESQM}iR6;mzFAecbLWnZ&XWOjQ{d?6 z4Y4I*yTL~T8tt*OtLI#(d+KOJzyjq)bF^pg59lFfB_3fNAO4`hE~xtY`ocNtfK|_Df2{H)lv}pktd~7G?TQ%cE!;@q zm-Y^AtiHUwyo$?>U-1A1{<_{NCeY1;t)NZ36#Vh_=I z8=NSPHnaKdz1EA1yQMS)ztTFPyd|2&n815TZfn>CUAhh2SaiJt! zv*TFbGZ_(g)a~r@Nv>8ESwb|sp@jH@E~5R2a^LkWEGGY8X_6P`nN(jhFhFP305MFx zGLHNPQ8@^ON4wZgeN= z%HqdfMaRY}bKkRoptlpSX%0FpEukki+$T{AI}l8~5f{Ofl! z{7}j=r017mB;w@6x`5LWfx-#CuteoT;%HLP?DN>{*RMU5ZJr9mrGo{ z&+z%_$kJb>c0-4;3-wU5WYhEU@;+XfzFzl;L4djq8GxUMjthZr({y>}V>+=wgsr)` znc#SGPG4Tp_;t%MK@;ag74ObJKImQThN!f-IMG8S5Wu#^xQfx1UWByqB}7l zh*2BjkNLlTi-2o7mqp6T+W1V>*wH(C%y+g}rq*+M?~YyeYG(}jZhLQUZ9=X(cmDhk z6uF_txGP$&{qUFC-gudv&GR;pdWklR0wd$weDiP)ZbafIH5fWZI|C?uI}*fRRro^0 zwNi*{?J|%bu-T=hE6Lj%7MA%|IyyZy_b6R5ov{pzjMlermwT_5Y@tkiH!p26W65o) zfT35QfXQvWGP?UBtSiZbehODllDs=%C&=&YqN}`CvXq{d4W=Un`CZx|LsYy$hy0ztR4KBymNquYsFq96H@!o=)P;BVt z89As#I1|l|?m`=S(i?yiK%^yw^3CH``Mue@Yfr7r_dgKObJTxjLD=yttHt zhPQlIPS;01sndRiq0|VX@9~hc{_P=rp^F3#g(|TU*RdGp4Pj|P`Yv)j}Ry91Uq*rfmulvGS z6EvkBuPB%nl99@of0YGS@PVNyUX!W>*P*S=^#MeM=kf!^GbR=8k&-{4IXftP=~A|p zlBMP8%FgwL1xJ^nt1d2=c$ryPjH`VrUst50_^B#Q44=%Avs+kPyfs=_Soq`#eF*BZ z_gb`#YD*L@mYP#l5Ax8zizx7e3tEsbZ+!6vX8UO8&y z3J3sei_E~=5)%_480Y5XJgb%lFEcS=n@k~g0-WII=Lb+}$@@but>?!*T1ic;m6@3t z)Wk(*fXu7+K}YY9cY09vr&5al`O+3x&#ev7l?FMV=tK*Pjg7^&pkP#}{Z0JDhuEun zdW0|UYpg-QQ{|w!X`f6?j<4%?J zPaOw1RU)UeHiUL>&4rWDy*!Eo7_3zYOz(kwBF4ZV4vz;Cohv3ns3RQhfg=j?^UI9K zUN8;#8e9IouQUgeJ{!_$<9id~Obc`X8eTCWVA-IMJ8nqq?(6HL2n3?MaPT(qu#&9Z5rj8X`Ow zEhj5m+nJ$~{bTrxfPg@hx{i;f`WfO9tj-b2}^v*6RB8Q^SXOq9-dOpY9aJ z*?PK2_Sx>N6MRMyRGlwfg8}v(!ai_GAHTcu<5e*lfPX_63zM$93~gir2dn`Xu}-#x zqwdPg+*}**=8cUhi&x4Box($2z)_vVeS?1^5{VG2v>Df2uJK_*X(s`Fvz*0QDPu?D zo!`BCmv8j5LKtyucg4$zOCup{`v)b*XZ*H^OWcW~PUc^B)*v?rM;rM>9}l)4&ZGHN z$qI_L>9cE=xa!le5@~2q#)v1Mfo2{}d`encK$UT&=Ote=EV#RFm)Eb)7DqAD;B`- zCw`z@u~tyBLT&0a+3Mn@azdaeLERus9e#+`|OZXDdKuMujFzcxZ$B z5fKd>r$RIjeMmIq#B$x`AonLREZPM*XxQ?MYR<~(A3t=ek*`J$`cG7DT%lCYOkLsR^Gd9b2qF~*n;lhERUV5I*`i&vO@TC3)OSul{qciAUvj`I*v1$Y&aAbyL{96Auv`=@6idB zoY@UACTLDZM)2tB=-_Vh>KDpkk*q3m@0Hztf3bb{;CPW)N1A+e@Fys?(FzK|k3_SH zh(vlL6&(yl(?yp1Vxm|7V@_LCC(y8!Kp~?dt04;^G1Y!$@t& z^ujq|VO`gacGvmKn=In4Mpa&`;IOmy-M^byd32D(Ac76XPLoC<#-qO^Ti3xWL-_75 zn_6QCm^FE*)nito0jQ&1#lDLZYHh1fL?D8RoB^#W3U4PL1frrfBv;46rMB!jw;;i- z5-0p{`)M7sH>51c*}EaTp-8sV;sa zw1`<6KP8uu-?;3tz-PDfN)yDohzpS?JLbimCR7a#4Jp2#WMq5|PYyL)V5l4+^Vxg! zr5ndKRji)(^yr9XINN^ywY1dE&;x{o1BadEutz^@ghkD6Fz0cOS=oS5wbRK5PgU9Q&Tmb}?$Z*On^;l$#0NA;%{{C%R& z_v+zyR4v^;(6@dP9sMH2;daA_D-Af@buGNt!y@L>dRI<~Bn74?UzNd+?!)llcYQH5 z2o>2(M@RRf83>Tcv9WX4DxOAV6Vn+EKgLdj)@q?t56_%>3yU2+t!P@i)b{kEtSk2m zl&Edz(PW=B9^OfA-QTeYv{jie1*VDmA#uzw{gw_=EGmZ%NGi9rB`b6;FjEgsXl7vc z@1!9lJ&|)Tm@c(Bk?nz`!zjghUD7MYQDR4hP6^un1_G>c1k@$38XDE`jaFuMBz0MK zc9iZmfDhFd;yO*qO79~>TyosQ#%zG^yMotd+SxGt$?VQ|4^Ql^;eJFg=Vrsno@BX+ zcYd4dp@}@YM7He4z)H(!_u*AG;<(?E<=WEp%G^*E1>Eh|Yu!PhgaeGR3*4QBsi$+1@mQZdPmy^~9A_SMyjwRa>otLIMuKc9Z7hQ_)88wme-I6#DuzbEm_fazO z+wAPpKbJn;S$MJ<^;pl<;+ZN+smuBVI?-&|)L)71@aK58<0p1n~0Q z*RSJtgc!|NDM*-V^6mTifeF$gNERsPa_~N!C*VpqV27y)+Sb5u03bw&V_!oj?$qyu zNY4`=QqeLT%yGh4M2%25chXlI<*OjK%V>>5NR!AkF10wi`zJH(D@a$&LrcmT^yngY zeMvI|`YI$c6(9oxqTJrHo7L!*V@6JnN@Ay62vDOqbNR4QAyO`ZoPKm&>HQjUjF0^e#B69f{{C3ZuPCX&+aM?d723CedIQmT^I;6b6Y(MeCh-48-((I5`A z>VJLeZw8{NT=aq|c@s%idccFs5!OOx*Dd@}q7h$pB{!F@mIK31qVxd@f@hM%lhr*? zzkeKuB;VH3k_f6RP+^LhY3~mg-Z(4suM1dOS`vsvG4Hja*4*f?dq^uvB9V_iGadNW z^ZeQ6yR*F)Uj=$w0!;|m;Xnn`vjC1kKD`%LT1;(ha-ThWmYJDZQX=F`y$#76id*;K z_qz(!YOhs(3_j`d-JUOB(6OW{fjAhF7dC2pCmV z4q>RK_WqA619(-rt8>}JPOV^ zI|0z4dQkRITAB)KM^&5{Z7v_ zNQ3R`u#`V_OKk_z!cDy9gWSarWj;Fd<v-1pXP>Tq^n5 zR#}LggBUA&`wQK}pgqc^3=(eG)@$Bf4J*qswkfvl--^H}&z>2z+yiz*Un5F>CN|-A z#9*N7iHic}A5!wC0_pj#@;7<@0t|70BDutA?9F98=zl9=n_)*bSL%UWZHxaNERRL| zR|(5vNwvxF;Ve}??1KT11AtKCQN~qXpIg43IHz}I(*E3o8Jcajaj4>+wvr1&E>B_% zy~a{g?lF7x<0XtgJw1K%@Ha0d;FFm3BaM&K(E&@oaJjgVSQq;tS!yU!Syq;$n8-y- za35t6QDpWeAfono+d-RJp!zr_l#~zC(4Zo07<7c-4NK<*y2_%2I98Xj+g?!E2c0A$ zLM8{HC0psU{=IZYx8L!_1<@HsvG*)aj~UWryjcw#Lm+3OJ{7kaR7ryWvH>CS>l-` z{?}N#&M$r!-I@Un(Twicf){I}^k>Y9_r`ofQN)b&H4srvT`sm?@)@e~Ug+c2NV0FE z1nJH>a!8q(^pP_w6%`W2GE{g!DDxK(*Sst5Z$nkJtup%|f_e1@x+sEU9KEQ~mge zO>D=b4CYi$(|bZ8Lq|vaz?z`kFV+i&Rx}Ikv(VC!==&rA-usY{knAsM<0?K?( zM`2a-@ud;?pPLyvzG{lV>=4hqTslPNMz8;ik4{Hpp(y zrbZF1d%TA#^_sO*5JHwOw_5#o*5x9ot%b!$-b)g@WvbZ?)3ACke^U$;-;VJNQ@|pR z=6=rp9+?GnmJ?wMT6duDAnqx<7BQd4jCivt%Wp$bYY|X!EsHCea^0@4L=DK?^|@PX zV=Ou8Ln~bDI=&9mcLgv={@@_K_FH^e&Kp6`l6cPvf(vTj`yC+uQ%IFc7A>a&iqo{% zJG}|FBn#bBCr^LhfbP(8;ZKmQMo&z2Jp20PCTu+l7QyIkC-ZD= zv3iczwPp_?aya_%?&c91?zg_a^3zIuIdF9%-pS#%EaT5~rsGy*rU2?e*AQu3UqY_nyNjYL~zu!+d7qD5gy1F{sC06sqKlOHYyUpI34%TosDV=JxEB3*c6)r)d z)b{!yxVS;S>4~vMUM$|Zm7bpQwO&lMe9YIPK!Z!gWJM1!$d;%Jf)=Ad*kH=1WLCh; zLRQm(sz|-5M!5;Sv9ANhfL0V9VM418Ryjf+JN|6HVb7zC z+-Ff|BfqDmLjNvW-IFSSbm@3%Vb9?bTOXLpx(VfeB0 zScKhN;o<=%9`cPNX^hDV6pHh{i!H)g>WPkHq86btJ@St-7nwWLrt4830WLf!~Ynnt_HQ~#Duxa@gD~gB)vVm@90AM0?up=<}3Eh zNj5QI%chfMa&q+w*lmLUS_LUg6Ei^@bxaXE^Zom{j?wcQ?ztE7Xtkziw$q3NDeZ4E zww$t;98XGS<`voYfm@46mNk4?8)(1CqGYA$Bg|VHYRLfZ9q!1F( z6RcKA^QEinYmdhfa)1p`-V4T=#Z+Z{Wj~>C+_vY?+6i$PW?rZs^IR=06lh9v8C3KY zH&fA2v1rGN5E0UkAIRdTXg~PcSYIcMq`It<&w|2tVayUt+N~QfAahQmW=8)sE;+f{ zZb-5;<&Mt%;+=;|)!kg}UomGrcRftp$T0*Zf7!4iTf5t)cH*22hG$8_sNlvvwuZPk z#@9>vxw#4aw+^zz&8vUhv%`LXe%R^26(UV{4jsz~srffNaPmDg03xf?j@jsQKPgm) z_U+Cy{w8(;$5tHRA7MGrE!UKy??2qAxVF7mig`{E(Do+BR7z>zo*JvCQ;dl*_A2yB zC6)tm|Bea=4o@BxGH?rg`7RzM-y&qw$}WeOYxGdEE{AM&sSk>l9OI*L;QGLtS5#Dl z56N8Owi8L#0<3`P`gUN2G^jL`2pz^rDwZy2Wjsl)9S$w{V`My_wIglo*Lx_Bo0gXM zx7+aXzD9#zTI#02-VN-o|sbqHA%!FO{_z$`}%vC^G_VRp_Tr@AC;mto`v1}a0clO~U&Y%$RnS{XY+7Z1SC@d87+M7e0ZC&t zAm9+$Q@^ylJg?WCnwm<2_^*6`w~LHCdWq(_jFmg9OB0L@TAmIM{x&yfXKQP zXod|W`td$X&_s&%DBKu%{=al#Xo+>*uT7xDuM zV6&jabI+?+qt={2aa0s>ZQ9$z7#Azv5}FH@$o8@eqAq6Y6&6^&?bR^Hzq3)YpJCb`DmIIi*KwKu{Y7z{|ph` z!(O<-FROpb4UnY1uC5}oskwRP+qakHBcWheP%r+6&Z{aLv{IpBku(imQKlaHczQk~ zf`Uz7mG|8%p>fBtc)79yG?0JL!NqW#fXSQ(oge`0o-pSI2^loQ{zFLiI};to6_Qmg z>Ns`)c)hjp7?W;6iLUen-OuqT{F5itpv&#z0{V*W9~(&!(^B zd1~qi&i_r=8Rc=v6@TD#;W?uA!2`&@RdkTkU=_wf zx%Kg5{XRGto+9#>QJ%>~!AzJ06qeoRc#U62FN_4-GB>yFFS{snU=_ojNi4D*czwlQ zEDWGrr!RCsQYtGGxx4tzor_*EtdzG`3M`}5!SLJ)B=w4S)(ZNKp@G^Nq%l(~cihjN znJ68+d)_h>G%)-1^Zo*Cfq%GL-bJ)g5%EYE^-@@AOyb9++_fquTi412r}Sx{zDHVVkemUoR88AFuc@ z-{@86k6>=Df~y%2(YkDnD%>5Wbb$paih31(!RE!;QK(d)_MXv&N1C{hezeCECSseS z*05mWZ{A!vC#2a6B;)^h5`ybfXgorynd*ZPzn>-s2CX2@FAN|-=|dQO{n+H>wx)EH zR*o{8)hd+ABsM|?#9lR}QfFxLFWqX6e)Nc%A?s9eXd2XIrf?9J>!nl zRM`vOYfG@(Fd_`YB_YkqRuip>Os3DlJ3+3{@fI4KTl8j7sVqg(*AwiTV6&9}d$ZE$ z-JrEwA$BRlnKv(3pWO$DctF4E5s(X7P8!?i5IKF-%_T)d${>+6s|hhJ9m5>^JTMc8 z%&dKFB@8;n_h032NdJ&&Xw=FG9_0K26QR7*v$L3}mZul*(I2LzEq^Y5=@jqh*Dg7) z>4%Os=2RL{g>$xx%df@Qf6fig|sW3wcc>|A-D?@V`LnPFl{FWgl`uyXU zFP?LQmqUCqgbKoPpo-?Oem>2eF5obCis4azTWV()jC-=Cl=M5l0Lej`PDASL$g&7A zk(t}99`w)pvUP%8vL0#5{H=x6L`M1%Db9@=7X5%88<6SV0GOanpS!PHVo}#tHr#ID zwadnD2h_;S$DGem?1WCJK?(CDJuyNCPjr)WM?0P{7(eIz?_~3{st)-sAmuLiNr!qSBB3 zr*p{RNn_-f&Zrbq%l-=_j|Ju8t%}HF;jfxGCI~2<$wLD z%i*Qr1Fr8Jo&#U?Jnya3o?x_}(Zk`aO3R1c$3>0qVzKyT8ag593j9ZC`t#zR%QMF* zi=iydBM?Mdfq=jGVmt3q(D;8s{s&85T4_zg}WH@TIdeO)B=p-xxs^ z6FMBqPvWEId{@U$c$yd*g85(5kBUIaCti)Evw(vgtOI~O=4V|FVYhf&8Vqa@42hjR z1_L#XRIdFKcZL2MLPVZo*5uv;t^(s@W2#z{FQL?e?l5rJ!J#PQSB}UdUXT20y9-df z21RE$IPP@^HvG=NRw*`<(EKk|2}tIaeBf9EAgiG%mT+pO?n5rxj5i&ft{6=_uKcdC zr6nU%x9r1;&Edb_54?ayAJ6H$S_b(VvSaB~;@`-n9fN#r_Byj2#&3;{)ecSPKzGs! zt%D56%dP7xK=-#C^H3iLW=nJVt?;HtZYT8PrXaFxPOiWQ6T+h@$d?1efrgTUz;7|1_g)ih3W>v!M*VP7g{a6;xx}lhQ2ZNZ08nbjpRCm4csivDx9INe zB|HhKB{Z8VYi6XAK|)uM58pRuU{B8`CmgA=*GS9i6u=dWXe4nly^4F;T@z`%#BSFjn3k9>mBnvdwZP%x#bgT;X`~Ag zv|*GEQG&68qZM=*-4(Z+;psAiuFyPvmJ@9ArXx`{ZFp)qn?UGgd<&tTg+-}bP)sb= z+S;1gTPyw4JO)xd0o{dwZv-$_NHzuVjzrTS|PNDSF)YFoDUtg2M z{J=@E9J)J7x%X~_$B<50IrwXRuDW3@1fX*0GqkNhit-qB-O@G5@*lsSHr5&7q5Zk{ z=p?4fcY7<0MLaG(e)a5=ba1oIZxCcXOuIv4Q_(ONs0`9Q5Rt>_A|eugae5!g%7{p| z!i9%CA3z+?a7zie!!cP5rD(nLLkA)PYi%nfEr~9E`JHCD5nu^HiAl)j{xYpJ9)nQC zv(s90O)UiDyf>FWk&m06ZD^3=>LJ#RD6xOY-T=DI6PlY|%T#5c5u}KA7}DZ4hi;)u zl?7=k7^n}a2GrxQFd4k_Z_uPK(S#c8YY0kwK#4{1@I<~uvt0Ic1_&f^jKMgRG`syo zr~?N4jg$m;n*ncu(UHH;)Uj%`a|8^&?1}M1y_`;%#iw^s9|x@!MF4p$A(-dPnc=w8 z92~Mg+6c!4;K+&QDUy=Nl_ukV-C+fQH&sDGLirkuelC zwlR3n{N28DCqpoX&p2(#mPnV%85a8jngo1%+vH%b2RBQUyCapjmpQjMKRDydOXkeQMUtyg{wkl&({@5PqoE3v2#4h^-vG*ZTP@`T>xI!Ed}hGwE6ogAt?T`LQ8 zH#%2cq!P||Xg|i!2b9ELvxM1?jj?I@XKJA35?D{I>Fm-fJ=5KzL~*tdnye3WKD>Yb zzO~iWs19=P)x%J;(A(Aat3ACStuFq~K8g#=W34RLsHz8q5C+0)e?$@s7C)7%`7+~M z6i5m@d`r2g|Eds4Fzlu-{&y>h`*bn)dkvKTso!#{y}4^^Yrn(vrgX;?qE~x+Pu}h- zy!D=$s`suQ-WkmZG?WpzJ2?AY*lszoxA?iU7v<> zV53EzJb)M}OtSDJ)quM+XFv1EEXMuh@8RKLHs1|tJDukS<4QXUKjkb=_OVE-KMOW- z6K_U+nsi2v=!0h`x?t{^>o4adU3?SH<0)iQveL zjr+Tp)Q@?}LfDih;?$KvO|m~b3r%9TyShHh9D)|iO^gjt;{Uqp6BG>$?Z9Y!75?H> zS}WPRZ9d#d#rw}d1~NH5u2rn^kwHI+?WHU5T6J7=AFZQ`9fm&9 z0(The<>q>hIXBIT{@_F@-=vs`?Dn0LK$xLCeoH=|j+!QorK!(kcS5a^-q6J)X$*Mi zrhz-lEDF;h~7LXB`1j3pCPK9}==>9X1x70_m)B}T`@tjrr)8Z$hz12rqKgwdhT zTFK-=DHd|r&D?w<#>7XtcpJ_#fP-fuA|s_^<`SS|&+xp^tO*CiF-(&!HsH_dqCL{S z#Cjo*P#Y7DqjTIh?>WO0VSu}}({R4;6t*l5yjgH#m zhRdMF2AS0=@3?Y3hf~UgDTo`xm|VMM&KnddO+ditTvm!dLn2a&A<}9X6q>g%mU_J#e~@R}WCy33)m|&?+WrY!(h zNk>xb%n{z%v1HOnD&!M5u0f-(%zgfb%julXxJ$Pi?cl(IHW8jkV>LME>AEcLLxSj4 z^|G;&C#`f}3P76!EDxM3B=k3EeUXOdJQGb7-gn#9b|Ngf{W>|&!yD8*qAieojAD#lxcEQRA7@>P>Aa+c5eOE~jwepp!^&jFyVy;B!u9ygiCU(Os7#cFIrOO0d zzpW=c9+jBL+wi<=^#l1)Xybo0OMMphYi>wG<+n0+9wr@bNAz2z-kw%9`VO4#?g$Mz zoc}R0{}eL~csFW;j++n{L8NMEbhVvh2jh{Eg zg>3EDHBX?iae`Ke%E)z1JnP}$DmdBb6@3nZGVjp^9c7DAYqr09lQPS(*%<5%}1ToK_=b4{~?&|<*n(+@OR4~k8Jq- z(&Bybm|R3EFk8w?l(OzegIt{mSl3XkrOD|)J&2-e)Vh^450kFBbqCIgqch|A8Ho~j^h5lu;ReM zzg-K)dtfG5SNYNDoC6f+!*6WL#(}Dm(G!8inkfNmZ&+gEzf-#4`BTD zp)n(pBqF5E5@l;smMp_4qErTBOO{Zglgh4QtSy!hT8WhPzQ5G()V=rI*S&w-zwSMM zoik@V^Zh>0=XpNc`~7}&82;L!2ni;g;O4$$ro5!`HBpf5CPoH&Zpk;z)W0#vi=2(k|76?=zf^su>p1{g;w&ZjJ1mpG)4o(h|DKG7`dTg<`4)Tc9xEgsmO}M zPYBJQ)@G4 zNaU4H1ZfeYT6&1mTHe=uOre4}77*nl9t;|iy7#KptEIGIgJH8)yt#F2owS_E+kYXp z04kB(E@e;p`sXfDf^$kt5bQm%QiT89J0Ti_LxlA3cPr#`W(h3|@d){lPCm=Oz6u&i z$YcfyCWnUTs1SjFHp7TG{lvV1MnKh}8FcF|^ zZsX7#9NvOPPf+X;lhMKF-kiSuNlD3Jy;;ZJHz~MV1u-~mw)VD->YIPBPc_^65hne; zqphF`{L|w3M)y7LI{!N81ltv(F*mW?+A{e>6idwPaI)`JQ}!Oq$Erhk#BFp)VR`I& z6ao^?!prsdf?lTj&`k7UmSn%Yy=GX|xXwEP+Hzf&_-}E>+)piyy^e@2$v5JDgmW z?*rk$=e<@oickfGm7wA}6PDZ5dL;LN3*?mUD&geAHVRMCL~jcLE?PLINLxVY4SIlxAgBo8>$CW zk@wJPS}f+&zf-OMa;(3FE{I?U!bUCMr5XO?+DtZre^}}F>G&DPI+X}D??c_?mmVcC z?!;sL+#Du~#{bjT{{Kh)p&UZsc>XWVszfiHlbZS-MN>j(XhKau<2-SkeOHNrG|wHc zQRP~}Ng1zM2?TC5>mnjw)F43Au_RPMz*1!+FAlA8Z2Cj_9^`unNuwX|gGmJ}^ndA}MdqLD3iojm>3-mVF1_ZF5UN|HB>9$c22B(xLiJGU{0=~fGtH6!de=-|}- z45qcMZKj->_t-8CaI|M3GbZ%$GUU3~Z^s7G(PEGVHVr(-acoI&f8BuKcY?0f(L|;s z7#Ge}kjgDv9`|mm_`-#K5ropnvZQcX;umEZ3oqh< z^mJx2n0k@2QW+CZ7sH^mxxbr&@1cv$jYN{$X7CL#2&yrIsbhOxs40|~kt~|jtQap# zP|JxX_uOv1C@TRR$Y6aj+mGU@s*^!C4|~^o26KKTNz&35c=7onB0`2z;wQ;x&lWq> z)z)f@oxqU*;Wx^IDrd+qz*`PwYNIP;$010g@!zz8O3o3gI?@>`=h&D5)t3vXE8K5buJ8z875qBs*NMnOM@;o7A}J-1}$(?(dA|CQ16Kej$>ICU(|yyPI3m98q(v zbPy@nNGM+oZc#Z&{17ao(qO6vf`sSkmeb77X#Pz{uRx^>$XKKSYr2xFQ9JI6?J6GC zzJk#sYUv&FpyN2XQH>^cNMaxXCJTr-lhJL~qW5|Iemog;6Xd>J^<|9aldkyD-R- z+tGmPhlVi+00mis+>ukO`&h%c^!+R-x6QIsG4{J5gX~F{5GI(GA9m6fdcOBS?$l(x z6TEwi`r6-9$Rd_XNuh4v1~t1)cR0+zTXPg)ngXB^iL1IDu8dOPo>|28DZc)`;TCvu zxA10E4dA&e`+0*kkybp(ty?H;{pPiURkQYm#?!kAH8v^teCGbD4T-cqj^JfCctUQ1 z?ROsh7NXJVJ7yxq6jNRSEOnGpLXU}*LedgUHAKodH_-ZJZegkRGd96$9U0^!eplPU zg;?LuutV_eY=}ff!AYNw;r|CkeMxPz73$t_$HJqLwTeHK{nhL9%TmoGATj)0W| z(y)=Jtymln_RdgJ*8XP_$}51Gcv_+4N2kas9$SG?Aj1d5Cg%aSP&67CDGaoVc!cjl zAWwI&fyBSWUxsZW@1*Ymae%->*O0YM3Pa6G;(74BF;YM#7!EbPGyqyJ&W1Yt|Md4r<+@@= zAZ_svQ{ZR6T6x9RV6oZ?i0G*jjmKXX2pLrDX_~ot>OV|>*GSyyqhCK+Rz_c=-bVrF zm$+>xsUK%gwWgBWr`Ug(A!Q)EM8iji2OT=0TsP6cXX>~xqL7sxY4HP$X%kB_h05h0 z6+gc&PCY8g8T2RR71(7_GuLgSqt&+JRqljoA^lA(uyCi6q5!6}7klVz$VLg^ z@o*P=8TVB2aKmGv3DLih@DY%2>9xRqY?Jd;#t64a6S9GlQW~TELJS4$<61od#?<@p zU--X^SEAp`i;r&~c=qeZC%&v=C;%s1{maLY28&JVgou0nFCQy`AIMMu^{eKWk6Rmj z0GIgn_ww9&B^U}29 ze2o^1^l1hiCkRQ0ihA%iMkV~3!Y>~~#9o(CiJI5H`?$H#fKdrN#IJ*(M9WF~29y5v z+XNGkQJG~|^Xs>VhKD&xk+S>zZH{A6UirXG?vFcsd{r2=;WH^vNam=}yt(OFEz@1H z(`Kj9OoHcx)Jp8VTjw8JeSAY~?&0?9CGqn*GTbybpP3=C^FxI0Z0UCK7av<+Rz=3F z-N2LX>#nYGcv;x7W$Q{KRrQw%EnbZJLutGX7HnQ|7`3aXhb$w5?7gz21VKhFxabcb zK0KG#{p!{3gc>Df#s^#PxGTs{UZcu z(tSokLPAzYR5{jW2Xj;u6^*YH=jP_Zc1kaYmv`9j-@n17Cwz81Z~zdopPQNq6w$nj zva*Z~1>ou^C=5Kiv9&yK2}?(cWTM;Ge7upnSzFt5kpa1}W@Kapus80Zmakr89nQ*2 zB_!IBZ8ptKb!Bs`r*k%wr`+Ee6%)hSw$05g_fc;gQw^1#a=8_1^6KgZ?LNTrEeMH@ zPI+$V&NG51g}dx7b?OFWn)4^uW7V!Qc^1Jemw>0r@AM> z{{BosTEMVavSbY!tW7P5$^o#~BBQ-$Y_C_Szu@-Bt=6|E=@KjwPu$tF(;K-P7V!tX zrbwOe_wx(2{e)_IdEoY$t6AaUi2)zCNx5GR`-{8_dm)woLqyK@$grzdo1Z=FMgJWd zLhik1&YS^;&fSy7$;>p`eTT`D5#DqwoXJFCZk{K(vZ~4p4p{aLfQ=ff!4q|U+LrfF z{ZoO|#F8hTI(>SdgH^B3E?TKCsG!`cOC1KY4ULVLSwS#``)Dwpd5mMla{cfE#EevL z1qjBJT!Qx62~Qg3z4V!p@{f6#d`(S2e^?J6rA7jE0PLfxx z(z~sg;gT(TiJoz-{-#B;c`$VI%Xv{DiLit>VF-`vvSH{UAt7k!I0jjVy-4$4KJoBn z=KdEhDA30cBlUCeRuVJZ=H;T3+j@|wo$E?*5>bb7KRe!{ zb!wH8Qsel{eH}Vdv{@Tt{eyxcQZKY#rrPL8ouKOIgxDIY%IGqpI?nq$)y|F+rydu!<7@P+aq&>rQeyX=q~4orIdC6MNrtdngVK#fHAxk-d;Qx8L0cX1`9MuOd5M8%%cBw z?Hiw_TU0+kzk)S~f{T|vGd42H?A!XlJ5G?pv)IrPPVTniJOeo`a-%Ui{;Gbkd<0TM z-0Pf*_l9L+Hs`*?8QS{zqSPEI|W z!!-kJH<4GY2x%!PE29~tIU^Q{@yajnm~ATgF%V}h&;a2T%FbOO>MZW9&9W9p6R>MK z0WGI@zH2VDc$~-<6ck*?&SV-nNtOU< z*r5+9!zLMQP0!=UhlENye)}|T?UW>b#(*EJ2H+wUX$~D^`R(mNXwhUfD|uZ z8!#?y;xxIuM0Rys5yz_Wj4-N?_vFTCf~?sANAx06c$cwA;~~^IL7$D;!09zMC5lUX zuA$BPB=FtF6C0!i$_KQ`Q^qC_gdT(~7gLE_lYahNw!Vv%>pYujfBzwH78%*yU0vyT zqp2fW6Z^f^Vti;vV-x5PX4vyTMkE8Wb6XKG6XFS<5~{2<-Qk74-*A7?Wv9@OVIPj> zS&!Y=8f@%d1Bv{MEBmSrtX#i(8n%Y9yOzV*$r*&7Y;2NWzn&Oa^XB#Io31nh$h)vJ zx!y0=Uf_Se^5W|UG1?g&%qV9xW`y=Q!VKRnMdxNDEXx38R$&fvpGk4h_W zTzQWVH`8_qu0Og?Ny+`-!K&dC$a?Nu_Il2SK3&fzvTuB@kulw{i%)2LK` znx5ndjsa+AiZyt8IQxnjt*smWOEf&)kQ)=T4c{%IE1J4 zz`5vpaZXU;1U)!U4x^-ZFW{U|X;y#EogP4~E(QQ36xI!&7pZ=<{rv3~Ao4eFKJze4 zc@Cwfxp~dfWA7STN7Yy!&3rg@J~5{TT)4o>?w*+_tjOvAb7K0SmA_NebT6?y7Cn0$ zSzD2d)LlJsJt1MM%or}1y4cNR3P#FEOV`X>v~VF!JndVWQT1|pd1o)L-E2b3gl}3F zon}suWah`tlVqt&U>lQMS|3brY{Enke)X?<2v*(}>LRpZb#%_(zNizkZ4dgUvYv2w z3s81)a@34eJdrRA^i4;SpWiHos;@y;{vE>m8C&Ga@fxb!(qRYx21l{6)K?dgz@M4e z&<~i*urN{nVyI+}C`9L*iMm1WLFCUr{}dHf)6lqS4(3gKL8^_(Wgav*=!gmR^4GJt zR6xC~=09y{(7_bftz@-N=kk!=QeskvF`qdZb`q>~Ntfdvj1u%qn-R5k-^4K;7D>JY zwK?A3%N(?N%03w#>#=1F(v%fBj8XT-y>38zv zlp=;$@NxwOMjD)xA>gzijofiV_L?mw&5_Kxg2+c07vUg0wN^ulNYT^7TFE$Ep^`rR zDZ$zUu(6tr-Sq|?lNgEXhzT$R-U1+naVaR76^>*`vJ zzmF3nsVrut>!TZKTEZF7Dppmaf%MBu;_*^K_){Yy5Bho3o3{Tw&oi zh>)$VArbT<-`#2N-nQTZqbWYbsoXG?V{MC50fmL%`u-FYq|s>CN9`|bLliDGH5IV^ zU7v^Zyc~A(5#j8f-{Y8$c`rXSG?=)Hy>4qmz5xY;&ZBvlHUN;=r&g+{oUpX~YiRa~ z6DRf|XirfnOn#fwSvwI;+}`-FETx@7$oxUoiLYKcrt19p-||2gtQ%6Mum?6FPZ2*) z&qzRZ)qMv0+~eZni;JK?ATtf-TpPrO{pUPalasq4E~lilQm*5so`8TrbD_7zJc_Pv zSY%K3I(d1?npckuo}$V?v{0ci*Vt$}N*yuFtZr_$*s){zF^2J2tHp&MGkyYim5a9N zxw#Do?!S1k3lr$QAa6urUz{zTzG zW_Wl%{H=p@@1aUTfyTzhp>KeL!&N$bn}2V@L#Izil(={A-u49^fMLp7aW!=j0`C4@ zvj!S_OFQ=3+xMVkSi7Ww%z|<;;MidG?dv7fTQUi;G|tLw!ST9PAFE`y)&ZvQBQe?L zJCT^Ab`)i;`ms2Cl=wuob>&y*#HOU^JqB9eZ8gt@W1OY_bTWRy;MLpgNMKXLB5{Zr z3y+$82IdtZ^T5^^AkHW9j+^bFCUgHa+C8t)ombS)6|K|ID6B|_cUs^Id99B?x+o9n2KvL7XdE(+%uU^G64FVT1SM9gti7foUNm#_0 zGd%7yE^6@atls>XsG;o+i$%pLok@km^;H0$K}lYo{(ef|p~~yGZuLSgHbd;EY(abm z5iL!u1$W8w@@UR}H@6L|R#mhf&fO(*lkyY=^hZ?kC`yDw#BEa6HiVPHn<->wX5yIX zvk?^*UT)Riyl+&~WFomEc7qxcVNT3CFzHN>Zrr{5%C5_iksO(%Sl|ZggTEp8Pl|PmfAeyCR&HFexocC;u?U=d|YM!GVDX?Cq%||Y z2F=rOeb=y1Rlbf0A4z75SqA_v(`N)I@7u1a`4^CdIJdcB(lNNOA%V{|Z`YE5c1P$N z5H?nAa*kiLdS)e@ll@IV5?owek9!-iapqxP!Cvqu$=dA$@r)pE2leCqi4~27-i3kN zb)_UFk#D=Jd8f||`C$OI)zXNcdQx62oE3!V2RIFp8TEB_Amum|8(xfvP>#{}e0m;~ z-ozA zMA|R{Ov@vAyL?B6NW`duq=qS+GXt>(>}ft~Xt<^A|D8hqYc|c!(IX3P zY^~Phe<0fVHqN)qW3{1s_p0!gHJgntur zid%SA(r^b1G0p$&uZz_6_h&ho!tcHH6er|l^RD5J#YnIIu24P5l%@?{AOdJLr#0J3;O-x-AG`{`jJQ+Z|;Jo>C*N6UwdB<6f7a7 zBh3D|yX^vkXOK27VWnG|8nB`E9nWTW3s_ONZNr&n-hGMC z|3lWG5u2CS7(&HoC_yw`LTwYWp{9j`lteh^Q9dF;B^Q4(AVSaqE1&_hdSv66&ebTT z?bAnUK669jB9h{K;*}rKna2qNiFG@=1ztPj9&EC)zw49|2pE#{hD9i|4}G}%ksAcM z(wsaOEiz>WAietfms3LG~s$&*Z34iz`545FNh%F5L4X}l!F8^m1C5fscgw30U8z5!P-TALs* z@iOK75!u+#u-`MV>5Vfo636?f3hC*guG$_y{`ui1;$&>PJ<<4kmk8PPkstctyq(^9&`a~=Q zc9#F#YuuGnyGEL|)CvtGn-u)7I0H5U#;%#UFAqlM**+0_zZZ$` zSc_z74E)`K8wWj+NFLOgT@j)#=!EQ;VMQlr=j0ILtjpSOHeTC-O@pA^l0zS=xjNQv z>QCicc^QW^3{TtxwM$Ue%oY*JP7aZS5Om_S*i+a`bOXSW^pzJ1lu9$NFF=4C5L5zhk9h)a%?V*p>0T(Ij z34CtR5cE3vIPJrtS-?K%`g2}xN2#P*aO;pA@;216aRFgHjnMC>tN2HJ+sdKO-U$vq zDwoDGQaNqs1W4)UvB~yU z`D5FMc?+&;c(hJXqPMq~=l~Uz#}ij9`_kP> z1#^+w3vA5@YEo(3bKY~}qaAC!{m!3%DxMryFlG75_@LQ^M_xbf3!X;WgIrW`RR9M! z7)TTW6Mpk5$MvyJmx;I$D&)dM;=(t7!2-XaAjLRlc!;r*Z0MCMGIGcV@(ab=?pYP{ zkEV{m1i9kLl3WSk3b~I}A$+~R_pVFN_11hUpbb5z9{`&=sAfYVEuGwPeQv7u3ERQ$ zLo{M;{t_Fny$#V*_G|x?z|e49nKNsrs)#kNT!c!+i>#g0t5o=SATrZ9oX~+q%Fa5S zBkvD+8(j@5ZH|kRBt*9xu)!J{R^&+d-3Z#91cbbXe|3F*4(RZpkibuu@R{FrT}>vJ zwI1G|F#nU9S&nj{&zH06Bp(;Ne#+dKRI`OT_F5_?Dr#ykKUp~%KMo8I26oUwB;Dza z{=l~=brw-JbzgZlW!oL8-lw9cXxN!!Gb%%-Gkcz1ALrw-rv=C z^S|gdYfV@6vui)LtGYwwWyR2t@sZ!Wd4nb)F0A#Q{8u_;_2g7~|1@QF=I-k0$)u~a;|=4WM?lB&_L@6ZbKBzbN#xIZQkzn+*d@E-sIc1bV$48307Wh!^dR{(vM& zwkhWKp&<60Ou%pY|8aP2HaT<6~CUmnu9PNBe~@Aocy}lVZW<$tzdAbvfTJUR}AHVWQ0(_ zlsJw>K1-MtZ9H>WXZu*R1T;3At{4kczwssh<&!3vNW^r_M^(p?z<5C_Ynv;hR|2U} zX!-%+sCHWugL_YSBGTslfsLAyk@C1`uZxujGjaO6F-5#F9ay zW4BzcbpD$@5G^m(`uxEy^Q`D$f=4Gps5i!;j$AY56(ctsO@H*epbgPXg!3He{?vW2 zMrV(BgvbXEjaLeskd?!LTqevcptmxM^eXZyb3UgzlPud@0DvF|?J`)k#wLOC zU#5x10A&c{%~;y}Njym{c3+@%frKhD(h|N|9_DLP+M@mSgPE_c6`7iXP3FRvzHK$= z+brt2tEvUgSv+vr!|DI2@t{v(Y4R9ndJV1GY{ zHI)PawXuxHc~a85>nUfUK^Rg|#_y&B+#il^N|cGX0lR)4+$soJj*Ek4R$|3u*ACM-s7 z96d0E4znhnvP!e#9q#3-g@w>+b^zu)_Yz6VoZP>TKQIU5^6P^cY?JM9ef9xO)OoPXLaORuA&x>?8H2gQLUw!nINr5W- zp5lF1S!Az#>hgqSyWhWvB`<^wLMrjml|iowOnLYw9nFPskJkBl11?2pG-Cp z*oBpeo8}v7TI{=t7a=sX-aJKF{gc-k^2}iMuViD9OkvdFbg>xsq_%2FIe7m%8(XW( zQt#jF{eLbJuNl&uuvGmwU(cU6u4Yd$G?y+tc3RVUdH?%=xJ^M{KG9s5;Xib?ri}bB zCfub)_OJRVIP`xZK51#NMfS$xr{~(AEiAx?OGu6i^Ze>%%aZB8K$J(p*|hz;HI~yh zci!iE>*REpVPv2KVR5H4VBEjUp$2mflw$?wNMz^0Z=5c$2iLHtr~Ju1bM|~KV6Q2x z{>-p@Bue>KaVVx<%q9_GRd4+}95gZ<^c61y0J8o>$h26^=sxiHZ4)g|C$RvraXEK9=o^Oy9Ss|Br`w80q_f430@}k zj6v?-B}i2oyKq-Af^FWUR#ThRCq@{{Tvr4i{$E0qW~CQ4Ouj=4 zQ7(Eiyjd!Q=G_sbrO5w6DWQfN!G~Rb27Ud%T+Ph1ri=2Sm(eA*q5H9+GME3@*+`M! znz6JraHAvR@io*ZAv^cr{6>e%yfG%HT5%_zJF`+?ec0bqZ^eyzqSs5gD$+D3G(X0V z@GyXxyV?5g-`PFqy_%Y!>(;Q`?!AU0eL;IossG zfJo-X!&?geUYdlq;KPO|m{t|YJ%$<>M(%x^!`p<7k zSqBbZCz>bcr!`_&eE#!xKa7?ve#)FHKATnHl@KRM<-f4(Ob0;L{?2W=v!6f6YPLi-E#1LejyKVnn^A@zcNbCh&l8 zTMhjx^>MS3Jl#27dA!Uvyl63vaM$N-vz2oF?kOZuHp{mJ)3o@_bdmqHfW7=8uNq9(#0GI`e} z@Ia=D%oR>yA1LZshCc{+Hoa&f+N6IB+r%~KZSct{hyv+7?))gR7o2gY?j<{<`DsIZ z?P0SU*d@|Y%i97CGyi|tE8jPiGhgCSw3$U{?yJlXGwGD`=X@Z6a?8Ymyst`n|L3tEXRj`mz@+Tpc=rEo zn-z&FN^+u)Qza1msFr)SK^?cA#kBbpdKQ@lb%}FSnZ>c5#lK-DF2Sp7m(?en54>6S z4?Nt=6XWA?5auz07Ju>%RwUOloxDsip18I+yKU;d%F6`un~IMObR!WG>DYLyEp`HU zA7MkW6OqKsUe_T4C5CSVeeho{!r$`n@;@q%D>C;ow1Eru?urQ0ZuPQfEeB#;&ZkZ6 zMV>EOc767Jf*FDcQRlIn^jq~|Q}rNolY@NDIb3hH{sS58@26?)`;Du<0l+bFsJ+%} zZz&G&#SjaJfB&LfgikBc^IqvTz=`~c{htE$TANos(uam1b+*4J;`HJer*f zRV8B7+ARDehnc^2!ezn!%jIwM&%hu{8tV-q|6>LNT+hhHQU6KOLqt)h0@FSC9HLI@WS)?X z4^f-TJEMVZ)PFK79)(A8x1>JTb5?2j697Cx$vY(P*wDl)N@@P(`Z|SdrD`D&#(C*X|{XIv2Zu@=J$Da`IusMfC`?J2MN zok$mKusls%TrZ84$;XYZh}fJTEt%(e-Z^CB*h#%2sTXC@-m9cAeNo4pu!sDCRNBCZ zR%B2<^R%4~9q9&@*!-{4bn6s^f0hyjFN<`d>{c<|N7M`OpS2k>#4-%KvP!zD;&hfN zRcfHg?G}RnHJcp=&C5Gq@n$?xW+A{nkQgd6Mm_roMl^r|fj@=}P$_=&xNyCcs++G| zMt<|};swIt9Xo|E)R>nn#J>V#=0%%)d>Yf3#x{kOI?}r$f(ToQx{98z!$G=X%<8rl zwrZOQ530TRHCX3dX!nP|(63a5ze;aiq4y4LU5Gb(!bFLstSfP2cmjYsRxnwAgi-__ z_r2jut)xw{kWa1EWfB=5v-i72iijX3dj(?zv|lV%s-2U?sE3c4fJrmhgl{N0gmZG#hx{{9cCKQ2 zS`NF5hTjV&$o3o$FSHFvWy?;}leOG5)pIri#_UtOL7yrN$W6MR84;1A$8G*8P^RE= zd0B}yc7cHUA%Lz!FDvw`cu;{Ic4?-jQ`FRWi2NS!Uurwu=Lf-pN%wmLr)@9IHD(^H zqI}D)-TB8SUA-*QpaTGK%OHoy$-c_B(Pm)7M|<3|G~e5VbLz8w4GRq(K*%KYgR?fr zixzBz1Z&?j7C&>n?;~5Vx9(zqeW())$HDfkjZfJ#+l7%9-8+JYiU~r;uNmmifnxIk z(>CVd?P8PZu`A#_+UuiZYanU|M$EhDg;Ua(`_JaW$T19z^2SYpqg9_@s-sG*sH$|4Z zr*6#8=Mn{UtHll}K{X)bfDm}_?CD7t{1{9f+uY1npAe2AdNYvvN%HqpvuR~5FcS4` zm*vne$MmeqXFj$>3K&(Z`WN*km$3=ZOS{L-gh?-%h{{mC7+E>8trtf&Bhbxxbww;W zbm>LbJkJc^;&i!=^D)xczLskIb7Bsl4ku0aLabFm zJbg&_{WleV9H39T;q5jg%SX4%;r`*9_3BGQnd&B{PF?8^$~?VZ3;3o~9>M>25P;Dw^7Uj^El- z0H4{uID#!ToJq;E<+kr4&xdH~WxtbNtLcY!o5#%&@Uzd|SW*^!GKc5-8n%hBD91c6ofcnpj>=)K zkXCxMo&G0@jZTX$1U-I|2t$)96gT=@Z3E>}wP^h2pqsI}?-RNmkGGy1InVy^0CyK? zh(ey8`^`<$Ko^Jobon9>%==Qu*r{EAP?Uv}U$fY6;9vX&#qa&h8R$_Q`p55Igc@C2 zidrlo)+E`AEEk$pv(8=!W;+Qtw1(lqj^un*#Eg|C9jIL-99u5Ym}yfQ_cfO0tljB5{?}uNvS?y5^J|Zi{{D}%GWPP#xp!`pWV-g zE|iKG^(x0M%P#Gu1gvac1e0R+W(~3PM3?O+;ybwTLVX>V+MX(wX8NM%+%eVrm(%rz z8sfbY^o~6G0+1rLTuipiG5jm>o~|fRr^dS(d~fqs_iId@RKfK)qA~sF;l34Fe#%{k zJo$OU`>vzU`dH^P!e~qUkkEv_U!Gl!BJ0eL{{31o$KMzF1tXbJ|0L#IvJqd9A{oxc-@R)OKg%&yiC>2Bb-m zDRi&J|4ZfA;=r`X-1{zW+CY1S@{|J_*rYir^G`jc2(%~WkyJtOnDUN!G}WfqqoFyn zhs=`HGCF5I*228OUxSO=byC=dECP-v*D-1W=Q+^Ho9P|c-0Zq#0`Y80WHB#85Hb;5@LeWbp3Z3H3Jnki5 zzzGeEM2UDv|wBx>dCKf?{J&o}%7p2#J%<3SSjfAtJQ9mCc0{tmMwapU<4hyW6y;sVCI4U>Ybd|PXDT5US*Oz5=b*= z<{V;YZ2?kU&W)cXD#|Kumj7CvYwsGfMBD6;`U+zxHyL#w|HVEG2b(t4I40~>4RwB0 z4g9+GMfsPN>)dv`5OlMg<%{8xMji!an3b9E!Xjv2QZrB6mumzrR1FKTukB8U1X{uw z#rnX3|Dv=MavoLoqL7u8vol~E@OfHOkwYx8m?3VRA$;(U4E++iiF>h(F|KRlZo7ML z^ld*aS(1s2xSe+}+++IRvRMuV5hN?=ssWmi_eOBmRO3 zjP^Re*z(AdDl?W4I;Dr_&-zmeR)*dypY6CDBdZ3`gH+DrwFyCU-VtOB-f|o@f%x6! z_OgCl#^(`9~$lo4=m|v1(Lw)8HaFM=6Dq%_xMrbRnJ8YtF1}jJ}U_jDl zOEx=0+X51F2D*MpvKFke91$JHPvv>+#F0rnMXOHMgBR6zvLkLlK{z)q9ilq?6LOI{ z2~)~%g~vRFS(cw`y%N(>C&R!&s`KOg@pMyWI=$d)d5SnF_ z9W7Ilo~zA!6s$#$Pdb#$Yp;TSraoWY&3s9WHS1Ux!gf}7_m>?7Xv|v7%iN$17cg=& ztQONQ!El0(8Ou8ZKEUchQJQ!SbEV6HQ9H8&HRv`CPYUKH-g$rb5KQpR76M zB{N+XobBjo?EW2`-u5Blv){TtoFC(Jzpa9ZMRWN0?DW-O7Rh=aC;VOe9cLy7x9Tz5-QsjnoV5tL#3nG$ox6uCW;WT-cdu z6U~nkhp& zlVJ{2seuj&PlUEN&xWv5?cRW`l{ylgzwu0=jK54gsww~ZsahHKR_}h;XK0_ds@dUy zqqU*r83?S4Vx`!-<4`;o^mKZ*)c?qHFHyKs(WnLT-rNJ3BT^@-{%dZUwe&t0(pQ^hwge`1(RxKAd#|p8RTI><6eN` z?#GND_ZaQ2<JA^-k`u|wPHvKx z{hLVTTb&K#k_qLfOx9cOtQpyVj(c6ww>fh%^kg(Rc#-WU)p789%D)GT{prT_1!N32 zP9Jq9Bo0g3QwoXgLL3jgH*L2#P6s#`GHFHkl@~8JTPWk3w{It8vYk&hvQxq$`C}j{1#^GqZZY%l6X|4U7pYUEoqy9nPm{cV@Nh5C3_I_V(5%5GWAA z{$;=RXvpvPS$kKbs%bU7K)JB);T!AV;b2t;q)j0b*c$YB z6H47yPIeG7o7ZEd;C&#@*F@5aFHPF7{V_HitBP#dUPdRPvKK(38DZ0}DDJtcyVgUY zL6?Htb**iDQaN+Ty@TMhSK)S#OmSktrqzV!yJwVVAu=9fl1+>IUlVOj}NVzSUVatY1zAuwD^J?!uU;&tRrOG zJGBf;iVE4)8ylJc&v9uTt~&Fxk=fk!6FS{7PXpV4O=Ya<0Wwi~&hpAX8LvW_&|6k2 zO=!%SIlg;yCgrBe#=(1m$%95_AHQ15&(bIPg$v9Xd?BXyN33l1T^pm`+!Haz)FV4ApE6)o5g+W!Ze_aO0D^c z`1O2;D)85`E?>T7h|JChI`NC{;ze;$PF5{w z%FjteSf^FR`KdeIc$i*2s#^nm^*kR|QZ4ZMM!U}4yO=Rz|6cCtFpT-R^F_+rYx}}o!bt^O{O6o$_E#_`>tHXl zNqTp66K86mX%ra}DrAxY6`3&7(wuo|kV#Gx;@JOm>V?ZZVo?-05;Bk(sR^4N?*;t* zIGy`8OJ8_@m8yQwfS%egL8G=hFs6o6%rjaXI?1+Jlz3d29s5!NNL04*k^hbP1|O(` zwRz62*Bd@{Jqv|lfRFRI~RSGmROlC%9q&R&Kt zqPNP32A_bn&_0#o@skt*)!`4fK!l7y;Jy5Ec?aR5CimV~kvPvnP9v5mEX>6I{9HBv?uemS`6$E_wRXCkN`;BPNwa6q3kwAx(M!*QK>Ag6r5_SM^< z?8hEt-{EWVo~aRrUc^5RpO8>}u5yrCoHb0|*P=tkKcpgCN9q%(`gb93Rzsz zg*Z>L0G&UBnVgc!Id3l7&Sdm7%Y=P*j7sL_OR@SmGSNewpp@M>wF*lm^E~@X2=g&Hg_uCheS&fT@NI4?toAsZ&tJH zG*W+8+h>8`fsM~*d3W$U;r5=T_@!?jQe!AC#He3}rzSNJH!>FJoCeC@ivx$HP`^zk z2`->)$1n|qa1M}+8^C&snRq$OX=5m3+3o38M3zlAc2sh|ovJ7l5Bi}^3|5n(#Z zhMIocc-Yu;SeHYk(&>>?_O6NpIS@o>7;stx+N0uB%6Og9wmXrf`@xnQors$&;6fyd zM*mDA5~YQIb7AyxYnu^-kF?rZq^u?xZ`=6{uS93-FAD)=)S>+~j%?!S`|}%?;fbQ# z;00f?Me@?m4Z13z+?T?xN|DC;6MQ9I8*iepwcNr5YT#hwR<42 z^4wDRx{e4!W2(;LRHwT*gLx5_<*Sq;MFje&7gpM&48%z@oTLfF=VdjmDa~}Khe*Zd zFN7MH^+oBELA>MCZrqoZww*pMViu<|1|l5PMJ!it@WwKFz@}NCzG%551m1!kV9q5e zA2dvgo77|pT8aM5sx?IC%kL}bDA`|=RvE1jG)p^rNmK_vxepA=_52eZ7EiTzk3qJTy)JpBicX9gEu{DT+EW-S%R#|DqjI2j zL;(C~AQDKjA9!ul@X@qVg1&m?PtDvuLg91#X5RU9Dz>eAG_uJ+(F1R*;nPPqk_P>& zS>>khWlL=^*TzbBJYtbE*KfS^AJ0IRQ$Tj!j#nr|Q z*;0RpqN4VG8OP;#3zlqOa@YOm@_}FO_-*x|*ZZ^J`|?!OW$RPxm(28!Ja1HY)A8Vf zv9eCbm~pW?(Lv32^vc!=!+hvs!hE7ZSz>;cD9)|Wl||yUD!5PT7qd|k8&rqVBk13hYReH`2omA5_*0>iPyf=E^59<^?Vb=iqYH_ZUq`RCh_3+_1*WnI$?*S;Pdv6RrsZX*DMD2?&M!S~ep zV|0#4hVkfd%IYB@OdqefawdlrUq%orF}Ex@78Hp=aFcS^!HLMo ztn!@pc+41Nt|LOJ*@a9dIfSPC^H#Q&iiM7|m9*mK=r4N&&{wW00PaGORd@rRyT{`R>9A>|Ea9=l{k+~yB* zezjI0t1sZm@CP2%1M0D9V!DFp{cs{v(9;SGPLBxMinQoE)O z5|260KZJA3z&;^-K{ghWE_#U>`GSa&$TjX5kI^R>sj7&$i);PFMe)Tt5ScUj=!KC) zBtjiw^99K%p#a*Zx`krX7O0aBtaB<{h`WU8Oi=S9-Y$IRKvrDMDJ@d4EpnZ+^v28V zTcmmz(xbiWw$dP^PQ1Idyq{S6ksc`YRPOT~jA|8@B2#|V4{T&W!}(^5#nzytbjHPp z-8eaL^$sd=av|FPl^6AHG=MkKu!$KljOUxB8N*gyw_!;hH zM^YXCsOQ}5%{LYtV}_cCn6f*|#znshIlfG_oac9mzpu`Xv2uwx_&llV2={jCzI>+L zB)b#7iwG&64LNZ-R^x5OY0RDxSTt#YWtX1Srv}}==Zl!=I2$!^(||(@-drQ8f}4ro z!O8K~uvNagu^+Ir@>6{nN!Su&s8SJM4hV8gb2Y$atu%@g!oi4yCz^@=aH5h^7fvKt zq`1`-;ec4r8YcSzOT_w|B}t+2qwblIE%Gbntb%RCdmWQczb4<$Ak6uzm>FZ0{w)Go z@*{zpe0k46xy|#A1`RWJJr+1{UW~lkF+d;-jwAN9n<22>i24q8}GJcq+WC@%d0*j5S@L#lBv3<532V3j8Cn|>G&Jg+YT*v%q1PI zD`kgj271{-7}TA=&gV6tIg2TloZrnB0x_#R7`IQ7kw8jeTjKR0o=V&4;~D3tv6^CiKM_}0>@@0QFQ523SfRTcmPu=>9uXKzy?*8+6}m#)s)f?Q#cqg|FP?=RmB ze~V4@sz)p@^zz~fr;8B0C9bdd>+~v!*kB#XP2W-n1x|PCn}9y`xP`0~chGaCpU+C! z*9u){bKARJmC-a&^lKKdvd_tgV?iwNc_^Ds-LV&Na~@sM-j3nwHtT2vr^f_xX|)+Be^r zSSJFX*3zVIs%5AiddlY?%3hVS?8s={VQJA<@WW+?g*tttK7waAB}lHr3YV^~Xl8Z) zC1n}#RC&Ou>-bdJ(xyBw+@e}h#RMXc<1JL&K^@aAW}@kNq%%2w9DT3ZYk83uqcRY* zczG!8q5z&`QC8)aILbrI_s+>}-(c7%v>9x?@)L8bs$eWHQ*XPMNQ*~3)nsRz9D{k}fILz#ZlvciR@z+iSFv?wm818iF!l`hM<4B6gj z^#GRWtD?d=v$PR2vfq=380Pr&t$V4=nkPR}^r|{44TWfhGt6G{kbEuWQ8o{A;kzfO zeOef(zKRtU>O68&I{I~~byVIzTC3P1DO8Q9i4~V!3Qb?AR~S+=SH_VF@d#*0)?@iS zfB21^eGw%TD^fMjl!sT~6)rz{sFetSOO21wxFA%H{%n8zIAa0*BwC*>aU*n)uk?OV z662W(?w4&L0m&ElxvP%b)(x(0zjW3Igx=W z$Z2U^>u}o@Y!z2;ZSY<314gay;Q*nwQ%Q2ZeV#S3*$l~qaDH8o zmf2M5LCEdGWW+{>2G1FS6f$B(+YA@ffYT#r-XZT&dVmF!ZE_7rFDG6&MT=Tbvf7h) ztLI7dB!ijStm36&0oJ!0pIfb72-M`&UOgsW8skJ6u`v4jnV!DE`QlWDA5 z3m!fJFld>`2a}2@K*sO|&64=X+7d-XuFAjsrJPs{>w$s;u!Rem0#mmP%uwBbU#mM(gpIx}~L4d}83_X4K;Uf7-0D^I(T zcxNX)X1DaHgS1~5UBO*HKqldPvU8Um;es6fS`!tc&(CA3Ed>1C!KNc>W@TvLkS%%RNk32A3&4CD56%_=<5Vs-D{4fTA&op>ado11Z>HxJWj!edXW-h z&G+&B(?uMiich7SAS$9z3GCg7i1OBpRw42ipZB2>33~|E{b3a6G~-r!1)VzZdLlU< z%Z~w#{Q$Je%Qw1X%qr@wsY4-ol=45?GwlPO*qjUW`GZ=SZD-%0nPi3CYr)h^S5x_K`dIB9N8* zUiz2(byTg>qiv(!D@T;1pDlie;bwAhoXY7759BuPFGyZNn77G~5sGqKiKAKhnwLeq z7u$I9931eaqw7>V(x0O$-rLfTFT>v3dNNJxSsJ;P^RzE3U*$@h`vb|VEvRt5h6y<- zOYI5m+5a_!NbXA|ZUm2%yyJdqq}Qe}WB zCNcHm{QVYQq4X76u%oJGRFqM1`A8VSZB@GSr|sOan+eG)|LNjLFbX)rr5AOCzZcPq zs9nFnsAC#$gl;(rtXLJmthv*-9;fdg(8lH15E#0q#XD`n>ADutxCL;xxiTf*lJ56k znSW2Q{W1!0)Fk`r{_+#pmPO z3Z6#W$)oezx_*+!JxJqg+_8Zo#sgE)XIf$o&tF#0A9sc>)n^J%WnS|^>`j8^+qi2& zb)&p>0|&PLM|iO#E?nb+PiVQNuFdfLs&IJ2)YbPNQ3rG4%+`B9ko({rk2N-cDUyox zm)1Ek_qWHG+IQY;cV*UUHm!+S2_S(#$eJ>otOU%@4#_W6KJv-ge%0I^F+fah;#&5| zDEI)ny*4ksLAR^wA%LRHFlW@!E_SB!alNfBMM$mVA;y>FevA3p;J07|%q>Q~V6T6P(Zi32tUXM?3vIaycN>pA#_jqwTB z)mix|bp}s-IBT%7O`CF*hIyX$C8Mg|p9_kH`z$umHGr`tI#AnFNL5h68l8OjjSlU! zjxVSjmZNpebjq+mQQybvx$26fvzQ%^=paQN$IVg6ook%WuJK2&P;L zD{fv4c&=Sg+j=fJ<#eF(s&;a4d)_mvyXh_`r{atfQ`xwukU7y>?UC*e`ceB-D?Xw| z-GH8Y8p@Duv=%keL+tl+-OFenRT1@dKzCeH-W{PL$|` zWlD((QTNp=;z|TcM|O@+NL?8D%<=t_9N(~2Fa1;lr-viT@oA7fN4bk;4B&Nlay~Se z1%};^0KQi_bNs+*Fxuic%V5`2vj?9i7yiEMXxfyb%-4+2Yo{&oGSh3`snvXemkU=p zTuhCsm-dtStI(XhFF5Llq$s@TwW#?A9z?FU*Tw(mQC5A>#nb<)zK&YXR>$KHmHqw7 zy}Y5c-4=OQbw7dkQG0~VQdcjDQibo@IjYF6R}si>+)c^`m3~&KqBsQkfsPL8&cT;Xv+QqBS?7H z-}uR*(ADeFF{5^C#T3_-4z+@((_?BvgMvrl3DAL^Ns~!FHY(}dMORLp_{@%*QTr}0 z0TGr+Gl^tvB7Qlp%F3;db9hpcRPmdOJD|u(KHCFP^-uTbZ#$`4darFqgeT3E!pWcP zU78Q7IQaP`uXYAzV!o*AS!<@7m?#c=8*tIS%I05*9M zecnTSpp>?2Xl98!etB`PKpq6UHt}RaLm*Vro8|RBG=VA171_&sfEjuK?Fc{Y$HFH( zrIJy$bk6JN5)Tjl9wh|7H=FCnq@-do+n>l-hKEcvjUO}iM{iF?v#ycQrRtSY_QDg= zI75^+ir{slSaB!$;Hll%(v{FkQt6@i*b(Q|0HI^+p|9PEZ{L)(&0ijvfOdOUy8P7? zBcLvYR16DEO+5=RE{&Nn!yEp=E%<}H7;Xt#j<2jt zPb*DV2tDdKi5_)?alWbWS|=^R9T#Q$ckUktW-PAJud0$CKk2FgAyDz<3MNJ54EN@T zf?ccib0A9J!r^1>-)p|}<8=ZvNZ$H$j*113-Y_`(>Hc@txt7e0NfKt4=R{h$L(+hO z_6eV3CqMr*3OHfh3n7{p0G5N6H=xTPfeC*;5+OBgJ3Ezrg_tG)JRhsdHJ^hxs3O+H z*B|@BBK=!$Zupltm)n-@hwjvl zMjkf}DNAi9hX9{wO~F?lR7MPuJzVU3Y>@IeF@&kDJ}d|U3r>(g1A>v2k?CS#CTAVm zA~NGZE?63^({KpS>_ig^M*nVIoNT#>P{9T|pu)Nx=U04cRVBsm4%O`nF*(;@;bMq{ zYzw8cb94`yU;Tg)@9Jd`L^v*WTdSOvrnk%XK3C+TfpJ^%AFMOIal!i@Kwf_`3xhc= zx1y7@xh`>b!orkK=V-Dz!5b<#VLmlh1yItVkWOqFe-2^av!4cE6>pvlMoYgj7G^s5 zLh~)Z(}Omhd76zr^FEL1RZRFMZVVc9Eq5DC@bftRQS!efV4l*;+Sa?r6f$xEcAGk> zpSA4tjuFGkzc3Tta^9#MorGZfg_byrb|T#^Z2tW=u#6|_^H62TIopLZm`Q()k27@oA)|r=a2q;y`HSk- zCyqT55Cj)b^2uygp6uUbU@9*3a1OR=Jwb#DDc|B!=}W(x=p-{azIilO*#L zZ|OoH9R)DweTj8PVheAE^3c_H4FYDNU=-$Q4COCU@;z0Y%C+yeqe*m;&&=1(@uO07 z&QV3h=T>pRjpxS`pL>khnLvUMmEm+-KlJ9x;u+SC2pv%*7Xj&biTP_r9w@w@FW1{Q zUqT+lHp(3&iEjo{CNuvc*>)$FBR%`!Bv@C}y|Ngr_;kcze00n>JI`Xk|5N6z+zo8+ zSZ<##6U84Df9L0u_Zsj!^ATmWVvW<>et74-$L7NGwzlk5;_ihDV~{)ZdKQ?us(0ee zLwi?lBfm|J-s|qURUk+aHHdzSY>fiDbtPri+!g5*-7UMn_s)Tk7Ztf;DGUFHU~}3) zh8xaWLv#+PATNlFN%qj>nUg{&$6dDRx@sEsz1Bf;JP-0Juvli{G*MWb#+CAZW4##s ziepGOj%{8P(PMe$I~(#~Xd(JUP5zvToU; zVhmWK7GU*_F}@Law~a{u0WPO`8VDu0qztR5?3uqyIP0$Boj87a+tNM+Mz`wISQ7tm zIdm4)Z&k8t-mz#1)n4lOMkeH?N*iQI0ys0%g05>oNvB*07RGqw)mFE|1J@a#d#t!L zfL=seLT(B?*8!ie-;2lxA63odJSQyhf?bf>A#FWs>!XjBJveJ5CThKGzVD+kzVk%- z^poLzR`RRgP}chP)myCu?ywzsgudhiUyWB(XS9h0O6Jrjj)j;(uhfZl-8f&>$8t8O zE`C*U2eV~DHKI7s8LVxQ)=5?o>_nZbmfWV|f5Vs){--snFM)%m21KQ?DV97W=$dPL zaY1xj3RD$+!9XH8n;&pKdCDfA1U} zA89nIb^*G!&U6OeepY|X>5?>UKjn(8C!K3jEgd_V_cEW`Z!!it||x|CgTA`&^f`#!&n)hREM z$UKI3#Ov;Q=Zg=2VXT_{UBU+HO-2r0>*k{5ri*NxAayO?k<-u;GY<#;NZ}xjH*W}n z1kBcr@108N8B4cGWzn&ESsc|^Pu`&h$@=8$3j5=(UOzA-B{KTEMzA}4idaR41e8eK zR01qb8ap$?Ols}Ld$KYN5H_3_xzEaXo`ZdS#trNY?7<0!_&*Y00x!zMC$>+Npj4za zTh8^_hv;91kasVG>enTuC<(EP6NwU!#IUHG9E~0C%2BYJ#1SNpBafAkICzkI>>**w zfs%J*h}q1PIbthib~WXJuxutJV)c+u?!-v?$gzYH5|ZC->9Ko6?b0Oaokg70|2sEP zXV`>Ax;TNUY9<3Tc)(LtS+wtn7Us#RKsR;BQt72Zp*E@NRjJm~CQD_CJ_}u&30njh zsOm1Qtjvnt3flfL8GumXEE#dik?z`Zck%TuT2r+9j#oL!^*labiH1FP%nax-81KJ? z>^T;^{4qVNG~(sljGn20yOw-Z^FGx6Ht>SGU2>;nzEcRDDM>uJwqZTtsa{pjyn$Cp zJ@8V`xe;UHtItV(50ttw9jl6`hkf%Rqo`FJnPHNrSv*H*|L0UMeREk+ZfXzc+Hf~V zhj~x@4JQE2?G{q7Y0{ju`J+_yrX1(4*Ccdm1>Gm!O^=P;>I?;@CiR2c;ZZ_3;))_DQw9NbfGHL?THxU>|XO6BnxHqfO zRZzg?O5I$Y-N&`nC(i;|l^fW3w9iNzqXmdl@2I1QZrs7M>}{sXz<2<)YTMs*n>uVz z+G*oL)SVt(2pZ#mX}wzkw0+@pk-^RDL85e#)!gEp564 z7Ji-b^|Lsq<_Le1>On4<`eV%lH**X2OiV2$E^Ie-)T5ltn;52 zExvCLMVlWF&9cC^{O7^x$_DWrMIL__XmzhotF|xg@YkL;XHKhGO@Dm1>{H9)OYuMw zieP=e5m~U#=LT%2wt9pz(!XqCzvN8TG#qMFylxe4R_X4etfO7=4!g6pY-^blDj3!~ z-C380<**p4;ZMXiP@_?UHfY!uiH@W9@E-0`;ITDIh)g()WtX6PSIbv#>p&j1S_Bv0 z=lNprQ>;U7`eWIu#WFE(X%B$#EK9$RoO93F^D7-k+nt^?BQlgT!@LGR;fRdRNSm-z9y<3Tr&fTN0Qy0n1k*u`;ndY*|*UN?LAD4{$&MA$YhogA^zKIxsVp z)iYNA{^%te$2FgT>XkxvOQ5C1jhnYsk$$PT8__=EO_apN|h)!fAyypH(BZ^f%J|E*$?6PDU7|(Xymw&8bUGRUt@}MmP%Da0EBMYMR}G2 z$9eM*=GY_*>Vocl)M-@oSN!4d6BASH{-%`*34;(oQI^8@_r;tX81BVJKxr4Aec2Vp zA|kB3FsWp@l;f7<2$NS?4x#4}3lb>xm%vkY$E=p%W^XY{iXWD*RQS#e5?_zMp!(lO zWeXi=KG*?CZ{8oT{&vk}b!t9tM)UVLtNkf@_Q$IU{X*ymm-BF;zJ8nI8GmIn^)2D% z>7BoLTmh@sDbKbjC#-GH^eJD63E17(nlP&kW5rgED48W1eV9Y`Pr3>;k z;MovUK)yZgwXsJ#1n`liNq%2|K=$jF<+t=Yi=sZ*Pt=Gjsk|GH13ZSt(G0Ty$VZ^!8I2@{Mu zkScIdrv$Ar_o%1L_xVvOr|>`W+KW(6pyUmOk8(-SG?naXv-{b_-0+7rbac1o{exKx zJh%*8chF80&w*zHk`LT~x_VS@1R57Oj0|E!Q8DK~Lzq7GIWenc{+eiRP_5=R(Ass7i|CjC!~M=0K^6NWd?wRObL!@uNBNNN|)F z*?=@t3}qGLG?6#yn?61>`PI9>ld>O09gayuEYTFTQ2w=tn;uOaeSmnpJo^dZrJ=;F zoB&w%j&5mweUUf5^+5!5$|Wx-nkZqMz8o?mvwtVgnWw!Ob^Zoi?QBRl-bXBVjxBH7 z-75SuZsB5_N_>#E+LiI|c{tg28SrE}lcnK%#7~{ThwYv)e-OmO(qR38xcQfq{o!1y z50VYYgiW$jPp8#|+t*x~R#EA-P4=Pz0`S2VGw(h zmRK*+3mdVCk^B|v9>pmfL1GjV`}RM*`njAW7Fo8IBl2znDQMx{Yk0puobhVwBd#NX z{i(59Iw9)w$q8@j9PVo+!Kx{aop*_YBwJBh4@NxexXF=bT(kXV<6FgvV*j@t9!02} zRufH=9hqOn`DfiwXP;(_2m~J@y92RM2r3>@!-}ui%9+gbVFp%?J;FZ?h3n%GUZW@T z*qvn}rmxFmXchF|?BdBXxH{cm#?@+~d^PgKMAXrmQrUwik)38$^4dUO+aEdQ4clLJZc54`9eNkNK&;GpFr zdw0)T%YL?#ob`_tQX3>e&YJgTOBw{_p1FQ{nH;HYXaU{mp*&?;woHFWWEga9EF3rcl0});Lae=-M%hg?%?6&Z4=_m+q`1y3&17}Hx$5lU@TN{3# z_=NO;b=7-A1QpPCTDx!4QF^CWaY6_2shZevw^Iw3!4*X>XZzo(6}x0*o_p?>T`~!g zW2>P}UV}?nIfUrm2Bo{8VLg&lig(%mkli zGIx|P}Mp>bxO7I4a$6c6-5|eu7rG)MP#}S^x&YSK_T^Sgio^%YaY0>;1rdf%E=Dx%7mnpgqTy ziyqxyV^9c-r96c&U(T;6WfCLr;{Rx-{4LdDo&_ALYw9=rh}|1OFs=4L;rjkWh7d~K zi7rX_;yKGgCt5^7R&_YA2|aqZVz+=TcWLJJZ<>lxGmZ!h}iZ2~)l>uBP z5@h=rm_iyY(15%Y5mgiIiBfKB0PwZp$kz^#6@E5zK<<8gT<&$TR%%h3y!gs#=7^BhajEX+`Zg{j16 z6@@Hzhf+}uX_?MtL4ZtH9DCdNdSAp>BK;0YlBSMDE)-&wO3n}!x+Yd@bz?i@s z0n2^G(ekInemMVu6Buej*&xaT`)<*~4d9!?4$!4cObPN~@Xy5^l90dT2!r2V#O2|) z$DvY3GWqx8Y;|Sw^rz9zI2~>cSzs8=lj08nlJ>(u)+_k>h!W*KbN{pt1?!zoE`d>< zVuu~8e5Fwu4^y4-XT65lq*=Zq{7-cOtl_^F9APtKX{X#h?;Jmd)P-*&B5mZ8G7eQV zMiVVg(aMpk6-c4er|$h~EttHS6R@!b{u)c7_`d2IjVrTDB|qF}NTO<|Et2*3xl%rd zYzp)vC!hQrbdXl`bLrNYK_!>T#sPSvC!Snqc7Z4i$SuKu_yh(u!Zme@hu5);%Vcfv zGI8(IzN%+hJ{mW?GyclShvi(9%qARtVBuAOR0l)NJHWFBIW!(G>%w13oi~C!B8g9k zx<@{o66?Deb&12j8ylptVoWA$zTFr>!q?K|_Vw4)t>p1?^BW67tTsjd;YoXz5p>Oz zdlU$^S#-Yk1_zNJHtYAAyyV1h{}D~*9AmUe;57!51N#=4cac_-v$330V#Q+nxp+|Y z``6C;L48x=*3z6+o0IS1n#9#|N(%??CasZ-0)cJ~w7AKc5bZl5o_teEi0?tv$PQAy{8TzY2cJlF8o)Q z%IpE`x30#?J@gqouyZ(t-xZI9vO$ zpZkh+W$x=!GIX@a3)PKsR^k$mPylOZO7a&>zC;){$|DCP0PY3m_v8epSq#<0ZB($W8~C5_e`Gc+4n|TuE1Um{~ag|?=5GGgp|R;X=MAD><+Pc+^g1= z0G=Sgk|Vse@rzwFQ9F>0k%EusQ}-5|3sk2p?h6`tGKCY{yVo7hmHAlAjg)^~Ur-Q! zn&boD-k8cg9zdt(B51$+euog!?NS3U&{{qvW5b|cvqL7ScLkB*Xa2Rb-j|Qnf%Kse%E4+@5Th6fcMK?5@ zjEFeu@e*_v%tqXrRJ$EoFLQBT4XP~UIW#C?TuJ=Jw=!=eR$RTCpe6{zEYtnf9XoTV z^}X$=3T;9=5&n3EQKKnh^e!o!Hn4)BheW$130Ai>Bhd~@iPX`}`GQY75~HGiOo>f1 zlg1O^6F4*-iGENLJvSg>6TY8wv(+k7Qph&V4Wnr8nxvM?u4p#+=e3|hE{M}3!7EPryz>0(hYnUP+KeCJ)CWH| z@%B+lPUw1W*-u&B5#DnKvnnWOO664Sx8=zknA3VF{yB9dZ$9#bg?jR?-O=VY(#)Mg{S_X4O^L*7E<3E9iNEzaCVDOUy^%j>Y8{ zDzlV(CpE}COtYlK%R-aBF^7E_kcMtgI+l31MITO1fF84r3Gk-|J+mEAB>YW#Tp6>v z%$~DgOt4`xj3x?MnuE?QDE3&+!|HkHEUIqx^$iO5JOIAUbt3wcRaieM;_kLUz_-=ed zo-W%BcXhr7B!xPMi-hV#zBrlJ-u@dHOb%Cmb!1a@hzSM$?ls*HB`-YvX2gR-wJTu_ z^;E+5T18TBa<}0Y7TDm@%pz$d^pOR_U2H_WX7MD?PRiOxMB8-tYjD37n65_UK=t1x z^Y(x>Wa4RmQ{QOc?QM*3qy1t(#PK@P$V;I$rbs=UPs+MQ^X`w_vwQ*!d&w;6wSzPN1i+*bBt1uX`=q6L2mI`Z zG@9YH~+ae?wZb@-)aemj91mjfqg^bf3vq~ zf^z7#{ods!EwiV#0EWA`DNx5&Y4Qsrn>;x+O7`9|1+(}Mep|lCal4)FQ~?>)=o~T7 z1_0um35E+Qn!fY%ipgV;2%+79TSTr?zX7*0U1Drpj|Lv!-uu18Gqvw^Y<8%o1sP_I zECLx&^FQ+ebCGFf*IHS_z8U8!UGH>k@T++@N*QvxKV4xzi=8qK7I+z_S090y6>)d# zef$IKR>8Bo2)9bnd6V#Y97ND^#n(1Ot22UviEeH)6>;tF!+y_JBtp+6JxhgfV}l%o z_$&h|QTVXIv~JWYqX<07NXmv7yA$~;`(VC0*m>hkd%)0O&flbQ7MA2m zaU5actih;=o-v1K=aWh;WoU3BWVmD7mW&d7A@M^ z`xk7*z_wC?w#dY~6|Q1rWV_)q`vIjib#xt5KgrY%On1bXnWbfak+vqhffHv4rf)|l zRW#GWi}tt%9Zx%FJgm0WC&%8hk4y<+x`$}W{M488nZpreATyvY460Fi|C>9yrcm^dg2h3FA^iy4ABn{WYV-*zWjRZgyl-#SmSbnw2C9M?%0wqOBpT4P zJ3``N&6`yi*53Urk9QVIQG+Spt#rvNcW@s$SnCvl{cn{;dZYe4cY!mJ3)s9>0ts=8 zVCif;z(JL3_HO}D{@PnFnLP_qqf?N4rh~B0!wHAe><)#*Hi@vqdHXeXdG{j>0pNEx zHmTQSvBAjJc9X)c2T-SOYyxH z4PO?P^hW5{5p>L6*w6k6?X9^8gDttNns%BK_&|>!G%9>5Y->bT2A`)sN3y>Sw=2TF z31or+VDM>QDont4cf93{*I}cxPPlj^8n12uVoeYjMlH=bku9JsVmW-9PXrJ;kW`Em zmK@&VXbQ2pQO^D&(-=0ZRA)6#n;!k}BM)K}Q zNWZJ>`J`ON<;awafk0nyMnV}vL!O!%CsrZ;V z*t?8iiJb(}wd;34I^GjCJ*UIN&DJ`9SRkeBth1{Gif5n|9x55+wYtB9#l&Oa z)+S-jPac$*kIPto5X%_~HuzGt=}-xbk|K=~NS6KnYmZc9HMD@8s~E}vOps{9ty=NB zzCN2vDNYN^cJJ@jkQY3aGe+JXI;Ad-QJ9$==HV(#$_^h_87%XCmMcXMVpRM~vr+=M zmxNu5U8j3xLQwEo@_WBshiG_Njsc4@?gdPS5jA?mu^^gS!d1l-#pRGcnR!Ohm7>OS z8pWIIsIi~v270Pb&@}>J-i&u%igf*1+$i#${g{+jk0EVsFYFBSZO_J=PNY;ldZOK! zA3c9=W|nt+$-d6tGH$poG;?jD{DU($o2U2^WFE#PaiH|8d`@v{T)?@3-Yu*BqM@QC z*uwwG`GYi8Z2`7`$QCTvMT_SuEkVtXHYM#Tt(1eKChyy`vpg|2TI&Hg?6#0ITnH(k z==Ad)AW`mgd96=qS3-vDxX{)@G;OSSXi@waQ7m|G{I)^CU@VQ!sq#8_&gZPy;g9Xj zt3rLSK#9aCs-f#ZMEewQw+D+`fnhILv^X?Jm(_Zcl)IFO0D^5w^nbSrlQY+!I z^|}yo9=F3z5!78dHXeh9^G7`+Gx=j}E5M|!rkaf2?wTssy0e;cEhG)~rDt9-OI)|U zpmzAV^xdScoyoSyBZ%Cis&I124`T2Vfe z^88P7aKO)kz5RNwD25B^)E%5=D!w06fB# zL_fvr7dhz2=&Uak)q_tz6Hcg>Kj>|(y3{gvv<@+g>?3%{o2Y!-b2NNZPIU}w>yyrd*(Syp9LOeV-9&WS>pT}64NiU7ZPI^eQ2AN%j? z9vNMW@whReK9i5$8kqMCxTFB_2&!#bi?YvG{wd-8ZUNZyciyB*OVwE#^S1Xa7`YvK zgIr@J$sR{3_s>=HylUUHBRiux+h@qEZk6S7x-pq@1D(#k>9Q;qD^E{uw<<@#SaAcz zM%X+Y&X;k4fj3U{iqDXhQ+8FSz$&ik?DlpZ6{mbqp?;}?vLi1`OGA}8Q17#F9LSDu zCqBL#xHs~m@zY;jUF7dMSi)Ch4I_P#PiJ?MJ9WhOJVqWXq1i@9^ET_7Z{HAdM^t&0 z-|!~R9BVE5dpI}7iqUu;m`bnS-s!yue!EdFj%x|s+><< zF6p5zzz?kf?mSt5oR>0AB71ITO;d1BQX|B*iYQ zBuZ$Jg`9O>Qc8TeW6iN~VOoNf5JkWBkFwSFtI7sf#n8;`xw1K=6NlLgEERAWG5?=8gW`ts zOJrEdvEwR*Tthp2xsFT6&TnyCC|3h$cTY7|S`Owzc8sv4%)(EToKE%PxmqOmVFj}K zk%WUBzEc%nvC-9~rSzI~FDBFcCHk=|ZDw4z-R$rpO+f#*^@PNK#`Y=rCLANB6R}P! zLq}U%lU8W_ctCCVxKE5@yrZl+ad6jtQaye}XDl6{OjTCkXfNBGj2OX&HF_*5gGZSQ zP(BGV7+rfS@|=EH9IrVO&|PZyVZnXpeftVUu4m=`>-s;%g(;&>WG3&%mv!q40qn&k zxjZ*o>hypolPqVtuUP2QGE}5Uf5{-~v|9Fg*>rv-ggrQ?N50KI!9gB1PU;fkGVfI6 z6>;6Ln6Im&-w__}NqBd*%m#~;F(hJSOTf>dv^(cjLfET{Cy^(Q^2^`IxO02OMdd6G z`Eu$Qblp5YcJ!lC-0EAi`UaY23NS*9Oo=A+osrbz{=gl4Flc)832}|8ZNs&S?4OLI zAhSiVu~Acj&@L6l>RY{IHkL~K50ma5CF-}7zSmh`(#drC#5>ACN1<0wR$%i;rGx{s z(+)Dv9?Aj*xnW3X&T|p6b0T+OU(tW)cnj($PUB&+2#vXPBDiJ!+pV!K>;3G0;6j3W zwnx(`s8wq|mO596<<463O}D`t6unLJ@EJjC((sk*Ixy@|)|Z(3X$%_}V(vufW7(;u z#P%d~?3riqZsgfyE)-bm6?iUD=md|#p07Ur+y(tgyHGjKt8p|kMH^;~Fd>P(`FfXDxcC8x3cV-ZvsnA8qgIAaFbm-y@-8%F$wEZ3taH^Nq^ z@e<$uF9YUUw>bYEf32sK?d)Iw@Cje`ZzwKkzx@;b2fq+tv~n3g>RWV!mepy!UZPv~ zB(`J(7EjUKn(7rtHcs%&a40KCx`iZ%YO=;%?H7{+&2MW1K+&R|QeFOmte$Q4AnHbft3 zta|#B#(~jl%Kg8-!R@&BtG!uIILA5z1@dMV zk-u>vCG*0c%-DRPAtJ!Lmpjh5WIrdwtq#4ukB^sEPmoFM%p0qN``V1dV)s%BBvVGb zEF0C0p_Z50w>e$h4je!QxID?IbTP)U;&ScxO{ zPoO#QYE?Leh*L(!)%QpSTGvaRAk}%<-MSoH7)JILai9m7>+>w_cXvs;kQbci9siK| zA5mhVgUe4j-e^(0;53qL&&NMs>%2 zG{OjUY80HGGBGfWQNkL3Lbrs5lmkQkyRToqzD-!H&`0?2VqaPE28&O>OFyJabKsrD zhQ>WhsxaUK`fr=5m>ihT)GYBzYCcmtBhh|9HGVeSp%eV0881_=Q1)-;AykI8?R3dw zLG|TcRb}F4u9Jv3T$ZG$n8yI=L#2lC@J%vi3WG-2e)v9yqDD$dLu3JUfIea9s>`8q zLhnSwXIS>t5gKFg11>WkhK98|zr|UPVXyNUG65}7AQlUtrs_I7O@}C@aC@^VS7i7G zQ~n>%#%*qo1K07hB!G%gn>Z~E9RPnJ?99n2)z!S>GxXEY_yif%J8%Gp!cmHoA#-mw zS|8|g#sH+p{rfL<#vq#2khx*|K!|R?P~g6n!I}NvlfI%{YQ)zVE&n@V`~I+Ed@bTz z6UJ<{g3kvUjQp6$*))Wf$)AwV0<)aeD4Rdd5tojo|J?p_-84UUyfZKvaJh0_C`S_= zIpZtrlU@*M4c&bC2KjhnYfkPJXB76>K_7|k(1=lUMBI?}5E-3?x_0eTI5$y%F0j(#Uk0W_%v9v*hBvEsStEL~ z(KN46={3!gx2KYW4c(-c@LiV-oW%AW=zmRDxiN+IA8 z1f)YBYxaesrBv>To1*M7o!Ff?cYCrLeN!FITTg0*d=by1yKJkI`CW}nmHbY(2feF` zs$k_Ttp$$p5=i`a(=CHQTYC&vwS_19e0}>#1U&r0f%$M0{7J*+?^_*r$Mq_o@9J{R z<)%aB&vVT$Ie(>e%j>6*r;`heT7G%-+T~gfWDk=O?|SUn>@4DPxs!x;1fvOjFH-x3?n*g{65oHU>V{sd#R)zdVjYb{l&+npT0 z-_QL@m5U`Y0^p_00uPw`cWqs_-gs z9zUQK@rLs&7DirW3*IX8UrQG6r(`7l)7d!SrWn)bb=+t-fgQ~rJ`mR#{|-16yYzh4 zfp0#IS{x$)%%S7)QLSt~RSGWeD(|C%Vk_ezKhIrG&&0j+RX8;4D4s2$ppynA{b{wB z0&1pOrWVJ2!t)Ah?ZB%wH!!9K60_^4Y5x609Odz#oygv+4QKN^<_lIjf1`lyNpYdq z^%mhEG6PffQJF)6C&W1onagYW!(!=+wnM6_`s$yP<{FcvKM|(!7?kA4X>DAXtG|Ck zMx2_N<*b2pNx{RK-J*_OQA`xRxTDp9C--RFl2fzYv8c6dU$(3Nr`v zXnestb%hrZ1N>t;Y!=xqdzNf^VX{YZ`;*wd7qwu5qDTplN>+3VNx~5n<&`2*6;CS4 zI*I4pMIlw-@yX9f%vsqm=m14p-CY%dSu_@ekidjkg%_p_?c2NW3w z%K~Ox-)JG%UFcO()CAhjgfd{DkaW1Op>Uy**k+wYp2mUGlIhZc(P zxW%XY&M=o}+4|Qo+@w>kj=Yc8jdK5B)_8+Vsnoi?sWxnAp*??6HdSv``PVwI?)sW; zLWT=>d%3zTF*GI46PH8Jt2a>fHkOhQu=tkO!RdA|idj62#!r3HeF7Je(N9?+1PwTl znUkNW~>ib}tX(Fj-_Euu$mhWy;==8EY>9$^=kyXY)( zo33l}YmT~!NW@HN0FX-i`z{@?p|N#BE~xoXgvn?pu6(!P%TAt8Fs}mKe$geN_Zj`1wZG*h%w8&UOz8iQCj7SEp&Q4*Zlzqw?hFBDn>3%cTo|0?OemXLn zYm)bnz+#PnGFk58|KqS&Dvj5VygCeL)NCGy_m}H>Y#7EkEQ0Kmd@Slzq=;+Jx8v2O zB(Ibybbp#}GGc(jo&`(B1iJLmS2BGsP*8Z0E2CH+_*K$-00DE7t0^{%kZj+bb`Tc{ z5Mvy%f=&CBP$5or+%G22^9O+$GD!T%*H1`*hp~d53_j2>1XCCDG3M3;S6TmQu5+9i z_+dG)pQdCZ&4RV_J~YfY=I#rZi&C;=-|?Sa&3R%h!Zz!B;_Hg2Tg-O@tqw%+3y6Wl z5sn)T-CQITV)GAIt+j9Kl*fn#+>MJUA%`ZRUoEvs@ z!RDw2Dz}V%(Iv8hbhkKHIvI)8Yd+5sozz@EQqNMgdKS?$=0&Jz!&(XYWRH6|NJw(i z)y8=%I-+Gt-?nxZ|3lFTcGHGLb~(?tC^{S(0UVt)gvtGoqyhbuve{Pov&S7qF4r|3 z#mc;JJC^_De=?GN?95wTE*dkL;U7ck5Bd-#PHfT?r^$xnr!3483Eb4l50U(aE@Tb= zmkG$vu&94<&SEL!=69O36rm$&W6CCAlhKlzy(5efb*kIAZo!O1yyQ0%*qR{nRrkNo zOcMxAe*f7O{QnRCPY4=Ypa#h2U8r7B2nWSv^w}nIglr*b7Z#fQcqnCknP;wPN+ZO~ zN0V}f(EK`|AvjxBF$7VS+$Jlz!lcVO6Ey$#b*W-zLEA4&Mxx`e%F#FGbRQW7^%Q;p zTEbD(aW=rK_GWoLl)t16ka6kcQ7VRyOx+)g6@vQsmA5_ReQSTySJ0ILvB^s4Q$ncZ zPlJ|NJbx+)<>MU@xFaTw)_h^DUk2r->30z?GPlX-yscv&X$zKcc$-Nv<-(8ZEU01DM*}Y`2x+H zV@a*Bm*)LWT4S)K9XEdM5g^IQuBmi}`2O8)!v#Rav2ixu(MO9HXz7GoiWi@o_;*i| zKZkUU5d&#M0@^86Z^rMa($!V%i5YmxjoJMWceg_6C8^K{p#k1f?3C}tX!dp6%;B|L zSDks(Sf(PWhmVymBVkaAMF-RoG7X%n^Q-k3jNQhdd0Wg)_M!WwtCj=x9N!$Qi)G|} z0LRp9_RtBqnh$$8I@3rZGx6k>S zgAq)B9An3Oyle%bk?TmxN#uE~i$Z_+)m~ZWJ!s%Xhj-d@F7QCj2^ZD6&(@yXy=#_7 zA;E?9v7AcuIPpO^Afz(v200v~B6LY6B0-j#C^4&?e(iB$Lg-gY2sww7-UR9Qg~h$= z4r#Hjhpx$Jsh`!yIb300ii;bWJo{8q21O3(CklXAV#y+o@{-r_D#`Co&FQ{5$xIGRh0VP3cdU;%AK$gM-ePxXwv)PAzXd*m(Z@YsHCxS#q^Zy8I8X8y1R9_b&-RSeQ|KORp$gqPC^LPH6T<(n-0kEDhg9-m>h>o9Q(t<{su}*;JN+bMAXFXis%x~a-2vw;|HUqe?6xy(KsWc*?Ug09!@fg$TF+Q*@`yZ5)2 zlaK z&1HF$)yyx44)I0>g#kgWvbOk_&L;(c2>$AE8a0*RFZO*|P3IXwEPrzEmgf6Eev|L> z{A;N6#6i>aGZZ$mSYG-Wu_<=#h_kj3i~g1u)v)gGd>g3AtP)4lKOldt3TAR3r`3sE zEb3nORD=jCbIp=6)q!zt@O?K~7)NR%dh0V{NOH=0nt`j9e%aX3;`iBvns-f0wlDx< z;Cil0-`C9m^66fJT*;Z&kNK2sf)Phlam9J4R~!V87c}|Ep1W(;VfQ<~Ks3Lbdz~|$ zTEi}fB`7!XabFCsZDot|P=pdwSE6o#|8IxQ829bkr$2hB61FSVo9zxu!>8O4RO%_h z6Y2d|$mgd5xn(6W(PaWneo#YWH@z5dCe zC+&?vE*B75@uVk;AL%3feT{hpC*#=t43>Dpd6sQU@qq7oxLo+HbHyuwcCv-r**jPD zRk-WTG0pX0^I%a|>+f@ax>ZAbH(r{*`E=(~6R3xm*eKh=MF=Clfb!=R^(YwS1WH}+ zl&&4wzW!h=x-`!%$+{L+9Qbmw?wh7S+LN0+^=ZG3wqglFKDuH;dfynpJQv|VNdgeL z*zO_a@ZcaD1D`uG^M%6_X|o>CmLF6ixop1by`O`?^V4=bUkwj-L|#QY$P7y@R%1XO z@^KV>a*|+7U!TBd(YCQ!2Tnoq|FFW~ni( zxyqhun(xY6GaX48LOyA;X5mUTJk0}N|GK2Vo9;2Gb=9!bEJH7*=*$QicaZIxpW5~UIQodoU+p&3)&$SW)Pvz zeak|<;z-EZd-Sp~Ir+28WrpqgBli4yekoPXh14nk(+8#917Wa)&2h)QqvnGbxLzs^ zGl9O0;kA=LOMSCGL;N&%Tlip2<-x$-pdC)Tz#4WV)=yYnT~;7@az!kx@_J?c1jn)9 zkLzm>)0=4?&Y^#yo&3877lU;5_sIs%n$Dq5_JT~P?q#+H*H=>*PIRC!UXaGJC~qbz z*6THMD=-`$p8-656xpPaT@9|ir!Ijkj;6Jb^NI8+1~ODiK-oW^Q#&qX_#6!yjsJml>Slm7!tky|YYrRnc{`{gZ3WwQa-1Lq`@8I( zOK5Z_=EDidZ9WPcKeEqO`l@iR#4#9!avQy1%$?@e@r@+*IT8;KVs^;T1?F0ums4jTwred3&jZL z)6jvFcI>Ix-^jQWnIHzX7R)91%!(rT1cN9(xST!+GO*D^vvubQVU@QDmTMSIJc+3n2@CGa#zM13xYEP^W3Zm7<-na;TwWO! zBx~naR!8t>*3^_@=rcYdz)~r!e15Q0eepthk_vbt3~risw>yH0S(ataZtFZpmjry~ z!0kb%+O}!Q4wQ1Mr!X{*45FoWJas-9Y;+wnpf2$U8Mtp*%Ds)i zSm=Fta=q;F<@1)a{9xtj9LHv_8zcH6euqR#>jkaf@y_7It^|90Pzq3osgRk zh1L;CJhv^IsE`4KLtLkG2xLc-y~J-S++kRVw1$g!k&Kzmkx8hyjq)>`OjA8c=k^C%~>wBecgLes|vDAMmNq zpj3$nU1pWQ@f0cLO{xJ!lRv+`JiZBoSf6O}O^#q?Gh_et2~Uw(pu{ z9u|8y`k8B?`bm1|0(0KL18u242=R_heqU7H4oR>P$n0`xlZVK%Fz1BK{#(!c-swoW z%?)RTO{%v(tpDwQb?5*8ny>qQVG8<2o0^ZK%z${NE67h<9~5!@z~CCtNfAX&5<&?5 zuH4#F>G3u$LH1uj{Y*6{7q&e&S@U|tF`0;?;^PkWlD$~fvB`MM2lxz1%CEUe-NzEO zmrB&``ofN=Xb1RIUK4Gy)RU7u;KOwcE>HHK(*byve+MZ5n=5TZ01SEMtUPfxmR^h@ zcx~0*rCa+$VSu?7n~EIH7vI;ZwDQ?!%&=C!0HSE>!chD+@FNeUIKQ)0X;WrcsbIa{ z^@LBYH8;><1Vf{CP(k7Iv2SRmFRg^{8RHe?8?lduq!GEJK6WXeo=`}uAB?tEI8gG5 z#qspYUNI)eYAOC=Dep5Y7p6f$-PicU$mDGTF>vXj)i*bgI<1uLldJa(g5CnRRJPAn zGo_M_ItvG6H^)Ceo?g+L>Z!)|1shz)XKJ8^`V_YJ#TM_W=DYAKvN9xPaYkXm=J*)H z=$Gg68k*JCZQ=6W8}KMKldDrQ29fz?z^B^`h6YSU&gTdAO4ay3t4t`$XbR~;tH$by zjT|m4(F~S-9;kdsvbz=86mWVW;oto|S+5}E#=ko~26FC=564l~)xZ9>ydT9p4?kO4 z5;08OKtv;6SsQt6UarwEe|@w#AfL8mTk%7M6)l0=wFF!**6wYMw;(l_okG#pw(u#B zeo<4xJ|0(FRXQ`;+I2wTEig0aYj%;DfNWrBr)a`7ueC4lb+dq>F(W#N7 zp&BcZPS2~&8qY*BwzKEX`-_H6onI}tFGs=vZ5HZ_r`frtGl%bLK$wgLgdUF&I6PU| z)ps-3&I}l$)S$N7nCv8eQ?iL0HASDN1pzi@z?*6pe=l*|7hE{&Y5gDlY@qLs2Q2>m z2KgTHpHZn|7n|1ZJa4*AJ|WXE3gWo*m}1>Y{s0KP)Zp5X>@L#`qY8HuLJyJqfnoy5qY7|b*jS@hFMV~Kn6nJxz_ z)!2Bls?}M!{!_RkiSac!^P0hT{{NUXA};tn9U=5YrzpLxzCTvN`y#(&NZ2%)Fp}Ab zI^|#*7pJ@kqw{4N{df+>(hyOKeyEEA31@nXf4rMgygiyqgEqCJ2jquKc3hMSfMIe-4sr>2O1Jv>Tplww**`Ym)!N4(z+ z)ff}5RS&Gr=Cwb4c&b(5C~h3?RsXWHSU1HL^L+NiQDr5&E%BpvO$6D0XoZkI>@nprcZp*-k3FsX)$eHdr@=9ou$tp)Z3xa(& zZ?&47a6OK1@xzHl1#I`KTS=IJ#OP6k+)EX@2O2}I0&@2LP$v2^82D}+=P|@Y<$!Zj zT7JV8X+NPb8a^QSC6(QMY)sGx#z>Yu#k^|H+uZZ(E9Ch?S4aLttpiQx*R`=;)jl{N zE5r@#0p0@Pv3Efc>RWsAHY+ohsCluH`lImn=Bvu zB7ruCSBXQ8^hMd9?P%im#}2kx(Zh^kyaS0C16gOXk)-&Z{*O$QJ%0}05a@bPjD~)| zaj%S}PGj?v*YUJ1*>x4nIXCxe^G1!D)35>6=zfBadU;OT?+mAmdGTaYlaw;zii;9M6?g69WbEY%g6U`MU% za{z7u!U%@|5-Hxr*X=3$bKFZ+s|MBEl3YU9%rfBHt!)1(%a5fuF3hxzvYC zXIB0)`I3x3R^P?$-dVnmFC_z<{#A2fLYe~wN#8EGspnR*>f@Lm9tteM+71~-y%NkK zLzJ{iC&Od4cX%P2khzXZ4NdyxuSei_B1&u==@F(@;`S^y`YCG^udnduyp*lk_QV0? zgqr4ezSCk}AJxpl^omi!zD2h>0}n9}2`WKzt$rtp#1_*njZ)uRJrFBMHdb(J00O?!^goEHF)8Sxa zkS}ng&W(*iYGM-wW<+m3ZdvmTj7IF~-)k)4eF)s~u&M9QcAO+|iah||#Nwgrf@tc~ zw%z|z-Brd#)pdI*N$ExyV923Ua*&~ik&dC15)_e^kO3Y#B!@;}02x}kLv-jCq@+tw zx}@Wd&->o*_v<~M&sk@$+W-Ck?X~yfRTu8`>;-W~;JC-E2uZVo!&5*@fOygYg z3&l|D{r%Fer9%#g!CQJBvIvG?P)Q)JlGLI&tyx9_w>b)LCNEf=q0ekRUpDRCv=q<7Ey&oPz2#eBQtrJgEgpsMlzi$kx>SO9LJWMv zNXtkbcu*g3IB-W0pvIXm37B5C+)cwQcb0n^fT!&Bn1A&?^)vD)CrZyzCGm_vm}*U% zM)?_VsHJ>!?U^;->O*fu_Y-h6l`V}9d%LVrl-)<8$U!cclD{#dVFGQu%b>}Bf+uYU zfB?WY;QY(x%_i?f4rmfS!>wPU_){3q=|56yLOkD9G&upt8 zEFU9d_Q94mQBD9~F;zTMr$!_|C;AA*K=BvLy`Dw4y5)OoWBZLfAadv`IH!uD(e2%k zJ56JA{P6LgsS1AsxHxOY^em0A6*U}Oo+A{%P~uC}`>K(a9?;|FMx)G*36Ji3lbDC+ zesg^V@8y#^Rq5TfXnYyp;TYpGcR3Ry=iSn$(enKTh1EY4!9ZAEis`%^VERW-Fg+cjgAmYja zJxK$!kNP!_?Zg8n+tf2ZTMtG~N<23h_h2~1hJ+qMkF&U?{)}^6S+mq*zZ$yxI&XPr zrQ^gQ`qean6Ju42cNOPV%jy?n^yu)$QvKUPm=jC9wQjZ$L3d;q3|R?P-(K!qyLvbi z9G1Ht0N={2?ws`v_2}>4fj^OV!(_(O0UJICPfB!m)-WYy*IQuKN*15EVu30Kbor9Y ze1{$4>fhIp6ul(;elu85B;)w>i-X3gL=udn{i5KQ$~fhbkClD|GlM#QT#Nm-N#VQlPe(Wz$^!$|y z&X>%T<=|O@_oleZvekI}XL==TGHZeH0exYaF-M3REcTypZ?2~fzmcY;1wbhI`zBvd zBpkZ$Rw(kZ@DuHk2633($_>e!Y_}dnXeMHt6!!=UqX;$HB&v0ez03C(2_4)_&l~Or zwtk2ct7~mO`Bx4stJ-a`ySZP_Oqc5td&k#Vws?B9SrzVYxShduq~siln`rj|rmxbO z_8Laeu}JRlLdH4ZVVQbCrRiFZnU|`Hh!JthC zByjOT&jbK6MI#EVrE{==2)kj2HIaI zamVufly*e3s|J!2@^w67#}z%Ig=vfZnWtYzA1mW)I8b}kc8qRytJ2wW7Psc*o{JKe zcJg^L;}n9E#>=<#R*A<6pB`@i<~m)d+t-gJ@NA}a$X{L3aP`Gi`bw-%C)pAj@#kol zurQ`}gLkXutytzsw58Ex356jX!ANSYPQ;HK(&l{w9E{R>YRl<5l$!ZRI2k6IEId-q zn_{G7fB7LPp(&q0vZD*6YXnEsC1H_9U{Hew3tZ-A|I)Itfuk{eECa(%D?EKi`gJu3 zNo6br!!GKHvFZ8gzbwy~5#gqTe<<5t<#IZ~-7&B2nIHg_RSwA{Zg;TaD&%6vtc7Ga z?}2~vIM$nq@R!)b-Jt>Y4tL^}7p;w0F<1%&$}rknT4QxPLB$KuQeWCI7`fG!s@#>x z1X%Tff^Xlr&mLPLyTnsZxTX>3#aru7O!-uEtV5!FSEc<~Td+J3JBDQ=WC;r^uu=&8 z_Og|N9jtN@!ITdO$`4z<7KgEaF7izsty)GFoREfRy5S+oDlkSvZp+j>W-(2IyV9O# zSiOv~B!iK6uec`92cJRNgXK#wNk7q1eNcGuplCZ0Ks3IYM-6J8mn}f?o>Ml3dh2_w zsIecUHt(6o(D={a|E?kGKxE*Q$nP2-59L$dleKwCksab$`aQA%P1ST*1@8O!ZjXeX ze3Gxbo(7DN@)I{(Y2i}%PcJM_t<)SoqJkch$~QjrDw&vj-|UZFL6wT0KgRGT0|!$? z-+V7XSklXHU3zBUSRNjsSvyu-<&zl8?LGn-%6#QNGwp2r#dZ$_&W!9=Kw+tVAs2G62(8TyrrI>Q`adtpq{={sbL&&Inaua*|94XK5 zh(7iHtoOZGZu-}1TzQY!b3||I9pY#gf59kk=TBSTDCAFlk&b)p9JArzd&0n9C9@y9 z{_aC)4&>_*a=%!H?tLuYm}~<|LU|qpdT&wm{XdebsD)*GXz9aD?$4k|-zn5E#%u8) zw?8f!zUlVUHaMc6*T?TyZ3CK-wAL{g|6#o@IN&~iY}Ef#Z|eC51s#rSU^d==Y@f(x zT-n*|k%YOv0|mG`+bagvNVdG!(L+HK>1_ca}zD^<(5%y#BRLTY{0yJ73}+D+9?kp8TWROs?W>QgS@z zL-jx%(=M7C{hha+k(?Lb@OarVr_EeW%a#ja>Hb0|x;6?wy2s}n@HZQ=i~h9Og(wWl zL5Dbf-RhiCyRGX&wj@XbC-9(O))&=s^h5^q;_;c<;u*c{Tn70-Z`UJF1YIGu-|ku` zjM%UD_mh&PbGAF+f7GN*DV*^7Rb^_+J-8I7K5CnJ$xPp$;=_rnL>Wl8m^T1m@~=hO zipzN*0|4)VOqaE+&IYTNKjuglV#trZ>O7bhlg8sI%%$`RFoeB=!M&>4HmJ(1Q)q#G zQHs`E4)S*mA|Te4>!|Ke-sr(wTVQVI7gmd<*mmu6zh4L4@gmU3uL&s&q2%vIR-;AT zZ{kIsC0zIDdGhj^|Ep^xSWu1oYOCItHed9x4(uF0k*1^nqS1r*8is!{<8E@SU)9L+ z7{Lt0nKijD25)9M`iuSQMUGPv z$`86(mDpPC#@qBAYGT-w0$2nL)zuID6Y!buH?IG*OAs~9D2WWZ_LIMAzDlrUjh&Yd zSoaYB@Mmslp@A8&p1K!$pPU&y0aB8B@hdmjRh@o%L}S1c3_G>r4h(V^T_A60)e-c_+dkTs@#IWYyq&F>V|* z70jD;DHp$K6YN-$^-QC5 z^86bLDQ8>r=EJd3NNaGzo}bd~As}4WaO!@B6MK(tN()twDx->RzW2?y%ycOLN6+Xq zN>Z9|>^c+m!Wa(12S5ER2rywX12ZFkc7m`eqEdr^KexYlp_xWe7ApvN(0^o;n)LG{ zhZ(qB91DnCgOSGSX$cYb7gYm&M`MZq&pw{`^(WUzkDnKNsF%=g(i>SQE&k)x#d#%j zI~$T_Yo!ER_Wc!JpPg6&;W>kYZ_9XQD3F{-A76@KZwi~$(R2sR#J{irXylaWgYnT| zhvY@qHK#6Yl0lie=hj5l@&wqW1|oHtIBYR6r$0(U0PXISxKWhB+AUq0QNK@N{hMQl z$(H;I_KF!+el9@hs}(L_LqI9G{LtYLK3sBBWovRA6X`B>61 zj@%o3LqSHv4CrWY#zl&FFmZ1P-$1o(dO~VZDK3Qe7=JbY)984qnvoYmZy*UJN8@=9 znhk&W!If^)QePLuC6*uSHc}i(Om)em>rZz}oMBv{j4{v>$JKj%b#^BE+{;q33SmvTgPowk7?1w>ztUd zrxpk7W&thcw0uFwO|Z))s?Yxo6}PXtb_H%HU? zukPmLri0W3ar$4;gl$>dp1T zD>Z+*$?u=vT~*rnduJam4ZLA+*=a382+Jh+>i`tI%nWIr=;>PG*_yO@Tq4SPW_2_Y zR?Wjof>R=?dn1PzA9n9nC)?6Cz-yRPD9EHSjC~VtIP!M<3Crovkd-l;J;wJYvWJ{O zeR48N(@#;CaHp>JWW|4+cLS6hPOiKt#50FsE{87Ao~g}O0m148h=1iGahNYyhWOYM zXnC19Di6~h%C~Vy_(vJqNVi?xM5i8@tFEy2xhmHCPZ`bRs^P($WxQTU84)OaCQsH~ zXs)KBS6?~Ubw617edD>Jy?|62Mpd4sfhOFeZ0mY6om5j~z+nwk%=>tPrIo1Z8Mu#n zhKX-Nm?+B%+4SpgoHDbb?ns!`USixH>stF8=O%h5JY*YzIa6RtdoFtQJBK9Ra8-Ks zx$<+VCGtnZF+(J%FcKl)N5v5%V1CzOF#7Dvd@yWeQr~XHg2X9Tej_jN-V^9ZaNu0I zoOLRZHKZ%7K&yTAADkA>4WE;^P&u$doIv$HL)b`&~#{X=a(b$+zV zs(VHeq#CT(Jzt=SY-)TpH^9WiC~0bw$Gn#=Jxfu^YKT|GVx}1*5cl6fAL*kP6e8G9 zP%^;awi{UTLLxz>*DdnpSyl`IlY%_Xw()%8esa=yO6A*pc_(Y z`F(9X$O)d>%G|K3Rd$azOk;NtO@1}g?3QVUsf+M1c)Z& zkaCkdKH?|~fBIEr&S#c#IQYpUDSs2gvC6;@blv*v5cJ*bnl3 zyCDqgMK&KeST}B*g4bcXxJlTQCAV_vq@r|ARO2e^6x*yZNWL~9ymNOEGEZijv&vLl zgLpYSzG?bbbNyYGxDVT#1Y6nU?(Eusn@(?W8kKQQTZE%Yu@+df1iQi`ZYBkS>=Xu3Opu+glbWEJaiUv(Hw zjoS+$qH{!kV|&^ETIm(vI5R1B3%((J{LjwMlCEQpg$;^r#wmvR9_8QVoqi;ZV&OYy zq|^oVw6xrn{D;A?kDfFWQ|{lqbx@|MfB%3K=}Q#hjNE`~$INqrHU-s*88-XdH)b_o z)=80g%@tbm=*L(l&yDH4WE0Wl&PhP}!|n9A=c>iIaqex3of6f_=53YzPoSEBZzM5o7a0Ma@{XTYGO-u{b_I2tY>%b?Yv{lcm%r0EsCbZ8=EFX!!#ofSC&=$cy}<#j1veCA zLx>CMWnpn%86%G$it=ifr3xSYu7J_G!xi%BE1&R5ZiFcO0eeM2`sty>B(=vTv}jKe z)ij$|Q`=)N-5r}mXgeF;lT*>U6BA8wbY+$IvO=qv*&ZQ5lc0F8Ijc|&9FM@Nyu|z< z9^7BSBk`b45F8f1^uJg+X2nt^=S{@Qx64s8T4#Q6q%Iz_%a(yKpw?kS^O|B6Sq&zg z+$~79t;mrswCDLZHWp5_=@-+!Os8_qOtnlmve(+D77xUO&lo)ii==pDRIQS#mtI%R z@-v-*i0UMP7X=BU*bJvtjnQEQZel}d4u3~K?*5nQ#Kwjm$Y!OGwjK15X}=tfDDF?h z?yiWOxarBw)o00CfpvE>u=|`?F;Ru{q)l&6zBlz_{ZgvmT7nxbb6dP2mxG)4d;4~Z z?|Qbz!|K~RX2SZS&K(s*GKukmmSoKjld_Wf)dM9oJ)Y1D7bZxWBzr?Jt-K3i5*sb>3oo#ZzBXi1u&(FZ{Jj)!x5j#Iu za^~h}V92eu!EYk>7dh?jewZK+d2D~1qh?%!EYcd35@@`ngt>X?g(_7RXLD3@fLS$y zI#JtbyvZ4h6nav}cW%XdJ3OCK&oR=Ea&I+7DtzLLYWz&*Uq6rQ^A)3z3@IH}i__8x zU{@pGYc2~tpZnKerwh5gS!w94%vecT@BbDlh(=0$Ypr8XpQ_3J`eU3N+aC!IoM@(l z8p!rAV`)pGn4z7;T9KmBV$DdVDuc}QHNsrI4Q0S)?MZ-KWu$A9y}vz+$z~%>O5jeM zqxmW9*ZOf?%DA(>zTqBmIKS;e_nD?0GucbdEz<8AXitzr(N9qhg4N}H>tBfrn+|hz z8RCYJJGZtRd^_QcCIfmETu?@I#d}AxI&!vu)CnCZ=#DZRLa`q{94iO0MbCK{tyGth zPNpELZ;Qi3J+yFz%aGhfPrq1NV9yNg+u}2pJTE_T=fccr;*sMD?|ca1NFhQUAJ1m$ ze8bS#4p}YYqo8vl4UAP0Za^-1Z+Fa#H1tr1^N2JOUsO|UZ)uMfcm-;(d)u~kye@JP zOCSd95J-q~J}&FTks~Vz`+_8-3i-D8vD${#sc?w5KwOf3vXtLWQPu*{>QuGNB-w57 zkb$D~QXf^#rZwQJq5=2ql=BX_)dFhca%2@P&u_g$b`pR7p>OS#;p)Gc7Kv3@adhz9B&#UHO6`K6xH!E6^-CI=GrjB>HlU_r97BXyGnV!2&p35XB)0FHn zM=#ju?4c&UsE?od&UwSy%UlK%E4s^E$S$FPtSsktzkc1ZoN`MQ_a5}4ttXZhotnh! z>T5B#nGB$)Yb8_RBg7OwB@;Udp2|XB<#+l+9&NZGi=?_4aKaD7<3{!=qV$>-j`Dy=?QNLT)o6GPVW(ceWCjG;~q=UfE0f_4* zh7OqUdd;Nty=PF43b`;W&)38?bx=}Dd1^Xt!n-#BpW zq7a=u`wI+HZ#)N)Z;zTk$2Sgb#sFX&OSY`IKJqU-;HF;u1sgrnmr|&%m1d6XFE|iW zsFuo!0{|K#laypQAwy7q<)<& zvE5cS$D{BB5d;iSUr zk1*A`OV6UaAYD&M-9y|74})n9x%%XY0quYGxxk&Q({)Kr{`hHk;G{?!tB=cqch`Ir z_46^vz($AFP@}XiKObvTtm3_PXY2ceD`t-Qy`3@6XcT2b?QKAWnxpaSf96a(gFtNL zP`43QvX{K|5|cH#y;isF8Kk2{*iD(-J-$X=3bQ7E9tleqc>T5#4m`G`#X(mPFR+HA?oC_Oc*C+EaWl0}X`;jf3rO%1~9PGaL7zxF| zFbxGC4|6O+N2zxDx$d{ed6B^o{~n7feG9Q!+=r|M7PXcs&%30xc#oRX_6zR_35sFU zK?29ueFv3Zju$n1Ro_}uHDf&A)LXgryHsp^rT&XG3LnFy%CLBnhy z3#Go4g0|BzdiZppiR+^$Fc+ZyZ(lJ(XK8USGe{dLU2@mn|Ak z)vLsmu};sRGSWnLt76v#wTG)3HRO-Ci&>`z@F%OlF-VHXY59&RTi0#z)Ge2Du0|AR zgP0!wc^?fFZy1RM7t*PCz9=c|ZpN0$jqb{fr&d`&k6EHZrvIM5)m!=uVmW&Gx+&Q; z`B`HGCF_P}FG_%*{G7?D4Y%AGR6U&H#k1m>`S8P-HYdeJQn5X5n?5<(&AOQ=aHg

?=TJz9uo_y>R!?bhCd^G9EB9^i<+|3LqQ7-LBQ;~TRd%6F-4uFti>K3taa*M)Lz}wW(TOPo;{l< zrnR9dhB&_N?%-A3bT-;sK7DPz`Kd%VRx-ByxLoU%x<&8j5p+sUwj$3kO3(qKWNy_b zT}e!T=%b)}{Mm(a@t#kj~@|vsc6>x^UdiGhX4h*1aq)!T7eYP{##0bZy=Ci zFiCHzT8eghbGp2@A?jY}uGBHDjYyS^Oe0%8T0dR4@J?@(MaT&s=*0@jw%?!zwbK3_ zC+9zP=MJG%X$OR2R_8$5_VcC-8e5GFgO>dGFvK;V*wY8$dGuq&A*6%RugoClIsxi) zz%p;t)FVm1pZNb=*NPx@kFyRpPlt3t1@*n=Ut%tZzRv|CJ|=Jxn9cXCTk9$vy43!u z>YDMbqO=~raFQzdandU9VVm1<7~UNu*7Ms;_YJa+B139|O;*@a%?L}kx;uY|b!=g~ z6|DDtdwtMoXW>kV;`Z96O}j{TVVaqibcQ&B+MyxFv9g%6HH^NpfAGv%p4PWO=FWjM za&C4I6G`d6X|6;E7^BIqmAp+uaA7Nd&s&X=L~w5rP$w%fQoM?>IPzA}4lftGsjn@X zO?`{yft(bSyG8-TVIQ*!eT9*@As|(m1a)=B46+lN;(W=DP;LTqY_xyim_|71U;%4Q zJ@jNH$rhb0{vw!l+bSWUAY zysW)VDb!Aqz{JwV>gGh3v)@9BPjT5qmtl&%uh}lk;)8rWlREn&1ZH9N>ROlAs$_aY zh`A->7)H(i zCX)PJr~!XtF>7PUbP6a)G6!6S`>fDBp|{*nu!GdLuVsR-*6^Lt} zIZ&QJ!7NAjTAqK|6rBx+xtob9jQdmbZ`}@Wi(ggD*_!>im4jFK^vz(>#L@wB|MwUH zg~BYi`PJj$xEm>po(SZ5RAh_B26~pHb(6wCXeyU~HPdT3a3Tb2CR%)qSDUk!&e2+8 z%-O>y#3oiwc3yWOykbG=pf)@2VZgQ*1t;o+^~y*S$V5$+xGK`bVbSE1ftsrGibRNK z%!Zdt0zp95H@;k}t=WEIGNA9QHP9valM~TDn}u;Gw>keWZ*!c`*Ra(;WH|jla35cJ zYJ1~G@>PX2%6R_0dB*f)C>5xb+V&1I0D1Vj2aMsmxfp`O=J~v#D@EZP9eTu{Ot%v zg}WkUcnVpuf!-2s62I}8xUrsz1hk}zDwaB;+iqYb!}DmCRsQk=)xiDkw+EmA&>mjT o^bZZ@T==C$V7W%}U!=N&k`Dlv7H{(R?I~AXRr7M*Mf3ar0XIY(s{jB1 literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0100.DaiXE2Ht.png b/docs/.vitepress/dist/assets/fsm-image-0100.DaiXE2Ht.png new file mode 100644 index 0000000000000000000000000000000000000000..f71e7500f972507f7572240274b1faa77ebeb498 GIT binary patch literal 52125 zcmV)yK$5?SP)yPf0{URCt{1y$5tu*R?(inZW;7ul;%*!D1Wsu4C6Yv_bsgk*}XgVbWV$vOR&E6*VpG3TZn72Mc`HBMI0qUAzqusu9g3fB<2fJ#c z-ClZY^$pw}2HP7P^DsDviQR$XT!2RhcR7OKDC>!cgFO1<3@toS6 zB_YO0j%G)W5+fZDo8h0q6nAKkQLt=P%3)j)r?LiU*QlW}gNkW7wfc^LH-+DY?}G%| z&tf8$#K*`)OPgsM+d6Q2VQ&{7i`{8a{oDa{e&+<*Pc^}eDuf@ThHk0pC__1XD$M7` zplcwL*A!e-O`|HVB8dV%#x0kC`q@mvSu5bGqoy5(L6{}TR897d$r*s5QX(5hkWiHf zj}PuUli7o~hN$7|I@?-o)A2o+K>MjA9VBi$7{+OQH>eUZbVC4MXF8ZE6IqW$kiF}s z7QsV8;ed~^*hNjL3ACT>6gBa{PGM*_sH9RwLE{`}6^4v9b_QP9Fo14NH0&__=@FzesV1b`-gRMH`YX+q$=|TM;#I4x37t%3&H&a3QH+?|floMGXMp zRibp1>J$F)PTiDqvDwp~yM)a5WC28x6rO5%nC&Tnc|3Nxb~ zJVEmiIm4apG!D^j=pb2{A;2v&lNuqPAI@GsrEW^wDD_e5p|pw82KJ``+}tDSx>#I; zh=@tWM6~NC0(^qbS~5j+hPCiIx@p7gQPuG+qA>Ff;>wZ6-7Bh8cTkp>!Li zQ>uew^W1N*t$$@}?bs4erk5T?z$-fbXRtte&;lf?$+Tk#_nAm>iFU%E+aT)z<1&+e zw0`H^Qx`qEYUd|~jo%)LOg=1sw4>>v8C##7o}XDF9ig-ixjh3s7wJtj+M(UW_QT`N z%>>%dZsPG8hV2!%5XuG*hhrxJJ?z!L&Mkg0eZ^l^?3z#%`tB&5P^Q0}S9#yOjZb8( zUa-H$Lxrs_WEx?rqR#J#z&T?n&d?4>H9#9TLAYwBbb(91cWTbq+^W3_!?PPDE;xGI z31jK&>&Y|en_kP_m9H@--;Tg$i=kmg3{%NsW9(=G?Pog?TV2<65q7QdO`w}>=TuQT zue$ZY>5D(wQoLWGjmRomk=57WSXL8xK6A@USzC8WOj`_6rT|zrKW?x;gAKi1iuM@A z*$Q}Yq_v>QgqnU-%fH-Kao@D{SaUKz%l}#d1RL}w8m2g@9fyX8<*r~8TJ={YA z`nw^bnr-3B3Wq*}mnuO&Ojg9Sfx%P;kE>&$8B95^rgZ$iiu*HGENN9MQ2~@OoHnTW z4Acah47Tx#DgA8EvB&1-tn;#iK+?JQ7gTo;?qxeTCuS!Tdq2mub?Ee?-SO*f%NaV@ z0E@b&M{r^A5|zO?jK}Ri57a-9xxUa89NRQBE2vq7MyQDY0J8e@UB&lJ+pq@@1s(#S zaw(qZ4u5+B>gNCn(+p3SG$li0FY3%C3tauHgUwH+ui7I~TToZf6i+OrFO1AQj2mfk zY0G`-8+HV!n{Z7~LsyX|4E~+OahaC2$c)>lyRPExj`&s)kfgKF8Nvi5m_)*3l0zDs z=-CI`?_ZdcV=zHWV~ZzI76rj3kw)uVnAY7)IDkJZXt{sJ)^ft|9}&@14zvqXZ5RF4 z1pTaJnx+m4A;s+>VelmEip9-j^o-Tp{S4~xx)xC}QA)Eng<*NxfrkU&%P9S#sQxc= za?3$`XY!)1+B*<8Yt$sfUlC~6u>i)oiuM?R2bn91PbhC|%!z3bRl`s?6@{y{LHfYV zRjXZIuce18nrdYu9~T>ocY`YrQu^-N{hx0++Q1Xz6p&=9G-dF7R5f3&T)sQkE6Z z6O}0(hT$%rfFGdKi}sF+y2hq~XR5LZ5?mf;M^zB&HIzQJJnxI5YM5(&F>{S-Y@#td zj-|D5u5RB~Mf)5`1P65b*woc)8%V&OYwG}xz|C@1cv^zpeltIOMbJh2Y7NG`71#jwO@aVL}GCH#2W za$SaYQ@~rZ&`ur6NkolQ(s_jem1to!(LXbLV|G=rMFdpn+y-M8 zsEntXPta*0`$$JV%;dhuvo?Ho%-zHVZ}i$|nqqK1Yc?|>P&F42B z{bZlpNA2us%_?&mQ=rmj?Bb93t8CR3Ryu)ps@tJ$h9yUFRUFO%Kc&+Tx4yJ|r<*JG zcx|hx2ABa4v)ouVyYO&W&}BZ=2&Tztsx0YD7g&7M^K?dTDF)l314a{Zl)?=gSCgNh z(?Ay7CBh6kI|Ih;lQR$EehB$xz5~z=q+5wo&SY4NG2m{|)Cee#ATzF1=$$jR9ta6H zFe(Yr+DgRn&&HzNDsB}w)HODFQ4mtZGYXF=l?)-7!@@LDdjEIXYrM<_LJ*cR+E*f= zXPIGEIYc+@b>YNqsyfeO#mNpDO!L2g*0wAU_r@XGO_TqNF;Z57&Q@ZkIVO%rFqUS_ z%59SmHCC~xIBqI3421>ED0Oi}who;KVmLp#BYa>Ecuo2F^j8$1`?5OO>b#tpP;DuhZQgIP+mE7d1vuPZ~9 zo7>L`vGW=vHZ1E0x6d2G%ufD;S1`nb^d;--pvwlEk?1z*XbkHypEC+O6Zz%WXS zTPVG4#)^Xmdye@mI#TifY9Q?t2@pzEA(X_zE$ zvQMBbo^5TFsOZ#ViQB}mt$1-lr;@jura0$ps59^*+KI>(#spPOU=PioVVKlol)jpM zVAhfLI$^-m9A$$z+7Me`+ce5+UnJ<9 zAY5_S318JpPv;z{72Y!3fzfkc0NvrE)e!BrG_J6XhulR%G2!vWdGp&CJ26a4EeGM! zh}NPtxcmsa(%@T7H;EcoFo^C_r)5|q9v%Y7_nyC=h2Xil~Y)r6}tem_kR{QzPRyVGv8D~ z+9yu5%Zg!GQ8k3&K{w7;wA+mRcp{YZRhX0rz>=k*n-Xat6iy9O0u0T5vh7(&+RjACeUE3+$sMEVL(YS^Ihwck#?B+nn=X!HC1)S6ApcBz=GN)UIdnVipq#P!#eg;N_ygB^#+ z*f>jRAUt)^(%5-EBXPJ?EkWJDl0zE&%L-<{76hfor!T9(RVPFQMLW7sBg6ydf*BAe&T5N zW2DyCTMtg#c92y%(M8c%?mR>J+v-XZL#EYF3S;X<1Q)AxsA?=81Qf$>wiQp=!Gut6 zG-iYg^r}t`XHbo)+h~s?I%R0r_2>@+)tw+^%$r+PUoE5@guh|R+)r*`*&mp-9nJc) zGdGoCWU_9dQFpL!Vuj73^-i}f^Qu+ipSkNytR;j@T%}SSHJ{4fKD*jvXOAKbdzhS@ zK>OKDJe13aIV~?N*pOG%!c(L$YMv9by(zrplrz>%#BW*XWL0i--ev;+^AVX;0^(vGY{TNdLb3$gaQ>VHPCKig-<73fow~H}!@pnY`fxPf` zVbyzk>KWQ4uFGj?AdRotPteKIkFl`=+7D1r+Ov=NWr^?%XuIq*Gx1=5sN>L196W{_ zgNSaT2PwkKTEKRV(EGl~IDoPDei1gyF5!ae?t(pcjvSTJEBWw&gPOwZb1Y6Yp6C?Y zMX?@80_l6vxCEncP6nU=QmZt*^Xta8%4k=Q^PM%ZA7^8}>5`jUi%n#We|={24~V5=$&en>g2yI5&y-Uppx6 z3LW*`)<*Q9=?+IM!rQ^a33Yy$IBsGqki-h8B`AtMHE(lPD>Kpa=n;NB?D_fg=dWD3 za>lfo-OfAz@X-=h6P3i>W;>O<-5FW~f_*_jL2`0(ad9zm>e#mb!!*2@WAt!l{+>Ek zJj66ly5I!Cf?+z>vUJqMFuRbA$-=w!f*pI(Qc{Z!6{^gm z^i%$c(@Lk9w^K`!2k7qIySGoDJ_q&}(@xQD=rDJAl#ZOXrkeL>J9)Hot%*4OsHTt_ zaw)z2t1K@S3!;e(oP^ST#!-~F+sUL+4%`v#Z1rK$CzWe2Z7OfVI#nu@#B~Ro;oH%) z6JUNk!JWqzG<{Og)Mhak*ilX%zQ3R-H94j5;69B0qO4l_S&4Sr-)?jG-Me=KZ$Ba0 zk+-ig7}|M_0qgCP`)945p1KHr1a-?~7J-A5-Z3GoRuzS@;4m`SF|Q^1z@2H-3ECNv zlDrr0JiSVLam_&wkF2rz2jNjrwKA|fIBBnZ&HxSc*aKhH*cv?L|cp6$Dndi5^Yzeiy$YFGz~vlH!30@y2xvTxtM zzJ2?i5bczqeOj&h{Q5&JRLr_*o~#X1@OCRwO5=SydA9uD7w_EMj3GW8?c}6aH$gu? zog~^}rD0$FBd6?}V+x?1@N6trD%K=c{R!RyPCxk$-+=bD3LQOlt;Z^UCeY5~LQE5Q zd;h-uN=gqIcC2SSZMajZx2viOIJZUmf`Wn`J$i7oJ8beXX#eu4_w~HWmgtAar2woT^<2{@>9@mmB~Qe9S&?If;Rb5N?)A6eRFltZ=+p{Nk~r6nL)88 z_Y8fS{3jE%^dwcfmS+uW^TE%Kas-Tzxwkl)yH+{H|SvZA9^e3TRmu<&;Sf^n{ zlLHt{_4h^F*0|c+sqK5?XTq6{b~sGA`@@-)z=2VX>t(Ll@r&3D6F_ z{p`2Wff!G5^T89o9XD>=*sou|y}Nens;02c>%7twuQ$MsWmt?)3L60Jt^W$zElECP z$TIKoj4dcYZ~sSj{>qvb=7i%%I?+uUUm1u$nRs9_e-3lb{UGp|nVC6x^5nH^*V@QG z+2cXSz%g&GMyGrlYeT6vv*mR z@4WNQZQHg1&f%QB@4owZ;KLbDKZte{i%Rga(Ch-GnUW`#ZJXW3^ma*8MLrDkw<%Z^ z&Eb1L$)g|kQW5Iy)pD%K(4>bB9bUL_;mDhB@6$VJ#O*iDTQs+5-+{B1w;L8w%jM4U z;VfY$qAIFs=!Ve1;1ndpU{$5|H@>^OPDoro1?@unlH~||GOVZpjV(zfMoK@kXw_yn zbHhY1_?{KYA)O{aovGxM)!%*h-Nzq)+!pU2c;JC? zu+F}cVE{{iCHL?bm7yBMXGJI!5uefw*49lUmPmNAXyj1%fSX*K5F9Medv>8SpF#*$Npx98dG^$s39c>etP)KcuHPMtbz*f6eMo!Qh8 z?E>nc9@^GB#bhiScze6Xl2xhz?b{pPI2hVP>C)!Nr>j@HdBbn!uK`5rf~m)F=O0CY z_T9+an@AMx+)5{^Q(?@eV#*BgDmj}ya6HkK|H%zSHg6Y)DSwkM5~6)_jr{!jLs7KT zldU8r{Oy{d%IG?UbN$XG+lS1{n`qGAP1y>t&-T!FHtd_W=a3J#MLbRZPbAw=XH#BY zUf;fbH*DBo2Qud7=BB2mZr{HB=lFuBlw#0s5(i_49~+Sro(;*Wn1s~#XJmf5v1~o1 zFCV4PmeOYq>sNlaYGD-%stXF0T{rBw&6BsHd9kwPsPERaS|!r$TVXkAtkpt9og8AE z^!-maofh71Ki5Ehhcg(R6!z3u{M&>zNN=NmSzaKlDJPG1Zg8~}Hui^y(lG};Jr@@J zCbOd3l7`_MJcFn2zI%FJm8frdHkjy~Xy;F+PoLhiXHS>QWlw%#Vc~h_ows!9(x2^H zo+^p~jOiHSO;~!5tcH<$uW)%U&Dh-|vwTR7Cv|npf6uKLJiBN|hzH7BY9BM{v05q7 zE@MBM0PU+Kdf%*#p3eO3?5IU%4!oWF+s|4_-nj+&b3*LoR%S<&G+h|#bYffS76<4f zi}IM0jQkXTJHc~3WQ*u3VU77(sT1wFE0f+42!-rFoQ@;NC>yx_kPkHPrvi?mg^%bRP ztWPL{<-!D!QSi~kHi@U7S$kN zcV+qLgLAietQU1&S=vgb#@@1`MYO8MS21~d`nyL&C)lt%x^b~yWy`ZlqNAp+62Yww zy`7&Mu%e2l+PFhItT+zXfx@#Ia2X`4KO7t!8z>z#FaJLl)%^Q1&u}G_qLZoXbdpI-Sy=IC4c<-F)I}vZO~t-0i-UAn z`p)y0HU4{M%WvjYKe9d0%*(v9uvx1E6t6yP6)Lp$oWWW_v%GXs@Ki+Khyw-op$5Ba zYCU~sb%|H}K(rlbBH5i6zY+Cn$PX<0&q7^;c4ka6>|V}9Ol81Cf5umtby!ahg(?%x z^~`(8aZPx*5{5DGxzmuud$8gv%Wy&~C|kh*R>-)1`JVsIs`qeL@xyj01vGv|r^Q+AAUoNVBaBIsEEFp&frh)RCA~Qa~eX!2 z_Q8K5I&QBM(I8y6wxE7OY*>)$7;U7pz*h_Pie5k5!bP z)Xh(J2${${ejZE*emYFZkCu93@N7muXhz{09VknySSxa^PKRcd|2m_re`e_%1>Wwg=jL>?RaUiEyeL};!aRF+Eu(J zigt41$Bt+>9hQTnk33{FK zx$c;g&L-h|=9XHE)ZvtL0$z^}g4N@XQu^3#fA5)v7c3~s!8Wg0Ra()N05)|JTT^Ty z$3lSoD7qUFQ8ZRztU?bGp(nzQeQ3_cWg(Onu`;U8D^iK+&fETE z0_J1~#}K<4#))(D#j@quH{3Wv(}n7B!G#y)Y}jN)k)Ou#{lBQ=oSf{m62Y{cr=|4| zbzaIw$4!+HpqI?vdGXB6d6Xg3P$CSuhQ>7^m?bzCWmWNbJk=HDhYyt;K60$l-Ky!) zDJBH2R`Jj`cyT*WA3^0D_05ue++$doMn;z&>sN#ybfDdcN5FR_+M`wbxx_AGvRZac z`;!Yd9L81A8RzCfNbG{9rj}W=(;t28i7T%BQ=hc{J(5y-C8x0`y;FN8rCs*>tL}g3 z@j3IBmR8glCYG^8*aj(GTH(29+UD1eDXsipMr~H+p?tUoSg$^$P@hTz22YjIX$;^= z2mbY=f2hrT99#SZ*!YQoea@~t+{VFQ{O3Q%zw^#}n>KA3Gv<{UGiHHOdgA^)r;MDy z>wxx7xbex_u1M^C#S6A&PUrsfXu1m;GJ=>TF=}4k4YSwnqr6Xn&N?(2Itd#)^9$bo z@WVgfe%qi6FYMX7S4v7suU@@+^hr(bH)z1%i>|%)=J($HI45T#{3|L}yf6{VDtheq zwrNkzS$hbdv)pP^;$^6H@vAu6qwQ#Z$^myesmsu=i~7(ERwlOKLlG3@E}h;rXVoT5 zO1Js{x^+1tZoai|zd^lI`u0lcd+m)kKl{R%H{bi{lkpQj`sakV-v9L37hd`E&37aZ z7}hg&@cDgGZ@Kff^&8g70aULj&2I{hoUt~CuofR|ITU7{F$oW&x4Z;cY?z2j7_p4Q zjM<<+(qu(!=dF{spXvbz7coxwt|IBK%36j7_4Kp#pD@J#Ptpq55jLi^ii$NfYf)KI z8u(u=r4x78{55OKF_alKLv5<79{<6|mkhnMXOGmRfrAHMamDTT-1qWpZ+HAT_i)j7x=Q}W|{nGUp*j(4+{P;=ni z6uri1Sl3n1-b+ICwfSoRnYEJo-ap6o8_+*FDebzeM~wUY+x!D1m0o{KNOp%Jje$U$ zq%;P#x^}5OOg#~rUwHJ3?`B+g>n+`Tb{m$GHum-R+UotF@0C;f!lE_HD%)EzC=<8^ zF&Xn$P0|V4?L#V_=(MAqMA5Ebl}H;Wlq=^T6iq6s|7i1}Mumb1nw&NeIQFaWd~&p^ z(H&G9e34d}HU!j$pzatZjK+Z37}V=L0grARtZ97h)6WK6a9NL}0Z%{wk7G@3IY%nm z>_`egyRIl!I+QT0;2#8=M#<=zQ?-a-J;G?m+Xrf>#u?~|HEe{VfEO)$j0S&0r$)?1 zWc3f#s1b>Bp$~S6Zn7>`hIMM-MEwhoSOJmn#~+JRA~5=|{JXZ{J@(OQH&Z*nlFyY& zG#Hww3?~MkVT1K-&mD3+ z@4#ODFB*2`^4#JAliojR4oD3x+`!A~ovMTx@^~t@y&3E&{!swwO6nrsZipl_+z^Zt zQEa9G=c5jg+Aq_mzgl#~jd!H>8$9y9M|bQ$1~1loq^6M393hphq53eX3z@Yc6CY_^ zPz*qReIN|0RUOb9WxD4`)dNrd?fhP;f4X5rVR?CoQW<@!ENF^oSQL;|8&xe?3lPVu zA7E9J0D5a9cr2lv-!w?TwA%a8<8XpE5KkONat3kUo>sA(PDUPqoI5AG~v?AOFD%?C;Z#KZO4x{_6rb!uZ4GsI*P^%$&%cXHpV-jzss5 zrM-v~#2~7wOHQb3rt_W(O75&J+q)B{|Dw;p9z%yuT98@o4FUdvdpG%wMwQmcrmH=| zxJXE;3u(2n`wGBc9S8x>Z)*?Cm^S}{i>~?a)Jq;7H|@Qpo4kBOXxRKnXYqLK7_z!Z zbS!rtXVgV{I}y=N=!4Nkh>~XLJm+{%xj*Idk-thB@ab3If=tyMHtYOqwMVKA8g*g9 zR{};&7$5PuHOyv7uL(-EVOk^6+K5r>k4&4j@VDK154mXA{$qy}-hIY24HUXG)}4!0LPx{=b=CS4Mti-L4+JDt%;zIDkHj`_zOYWuXf<=x#iZ|`)ykzeu3 z)?+ViEcyGo;%8Rv`|HZRPcGZ__>vuuEXsRu!PfidZN4Xc!{}M-?wqma)+sCaxMlKk zd))l(vJsP(b~_I#UA)q{n;bXAnb=c|iwP(k!J`(+k$_<*i?5-#Tga?cc4xW7>xM=H@=QVB6zMcKvl_;fos%zqYO7?VUC6 z?WrGopl#eC&*w+{6U)Mrs+6g9=8Q%k!MyF!54mL zVcz}owvC>-8GglW->$jso0X#`uXW5DRbgPR2$?RG)<(`FB^ZcIu7c5y{ zD$!?v(X$|iJ-s*#DlV5G{gqN?&NlNSg zr)zI%^2iaZL7$?AMQI_0stV&gz++#kQ3j^xTsdRy$^eVI;`tSA$n8szSpm!1MQJW} z7hCF~>9yw6vdH+oO&@Kqer?^Mzh-WKVEVedzRe!>&GONcmOq}c`I&{eFE88u?)u_! z+m1~xaLqp0u<%IpYL{ zuJ7=A3pU=Xru3*n_j--3jp4O5fy|>Va}G65*;_q6uk^i~17nu&f@y)tx$D~%qbIR( z|FpGFEy#Oy?LnBv&-OLXs8llQ2uyE|O1GoKMd|OSgw1&Hye* z&tI^(cT(zz+wZLOdg~RvA!62e)kcX{c^G4_4wz+Ovphlo?MNWP4ldGyx_y#v~4?OVBQC7HMyxOO z|4KC0*{Z*-TZv^-dA%DeY&S^ z!hzQ5l~Sggt_;!5M2NCSaD#GDwi>r-145z=H*45ZW%cigMf=`C%;<2wcv3g{k@xTP z--;QO+7?d*t(qbHnfw%Jz@P8JsVKu~IZSCWA~_F)3xzIfrBf>+;|rTU*j@!w`rPt8 z52tUuW6Fw=-z>dr`s&B#ZFzQS?z`)^PuQ_NyI4ZisDKUB0fS4e@FFb*1U=yJx6GQ3*pms<=Da-FXVn(OpBT$Ppxuyr)L#YpF z-Y{(s($*lY_vuvurA#ra4GP>Ics}kczMZt%uvQb%V4K0#@#vnW16jgMf_A({CovjvL|qkKBYrjTMyb`QddZ6YL$FU2Qw?f1P+2m zFDMOI-ja#!?!2gj|XcFF3J%s6qRk#*#SD<6?~(pcFgvpPi61CYs%95 zCTHD0G4u8@<9ek2?v+NQE=CK_*O5 z50ZKiy+C$~5N1RT8Z{veMzcao-2srwU|}k~3S0@J9!7v&=8}zS$!G?_-mSLDwAyEY zBH;2HaDI>fF8zOc_5WhZob@~QPTQFGdhWi*Hx%EqsOZL7g_q4d)Ia^$Zx)sQ=c2>s zEk5wK8oCE}RRononL%CFPn`7HnP7|5=^N+Z;|V6{$Iqu>;h%dk1D5Bq4UYgW2yl@e z^f*^gANc$0`wqXdqS4nF*6SssTGlH=DzF4VHH?NJQ}JL6RR>kra!tTXg22hmGSK_N zMvF{p{gL{h+^UlLpvrCaT%{LsWmp6L-yAmTJ<@=Suek8CD}5mrQ-@LBqD~$czIaA9 zf9@>h1kiAHJr}cq-aEU3>uIH*&fD36hTqSIx3fSZe*%w+%7eU!ed-f*r(Av0Edww4 zeMy}g&lHWeV5ZJvlw%Uyo0NdY}k zbNS?^hykP88-YK8uT+Pa@>tOxY6|JCvRTpMZHh4VjyfZ?Ohp{I;@OD|2`S-4@teiS^>ih4% zKW*By0|yQ)Uc8t(n16eF`}FD4Gv>~(sjC&T4a^3sxIzyeI@&X>|KDDHyIC@;yi%1< zg_RI<8f3;&;M?#@rAPjHZq|nrr%uYqs`bk?KFKY^ixF5(_|(MoMdPMre3QPoye-(I z(kj2)3`^@#8@(nxfmN(i7~0`huYLSQkG{iJZ`%__)sdOX2uoxS5XKy;ExTGyefy?^ zl_7(#k*QEwW@wKuVJtyW!>=toqBY}*r=NvQwXd|M0VQ+B1JK-CgSsK?F(&T$WO%nt zqZ4N@*j9WLxJXq%bDNCWG{6x6XM{KW-TbWWhmM^H?Jh5q|Et}hl!3!hLN6c#zw#8TG)zHCwy}&B$JV^Zk#(#kF1; z1hB@Caot@H&0DzCtbZs5lr?@L>!uOb6x}JGCn#P>pgmgb#31b+->{1=y=C-0AZasc z5#;T#!PPz3T`XEgWlSE>zGi39??>G6ziETl?2MrjwZQC|@zIX55Yp%KG?|=V0 zf6MK5zxCEzGiJ>A{PWNM@P|KKdg-Oj&CN6pc{@LuBuN@NbSQ9jYJJ6J%a*}0#;++W zE4$!=3*eC=T3&eR#mSStWyUK-MoSMJdB@D{{(FXh()b)^l!{`Ucy#Hw%DD&;%=imD1wuhdCx2oGCwO;9_yB~bvjSpL8 z+7hPBZiila(Bhb#FKD<8S{G12YZ!6IeZq>yLgWnH)PysT=yu5sQ@7GIbZW=^B`D4a z^+88}QCOn;+ki{;AP-KexjAGyu7?yw{9SZ*yg&% z#zy!(yeHYnkt3gf{&~vP6_dU+=H+|tx?9&2&f5*mOzS&v)B_K<%O*^BS!)pZIk&(w zuUmwaDcBBj#yz* zR_nM(0_|}~g0~Z!D4jfIYWLpBo3`(Q)4xS!rW_V?fv#Ns2%CWk_85zioxAJT$pi9> zkJK~&u3Cq0wd=IrudK@5`K#V(+lop6?M(SU4(%+~D`e2Jnf4pKFv#YDCH*DBIV;MLc3M*Hx1R1%is><%?clzijO7g9(t^NA-8#r)a zQ&SUlw0wle-Me?Erl#iS=W{b7%*8$T-FwT3n}Mrq5}?PdtEunRJLQ#k-fs>nptrj< z%GaaJBe5p|6-4nxYq$Pq_taIJcLKcYJfZf8k+phbkF>!na&ps`tUNz;VD_fGX3)4o zM!jEJkiD)~@{m;sR&U$IO!pC5hq`@bTM+ol>a9Dvr43rQy}(AhWs?_ZFZZeqz)QkLjVF{maPT8f zJkHV1ZR|FZJs!{CfrDVUTrU0!?}lpIw&75K=RTir#E21#7A>Nbny0_^$VsE!K7L+* z{q;V5`doI|Wx&;`)lL|`@!fadJ$(3ZyT_=en^#?P^&Pj5Y z(HdBm%&x#;B+LrJPp->Sy!lHLgk*A8uKhRcv4?m}>YIbNQ zNZ@5{5$@ap-VT!d#@$7YOb<7hO+HNEIiP)A-tO~K2EbdLqMfPkXaHuXMo_u@>T9pR z=|&>L=`6>ah37Y3dp)I3@|9O!$pP>D!gY0ZTeof+Q_7 zrYH|S_+WB!^3_*gjmcx|t+uwdw6wHG9(jaX=R$2=L%#w2|MBt|%r#*V8e2APP3n_2 zd*R}mwm^$aa8B9jTaQ{+eL#Z+d*jmy=cNtVv~#~Z%%Bcq(~g4klly)A#iaMgO*}t& zz|Q?gTEk|8R|d|%y|AQD%CHYUnb;WAT|u=xVpK>b=wqes0IY6+=*fWo#M^X%%tc-j=YYRmTj>7B;W4i~e~>@ellJ{#@Kx)e}b zBKmc=+;-J<*D6{xayDv8AVigw2k(CX00Mvq9&W!5U$~^CBqJl^s;jO_NlAI`x#y?_ z^$rccPC5Ncv2y(*#v4KB9XWEOGdP9&tw5N+!6v;pFbaXJ3P0qf9vgcFT7|ti!`F98VSSWj{Kc{lKX-r4?qR9!wJf* zbTEmyBucA7C#5g`P4d7sTXzEk2f%~O50C~)erD#fZ|5xdRnHVaJBaa(aQe0f*KFC* zJ$dl#%xq8rD!odzOe@i!*B}$%?X~`hTh?aIUznVfwrkWg14=R0|L0K%FSIXX$ z_uJ(DOvn#1kA1bzfSbVnWLq?>SNUaEkR2SrlVDr^;p*$IzWzF=mCkOe%QRUO7l9*Q zeDTG=0t*TXqUx;`NVja+GEj%PmyX7v2M%cc`t|$?KU}9xo0gQ6G<)`JitPtMI0=P9 ztRBCvhr{92w0^VZ%x3;b>||sbT6t+juih!2PWY<2)z3nj{0dAZ%pgZQ;IqanZ`^b6 z*GU7GIT?sfNTEN0dtwW@T*=KLN5hHWhAuH3TB(fT0&Y@X&*l0l~0CUt!w=hAHxP)@;f& z0Tg}v_MJ0l4qiz(C+EoDwQCpP1x^HKi-glhCyn;X%F1`%d51se-w(jwyLa#0++6s^ zTeoiQ(W3{o4!L>r=KblaYl7hr3t~|u?tzmdN^(lSNB{aa;MmO)^N4V@3c$%$D9D1_ z;aDB=`|CcKIHkrD0R^eLJ^1QJ<1V}Y=86_CNKkz*`u!(gPviCK>b>DNKlyCXWmmy6 zH-)i$RhUJ{yTY^{#I2Cl5RhRLy!6WJNqth<+^xJ4Cg$7PUUg?j7yiQ>Nev0a#~pRF z$t$Wp{O|Z(>^H93ud|x>CCGaVriNUUgbz-1N@7xz41DJ57Bc1AW%_JF>c&Acr6kN zBikSV5Mbc>`S~_)x22M~bLZZB@4fa9i#xp?P(6J3a5#7l!>lRG8_Nf4X+~6@LUt9(a3w*x<2rz}xF2UXF&D z?!H~H?4oOKV$~@^I-J47|8)I~Maz94aYghg^Ojt8!)+`wC#be5WYA?-&Req?R-(>t zR(rIjAcZBVj<9M3z}xG55pP7l`uZC$yyQ|=%EGV)v9CSh5|M%;4H%!E)_G(=IhP}HfDWMmP5ILR3#C>|X+k}yiCDrt= zbj-}h#i<6Q!k*4HHq|yJ+8yo}t9IQO-4BPQxvWe~TPy79e8y(t0aA7yH@8pg8jq&} z?ono~GUK|;?=Yt)_34Eww{~hvMXw&cNLG$>$*=wm0hJNItnZ=pSM-!_JUnNXzFtfQ zaA#6_{|l~9ECqyuWs>0_tA1Nvawc*4o%pBlqyRZVb%Qx(erRhdaFOC`N4D9X63}d5 z<1cXG-vdenb3}O79?wIGx!b0{B&Ej@Ki{=@Qw=7LSUa&$k-Et^zo6Sv5~AW8pE2zL zl;*U}uJ8JKK`_!Eu~(gnav4cv#pzhbmnXncXe7aYnlH~ zEUzj8S4->NV!(#7GTC3pR`#dpy`GB{hQq@{EYat4SY^mpkJ%QqeYSV_J-PNIv0{kJ zcgIU-g|Q-V^+b6CHaFyg&6B>52SqcO;zgFm4r>qdVtoqnpB}88g6T zSg~cM#x>w}x!?-rF}*A?<7!QZw4J5RHipy%u=!rnS_s!ZvZ8JajujW@mcOSJjmFBu zUn5o3`0rhP0xFBX0I0bP3?aKxn&7BSNai=E@x)Dfc%4A6tkn*cvYLR7a z-L4RQzOXVlrd0H_cfxXL_t+J(u>uLzbuaJq`qXJDFbXZs&yx##&ZpyAv>&MQx`@XD zb`uYdzl!x6_g0)doEri3-1@)lQMyGJ%)?E>gN4Mb%`D_~<~+IT1A;Ay>cgtP)bcVX zY2ss$ObECj{>`GlF$%dgAB3hG`}TjKdBP$t$oz1CPti~EzHYGb5eATW_GHr8W z^(y>uX5iLf+(2YD&q&odiS>_9@t5{_67i&lPLGx*JwI_3&C+k$UvMRV6GEgWTTw~xN%L1f9q#}X`qHO1QKOa2y(R0c0lBDwpR zH-05fQ`0zIVh*gDcNVVrQGANcetUwk+IFMjarT0B!T7%tqB}gS81_1}#zNs{_gF_1 zC1RbZycQd=w=Um~O9;5YKc|UTqLLLg={fp|AT_GWcUDsbO9q-}SMFjsmkn)j1n(vBqp?1U7NqST4y zc(EC&wry(>{3>}wmZ5URraWz#zk>#Gc9)z}&e0>I^iV!DGIEm<(Dv&}^68Ojy*pyp?hW=ch^244v@cW8s(3DvFZd!( zW4-ryPr=~Epwpv!ZM!+kYz(YF1jQx74H)mb^{Jc$Kh5esy*t`8wbY9~Ks z$qLp7&Z2L8Rg^S~`W)hMY(oPR6BFBA8byiAuw*Lwt(4q3`&P{~Z$-O`grX|^-hV@C zeU~?hOV+Bo!2H0~=Hd0eYLozAU;}ts1lQCB(;g(@gj+V+Xg=+xW0np6pet}kQp?Lq z=FjWgba)d^hC;`;$?_&dAmrxXL`xbJc0Y~7Lw-EdFKtv0B2;MyE2``DI0jVJSf)=^ znM4H@j|M0J7YJ>G87O!18FG)aXL+q36m%>gZ6&$bs}%h$+kPs(OR|-9VC-EIh{s1W zjoItVh$AY=5Ph!afX=7LX9Ude^4}Ap-wVA^_5ftlDy9Izdp$v5trcgag@XP)BK_OY zBp-NK0^y7{uSEqT+sQ%%$((YCT`Y;-5H{aum zI@|ot22}b9=F+}j{xsNfe>0e8K=r;-7K)`f3O~s#rk8rHdyj`PSVe9Vw>QP}!2R)g z1)KbB;kqd46-)FrsH*O}tpQb}0JV{z_ni|qbaHkKUzmZk!ENTF-2x<9{sl?jae?~> z8X6f5&PiYBNJs}eHsN)PEIPzvsRp@PIy`cL{aoruh$^;-h(f?~J(~CKBpK{EAs}-2 zX(TgTZkaPai~@;(VvS*Fl_$(IwxjTq7WEA6LzkEAo&4d=;?P-Zzq)?rzi>afse5l& zSgbJ)!_PAv9_n}HC>==ijx{h+XCa~v6GAr}Zf^i?u1sW@I$RKR8JK91(f;hrRkF@X zFW)^hiv1Cm^hWfJh_*mWv%n#Q4NpMWYmV&^lf-i`IrHwM7qgv|`IKUoJT3Op!@{DB z)OmfO7w4}DVj$TIu9&bLpx4az-sFz5^D8GObXMS*mS@N25$_t_wBSq`ryk37&dd9o zazDw#_#e5Sv!;)$t1=rt% zgI4y%{WcGac{`rfV)OeGpYC8WmidfkKfx*wt|Ke71;iw+?BMoB$n4iGHDz{o-w({# z!Rb(Z=Ns2#E$$}e^z3*ZLqn@gFiZp`**>ul!OdYZ8>oFxC!URM1Z^QmUO*bO)ivj0 z9W~4riel%co=;jaR4%OVVZ05S!=R%Ty__zz{DoxTyQ;r>`>_%uPR4vR`6v(vI1IWa z+4E`CHDr->^OUV2u)IKIi+z*$ zyOp<*)`Vykz>9`8cdg2>RHggKDz+}9&Y)Ggp!%zRo6lIa+dA6ExBicB-}Usy^Cttc z>}`k>8BjKSLU4|!S*B}bX+FmP02OGn|J6&?CPzBnP-NK`V$PI+apT%wU-N%-Q;kT5 zbaLCSZCskT#ABbkw#ZuAF8F89Bj_-v>Bj0F##__KM3=NnC@5zByCR*~u-EyuzRWgw zL&9~xWJ%O{dqFGBU0$Y_A?8&|ivUV=+iIQ!HscEXkfX@q!h3|IL`*3{er&PFQRn^= z$p|i8YOW|%d&iuY)fnS|(?}tPnc=Nys37xiqVVHE zGEbT6rhjhKlMpugRY5lkJy7F!&jO;EAFlK0NJP!d!$*}!imNt~h@wyj4W_E+?t*Wc z3+HLPb=G1S#n#r(53-+Y$u9PjeD*Qg`1T&|HkNgr-<^{q&uWRyDHs_mg^ zhSE?5Ha9CEMJR}p3U+PAi3>kEcONycZsumMN4dxg4xUG_k(4frTu-oHD z?<-6hF|%71u(AD@#w*$ZtqGm|fTc>wj;<2AKh&Y6qwz7mD&T#^&#-@)B@{KA+%v-{ z0?DQ{Bk{?gEmXH8%KQW=ZmPb1Nx|Fm)yio=%5F`?6_Q%gxGvAjBTnk=!D=NeB(|yV zx$flab$k`hNyz%6o2lDg(x>;)MzC?w_WtJ)ST^_9X~bBq%4s^JQ?|CUw%c~{`I<+f zdxUNM#H{)~U1*D_|Lsm#*j_JX7`??wilmCgOEQLT$+X&L%}BrWHs^3)qM!6scIv~x z+!Q9cr%|j(xp3kfjISK#B%x+~l$qM?8nJ|b!fN~LkMvP_N*fzl!M-AL`fmC3`}eg) zuB>b~JZG7>FP%g8tF635{rl+I^?1r)SRK8v->*W^Dr9|O>pxz{t}RAn{1Zve^iXCb zOv0F#gB`NkHvaOVo-40gWfFIBb;u{f&vm^CPZ&bAs_J(e^1OPY+u2K->p<@~W(@n# zJJ;W@U9a|t&3RHB{U_%Xv64^xr2NePdj6_oM;Hn1k8_!7E*aWrCH)2({Ek$mW4sC? z`q1+oNPkX7i=Q$&%DlAyGmF=EYnN%RR3$T>!)@z``#6S@$zmTaKY})rhRFKY3OBr8 zVqlx?5W7?PEBI{#AzOl4&3o0KC!ig*tkI2z{`h4%pz}qmd2W^S79+R& zjkQbqN?6)WR>jYcA#m!iHS1T64PBWWPLS*LM)L{X2Gfz8PDGfZu5=-Lr>t#aTd1o5 zWVUMmEX=mr{}{&f42*q=$u7*cP#VG;I-|zQvhSmUXwolOA5fYZKl5fr%(*SLqKCK! zduec2Sr(JsDqWoTD&3XNEG7&XAqFLGL^aDUx!iN{zg9^X>IY1cZy#F+n^Y78l!fSe z28pMB)1u3nrB>*^nOnWed~>t4bkv&o%S2|u&G>05w7$EJs*%|!)?Qvbo+iz_|LVxz zF73mNDe*X_Me#ykH~wH|x4tUU)Tpnmz8am?S@!5T#f-|e6DD+KniL{l5vrl1iQ=O6 zI9gEMNL`iiANK-_{* zr-4dBR@OU&2}MJaI%H(;wpM?%Y3$A=GyAEsxcIHP;3iea1*=9_E1E(i{fB|kUIHWJ zbe>%XqOMP6gueda{Al3l(j{>R}#bmPLi0F@;kwHEFZDRE%#pO{5B&jKxe&$5XigkA6s-3FxOpT%bAf5d!E#M-k%Hxvtl; z^9Q&;ST6A!F`)fs{JDmz3i!0F3eot!I91>ov}R_LY}hvvOHjwTKd(-u@UFIx>CYK*G{2YRazx|C1} zCt`yy8(P_=J+NRXnf5QDfWjF<7C#Pl`m2%`Inx*SFLGh6{5|Hm1C3_=+I+A~6w5A1 z42zgq>4OYq`WYc$E=?A$a(+%igU_sx7Tc>Txo)P|0J2y<`|dBknH$->AwDs*rH87P zx;kKJX!UQ$mT|mhHI3l;+*3iqlW}F!P_J)QmX=>84lGL}N=O~tNa+vu=?HzxIVmfd zc_7^Ry+|BB=2*pU7Eqr8_UC-1P&54XuInCFA!POt#hEhnk5N*;ZiZ6Hz$}hh;j^Go z`tx)9-x;%;c2$p}I-2`zZf|GafZFlG)QNR9(}Gsa-Iq9pLVk~wJ}Z0hG413LsVfBp zh1cJZM8QWTkoI<9dkxX{AC1|uMH~VIZW`KI;a7i4Ke^wjv!#T4-VGi= zoMLOHPV>u0%TS>Si72FSJ*}0Y@`cI~p5)A!UxU8{)WFQ3EW8pv331zxzuDgaV`HA< zY~9|~XWP=6fQhw-$8DR5gV(^fBEv9azHtAgA6uQ{_!9-vQ{rGUHL!;5QP7OoAr$y! z&0iPWP18P5HUM{E#L(zGV|-b#Wzc%LCh_I{T06T~t_qNs^$fdbHG1%Y5! zS-)#)p-kqgO38SYFsSq)OWF&(5r&)@yn^7 zWvI3kWw7Q&gvs;1S&c0b`((r=M)Y|(dBhQ{aP#tLWho7cUrL}J2uUv1Ij z5>1)uwC4Dy2tLO0AUcW(w|(Jf@t7ne%M$I!a86vgjOA%QL!E{v?zu93Oo_$_k>w&* z|BEJF)=TX~As_(;t30RgwSVf))==7{^W!a(%(eTH(_C4#qF%ZE(;RnxK?>Qym7DO3 zyz^L_jC21dXp(Kd^L$_R&Sh*{oGK`T%G4g7q+&$P0%buITtLks z{`wdBL|q|ZTFUQ>WtG*hGkN1uDiq3!pJd0GS$*C5V^H(G6y~Sh-7%*shY-B1R&bOB z(;0lqm`x4~TuO`@#JD^S2O}iKNgEKV+%r-TT)5*wuae`r&4Co(_iTbh!0!9^&lQeA zAHxn3aJO)Nt`!Vs8#T`j>AdunSN`C?5|Y@6YMUU|IeM2x+7^(;JEJ|^)uZCJyTwly z!Oo$AOi7RThLQ4PD&R!Zii8Y&-^X8?iv+Fv-kKEiYHO+C%+LPJ{z}g%E{cYOcA8f% zI}PXit?+JY^>Om{h#vm~M#^b{Q8NCxjRgvJiS1`?I_aQ4x>4)-m1xXDXsLKzy!}zS z`@eZNPaj66F~_qBqyRcuEMuW$!j`{S+upIa$@EJsae#=_+T2|S(m!oVuhRsL1ZgJ- zfrQcx9<~3aXyt3;#N0})|3*+dD*NX56PIU%K2fBD&+g=3e>Ayy1hU)C##d%*j2?#k z!Ep`46MItqk%6=Cv4BUiWotdX_#vYsyyn8;V$a{-8D6JBUSF-&FO=ieF32XEb7= zP&(oVWfy1oK2gt@f^~5ACj`JnIf0isZ~ZrqlYf5J9{;XY18r6} z{k~z5%-j>Rm<*no5d3oTWu)MC@IeeY zmDjWWM#1C^_n0A<5YBlNA!2X5pjL>WKW+MtQ5V8= zzHZ_OCmrb23l`yEvr{)<0>qjvb5@K7s?jM&cdlQgiAEy;2c-{}qmlj*Xtr_;%KX^| zl^EL@&I}YthS_F)13awOzp;{!e5Up`EB6||)GyYyXC$4%2686m%}LH+6Dj-z7glBs zRlW!KdY?9{HfvsPPbd6gc0rc~=rGC40{v>Fy%6wtOHa$6tyZ^4VHrH3^0~;&V))Q~ zU6$;Au?n(*pa-jf2Z;WK2Ww_6w%3^R02}?mPX;uo6yIu4pq5OT9o2Ps6%?;I>GuVJ zbhPKZvn^Y{mou&*S#+py^ngt$bLB5Kwn?^qP1bN6VGHcN3gk>th8dNhTVqFF`FD+I z8^9JjA9>-kW|NQ6#Bi1ab1qy3I@NtbtkI=G`LO)_UK4E=LmXSuZINr+jQ!z;G%Doc9`7Fy=*mKyQRAYNFx5iP~l!h0m4DHJON1DTzrk2&7p8Ku0*8BHo? zb}E{+1PrK9*|lXUHIS%X7@Q^bZ()R;lsUw7eGmV7)p85pVSNkNj{w|-*x6ORR;gF+ z?typV6UDerK%ITDa5y-H>&2%i#%4E~4b+wv?8G6CKhQ9jb*jjMl{ucFsGPO0 z#z^BUyzCppOijb`{zLt^aO?a+l_u^E2)(>hzJ}Rk*pB`&k5o|`_kr5e`YJxX)q?zc zrDDPd!(>90=}9LjvxR_|SFG(j_jyw$2~{?TCfoKJ75@*31NE&hr2-IX+*EPgdm$iY zh3>b0_w8zrcwOe??|{L$O&Q$#Bg)yLlbh(^M91U59%AZff5R<@9O0;PQ4}~)S_4V% zRc1CcTn_ziIgvY?%{SFziPf#PJ%aHIxdu!Lzziz^rzl!c>AVcdLg-6&|HsabO2E+ZHmf1oO&`2hNBV?{K=Gz2oOO39OD|DxA-1X zoFgH4*zrAZ8sHVdIk}Z@E@g=lP|_?#0A)NRbXGlZ6;EoaCON%hKE|}e+G41>MX`xW zp-OEUhWh**1BfEI`Hsz;p(?pej*k2Wg>8>jF;nJ>EjmIeqgPFN;`M$=UC6eV2{j(dRzcW~x2r)>pwcsYc%#2k3 zaK-HHLR*i!u_kXIY-hW{MCy-~c%6|X=lyfaQ22~)Mq_$g?trSgz({v7+_UclcUz>q zC@O=xU44kID^S|=o(SueO#Kc(bpJf=p>}KXZI$lRT{#)WwC>%oSb^QA0#@?4&=)?Z7f)=SF zvsOidPpU@U{!emfk*}&5+S^*j3ms~saE<}Tv`>1(Y>bZn;%JZ(Da_Ie0R8Px`6ON z38?#7N{e#a+vQRz2{F3%^{6e2>A@wbmJ-LT35EP(OnR1Gg}GJH2VBWnWN-K2@k0^E zHm}OL`+GrD(TI0Mp+2pk@u1FA_ib{POp@q4B~;$c4dVf;pg`YWfKVQ745!O8e-N;b zD8FPaY>NYOPHp!6y-HH0Ptf+w(!}!KbtmOia2OjrrhD>DvOTT<#6U3c`!gzbj@AY{ z|Jgi$FxeWtLg|Mi^wtikDFEO+Z@)5HfS-k^W-b^9^m zi6q`$=29p9Rhe#hBu(u!-j?v**Bz!hfq&nhu+cSPNKFmR6$$I0nho2rBwXg59>Q>g zMlI&Z_eFnDW6>Fux=JBW=jeTacRF^dY`c@?Wc1M|Fm>mHB5I+&`V1wF&M3bJLuw8P zJj;}RL2fA&Yc*`fm~`gX)IJFCHB*GGHTK+{Ju>BIp+OtVm@Y6v@ekmvVt62m0Y!#B zXnI$DYS)o%lGVSH`gl4-=_iD&3T~K|~(+u4HQmP0-?r zB;rKB+oGS95RE7RZh>IvwDmC-J};;LJ+cZ0^aB!Wc`;s>fF&PYBp0LP!x35}N4Vx* zrISFHK*aunGq0d!{kp*}pM%D`^{!lLlSR`N%W|OyxCR+pRU`1u*k1;U!yNs#9cL+X zt|$FgYSbO>mCqO$hf3G$OvX}`ABkoZ$(-nkmJaWabe%xOXP%cA3+*hU@)5W{Eo5;# zjW23Gj5?+^=`|Fg$Ax9qj8F@Iy@}7GSj+qxM5$l*hvo1yMzVD;jmvWr)d(BP)j}uo z9?HSQb)SxhMK<_DjtO|R@^V2Q6k^wJIrl0@4N3Hb|75|srG{Kue+$vXA)v9DQQ4O% zp@oGs z*gK;eItsW8HIc}wRSCXacxe8nirMA46jY-bwfjjN)}$x)q^jv}-?xQQ1`xnP0recsRg9X{zl2B|z2bmj{{G1I z7f-Pk=J(ob?|MQNt2z{ZNsvRW)`Ll_xp!y7*Zwm`#GGV=c$Gt8);tI6t5WhtT{YYZ zz}frWCE4u1sLURcW)nq{3}goga?c{F?OHfmSyHRIdfS|f@>BT~-W{5(*NZi{@X!&K zxAbXeqS#*)0+YG&2TjmM|5Cb(aXov_!Yml1r zt=C_H?GM=hb{BtLIbMyd%QKDmoI&au^0-!xcmv(9gom&}y>BS7<)f8)65mNkZyZ!I z-z}7}u%%1wXxa$}&tSIwQc=DK@@v8lQg^#IiO1U|Mod^ytk!liH_@v(J!Fr#MzZU% zIHPt0zM#GXgp{eFc70M{W5EO&kz5@EWwk)qU!b0+Z4c9GeYB;fYWcx=Wdi=S zF_6K@|Nc1#d%d7It9wS#%{=@Aq0kT%;nMH!K8#JIAXU#pzuMQ!e*xjaE=S%j zGMlktJC!YVd@j-j6r8>&h<*(8QwIcIu79||$Aan#BRq8ZNb~}cx=FUi=_U%nVTj>ZI)IO(9oDeCq?Hw2ob~nkJR79XQnj6uncVp#Iu51#yW`L<`G%~d| z)5Kfv8*}YZ4RLD zrFu3qtfU}cx2f=AtzNMwI=t5PSQr~%vt!NpHZQkdDXXnw(K+;R^m{9*U?KQQx_vUg zGfSE}+DYezY~*iCt#kg8TQVLP4HXh!vYJ>1{-93`*=r8?Zv8EHCfpjG0nOa^6>;fCT>p{86@-Z=rX43B$p8`uNf>)My_9Nk~Xwng0-2;^(hyALe5BelaiJ zunoAS5awPJPfvlhu$QMhYi6a>s9KeOl?>+#r76qm(X|_zYODg3e6ihvEdS8o3pZV2 zAI?yZfbF8&LOjGJve!}-sr6;rUE2aa^#}w;Tb(S|udJ+`kM;&44MmX@-oe)sgU-zJ z1zGypRfTW9`}l~g#z!_6FB*20!Vr7t76=C0tAXYgp#vfk`|VSFFIW3OvGk-^;`ie-0&_5tFn zF|&G33W4P|-YfxgQ&K{{5BVI<7W1w<<8?6|No(cB(8brjDsHqU{YRc4g`LFtvioOT ziof55%W$ATIAnBnU$Qq~QxOp-hO)tzVt#mrRT2C;S>||L|I5M2=`&!Hio}q2Th!75QalZEf_T)&uzd9i5El z=Uj=h+|@Y-_-(O3R`6GY_roQuIcug8y)p`HJIQ&rp{p&0z`aT~mYVufK452LL9mSc z6Tcq67hJwY>X|UX0OuINWF=_|u*+EUzo~|U_gCLE0da)A#s^GtKD!N}%kr50N>!FBr(>8sEu1-%xVrR|~#!KWG^kR8>VK z=Js(iTW~A+@lm&Er_uuD;1Br319AVv=HZA>Di>yEtdW$^Pk!g5K_Y7zzw)S-6~0n< zuKPTW^DjGLk@NY@lePH1`LKr`A04rdi~!|6#E9e{pTMd;3>r+sZ+8l$BTu`YZ2iM7 z(X4nQ&HEyBtO(G-Z(0RZA8%JgUoT?k$%2TsD8K0awx2Ik+f`k?oX;EHKuZifD?0Q?TeNxkY3Td>!QtyrJzqFdtdG~;Xr1Hgb8v_h1IuKBm)3xJ2 z&!Kex``&7>KelDl=P6$@)Lne`{>ZL`XmV0?DW{gT2sZy1we=g#)L-L88m_IL`f7{J z&IpSEb&OESAIrm|?g#myTzg0moKr>PPD@q!)EO1k@40E|f^Mg7o4=6$jiv&9P*#a0 zY^s$hAfiImXgG5#1xw|~cdI1UhI@44vC}YWypz+7&kBT;gB$=sIqkz(0YBTF^ZlP#WUJ452 z&;jPy1jqmob?VFK@=yk@{j5t6gYYllAlD;d%bOxqt?dPC)CvF=4 zH+|~Zv?C2Q$GqjxGN74od{T6uTEsLc`f9Q^xFb%E?fr4U zRLq&KvZ5Rrd35m5Ojnz{kFRPl3@uamfWj4*3&3^VYe}_i$?RIz3XRbCig7%9l|N!$ zfMQ878>>y4hCNS=tD1ruc+6@~4^4t>{Xl=#VpHttK`!)aVIeO=Y`?M{=d;v7@wN9T z0Lv2gtuE`48T#tZ5K7g}>fWp1KqoWPRaE8R`bq*1wc-m@YTK9%*jV-iufPV8N0M%+ zP}q`=p&DsMMT!35Vn3>u2vcFq{vZNM+lfJMU+BbJLXjbd??X}ceaeY(M`r6rUlFT|vWa{%ff+Hb`=fPM6i}>lj=$m`T>%WJ$gG9$ zbwcM22RwA28Rp>aQ(v#O1rV6gXZ(aO6vj7~l9$yg7556P-4Mp@9&QtOfSvQ-u%+4Z zRF0JzGc0z4!?z2)CxxKFFY9g)HV#x0<`~y!`MzWJX-c975bnRq?uK%E_4@Q?`EV(c zM~0_uE>RL}+YHor6q;_SWTs!8RQ_CkMQhqc^rvwu zO!~rC&T7S5sQ)tfbvv-AbgJ~w1L+F~Rx4|RtGpDfFS$ZNCudh1qkX`q0Xc0vXUC5R;9#O?BL9 zN}6CSQHsw$0Ef;An$dzvr9zNkYze}k5{qnI-?h_cZg6Q@8Kyy>w+qTvt1%|-332yl zC)e_59`=~92AKbln#}?194b;C4m@zxUP#1D`YEvE%2b(g;Hst~53cm_iaQC2jOAfr zEK@%CTl@%3Nb3Woy5K15>{VJQy0?uL(A+rhN+u-@Gu?1D(8^K2L}Lo3lcF!4#_`N3 z%ZFqd%N%UB$NsFfy=AP_WuImZ!hwsk<2y|AJTGdZ0Vir}wwKK%vssbqmF^Y}_=!fd z&s!w%ww6hTC1KSS(3Vs7)atRqL)WPsI4QTxN7c4-ed-$x-Lhi|xsAd7^jU47p*1Bc zg`|Z^NQ#|X3eV?s`FWnboIVgB>J$bGSouUervDMtVFeV7A?D@9yR}r>a5J4>_4<8A z!*@>is;4ro?t3b&a*2+b5awwp|c@V&`Mi5-9Qn~l;NHK;tZ9BML zZV{Lp!>Zwx1-w|gN(dw8mA$~Cn*DSVSS{VU`0ZQfV;KBYdHJd)b2gpzoinm%gHoTJ?yyz(pM88NS^Fi%Z7 zT}~uq(ZJ~+WLJ?VTi9Rpl`O$y3eov;9i)kVIr^oCfRidVmrQ8$a}Df;U=---7OXNs z6tO+MUu`q{G;q1VbBliRE)QOx6iO48dd*Yo_pUtrANHNpFref{uFg-Ew4u)9fX#2e z>wcHWtKT1S)vD~Ae~uCFMl)uSDfkFuZ|V__(q_e0B-1&u(|BmN?!!JTvl;)Aq}!W+ zOZOolfK?f9lmuo-#T?c*nhkeH2{J&iSdFiPLw~}8yy+=f5<#obBzsni(?@h2ObS65CMkZa8VT$9B>fzpAw7ts*rQ)4^eLaOsucb^m zm*%4?s6W26Aa^72z8O=Xm5^0eVvo3W`En3!BdafEG;@(5SHD$k>ZIm{49zeIsB9Dt z%lVPGRQ1T8*2~0{70dh{3iCR4>7*WxaQi%5?zdZ6Je^E>I|7`v0&9KJQPy-ZM}i#W z(ADd9Q&(nwJTVKwWSUYg$YBB#6tlYt;PbzqhP z|Mg|t$>AS**f=B#KfsA;&smV=ArJ0}dCl0()9=#lQS)@nBjQ0ZG}qSw`rJb1e)iX- zI3b_fzE0N=63I0rh)RA2QV>efhla9FP1Ifz!&a#N4hom2-&&4)S|n6n@UUstthKl9 zZqFq>Q}c>dC@cjxQ(Mcgw;TRI5b{Y`Yxp3dZgNxXH?uI9BFk*l62}ZsiAW)ZT=? z)vt}3q@?P8$!r6ao>tzz6czy&GEm3HJDkjmS4CPqQ}b-PV^p{5yEUGI9!gfQ4HHBM7(gn zICm~z|NB@;Q``ofh<^4pF(i3i<#Y%$VvU5 z)kdlle%Y$9)9xI4UV}vsoqrJqC&{!oh=iN4jux>~%1@*{X@dXAPOBt3MMRvP&OJcs z{A*8Xt0N8cQ#nh=VZyf1#Z4S+OR1%>lfB-ndLx@bbVWAQ^Q7{IpVAKUr2c8(LKQy# zLb;%pE$Kxz2ojm7cqOB%#7;n8 zl%ui1KQDWYL}X!xbtai*F5ufZb->uQq|VjAS6GZlZmDhTJ=C%NBc09^Ks0h%(K476 zC_K)HQHtL6NI;{&>0wY3ElHG`m7WN!o)nSby2@w+$&P9w)rsLHdKf^xFDE7MOd8)_(wR)vMbi}t5$xN1JV2y1@@yDOOg zX-gz5^-1w^)F)@L67o-Z$AmM)SnZ!Wt$2K84rl{Ez{)xZXu=9uVA#&A{{2bgk_OD| zXP}$7RB>|VV4Rg;s@#>i%6YX)07i2jEG$B(UjjfFC_Y<%pJt`C{;G4cARmD}DIz0R z<$?U46J_KOJqTD*!c$uc#N|PY+1VCt7W^+T9r@QCN7qLDBUCt&W}+xaWm-~S>$kl; zop89TJPE$|cS>RjB+_4Jb`v$~Y4+X?)PCOY)e0~asRuqYA(hpR<*3;fe(;Vur%g)A zs=}U!VHp7vDMTMv_uGW(ur)OZ>EonWdxWc+w4jO#UL?}BhZ(VO6X=*pJuwKR8d=2j zX-1oMUM*BI32_uKwL61Gc9ojLo_X!JV1y`HJKX-=_`+OgA#$k^jY6p`g=AV*LUqKwm!^ zW<+eT3;Gy?_tn!#^7eX%rx8Z#>aipqjtUmjt6o0Be86I4;xaCor>SZN9$m{|p*;O> z)2xFtIm>L%>WWy>hjQu^-eiPJWO460<*s*+f1m*bgS6VN6A7R!$S7kJ+vm=ybVEm9 z2A+C6Tnq^3KMURvp?^3|X2E63h=^-g;Xu3F|LOo{Zf=)aQ*WwaEiVc+?@-@k&;aAD zPbv~afqM(%l^FaBEX6GJio_Z_^{8+q2LW1ac+*$M4JD~M(2Cj`y4CMj(&{q4q@Zp` z#1zIgOI;p8&wtHxs87*EpeDv@M)C4!S9Kq$yqZTS_2K1}qUOPIhbNXtpf?{=O{^3` zJl?*XHdUzP4!|7d$JZscEA~5H_XGym8)W0-+W&kSO~;(J{rN7nJPtV;)-W%&sQ{3A zQmO~&+aXNS;rIZNXOGO&*P=Iy7~t91mfX>zEQqRC%(PcMke1Ay9yA$}zP^M!17mhl zmZ|8H<#^x>=sjH2)GPiWBwzh^F~0Y@r8A%!%DNo42r81vztQ2w4V~~dMWMHpofu9o z6{k?99Vjhv>J<=wfR_#}x^`!hIw(iCTeWVtXnZCF!!>=AAHY+>Ngq#50F z)u4VhbsV`*XJA^WZ&*kAA4#y!7SeA+L$x9#g#YUT zVz4p5{I@DeRU9Yc&|DspfhxP?2MLIyHMuC-DU4z~DOG^ok>$x;R94hc43wDPh3G|X z_fdY3XvSEMudk`M0PZOLQo0%$p9qN~o@5wT$mI&;OTQ$J-@((WG;uabfR<3dzM zYz*Q4FL7=>DMr5-w9C}%Zu6T8P&tMb1cCOJJ0YQQ>kFrpIt{+MA$oy(-ipvMgq~iw z)x;@lgH%njWU14bPHLiE=b*~kkG#^EF@$8WmUCO9udg^zLn0qIjz{fV9+W!llq@61 zIE^hU=+S=RU9G5jEhgR-iRBquEtk#Wu-xLKb!LOFZb(~^$wJ-Fp=X!wf+rkFH4$r( zr#5J6qdlSdny97WqzGc^Shy$W?mR+Z2I@UP3YCb>%~4g#BAJu*8;3-@e<^?e0!}F& zO=!bcr!(8i1oYzS+?gc~r@>b@q@BoQp{{7+KiXgJQ5TY+s3Trfn$i(=WAcS&dQP{K zug%g(hK%i>C4q+=aF^jv%}-kOF%xP)GR&!iDdhN|28j2omM1 zVM{^JS6_0h-bJ<^Mvx7@x*>X@x_xq)ZOU=GTV0kCuPj-Db~PK9ifEVhE^dq*a8U$+ zx&Y649O3v^cH@lNh?lrP%_I-c&?`kI!!iQT#hJqwi*`LJoZ!gThx2 z%kh|;!B;ngicCy*SfU^7tz1|aZpE(&GAC)MCTLeHZKPB~JO0jOvx}oWLYnol97a3- zuT@0zs-#b{8o@5|2xw=O#Y$(tQb%17IZ5PHLs|{iU4(Aed@7Z%9#QA3k6Cp<&sXQw zY@oqcH-w5vRxr4D&#ykbD@@s3EaF6MJrcC@oQ8HWxzxi})}x z+8YpB-in^ua;hAmgD&Zng=w|46^sIL(tjG!T(W$WugsIs&@QAT+VQug(=ekXxXmdEEex|wdY7_jBSP1& zJN0iLx^rdr^Jxc_+G8a$hP`FA^^F$L?=sqTQyG<5g2q=r$f4&qVDk2Du~oJUm}^1Oq_MGi)inR!OG!w1emYxAbj=l>km&WZ895tbwmD>FeJXS zvfMm1r>a3=za^j@#r^iwM7v_c%SdmD0}R28-ycOI7abn3+5A7YIsV&D>s4D$eOB6N zrcY^wo@YNl<-f~*oP^FQuF<;#foxrlJM(BklyUGHHc19Ou zhIoClPPZ#-J_(mcccUHmq7&5Gc;d6ON`VczG+`e1s~UgA^ZTuJ|JTOq{@bcn+IgDm zCcOcaixy{=kZnVx`ONc1yPmHusC@MoR^==?rp#BTP)B4>p?P(Ja7kuaW4^i}ngkFk zLg<-AyDVgYFIHPJPnU|O6jUQL83EtzXcyEzvv6=YfWZ>pE50%~$}Qq_S2jX-?5z5K z)9bHUe{yp(?(fH_SB;`HKKPh0(8Tlbi#p@0y9rWX5g ze05{Kx*@87@43N?Uf){OqG}FKG1?U#w;X4=UTl4LcSsM^(#2B|QKGcTY#o+IM4gh< zg+oS*EU5Q3TffbwZ5~V^WR-U$Qn#v>+=^8FN?nSAB=FU95PEu5&cfmvyE^iLS7t&W zwM`b?s(FcxCUmDT`06PxU=>b0;hIot!|^$#w<_Z4?I%4=WNk8(T344;%b`bl&XPlx zl{_42P!|LJEYT1~SdrUrFJ>Ev~3b+d|&mvM|93^SY zT7=$PwQcXI+8{9j0PT+E!1f(`zWw@##~&Si-LM-5^dHi@&wy+C^#4QeeuIYJ@W>NS zfAYmQTXyX92LniC@E^oc0v@R;eNIXBW2m1&=*g9tlTX;KYIzSM2@2Om&sT4M7;;$& z1-Zxz3HOo1eG)K4Po6xzc+v7V-hB7Zci-Eu|KQ=nhxh5%w^!c*Lx$gY%c#4be0Kco zxr<6l%LS2vUlPO^WlX^;Y7xxPviUOVH_$c_V_YC4A20Shi{B=<#n18*=lYzJs55 z{NaP4wE02rXH<@`jsl z>o;)NEu-%G;@=Z4hQOD zq7;?E0+Mi(Zn!YtOrH6_23~i~4Y$7g)%OJzXYKxIkVk-RhcE07bM_zuhbzK+!lEn4 z!{H7IW>2`4K}Sy1eel`$*WL8TeuMi@p7K?g@zAE)HKsR@Un7C&X2Ed z0RJ)+o%Ien_w2dqd+vLn-{9e+{&L^K73*Lj`B`N0_{}XLcT{qQ1zR9y1I-bWED^~R zmFzL(ib!rh<82P@*u3lSe|w})|6#Y?`^cWMDmy|SFIrpd@U_xjbUazwV8-*L%BIaY zXQWX$QwZ&-6WvZ~iFppAk7{_qZFfBL#Iu8k-SqI7m-d%bG=(@fBijNj+!Y7x!BNo> z5v*YzUbh4}Tab5z1V>PE1_1dIJaMzADJU0}S3UID=sx|gdVKWX8=CBOQS{b^J@ZeR zG1N&dGq227=g*I?ZlL}06VU2B%YkBt8@zqTu0g{_3>k6L=eD_yNHoZT6ae%4~+?7gF zYP|#|KJ`S)2Bjw2+vLXL*)$wa6L4z)aGyDwx#6Mv|JM8JYrp>Hdy_ZpU{OPB)XgDh zM6!iAxF3xHrrsBIaL6N}rl{QL=d5AL9YOAp?C^8;kWk}i>;kd|gqE;0Y4T5f1`Zkd zw+D-?uFaKIPSOJyJOxp0S8wpuuVhhg+s`v+_wGMv)Sv&FS8~Du3Oo>N3NY5NfN>s0 zmXK%;i#AwVK_0X^EGkO`nL-jqJFL2xWM`z>mVkqmogB(OS%2%_pZ%`^w~l@LOR6?V zdKedCk-1@sE1XCwqCN3D>wqMIgAHi+dOdgEIcm_LK`U3SX$eN{Vcrtv0pU#{9x(6X zQ3F`=9J2AKJ|ffxSTMKXCN+hTEhsxfvX?<7KhqfF&8&pCfPmB*Kr1)w{a?dx8u*vL z*0y@XNT&2Vq!#2EeDy0^VzJoLWh?p(8v54<9zIiN@kDrgkOSM$9+j*S*#zESST;uy z9Hs~&I0rKxo*-)k4*X+dgs%=n>=LRC#p**WEWeZ1z{6wSy{7-oGiS{M^+0p!BJCTm zv^GpAxVTc#E)vnd0?3J1r{d=E%Ez?tED_DhNis+4-UU+sigTgj0}nhnV94-Q8#lYd zj6KYPtqztI?7?8M!=*O|1-$jL$ikw!sBDU%Mz||6WDZJR4om;9q!e4za4~IILtrHu_j+1U0&XcmMg` z0eyNm)YsC+#|#%!(H*bLkpv8B8nM!_tx>a(3LMy*^si_QSGrFw1nrSXgg#*yhGHAe z6~2{T;cFTm#hbcH6zzCVBUSOioTWNeIF4@x^C!(QuP zP<<;0H^TxT4@^ysG$ji!h9NFbzoq&1(wEaa&@39dh{#bUp5nDY!#KSj{d+pi6QXJojhs?V5c2>e_$jA@G_$j zJ9rEH^QM>pcy^Lxki*9`2L)I~%@M$V)D+@OpmJETmE|W+ncA=4fGz1;!4Jj8IWCFE z30)=qhRJZU7LcZDPa$0E&Q=c-s@PGxz2G;O3$)~;(I`^gb$Z?u^Bp)I1YoqM2Pea~ zBAgRe*t=!-kb&2K_5Dv^Xu+ii%L-g6FwsHD!$yk(bsVxsahM&9b1>Qg%y8R4;Wx{8 zBXF?ru4D=_=9q{NcqJ_3Pr_y#lN=Gw?c)P6WNKk1|FWddz#$tpY``p+c)RPkbbxka z1GpSSTWl0IfUAEz@#LTzN7gvJCU4LhWbGjVTtXcE3Co}@0P3K|0oz!Sz#@ssjlLK$ z9vM(DI1&sCDa7LRdw>Oyw?vSml{YoV95DpH*uaW)VW!?6sIl8``{SK|y5nv{xb2+4 zv!Wnq)K?mz=Om63I6UYT1Dl>IpgLiD(%#a)*nQGvw8vsG`lP+fq*?FqBkWSd4?(m6 zj9PmjsgxmU%;>TG`VXmh`v9@E0T!EBVPucs2wY>3HHSECfcbexP;!y*yFDa18I1qB z)`%0w=OS)K24KUF*sAx70Cl)4V3+~;VUuq1vo^org3micBX9f5{eOEH8&{IhWwaZB zPgc>)c)Apeii)nfrq`E0Ou>~JAr8O{3#Qf^wX-2FK-dDzzGySRJH)|? zG6h8Jw1;_ffCYch4kmpF*L0X$V|cR&<48U+2Y53hSVhSzp(!(d89HG2{%!ljs4}CF zBatad(VcQ3x}5?ZPOhP$VcD`}-+ue;%9SgR9zB|w znVE3LRaG_1mag`=eGDtosVum0v?!RXs=*fe=*zDg0>Q>8*Tl+B(A6!R%P%$t7`Q#K z^_u*Q)yJ>dvVY>wi&t;nXAg)LA7=$H2UxJR>@mK<8* zwFsy>B$#42k_W~kybC)>J;T=oLT0D$x9_UzdiGiFSjII*Opq`N_`$%W_Jw{G1!a^%Ru!a~@q zDl04RzWeSs-gtw)<#0IC)6;+W;fLP6`wky=V>rappanLhVM`OG`E!2hJ8;O3%q%-2 zSU8;C1e?kmM$I7vCVC^W!J53$`yL&WkyUJIVK?o~{`-?J;Ig@p;D`tf{_y@|<@Y`D z>@@?gKU`4h_9Ia2jX}PN#X0##c!YyTwXnelrC=206r8xW--wA*XC!bG&I|2^TvAc* zgCuL|YZ?Qi%2RCw&hp&w;lm#r{S3~oMOidk3MtN zz!ABnmALpClr|WKL5Z%norjBIDX!a}{g-hsRt4DxMy?6tbp_jmD=2`%w{UV@kZFm^ zFFgO|(7x9NJh;s?hY4^ZPjx!4OmW|~b=S?e+@7184=exV$&;f-je7t6_Ytvt*REar z$}6u-o;>-lfBkFUzI{PuCRq60Bo~PGa5#L^O*eh@)mKQhNis4r=FOXjbly}b6oMP@ z_M7hroTw%zXlXHe;>l-*-gukUPimVuWC{tms=>#=&9FoS7mr*%_OmZ1jd}SUf^Be| zq{p9m<=@}WaQGNEBhKEKzF_mVS<wq1Vv-f^+UxjCNC0YKU?sMleMM_#@aiiy~a5 z6TqeQBW}Or{zo3sU(3!?ZU(@UM`UHLE*#(i$7C{SNJ(y!{wN$RVntGJasJ?;L#EA~ z;|Q@$5kWb?ZdVTnuE>(+uG_X~)6QuNS6)5%hC@dy93dW7pqoPuKlkz1lb(I~9cNf* z^hII4Ju>#?ucpkdZ;8Na1lto75(x?6s2(666wk6%oBH+{w0HLbM7;@>VWm-ShYug| z{r3}*ieb=>^XJb;#N#-0=n#cTBocY(p@#q_U@s@suyluX8SQZDaAp>Z1@@#bzxtVhj z%z-iQ@MB=Z~vkj?7)!?pdt{NX1+I{?xQ2dP*FsN+0Gj0bG*KUj3l zHAD7hA8|8sV~DXw#qE0!|L*tw4i%Ow&V-Mg0iF!t;VYqru z0N7h1xbVgtl&}>Sk?le5r?LE~-Chy*Sdfj>Fovp2{ zTIH;^V}aaz@4b&b_LyqDOC$k?KTvt{^fkQ)%wD{TTJj`8B9dBVp(!fb1Z48ZX3tyM zzyA$+C8yxJ1NZ^$nR&+s4IMdW$!bhRj5G=@x?)d2HkhxeJw35NHySb36UT; zoldY%X3Us@;sx^(_Gu(3CpW*};Ni>Gr#Jaxwup$!8zP{Dv9oQBNub-UVSduoxq$Yf zkKi~kg zy%>nUIJ|^dxewOT&>J#~N?j4`^uh7{w7G!xLbBdG zELiff{9~uC?mPUaxyzftw~yic4o=14Y#h$mg9%`54c`0kKkm8jZ}=P~MSC+WuULvW zCXL388QZU4zXu+8z=fxTx2ZR$vVQ&gapT5OG!vqsqb(v!XV4x6`p9r*1{5f)r=@Y}B)IO4#u3ivHB3b1Jq!dZ8F5FfS(D0XCu zA~T`WrSHicFmTxB&71LjH{4S&CSnVa9+=ypl9=e1G)(#A5V=hs7@3S%(+qj+)vF_! z9{tDDfBN&oF8{Bg-4R2e`OUs)Mov-h>qZ_dEOCTba{$MNzneOz_mG?N%g*373PBe9 z`P^eCuj)PY=Y^}A{4Aio0n@Z7Z3a7u@EKfR zn(Vla08WI7G(d!a4IZ1(tE(*dCS+hBBD9KYWD^Q)x(^Xfftz->$hLqZ$!?~N=s|h z5{lVl{NC)MKlB^6EN!bj%r^MLwkUTfzvSw^LowPT9Ij*z32?KU1IXh;Euh3*Jp#B=!;?` zN5vfn^7;f`Ve*KHxEnP-Ce3Oif3{8{6S*}>IV!(g_t5>f^YPAr2 zX2ggQ0C+^LE$rAJObjH5I(6oBzrn+Pm_8f4CeXU1hFJpF9gHQyU6;X<&nzeduW8-3 zeeS5_V1%YXbj{X`UV}yyovgB9S`r8N&p4ES?cnPH?Upd_z`d)a=2p4Iub|!PC&bv8 zTo;NtdEv(e^Lq^*bhNCLOonKC$elEtH6$sd%`Pmf4Tb53O)_wJdA<7f+XIJ9n$nV$DI4a84h0)2REtn=v1h zzXdG$s5oKTyxZ@2*c}qhtufp#DaZrbtpWVUtx?g-ql()4Uj6%jH}MBd^ioY%KzozT zI&tDedg{30BDk&x4}$SqR8&OiB!G@-)21O+p95rSYikoIq}?Q4MtgpK{*5=@Xt&#u zx-EV5(MNy#+uvvwkqYcvLxv2guCA6z=v2_59eZE0eD}Tg{rUa}ZN7+$?5wa~*72jDKdG`aWx9x2PU^DP}&f<@(-L|{;(2@HJ%W>gU z3-1Xb7nt#|^#*Z8v{gWjQN|i$oRNq-7`XqT2S<*)5vfC*`mEoSZVz&1S~JUGt&ZuH z1oIT|xNO!J+m=<(XT;4LG7i{C-xP2Io4nCATlS8+|1nxlQ|O)+3P9735{hi<*~R=3-&G`g1MFTVKVo_p@004Xgk z9XodH-o1Nw?b@|(-@df8G_dErUN1HNldevF-MV$-#*KUO$tUN|ox5@4#()0vpK!Vj z4Gr`}v$C>a-vTqffB*j9fB!vvb6VSol(;47VtD`sL;2UVp3yjb-FCT|2s_;EFdj62c>WorODe*t^}SAeDwptm>08NIOGgU>;86=C(k+?3sCPfw z2E5c6$w&$YgE#;2j=%i$j>F7kEbv0YM4c`8h`nlUQ*NU|hq5(`+_Iu5Af} zaRv9Og-5U*fN6lUpdoA>G=^nR>{X0d!wY6Xv@)zC5OKFeA9-}#@SE;-g~_03?B?m~ z=_I9F7@W8i?=~-}j|Sj-MiM4))3qd#AiN);v?~Aj4aM)|xBTKpdqi}W%yMv(kpU4B zocG1pWh>Y9=|61c#+~k{1eyT6KWv1D1;Dw3!TSXcumuGNi*Wy97FTM6O%CQHvC=v0 zmPf^Uf6NrcnP5j)ge{=a8^ay}gKb6&w&V%m{Y=KeoW6sG{y23?yz?T4xlbe$K&-p*i~$aVf!(H*Ni#D!LotnR2Re+C8!NAhibebfVxd2m2*U$ zI0$nNv)X^Ibvr|CmASrg zT{lUW(N3f8xCa>dCRz&~eRB)_$n-X7$K-Yn3A`*yo@U?R>qg%9kEd|`Y(ObpzkH8q#0AXQObFuk zBd!SV@I~D|{_(MI{f|Mn|7+#Wul5vvf24MHb!cf5+7?222vs6f1r`^XFl#3pK>*RI zv-IrM?Lz2|1LkYeYyZziQ?ISg-*2-1pAGd_tvfq-L&d1& zxsT4@`ODtY_rIHU^^lvEZ`=lGw*^?rs)SVmt4j&X3y5@>7HeAYcmmu{J|fy#1WMc7 z$~t+B^V$$&;}NKndQS+HP(9d>u&!84jJ5c1IBDCy!`Jp7`r)UalhY(ROkhc)6s1!Q zUh|@?Z}fr)@+r18z-uk5P>(q6=)!cnX24Ux>l?G{ajvL$^3CzPBGKyDn8ztDcxm#~ zpZg5H;pc^`Yyl1&E%1?WMilp-5dia;6&JwiP3$j4@Le%6*nOh(SlYD;w;70uR$`>V z?}Ckh9W6iWiOHT2=V^^CS+VJ=e%F6CeNmAowz1wdyVCr1e(igEPd>jk_wfZgo?5Wu zjWq|q-Ca5>@9c((rrkB3V{WFNQ%uAFaS`@ClXc(tXv=BX_HyH%&^9e;7pJ;$To9WivX%|oH)okBRE?GPh1jid|DsG zrFfv&O)ejJpo4~9Z?icR1*TMI31rDrlUBJ7w9+KO;YDq8>0O3oNt% z{D`Q|cMu;8tT=ZtR#aXw{Ki}ExcgprARHz5^pn*VAnOSrUCW?iM`Y(Y=jw9P^vsH{ zb{qllKfQ406ALoNtHHr_Vp@{h>@ji&_egI4^Z6XG+$9>O z5@^||R_+!dm)cKY>?Lzio5*PG6Xbx)ScK344sG_LHCAcPW z(!EbF%6Mk+mbW%-{c?Zy)ZE%xb?BuU^#7$-{r8;>S8cDoZGGj0@?a6CcxT!a@bw$F z_U<#_wb$Qi_D5TyqS+I6!P*F+x-f&cgD?+(2df?wi7AMzpxeW^QIb0bn?kG!UI`;t z2+t#Mhj1{sIwaIaQ9YS6fxFxVqVIk5>41TQmoHzgXmxx`8MT04P%gXLrb;jNRv@usi^ng8Pb^ZDNzxVDxsQBm+O3PtlA<`9(1EULDiDX3vi5hVbxHb^O z9#&xvLfgY=r2{Q6NmDC)-yd^*cBuNzZKcnx%6ew;?x*MPcy{jQPqyZNwX*w?T{Dom|W_VRXWWik#fR#=wphFt9vrl@LnYpd4=%9qvbp=AF$xW$8 zs0^Wh>^BeDeEQlArH|(|9TxGw5+$n&N5w@>+kig&@Z(;622Pqj2VA)Ti>ok9KFP## zHL*xNBQ()b1w=#C`7zqTAGAjz_E6XyfVc2G0(-0I3W4#+S|!xLBP&?=KDH$+En2#2 z$grVLJ@q6uRar*jVk+epvn@mo?V^EpENvp;R1c(Y&$z1hz`s8+rpoMe1~_vojc(vu22mGs+NS(v`&=b%088~Fsx-|N) z)4E+rQf6`L?M%v<)L;6SZ(@R!!qlBs$bl4Q!Q{zoP>0ExyG%v4u+WyK3+mC*> zx$xDsho4`0;ORxXo}9lO9$#3U`SzBg4>QXCol`v_zi#po^Ni!pd1rk~>!Yiigf$L% zV-Tf>(bgE+Eubuf3K1$Ns~kMlQ^bNeN0vJrHDHX8Evd1iqoJdto){o?yPjE`F@E{pH`gEhczfQ21EtgQ&&(~UT~St-Sz|k5@tkXA zECSvjyy}Hbc+HP3?mPIlyY4+&S>*w(9u{%CXi~`l#yRMD(l%74#8?_-fgi!h2a^m(P01V&8@N~z zvB?)HuWGpK{)eyb-GA=FCCYY>y(3!xz-!sHIOau}`d9P}B~n6%XVlTSiwsXVx=qmC z0q1)}#s6$3>(WIOnvHgBN&-iDZ@Ju~wose7i<-s$b z>^||quCkXm7meSPKW5$Gr&b(zZ1L{L7VLuK@%g(z`#ra8|BEX#Ut4|n&2_o&q!qls zsrZA<#h-00_}BKlFLoAuv**Zn`%8YvET5Eh;>YaD$%iXCJf`HFoSaiRF{|SHL*?J@ zFa2iU(JwQKzt~mu#m>Udw&#DkHTT2x!|!c4`1aa;Z?4|+%Bnq2fg`hc*OQBOJ-%q? z6AO20k1wvzetA>gTicGjzxVj(S!e%USU>4l)5LQ3)EfS$dNjKUE%u=GL9~-YnFvQf z%ZXZst*TnxZW_r|ipIBEncBYexv0A-+CidNoJg>}skC8zt*?e$a6F#{`$b|DF;T=a zh!g2wB84~b`5K=v@{zIs)o1XO*$d6CkORkhVz7_UsV|-|Ze(l;$>0N;@VFt73>V`Y zBd9Kj=gF9Yj3dHWLJ`0@tUZAJl$rB}^}g|;KRs-&ZA1zMH$LKAuG8 zBu`8ReU3X5gxC$+caI!(cdvefU`DJ-DB#pG0IeG#YPC}OkB+}_qTnnKlHYPhv2~?;z1gxL#Q$+o$w1KEo_k|lJ5-W zIQ)lg{(a`a-o|i7U0_Fb>(;Z)>8D(274{7$Y#ko!Dw_nUL~wiJw(|yck)Q}Fb!d9 zUo+U&`v|FyLzqCFCs70f=EO&gR9T`InE)4udTCfU#ETL2kINM5xNw3MP=v$td-1)~ zb_bU1yt}KL?;rcp|L)ai+{(XYO8?T}&j{pCrQ$xrk)PB4?Lio_sgy~W-x!jepK=`4Ao zIh7F^Ja(*)l~>$&>!@q`4}bcF*9%Xa0pGj96KrM>?fZ?HjHG9v4fhogNY^0*?}E4A z9OheNQepYYF)zM;?VzC}M&6cRe2fv4^Z-RWVIwIvg{+*4tgDk%qGTc&EUz!EmN7)%Fv$u#ZaWq>gt;-A7so0y_%?ba;3=iXG?? zs~rLIkMg>r13~BY@a-Oz^1}`|h4ee?1C4_E8ub6_a_$=3_(_tApxvaPb^s=t0T)#p)eZZC$^6 zc*&=-^JdTg?6b>WIyEpfEL8M!(NK(!2sM5*dNLdny8S6^DbWMf&0(F`AC3)#!viDX z-ocS)man?%%hzSiFZ|Ks#f>LNM)70Ocw8>atpMBggB~!#rZR|LT3kX+o)VPV|LimG8Z#Z^t%q}ah z{OMiy2zfqt!RP<$-o@uf!{Th9c)QTA!@KEr+)}els{FPj8j45HWis&2+azZv?PMT(;RI6rgFXUJh4xS7qhDeq&+b>;+eS;fs&`>G{rM{bvTFXNRqUaO7lY?Br;u zKkS?hL;JwN2(*9e8#;IVWcQz+Uvceq|8~W!{A<4Sm6Dy?#LW0u#lcoNp@_N3h2+jS zQQ=r#aVBY{Pq5D$w)?DO#B~zl3WS_LdaV1$cifdfXMS$sy!oHI>L+*K`}m)q-?Fo! zy0)?D$ccu|N=KlPi#dY<~lH|d+r`S3=knE;|8|`R0&8Pz{9DQX7WBU|E=lxwJe?L zYwAxFNc~fCI3Sjj9i$zNMy~5HDCMkzhr06$u0QI!mr=*hlihB zzJ7gq?fwIuZH-5cH8*!vR@JZGxb^=YdgO+iuAg0)o0D63_0`wD{L;GNkq|-6=C{A{{G6`yzGKG zS^0(81#|P}EXXaGmz7(Xoi{JPaKR1N-@J6`vhMC4$y_>4kxm}L%Ss*-6uLl4ndHj7&ef#NDU-kI|ISW*mtU{_& zt)x8RDx?A$r|S>h#o z{`@&t&B~wmw|TkOUiVLT-u08+)%%8oy05>Y_c*_4SJO9k*7vCOg1ijJzex48)9Zdb^_&)=t52;LPsh;pf(KxzDZeLsZ#uykTi2P>3_NS%;an-RfInmWy zR`KQwYnDIx^y7~&dE}{wo>;l;I*j2lsj-* zR7b^9R4kHQ@bfTF%EJ_3U$$(Y7~{WPp8WI^Ib+jkGz_Hzf*C@-e#n^an4RL!@kk+m zyydrp1A-;IN|ninBtOc&CQqc-cl_)y`AtaTPqKJd8h(NzKQUzMf+>YyPB=+T3rf-k zMsTT;@NN^n?^H(MEWZ9swE@GCQeEiw?gjMr-TYi>1KJ$}Y!{1cN{RnLag&fJaSU{a zEfLNlVC}PF9J1BG3ZM*e;47KGv3b^(wg+0y_MrCxF92p54CU}-J3L4>48!&Wq+&Zt z8wgRNj!c-dtq4SAss><04#8(4%R?tV6KZ=ZW+sCr{pB;()n<8|q1{wF2T4x#A+EIK zf4E=ngbzPU@d`O44u5sy=AM(M#gqzxJ(u8O~LupZ!kUGM;@f@0xY1_ zQ*Cc#@GS_Ij&Ujk@7rg>cNLW%M!zQPMz9kj#f((71%8E2!(sv;7>9?n#2_mY<*^vI z!Cy#yRj-5doVBfgv!(m1C5?3$?GpxWJD5e~`kx8D3&Zwl09j2E7O_|-AW8dGLn!Su zk@jBNa1y362_)~TCIWZdps7Yc_OdCxvVeapR$(ZOJCyaiX=u4bnuoO3Q!XYi&3`p%*7>mxm`Bx55W5m2{#km#b?kEN4J-93Y6Tj3LI4=3cX?KNrR?*SF+SH%5 zy6KOnAeaxQ63R(fT$RIoZZm9WV>J0GfhsdDXXx*8se`F?L>4JitMj1Vn<&_^fMjY+ zq#maDHw{kJMVQGXGqP7YdF94HF(?&bXXeTP>b?CQrj1+Si{<3=4= zpy4N_uIt1o_zr2*ws2;ofp0|8Rc32r87$79I$>vT>ib0L$frsN{&Dl+3S7P8#ABfv z7<9RFR6D6}%Sao13~Wzc4Nm15@;ow_A3N?r(mTl|I{3!%(f0oD$@XbHwc~N|tMn&@ z_euAuyo-L~Ctp{~c3m|!HHC$Rt*x#4CeU${Nh(_jypKNf`y>5FH*ofkD@V6C^Qo1T zchBX#6UX@6C2uxt={R!^1CwAo>V*VV09lS2{uWY%SX7%vL59F8UM&)Y+yGNC-k}gC zEZkDr_Q?%Be^D~}m)j$My{hej+6$+YtxoI*iU1s^FGfyP>IpGypJd3u7={{`EL4=}D4l55V0z7x{5si1AE?=%la<}s-r-ob zd}*r&be1XX!03{C%#Vbc_(>&f0*L=^?gu36Q@+aA)G#E2-Em}xT36i%neBc8vH{n z2BXANwu5YBYT`(ku#lpv1PvzPY!36U7FS-ge($XZ2JdK&{Hl5MwxZqtv+m81x+;Pp zU9fs*Af+gJlJHbcs(6h{hxBE;!L%T`T~}9EP*AXc|9-jgV`xZ_Rv5*^yIWt}emKG+ z+Bb)+kHajXne58G&`hZbTA-_-K*@So8sh(ijrrhzpZPi zF9Zq$p3{}H2E`y+u`MJP4VUG*-QeSZB=)|2`*Lz}jvYJ3FF&etWP20mik@ zhP4}tj-T#xc_7>?ZD81*Q4q2{D=Vv`ql0U^-KD;wj};@BSAXNPC8wE;ke89vB*CVj zT`K;XUk?=#gufG6g^Id_LO!o7L$>SK@Gmx3Hz3uAz0h58AgtV-=B7h8fBX8}!o0ec z7E7MlF>E*ZXy7CD zJwxEF+w=1BT3T8-|2S%xrW=N_z}EH4Uh7k07c&wAE)N~~r)9Vo@S4KZ>H?$P5Q&Fn z)Qxy;8wf8hE^ovt18CcGAnsEhg4Hh^y2bMtEUA7V@!0n-VF?HK~e_KJ!MA=}H# z%P%(_UP7|{rj^@HM0FIF^5QD7EmI*pna*_Xocbd$FF20Owuv}oOvde8V7zWHBxL(h zRsAvsQML?gpJ56jx5G^hd-L-CuA!kx)-Q3>OuI5{&k(e?w-*%^EnK*;prByUqD95U z#m&vlmz#JOY(K>LqE$OOM{Shen(c0o?Ur1|vp{|!0pti9_m?}9pccR}v^GR|EY2NS zn6#>IzJ$5epyLGm57G?D8cp+7WUfJcj1?t-Z`eM@!;Tdm`anwX~L6ZFttstaVM{vV-gUrDg*5tmYqu2~;CfyrinXs{GaLKOL9$dF$(Qztc zY2s*;BUZei1H%5KL3i9#;y ze46t|%34dB`v)c4iJRZdvoumy;Xgq!(5iIXL?bfrV-nAE8ni3 zH}^B;m3u-lQ1*pxZ`f{N@R5L_Qr+wD|9I)GYK(Zpx^xgH@5;iJG7ARS?hq8?1eJD- zw{dheTdX`K?Qg1_i8F|;zHVOqMrRyMblAfi&G^*f1bROff9uxo z7j4{7Uw{q7P z&M(n><(tmvdBBogtB51n?pXq<*Ga>jZb{OQ9ER-%83bghVEcX5M;<*s z_8t~TK_k11b%Y&16DG0Hm{(1dU}n11>xnH`?S^?&{8yZ6?GV3nd+m_gUmN`Vk{$_H zkxmlbhhe)x1_6jj$2ebphW=pdfqrR+Q_>pyw{X80Mv#;^OHkQZMwPR{^tC9rEbJ#K zNdEhGdmpWTZ}^gZwhsY@?FJbH1|IqhsmIqyf>P`~ac z(I$GaZvXz8%F+vI{aXEN_l7uH#>0jEBYV($oH z>qW@8Wa(Q~{vXgi!k(8;)v?@f)8m zJ%IK(nzF<9%zM!_0(XyFuoFU<7+K;7$|5`GGx0?%&-mQ{rG_ zRB@J2Xc|koZ0?8&=^*eSoK8r5$AMClrDObiYbx3z&JJDbb zoEZwkc7x1;w=ma@V4S#1gh=1rYu)nF);%0dZm?!&5D;rIVsS`ig;X~;Cf57nz?jAD za9nH=Q^P|CPTjk`x&wXe%!#Wic82W+2I&H&kfZ3P7MSF05Y$gM_TE}lc8K!eA4 zBlL4ShK1mvq@v#r4BHJd2r`PsvSlA=7o+D0 zuHO4Of1;*&QE^#0{*Gy{amGT}2}YKKCvj>EMWEB+tJ=nXx~}4_F{n-jK6tEHC)5OY zW|Q5p-5{eND@;I18A>b}D=R&2!;GI2gg-KP<11TB4xjBMP}$}@`qf5JfDd7ntJaYH zMAh*huBg}@fq>blw9GlGHB5v$hV2FhX#%f9vmCOgGnKU>N719U^=y3cri!1f-|_5; z!ClxlPL4NizT};jJ6DxHS=HXkV1*yzx-Bf>GLN0xKU2x*f++(3X@2C=Fc65?FI(vfq-l$0k&ho zIsAtc=T;0k?5g?}1X=CIT{lcKM3tI$mAcRTbI)iPwi{#;sID^y18c}jh68jlX_+Ud@@EJ- z)@%k_;!3hZ79CNgtP*CBnGVBtgUo^K-5{H^v!K0>28LdQTS42q*T~uLCy^NhPk>>& zLFNG2?s`>aeX0j}?EB}q>K;Q9CIYWHgkigZ!OVeIF`6XEc1>kjjt&R&yS@a=&nIwx c=1&0s52T=w$pg%R4gdfE07*qoM6N<$f)x$vwg3PC literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0112.7vZ8vL9Z.png b/docs/.vitepress/dist/assets/fsm-image-0112.7vZ8vL9Z.png new file mode 100644 index 0000000000000000000000000000000000000000..2d9b163e6f5e9481547c5b48fd5e42da855b8bf9 GIT binary patch literal 5199 zcmbVQ2UJr@+m2;b1i{6EQkPYv39JIr!38xCN)T`Eqy(gdA__tb zy&3{b6Cn^v1Pmo?P)Z;qVhA;aFS`G?yKXt>-|w8c&&<6ucV_PUJnwtodt)svfCu)A z?gsz>2TY7FSOEaL(|GNx`*!k>U#ao)37@~Q{Vf1Mu>JF~1EnY^1^^u1VRGR|n~?0q z352K3Y)%5nJ8-tgZ1BZE z2|Bb%c6KvrDernuif*p%Y$!2;*Yi^H3HyCw+Va8gZikM<{-KyeP_*b~hjMQ|aG?ZU z`$G}Vg$wGC+Ka@$e*#DUv9!;k+lbX0shv(zP4x_`tE_jq!|?|g>5_*tOV2U!Quj-p z7sZvLACi1JEwovbRTap?L+JI^xDnY}$wic;jOb#E61Vq|b2Va%wxHL88|m!}pL$Q6 zn$71(8Fi@Cp1jtB>l~Wh!cMIF+?n#o>MSiq>*DLu*^D680-iZXC?G95|D>^=(=oF( zM-!1%xj}Sx)L5sL>K9X={wS%+EX&QXgXl_$;*Zq_1T75IWecf$QRJy+m`T+3)+^kI zQ}C%zQgsoLs~y+rG$&iLmRLwniJn|a+tN0wc0R*N^LlOkhh4@|6m)2EK8#59aSDanjbcRJ1`EABG@4k-_8Wh*B zo97PM7EkNV6oqatVy@@&3;TP zQ-nmYBPj5Vk!=k;+8Wo{)4R!~ve0eKSz}-JX<)M&x;fE@5$asQYir@Y-!9{+pjS_! zd9`Qxp-S^y_USt3?GS^73D(hO#qBqZtMM!-Nw#CcWkm6>LH{dK=z1xdOBS7DZhppj6;%CnH3{_j(*LNw}wVEY$tNIFj7sBATL)T76ZnP7sB(<81bkH5G{6k?gf9b433lp1KQX1*9Dd?*jp zD~%49#jjgYhek1Z66Rmjb+qY(+fr5kyP@-oXg(^8jVYTQLp*`uHeonY6HRwujI_#4 z>%yQ5as!`nK4mIxI#JS!tz=ByBSys;#!IxX@gG0*A_(mKvh2WL^(zs)P1p8Mq*(z@h z^da3cLVzVa8Q)W6DR9CEt1XNWv&rYTgFisb zJu&^(ZN-!}=z6waw2LB|ho*G^|?HV`kdWe_^T7uGPM2ZqAGv z|BZ@Q7H+?YgwpJaZpaaa#Tm*HDgC7rlC`a!(vzosn2}_Q~G#T)GkXhbtg_Ik7duRd~|T}r`=kWh+&xVX+oI$d2(O!}2 zJDwkB5s2GP;*4u=l%wfifh#TD-_+J^N+wfv`fjJ=BII}Fe{li?gZ{-ieuvuxSbgoC zU)>3NCqDdy+NhOp?+f*Qm!3lafM>Y}LUAACG2my?wa(qVeDMw1P*?S3vez| zN;+=QQ%&BGct-MdL+lX&N$Zk6~JnM zRkONxDuY)cKG%?gHY>*P@;iP>p9tmGcLHwj;RVOrGgtph-Fds;MbxkEC%?{L z@!Kd5YqCb}D=nRwDrOZ=9*I|QJ}nZ$zYFEp*3T(h;IYYKm{=(+Pu_RX$0B)62;tSM z0~AS9Te=85qG7M<7hJS9cX#ZC6Em}d zzqmcj7Sx#eH2R*_L$F^-T?j~1t{@EW^IG|t@B&nR$@`(O;EbF;zL+v4PtAKY&n`WV zdklIBf8LIf2WdVjSX(X(iz|x0ceZ2%=T0|NsGy*P%L?VFE(uelta+szVZrYI&q5BS zc}zojeA_t=tmB_17CYfDmlZ|}C6v2i3;8ucnlGOIb#<|y8FR!m_lHJHjCi4;YqbdA zP0kH1TqkofT}j~LJy_y0l!VI3?qXD8hoH1#axv94%(c;``{IZiyk~0Yu#9-m<%lqA ze1~=GkH?1=psK;rC_Cl>>a84s^(PpAZe+tC(#-oiQBV8j?M22dx1wmwxF&?SSWMB> zu*MHu_~$8>o(U7Z`A!wN$HnYSq~VPDM2g2l;)N501rPk)b4>BcWJ}OZRu&)4fZi|t z@-$MODo5>i{VPd$Y5K>#*3VhACW)rK1`K`%;qd)ekxE$Tz31evzz@h6{;FY@lX_YZ z&UeCV=5ktRAmaNrZSP|=422@Gum1G5pP4d0n} z!EV^931Yl@04BAT?jEIb9={Qp3j5K=a+;>}&JA12EvRIfox&a^f1B~%6_HPvf5Cl^ zdi09!09Jds>fyl56gtw^AJyYCF)LGj@od|`uzO?>BJiX{1x9AP*AWhr^B&e|@yBjpSRL~H39=1BS1X$!DM0plb(S=}d~S>}2Lcma z;iFs_t(aL<8S5F+aaWi~weh*d^A>KNnyPRGWam=sO?MzW<=k`7^T2lvWRCESdS98H zHFxYZOot0+B2@s{6mQNj`DsmoS7J^BSZ{Ow0qbOiqLxop3H^}wXH%6FLBH7QmnS0) zef;Hc*Y1Lf4#aDf_PDyrq@OTBwhA>qNnpNZ5z|gg&~T;k%5aG9bCqD;UjYSY^-L98 zkfx74y!6u%$yfVrw`%!8ngplg0;RRsG)wPS!xQVn*6>QGJE&d=G`u%|-du8NXJ!Hk z;s&V_KJJrPD#EAxagN^oA_(K6w^5!4)LJFfHAGQVKaOXPk?Cb45P#!pWyA z3KfRnAHsBxJAEL(3m)-rc;~FAjh@G(jxJ}*I6~tL^v|S3KHo4Av$nop+G;;ce2FS^ znun4)S;RZ;q^K~+(ds=`!>580&9%w!z#$Dgou~6n#VODQ9%Wp!Ul|)s48?9X-j+@C zPs1x&H))~t>er;_9Fbz#&HHU1)YSb1s-IVt&RtN*c8kdt(oVEF9-%Zou45%>;!t$6 zgz}x>4}J{um~FzSNR@Jr-TJc{*^_#5M&4vo#Cpps>&ik5%!;s8<*>qR-gfqpbCXFP z_9xB@nF@73&P@o7locJ`RK>!3V;*|=_biOhB45TH0a=qzOtf5tr=_`kGL+t^C*;AX zYsHS*mm`(N$MU;H_DPDXm>)R{oXY6Rm^%M%MEH@j{E?XVNo=7;v`L8b>7c8H+2GeJ z(GjdNWK{{%I8-4E^sLf@G{J7guk(@sYqf34vC0&vSS2g`|3U&T9;R}OQg$|D&im)K zb|GhEcv!0cZMf&3!UWXjzwh?zMu@dUUw66V_Uli;#~$p-<<{xFjZztJ*0Q MT(r1QYUpzJKMo6;C;$Ke literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0119.RUOc0GsR.png b/docs/.vitepress/dist/assets/fsm-image-0119.RUOc0GsR.png new file mode 100644 index 0000000000000000000000000000000000000000..2cf8665ccc46a8859a30e6d8728273589659b366 GIT binary patch literal 76618 zcmce7V|!#>uy$r*&rEDhJh5#%9oy*Gwmq?viEZ1qZF6Gd^gQo-{=)gtS6{vNURC#9 zRjaDjTD`;MWyKKTu;IYKzz`+Gg%!cTz|%k z8N|2WXYkY0(`HC%Y1r6)frW*^qJ%jmB{|>4&455Nh#&uFmsfr?hv=rhg?wIX=|+pz z2mtCJ`yowxzxSdG+Ru;NADE%yF|%m;AHk2ZdoRt;53_=PecAIDIdBmxtHV|gDKwF? z#WOctT=$kt42^9l$%$1q12+1Pad<;V~u0y^Z^^xCvzkr$@ z=456;`Q3kq+aoVLNN{P2jX@(=s8d{05{qL7B-3M(YmQ5aevA=NG@xx1(VA73l8M3< z0L($x#K0b|x5X;huTqUD+>Gk{`TrxjwU*E)H{M6L>y7QPT!3_{j8=0m~jxI}--?4-^_Ri`P6a{kPGnmnZ~j z=$24gqMpeGkfjjAlhYI#8HtI5rGTF;LeMQR!c7u#GA8pq(E% zj_OH2iwX-xtrhC$QF9GegQtzx87)5yBQT3Pq3#!S{}C0LQLKBJ+*E10#fY6TkLRTR zOfj$n0)hMJ141%%J$$rURWH$g^1R*(wN^R+P`vJ=iwMcgD)AUA3|`{EkS4!h9*wXf z|3Cf3lm_E@wi4yLjUUtR{%BdYYQSg#lK&kvBo|eYSrfZ*vYz;%+b};5LlqvJ_7z|< zu*&6;oRrxi*{l?EQa{_P_#aPv;9y{JX9s~Jr%S`>;AO1Tu+_=Qe~7Q;0ZJR|1nyZ) zs9`5?7^Vm-74_bW|Jf`!EwKp^CRtm{&q+^F>80*Rn$hH<(0}r@fVrikB`ua(Fn6QQ z9iCYCsWQl^xnxZolc)3hi)Q3-Non3=PLw2hxeu$}^6vMw&Uo1ZB_}5>2-p{5J&J%( ziXk#$>G_Rmlvdf&Z>U4k=|-u!g+hG}ns=<`X7#@Q1!RqMzh}dTD$n3Et90T~b5Dp3 z;VP~iAXu1JgFttC_mlx`qQuEn&Uno18?Ic1aK_R(PQ`2WjVvsyVg3b$XJO4AhAw4e z2NrIUL)rBMs)NNN_evH~P68nHt}Lp~ur95&vxS1v^H%B}MyiFyVd|~zDrSa&yi5u; zAR{kWt9A#JjC9uBQX@E4LYhw`O=>MZ`80(r>Ms@%&)o#L#!DuPrNp!hoj83?@S-jB zOdQq;oq91>_p5f%RSGR2+?uBD)G4Q=4058HB&&hteWHn39+sg#HW`<#9Cai1Nv>YW zh-LmzE0exov`B@%$h{3vw>22?EW0f!(} zay6Ny&4qkj3n1{H%)$iC6Vn5h0H;E-y>T?lg+Rt*53h!;oxm2=-Y9>dC=2tfP>*7K zY#DRwFR~@7$A%@!1`0)6hc$ujK=*p8kvk>A8Xg^j+P5D>!zRtiv@8+`1|Qj5GJszl zoVeJw+9E>0`^>7PLoOkPDG+?)akdotBhu}XRq92NLhwZD;gJ-+LRH`H8&Or=@KdId^_Et+W04K@igWq%nn2i$zp{-y5FL!!@59xnD6wzUUZU|YoZ1Ttmk9)=-gke=0aI(@&j-XRqX|TYPRIWT@Wmy&8 zRE#J15iFUX`jez-Ko^XP`)0b(BG z)%>&{R274i*m=8{d#JRNR6H`AF~ALtZC-ykm_@%GK3cz9(tfC6SXvRaURCpbhcUpNO<-<;Q$uSMgK_4#9pC6-?n2L+ICTbd*#1ubJ`z}Y8~8XKib%+8IS#JF z#Ii&qDHALpbtutL?+iSOn^aw5Z(m_ILD9qREwBxkb^>{y(5Iw@E`^!_YbYWtt?fnV z39@8maAic^+gZzXvz(S*kx?%Rl1@MT+;WZ+>cj!Jn=*xwnM;xJM_V7hY2xhf31^D> z&WaOZn@j75_WZ>o$F*xE$!G1EGTn-?`ihbn)|;fXx8FaOJ(jO#`UWG{A)MxK!?bU~ zMD6ZkZhV3T>BI(Hk8{kJ+Fu2I{Sg2H$t7)j$Xu5I{ZL)T+Uf0T@ zuuu+#kc?3+R;uaLm`vC%i`E`EQnI|y){2_NrZFh670@}RS3`Y@J1DiQ1Zys3#{dD` zc42m9W$*mhRwVx>A%tP#+hIA+j6V;xIJwgkJ=hpT-yeid#gULQh4SDw0=h)akx-s) zW*Se?VfsiImuJf95u%b6``k?o@A)|aJL&;A60*QH6JYk$`KL5%fhCP^0RRS3C)2>QQ{(&`e7E z6q%|@i1dF6Q<5ftIl!pWejGDNPMf=v=Zk7ofSGq}^cx<@IHh&@wKC|Or)(`edTIU!Ta2AtjYwL=taNG-a4R(;rR!p>-1HD2o4imN z6OgFtcu}VTEeCSwCIE#bGCKil5I1)$RbDaVS8k4%5ey@6@1tvmY(1#Zxc?eLYO*cC zhoZ`gm!n7rX#eR#=j@SP8z)r?p-IOU?V)hocn6(ij!JWrl@=JLcV7{=bylc()mVXj zf8M;b!u`^eITexTu$ToM>kyP;l18U+M}od(SL7ffoq-#!m+rJ!D`??G*39ki@Hok9 z(qxzD&6MK=tqIs{u;z(gqWH1T$3lABzWl9P)&-B&yD8F73Cn8Bq&u;48N)rVZxGFa z=#9`6o|v9ulKV3JRcLYG#?PUL{|ma+3ZjuGKSy0d(_Qc^d=evSOGg~AKX)z_5FK;5LIk(K8|)vC!{C_S?7 zVB5cQGeZ#KGRl1ljIvRR5p3di!}WCP4l3RHi8XztkBp1=$+Io=Qf2ccnm8#+;N1_u z-QHMx6?%ReNNu(JMNx6QC{i+gahg%4parUrs}$Y1y3KY=eao=-ZcgZ(@3TuYoaX+D z1ZFM^Lv(MZsl|Dogpt0#++Ghpl?@`m9bpEjd|r4qxkcFBU8d>~j(PP($O7>2W3+Yb zQ5LP-L9Htdu}=wQ>hVB)IQdO99OtR#Z~D;?2Q-pA$yQ>NQ^nd9yys-sI?C!u=B4GI z$DS*-(!oSw5%6PB%@-DC6$*}^zJyViBtN?ZGtg2%63Lcl(u$HfRWdXWPO22CgpD%w z4Gr+B1gGP$o_AQgTSjRi5^2ncmpS_}*r(*Pt~)qcrl1FsF(|T*28%VqA#)DMoJT3! zP{oiSWIQhlqM~)P$K8qwNDysYEyoI{cOsrt4=$rF$TzWQMJz`vZE6S>tpkmZ%P2FH zkQiQY&Ec&5hBa}#yDxFypbdc{u~mw_ag}x_*$u>-(&T)Ih(Vw-_^r1BBcyXY-!<$7ULjgEl*nU&o1vg*He0!uv*{iC z%*5WTME{$_80Hiq8;1)8r#sR5!EvfLHVsL3N$QfC_9l*7$p$|*4L^WMwYfiIFm=(C zMZ>?)BwA0^8DFi4MI#Cwv^Ztb0&BAHQppoB10KRT8@mypnJ-M6L8Ya&_>S-HGnkW>=+ zk+GIc(_)TA&SaH524)rqD2ZV{$ycuBZMmk%MYFFUA%2n#LumlzRllS(?5IB_snmLH z45n^Ph`U-xvp{BWnTZTP{V$K|wr$gp3)mAN7GnGiSQySCONfhbLks)!W(fa;C`b`I zS2`8_YiU6Xw?j-RDYi(C)%p2P(JT>7xp#=f_zVgI~lNLR9i#L1+*r2SqOz z8uYPcK#DD@l}ULTc*|xW($YAfZu>e^#@i@K#6diN{?osm@bfX|#?PsB$xoE(AG$&f=S*-Ci<4w6-562% ze~-|ox1Qm_dWdS%u(8B}*f2D|Y}FvMJQmLg0!UzGCVYL{LJ}?&g}^=R5*i=o(Rr9z z0=^FMetW1?4VQbGVGdcvu4 z@{4hBN$0Bh8WcpCi9bZ&w;UHTy85>#lC&GyvwBDk<{Y%MxT!em<2_13JU%|Ldx8s1 zrniUK6pEre&V7g{gO=QX4HUSE-rroOL^&{XTA zM{;dsvlPo3wg6}|=%4aS9n**^)`a!6fN_g3Nkv72J-U*@kC*OFo}UEzSJe)Md~Y`x z@JsXT{tFcvZwo#qa$JA^(DcTYZ-mG^uRDty*;`pc;isj2ff!`lsd^~t;Qs-9R4HZW z5aj(hd73Clz;&ITAgH*}VpATrH})RPC0O@)D-7T9(mU58>IMcfY)_00J}lQm z>}fG-x?IzGQlQ~fm0Pk67?Hzgx=*YaX+E`s_LK_(Wf2W;H#)C<3ipvOVC&XV>U10A zCq40gw!A)4YMOd9mmQiyLUG_EE|lmJiB2g%3|7>h`JS@4l{4$=b9|Gd3^}kVOJgR- zMvw%2dL9A$O+WqfOrW26KRKD*;);EMO9Ed-7S9Z`Z6dN!3Px0yhaEwC>bZ}k4n?le zgBs#Hh-W~A(avSNjy@%G)Hg8UMmeXoWeRFMCAWdJumcmv+c#cL(A7Dj+>dxg49tyh1{+c{V z-f1ma&{qK6$Z&xj1?iK=VW~1!zzhh5kl;S~R0NF^j8>aJpl&T5*QsO!-@2Plqknq@ zF;kQm-6!W!FN)wIocT<68T^37*BjW>cwTC2j-vSrg=t2ob?SZ zHyo=Dw7aA?F{x&ldb3^1=?If+JFaN}ao~fT2vy`)Gr^-w=KuIScvzfBTt|~%MjIp>1IHCy zRWi2;4ob>@a9zsH-YQnr%eGF;+Z*5_eS@ z=ZqXuaJ7@Vd$5qW3>X~JTF`@t)ShB z5i8L~d{N|0>s&eCdvK~a_7~9BCcnT5XU2_Tjot839@k&UK2<}fmVPCO`!M9BE{zZyWc!SfvrGKotY~?AG_HU{R0EKDM$q7>lLT<>SXawEUTbu^QZTF zE>hPyLX2^fM#Kai^=DM9efrD|Q`7^f1`hvbwBW=|wB$)g)Kha%F-wsL)HFAnf#>qsHwTOLsHK2Y3fI z2Hxwn1>immh$!SmiHpDK4t-i`*?`F;D4vwW{m|BWwSEuYYDJ^= zT7C(4a>W{vUPj|ZZDp~d1=(MokkYztQsVCjD@&nM3Sa2lgfH=nhM6ulW7j76teO}| zHCmiiKri(ws%9gch&wx~&Ng>E0xTyhE-!`_#-DxAfykGjdAV$VrdcH!9-m<{UYxZ= zqdyfGKHwiF7JTwZASFt!mdSAhbGD!K(Qk+v=|Uh7sEtoPSDY4_FAO__-tId&7<^SGqd5KS zV=#GY^)5%vNLl}o2n?jv3g~ba9>&3XnYlVW_H_;FOew8CTSK8LOisa1%_FV;^MzTp z@(gqvbfMmOm$5kHqT%iuFDN}uzSNb!^0?_qzj-UQa6*3==HD_}ri5rzhJ=ZjhY7j? z4GHj;|2aA!SeV()<|)yQrfwLJuilaK#W3s5{5qxz*I3Z3GN6LtGg}|dlFYxrD8tPg z&RY7}ZV#1Frmq7P%~RY+9cuIUVCz2+-79+1u0DZH7&;bV7t7?s5i(4Aam17!gqD_K zdjrvkF5$V-1H6#O;=M``%0s(^B;defUCK z;R|J2Ph{9Kv-nbMs;%-F0XC9(9iRxtmd|29zi6bKfU}4d6qhb3iT=7rP1cona#A7U zGBp6D_RXJqKdf{LULfqvZK+CLrZR9kJz0nn^RTe0$c6Mxa_>aCgC^<$6cJ|f!8>QR zv9_8Hi^c9VAH`pIF3V8zs|RP6`UqAnz=TDk+b7VZpwbF>kYBoJdZ<1FYt&>go#B%S zTnA$Hj}wvh_VKUrGZItT3TC`PR1vfquu}Be#Q`juZuq>zPkv;W^qw~!cB9rsUp$Mj zzyEA*ir3Ey>%HF$ZNU;MF~@%Ai|g`PhG#7|zZEQIuqu*adRk;&g#=X-fv9%0Hk`zD ziwe*t{4`)SvcZs)MG9>Vy;Gfq!(Y1DLR0y>7nVxB&ZrQ2ctJVMVdG@`nt z2ev%LqCh1G!F-4^ppdexpjW3}r9?pR5@;ORzz&r-pYW>nf8(>84;$$Ew)s?+|cV4%f;Tl)<~>% zf$fGnJi2N?7#XI*lwHcdy{|?7XCy>$91HK_wBv^1Fe7+r)Xe4Lq&2!PmdS!LzJ~KC zEh$KcLpnkFyhIcLxzm!xA3w4y&|~b}V8LH@RC3@;9sYHMelSe9i=nJ(CM^{7RqMG^ ziXsX|Q}h%~@$>uX-Ms#7#nbNbGHSzA(ynUDsOcQAe}c>E9~Ucyys->vZj~5{MU(#7 z<#$?(0N+~;i@r$9*_(-Pi*$1W3o3>fzj_BwXbdA8*{r=PE|9;W7@@}~WVAdpHfK}o zzR@bxKD-{I?-jLw2HR>8B!=DATnO7Ek9hTkoA4az2C2I;x>h_j)CP4md;xIFUA<|V z-(7i(DR4(3pEv^WA~8MkGbm52Pp0yy}X1wGj3^538R(Yu0|AHyWV`41eZWgCT{Mn0Z?MsLAl$qbVf!?QqYa>yVUzU=y+5 z#FN*n@%2I1>DYt}Ve_#uyfsO~%72Z`ydt_OJZV-+Topr&-9R+sk(s}hpnf<#*=3>(WkHYUXQ6UDlFM< zM|MEg1mA4Pc_-=xV{wwTe~Z(US+nm<8a8zc%MHn%1ZL_ zaDS*{IvRJ|ZBchH>101DAwS|Kit#|*o;J12ADwZ~_?<6s1hp9p~=OtkZb!S4-G7JSXnhm>9(4JUL1YVE_s+!S=&!lnm2; zRtp$j^hbx+L+1>|C@D#>U{IKaZ`RvWdTCJaln__e@waP2`-bS{)Cl0ZWr--mVw}qP z`RID-nr<^|$z)tqe?-PTXC@VY8Os^d*?wAKq%g5vv#zA)iAG~_7!VNj6%BGWt5hBr zQ_}C!;|uDCeuGDV5pqcyga}~er}2ujmc7g^D5{q^)fw^ec3VC1ct8w^CDB7%w*Jc? zmd$dK6k_uJ`aae9bRO~dh)(V5^>5e5)j)_%JM-C2+av9B?Fn_e{p|#xvc*o@?me>1 z9SPs=_MV2vyAN@$a5MYGUV5fn_ryF|*I_BX%KK^~T-bx}kZhlV6mDV3h`e202gSwa zI9eOv74(j{Wa!N3tl`(T9@voENSrFS1KoeZ*=NR`{a*H@!TZY-2wzU!?P9!9w)TPaZ-L=P#H3 zVzT#1s3JV+i}P|37ua|T8sS~hRu)P(kK*TxRJl>!EjOujwq`Ni&tF6 z;M|_5_0*W}zgXy***}MYt=~U|FI8f0z*{xb#ALpV zew8zxw`HLuVgVnPx|kfGtRbAN3}#F3lIkY*F-kX%I&d#pj}qfyLWpK_gPt z>vge@P3y_0%?3TT5DfEx$N71!;IX|UvLGXc12Pdo?&9Y@x${^4{g4DF#Q;1q&YPf! z=rlWtNUQuSTN)JpADUaS?%^6=wpd*X_M!Y#m$#n|2qxMu{|dco_=>*z>L+hL zN(8N&{U~{X%$t6Z<-P`Yrsb~d{7!T~Cbn1HC+|3);EEfho~=yva3m7TcGeZ=xm(jJ zSGiHf#D9X1F`+G-=i?a=_%&HF#|WRaypWS!#B1}*=;qMGT3WkrTNW)es&S3VW|5FO z)O}YOS_^8leOwL7K>+Ck>Fu>eXSTzhdLEnLl+B7shz^&9w}?Jv{fDN@2U0L(&Xyv+ zog)4v3@RQAsYoL+-ASZUj2M(PLo)C>&I6gMiLmwp(xzM`j@?b;Kq*IL0$a;>aHRJozslondBEjrr%=|b0Mq2`H`DxI1{&Bh zllBR9{kJ4xC8XW7G%{?o_%&vC{ExucMYEN|Zj>6Hc7ySe9tP6eOH7rogWY`u9~Bi; z2drCjQ-fRYB022mt@w=b&y*M5-g133K{M}r>(M*lw8?|N>$Nxzy4+zkt98hoQ1H6g z)rWqb($69HL9F}uzwMwkhhG}4*?-gZ+PP)JYeEc`dyUR`n}s`A$aQc-OgOklRxa>; zEh6jCOdYVse$-_VsY7upsf>yc+cciUK?>Xf3UTowphIPJnSN|sxIzS{jiTgPQ@OmQ z$46(KT=!>1Tl{jH)2fG3Y)7%)^_-a?K{be3Kdz9As>UEUFFIG2^K`gJ0$W@Je25X; zzYOcuOIl2L9cR*8`jW#sn6x--;d@**E>(IU<8eAJ@qC;zC*E;iL(!e9Ja$L7owwXp z^Ej_~EF-mDV0Aex9YJQ6?(m|D2~m3g)dANJ;K}+HO>Mop$D*~ttTFyj)!(t@E?>{& zu6nz3C&bn-KVu@c`N8K#_ont^C=L6C{~Fy`wtUd`NRgAdl#n@j&Fa;^#wsG0900xa zve+Q_KI(9#GC>ld(ODGVQrb7-PlHDYgd3{Vg~(|8#pC5y20qe;S+sQkZAhK^ql)i~ zglfz3`3f2TGD5&gT~g~r15TJw3ib)9&*$Z?%$L^ac9+<6y)pN@KY2W*M}PKB0>F_P z+2gduBKi;#1HONW^xq38iIuGs4rzP-vRkSs4~zD#7QxhVU;ofXJ%jv2qID!0Cs=Pz z`&DR#R)KbsDO=n+rjdYq2W6WlDSBLq@*W70nlP|As#=M^IR#etnlsmFM=#MMyUspu zwwrpp(+b<6sL|CZr4fuGW8+H^^9*Q33Dw7I8^gX>emdLQcNee%D|b`382o_={80f@ zJVSQVv8v*Qi7(DHeNZ&DtF&BfvO05h_p-Mj&aH|7B~_`#j8~~G_VK&c%Hu9~T-*ET zTT43r>%O9UX#e^P8ot!V=*C*;oy!R@ig${*ps!MK~*K z05Xr_K9cXiXs*vnn6dMVM&Ush>B24(aH8pi$Mjm*UZX9BpVTl#jv;J8n`>7p|NoqTwof{eZwDQ zBq=iz3O|E?6kdWcg+nohQ8kefl)$01%)SVwQJIIssGGHh4Q+B3lCcb43f;Y$kTQ|+>+@4JZM>DwtYoPB7S%pr?t0kj2jfl( z_>Ty??^d?-*at(J-Y>O~+TNn1ggDP1emRAqQU2BIsT2!FAtQVoR?++NdVB(WM8SsO z(e#C3k89Vn#`Vut5Gjgu;V4rNtWm|mctjGD>9fx;IN1VE!4+>e0~{MP%g&>M4N+vh z!8+JUvSaf4^=!IODVNA)cw7 zsu+URk=v~}t{C@L)nn0oX`YoppD87`zs@67l@2YRfXN_sb#^y_4oUMA4z%Vk5imcB zDOKmP+6v&>`Kw@~>&r;UqKvQoU@P5xeIR<057oz6jIht}{B`zgA5S$x>r%s3#$z6| zoj#un82{h+EoB90eC0z+L7hrfqbU>uOw-(qy@Ws5bY1;`=za`63}PECEf|xqcI~!B zxhRwTVO1w=T^YEL+l-dHT3kBcxmL|gBK!F2i0}E3E*;SRxEY0i>m4bG+RL7aYOV*FG?ds=ZHi;9q zEv9@3nT~RqXx=+KVVYc1+}vwYmd~fD?$ay$meK;GYt9#v z&i9|A>LEP(TnET#(mH;jY22lUCvQ(>!#(cNY!A+l#jWCs>16&wGA{X$s0Km< z=eYDP!iY0c$L9XL*Yl)R=fwDDM&(!eyx_D4)skqJmM~T%zteUk{Baw-#YHPoU+*K( z#aZ3f)MH?iuTABm3wz#$`8Z;{P0VDx>_t^!2p$8Pyv-{n0#q8B(v?Ynpiex_roh?U zsOp)b7G|;cxMCP@{JPb1(jNUzwlyw7%77Le*LXO28i>(dXm;NLuIaFF5b}%yXbe_& z6tf!0sJY57?!XM5FAyJj*E3hDPb>Y+It;ITzZhr*s#sadI}yrq1yVaW+H8Nn8D{D= zkd(k;+6hhgWr9}+gtP2r?KK)2GD+_c#9btPq7;y)rMJ-K38(FZ7h zuXqpMGctD6&?`2NVE7)YC=7@zvIgts=Hm}_S@`ZWG0?jo|7mscb7_r!tWF*p~JJ&Pfh!P)xLvtnQGB1X7|RNv4B4pfuu3)1S>DUzPusZupn9Vl7*lvA-W+h4NtcA@ z1Mh2I=g+5s@k*eUsTT`&2PjwU>++^ly&1im_Vf~MLECC_n8qFyjDu>U(BxqEU5nNS z-y{fVmMo0+gaFe;(w_LOrB~-AW~^|00N1F2BR-0x%Mw0D3gzowwiPYs~*iULn8BOg`G1biaBYs~79Y#E;tx?5iEy*Am zML_dWPT#_PauM>sA|D#SPM)T7cCUan59e-d!` z>YwY3iqts`WHYm2cgeT&cht?oBcjIk_51q1ka zw6!2Mfkk?qLlvS_C3Q5)&G$EAENB4#6$LZI^F*w1k*=u0?P&s1Mbx=}0;1|AdznH! zF+dVXB~WK?iqh-DVH8(GK#Oxok0x$sI&#zsoRg%g-`gRP)aFDzER}@SEVy&S=x#yv zPJpKEvhnk3&8Kez!`EMDBTY#`sB<+5O>I`7UD>(G^l~mqu-cG)7KX&UW_;r}^r%7f zq~Oba&llOF0$^hxdxri!eaD-jLk*ql9yx(3m^j%`Ybgcm$Rp zE+|4OOH|u&4|*d@Vzq(b={+2TFrQh1ePZ+De$|g0pPruKtnZ3!*YKJfssI&VG47$uCO96kk=z8c5&HFnY&Qf1X+=@_Uaf+R7!C1TL|$s5KT;@ zqnZt=PU+-UGN3LVKOdfu?re89Gca2)Hnu64=bO^LUq-JzliT|z7%@rq(8=n!ltqzc zq}aA?`*H_OsKOzO%Z6C@x^z}Yqfhe|c{7{W3V3U|nI~?rFXGjkY~C&rN@G&511Y~m zHu8ahoC};)X}fOa+2im-0U6+-*L^FB^*bi8)gVD%Imekm^KElWs>f3$wB=h%@{QiJ zGSo|7$9yi>0TJiVI~bEcQH)V6DdlMCkDJ%VV02Qj>aa=AUr628t%LAY8l^K3L}P4B zxg{%O92Jo$j5TI=CWxj_^0Uq6l%mzAE`IMgKlP0HbUAN~6_Q>PwI7qkMalygB_J(= z&?-@*-;HgSH8Kz$Y3iXnBL@;D3)-l#1b-ZXtK5cWYTUc+=LV(k~%j6@hS|djXg`>zEQAG8)gp4sN=kAn#w_E#+GDB} zy^ngnZYk*aZ1P8%p7K7K7jyM7x(7)po*9(D(X58IrM7*Z#llNA!Prn0fOmT;V(@q? zy9U8sH{UD9XWBgcby>m7i;}U}%N=FxdWcuMW-0uFznMv~Ppaz>01Mg~NkeN%F0kkvy>IvQNYhK?*B{4~x#4_(z04oNY3!_0_j zefBOX3iPYho7LH%4D)El)#xA>pzi+O*lgPWmI`7;60`R3{y7$7@N7+3%JYj#Gd_%= z6vqPVqv>E{L_Wx;as9f=KFX(utqXiwCEYC+UuXfR z;+{TpW={rdtay)e5LyLQ@w9DM3BPNWFc51GxNzsjvGDCJlQ+V!_r)?I%psOb-eZLJ zfv4Vf8;yf(^JO-|d&U3PCG&PI{l4TZiHd>r21p0w=7H zb3U9bR8L%VKZZookBA!0p)MIXpvqaMu%ek_Dv$Z6rz$uv67c#hfHOO5tl^ zlg;p+goWJR-hCa~0sqT^jKrMSi9>C6dtmlYVfL*5TK6eDR|s2n-a~+8zF+Z@t^zM+ z-AKPr=MQ|hI0ktPWhVpa`HBx)zf&Az4+iSXI0v!zN4IxarTfwlbuVI_TV-6i?o9UW z7VfNL^X^k`@4rn(q(L^?&xjwOmy2{n^Nq`{?hojqe}y@=ZoB00?iJUH?^Qf~#ia44 zw8e)tY;nn zXV6-=j=;11+h9T#QAi{}uFb53vcLF8v2zK+43Pu{#x|Q~l4RRD1&)`$F>e4t&{>=) zmK?-wV?dKxz&b|$wTSY44AiGdg7<*7k0>5{EQ9 zsl800v`Ue+Vn6tekd;<99g>BJi0C=4%p=uy`mA}yHUFYs!=nIIrUh)XFm$<7C4aT7 zYBPVnFXr=WVM4C4;pA6W2`B1eK9V8pe$k*kH*ZRo=h>v(o&I-!Jhcr%pM!&po2J)MMi;?{n4A+24&%v43iy+dU_w^Dslwi z+BlMJj>RXB6Q7ng$Bt1nmIq8zI(h7J?T}(*Oj_n660O2P;*O_8L0}`Q9SdWR25O|Ge{^r2i^N(24#l2IhLC|RNHlV!Q9n)kICO@nVaLhIPQkA|oFSG! zp7$?LIVv6^eotvyJLml-U4!O7pkucdB+uf58P`{xe37{t0@L}~q#Z6Mm8B-Bp+P&Z z5Cwoer|NTUMtX!e#6#>52FLl&sUJ{EdoHVsBPqDhhkU~e23t4`y3uZGR29s~e&uNx#j=1+>|^6@td9mmQ!)85o?tMKGyc({0t zR!=SmHr2`~T%>38W`Lm|JjSV7whg^g(7->|C`SPW%-d742#>dFs8Ngw zN&Be93(U?uAZit z9SlQw(DYGR6qm?7xA+*a$w{1Awv_NdEw*dwu=xWrX|x38!N=fC^#FL#tCQ|#7P}h_ zEnTV%HOfVkHJB_rocMatTm8QZiFfNoV*=VJ772sZF;Qe-uxQnPC8bqEzx+;llB!A4 zEx{#b9&Xl`#~#=w-EdO8WuBl`$dV@ix=-DS{K~Zwx^>;$TEuVw>*URiR8E5*9-Cc? zCRZ$Z!-HfExWh8`m$7P7Dq#IE=Q@tS$?$X$6LLjThidPfR_vVH`7R&SC<)saREMf3 zmq_(u5qAA49DqM9A|*pBnwCRxu`u^+3#k_`6BRBx;HFHSX;Kghuy7I=O<${?v-))5@ z=F$fO;~|@U@8kU#CAy`zCQWBWl5V!Rp^e#Fz98@wbDIMA;wNrmqp+G6xA~GR|Fte% zViyYwsz`t_=&XVs(G?bju$@G58)N2AZ$2miJ@KZb5B&&Rb-k4X#|%+di%HVt*Jj2) zo3;8O#e42$h;W6B4=GRm=FEzU^UbpWGU-_uP1h^q%MqJ_q+f2eyL1T~*8WU~Fa4pTDhjtq>^gc;mF-nlW>)w)+NEst z*G?p$|8mdU_TAt)FX%z%GZss;&Os8`im)EW~`0ty%8M?#33Vfid zoh!`mVA;ebn&o&C-TJ%pZ#g#~ZgI4JoTERBYOG%l)pcK27aAGVMc%FF>q z;6&7i`VrYyNAmQHmwe>S%d|Qbd*FPzxH8?(q&vpQ&Atarm;#rONQVOAhZIN@fL6UH zUwN3gZyZW{gB~8~NDGH=jZ{Lg@Jd}f6w>c@>VZYT&K`BX=vHc_UwN}32o`hdyY z0y4-H#qgm-v24bM=D&>x1~b1mse?{61qdWE;*$c16Gp>uj}F*BBUC)2w4- zW81d5v2EM7?PQZpGO=yj*kGfLZQJ&p_q%`R%;{oRS65d*XX#~w0F+TX;x&pBgK*Ih zIVHS(!4AyST!nq^Bx2|fc85D^qP~p&PT<8%7pwTLJ8sG8UV-!DV6Q6eRzHOy@WMMS zb#9^43gc<|n!6AXb$rLZ|9p6XY=J~%4HP-}(itACMcq0lxgn)&?>M;?e#^m?S zGzg?9b0r{g>X;}TYb}1PLjz^k*gX_sdOSH;1KJfIOoZtG*0Fy^P z3Sp783>=+*dLTZIw48uBj*b%VwBzSeu$TxJ;~~LdlbXPuuZFQzj*5_%oNs;4{%+ za7oU-v*yjt$;M-4#lj{&^8vvU%&jw{Ld9cE(zRlKFVkhS#>nAJs{|>MEcGGQPqr%4 zoMTjkB$2x;`$neqGr$BkyE#d&|KRZ@TDvzf1IE1zQ8D~2HS_O!?xP@Lw?GRI3?5O3%Nq@8ae3CQu!1cvuTR{v&9PXJ(E>elxH@2Y?7sz z%`erOL~ZM%bV{`nT{jo&v8cLoSujh2xt9`oD;o=NnuRz@K}*dIBkVRA)S>X?SjArP z73h8pnF7PeT8+~_I0`tnv_Pdf)1Qp{9l!D~5UlCG+L`**TV8^1-EBylw}8DfP`E3Z zDyTfIMjU0L+sGf(?9)cjX5{i#T9#GiiSpF*$;* z2NpFJyWZaZ$413MwR#RnY@@EQ@KR$bxc(DJN zrt^;VfOG__5w4ln%L2;Wgs)+SN^m?u5ljnb?J_n775rSOVNIv3N!nStDt=^Ut_s6( zIA@lmuda5hzkKS><8kE*C{YRtp-7B&BTczT?y}>2HG{cpQwggi`@DJehSLQ4wNfGE zq{W^CRmfa!L+>tLWYNqqsfKna#qXt=wVBoW0}1t@l79u8;Jz%xj8m2dN7M4cVP$6- zA-BVHrpMd_i?1pzxypOcVu0AvoR(p6M*%)ry%Ho(|Mn(n-)ok z70ruANCX|)i(lK~gh zQ&oPRz^(=JW{$BZJ-UeIpCIqxK4iFUq+p>y#YF^yBbgDCwAVC+Zo9&SSf&g=PY*|h z0oCLWUk4djSc=+tba)%kQvw^i4ssHgMqfkfM$=*P` zt>wF_yL#Ph*~v>Z@X z9mA$_ZzDU8nG48X+a0N2V08}n&U93tMRN*Ct7qXrJN^k%ki#^OQ9I16t zs-H3{ogV8Vh-v6oYx+B4k)3G}v`MQV(L>~l_SDx;p{8kOY6XXJ`k>~XE(HY1h`@UqEzNkc9xa@H^VbXi)HA$& z;d=!Z3lrW6OAI}c9^d)vbyaPh1hHYLs^EOZm*pI^c_e3*(foy#b!Oc~|BONy%CHB! zasUie7^t^)J*Rdqqg+)eO3xJ`hF)gv1w`KK#`@lOltIrw?2X$h)7MP!nPUBQ8iNXp zO7n>D%v(%%%CkMbwKctn5MdB~LYn}DPL~Y=8J80)+!Bz18}qbXd|V}C=g=3}bY~lx zMMlZqC$^G~!Iy`-c-AQ5lJYVf!hbG7xbs<&`ICMH=i*x0QE~e?Pu9IS=AlY4z(BrBljfWJQBrT@wP~B+vq>rmbV`pKW7FJ$E_6)hR z)!eOfrecZZu`jy5gjd2dNiMD$Sh~-{>>i0WoRG3NCYRlz?K8Of zPeR3Ta<15f%y=VbL0FH0S9^u68Yz_x8>Mk|xihGqvKr_5KD~F7-S$dbqD@+}9DZb7 zL6@sTQB)prUO2KnSh5q;*f>l16cVGu$We~Pqg9=TEx263XxeNYoO-D1lThEPe zCSf9Hn-%*-BMqMD$w{6XP6utm1XpIL@)7!edR}8x`34h&p99AD*3j6kW?O(Ocj_lPL1bY8rTkW* zSk-IjPBfTSO0Lkb!UwZ4I7}o`=cTWS(cp2Mho*iZ4WESeL8CtJ`Cmbh9>v$c%By1G zyEAHkJ}Z%#pDKq8_ZAw9L6q1R9yICEY$N*_Ky74Ou5RD~PQ9y<``-{6<=4Gjy?ru> zl!p$5eW9|7X0r)|`~hg-GQPPlHgU<}rDE1!OeQitQR{N}__&0Rk{Re>W7_NsYW8^o zD4V0)Z6KJ%hA8pFsb=!L+u!^BprytGP`tVW!T*uPB-iZ4Gp%33JKFbN-w|`0?vOp0re>j>l^|>#N zR$(i)O90OVeM+ZX_==gyc0yLqKBby`*e|Fqt#ik%0sT6wc4ECuxa_@&$9B>y#qm~+p&QAa~|h@dr$^?SX>@s(rsvu!B`?D zH+S^9&#IheF=x4EYeMP#C#Vj@0X?gYN(^K|?Ca<5FxU-077B-cL$&9rjgd6fn2zAY>$b#=8u zZ>o5X+CFcbQMOw^2S^65VtIOO8EFgh1)&4MpoCJNbc%h%yR^tk1e(L4CRd7rT0XAh zW(@Cn9YmNOzy&c^i)s5@dx0s1p4aGL%S&?BGLgEka`r-T z&oXAKr?gdIf&G*)8D(~wQChp?y-m#68oh#z%nh&HMBFug@9H9)eb7L-siQ+cH58?a zZHLLvM3wml^_HxrMy3z0JfW+QveFGMCgzd(Aul`9?DMKI(wOATxFhsg=Je<40gR5` z>__2U6|_-FR=4Q@eZhLhJkM!&@ziqZx*gG$uycBf93m`Bxr3AzRd{D*IqeDRIjk++Q` z={IqUr_o?89F zgQdhs8o=E^->g=fNE^8QM1h1=^`}YPo<;)0xWRP@^H+y{C)QKqQ*K`txxfRu{Oe=G@xZ)**H_Ct|Ho4<^`9$n)>`|56bUg_f|X5>a`O27WcInp zQFoLiC(<3wlzj@UH&ue7R6m_ z;!lEwejXQ%z3#+V^8FgOby7R0t1RY=>p5wRd)MB^9_ zN7zZrGuDRIgWfOr$+m6YpYuTxz6KZ5utDWcNy;__RY)f*T_Vb0F1@1J#vsAk+%2H= zalfXPWjy_QmRmgY8v9DzC;_qP8KG@i$^1G2;U!7e&3`u?>relFy07Z&1QIiitz)H} zBMv5=jFDDK?ldm*8dxA4UPrf$TTrduHA1@gg=rU)wz!AVCFt9NfQkHN=*;PHbJfJQ z)J^-^dLNw)DJ##;f%S0Os`jZ8R%)SQ!|U)_ZL`t9PgQe!=bZZYb|BPF=vV*1ZeWF4 zo3q7fAtJ;FN?z7ICn@S1%dZCxt$}@n#aLV2rJGS3!b^={ZvK$wJX;+J1iqhjfV+UiJQS3MY8Bf{ z!q*BMSdq5pkDQ2VPA4rb?Kd+~jFD5ZMf)SX#?PM#$gVAWbPfnTcnQQwn@8J@Y$N*>hozcm0hcs@ww}DveCfsSAlsFU3f|3$ zWv$0}nrWr)z7YL7@S|d-(TZKBw!p_m!WS&8pZVb1N#qArJ&%iF2Qj<;6W3BnC;4<2L7BnS7<6xh7Y%?nAIf3zaAsr-7uRCbOrt?@se9xMeM*N{wsm zVBR4_35J+-nh0t?ZO1;OIFRXbjW4E4)}SV2u&7i9WB-E6R&s;I)t{$BG%3^N=as1G zexhwsVdDGW`?KPeJozT`_7zQ5oP3BGK-e7gt>Q#~x}1Ikb58_nK&9^lS_Em*p9ucP zZSZvW(4R!lxa|Y`(Mgf~KX;Yq@98*@LoGK!%t{WBewFhawys}w`@cOnXNAk%+owq7 zZ}78}bzt(!A+S|fv~BvI|MJ{sc8Fz#>7jKzqGhhbtj&u$HX{2tE$P~R2gdV)-m8#h zrcKNv^H2R&ShdF0!mUkO@pT=)d?lv^K&J+N_|fxjPBt;`eN$w_0)qPfcCn0c9&~-v zO?r(C2=y$0V{@<_8zq8v)Oc{0)PpuXFmdTPNkOGRJsdxK?yg%m&18Fdct#bK_$c5_ zE_K^fr{DhsDn00*HNo;EjatSS%1zujAGHp9Ql@h48%q63|Bp#5a`qAzk_~RlsaM9; z0L-1jkz#O7JCG$X$!du9Ou``qh%~B{r0uD#aRB_$<&&8HWD_= z8zW625-LqgOmHZBZb8$tMt-hUDsG6u?kdfvm4_zWS}m2Y8F(0FX*zZA4GYYSeFbb{ z2rFm4Y40h9cMq`zd@g*L!VN=!=K+h*@o%S|9eu+IAO%`ZMtXvahJ*C_Jux(!IX%jX zAqV7=ScoRWQwZjxA=BrigYJ53|B_}7#403rqd3z$5~G?!zYfq)L~Q4ACGc@UV!A~X zXX_272nR;RICdC(scheQncBZ~9k}6=@`{XX0pad=K1BCpXVcucDr|a*R*yF@>39>4 z4nilN1j(rfkv)SYbeTK>?AR$HV?Uo+n4u?#QiEXydVho6y)xE!kXZv+!s`T zclZA5*K@kNuk-FZI7~tgXT@z6k%sFJ8Owj{xHQcHfSuchMLKv1|vo!}T%lisr`meXABDNgNr4^0jhQAPmq1isO` z{-Xb?uwE!gAA+D65r~vN`(!2$<8?^$S7hETv2a)lFsd#gVunvyEX>x2AAt|(y?p(l zO>-w@vq#z3^{P&2zX~)CWiQhz&A^0-$1G=3rh9-5Ko+WFsP>f$-*ImfB}Zho0`S0MWx7GMEI2>DR@2Yk2X1T!jf3#vVEkve>E93=EY zC?Zrekjb@Bta9VHBYZ^l zee~wDCNZF#99uMr?4^ul^QN>wZmSdrLEObcL3AyK_HVd)O|lCw)f)@z>Vi<9PI~b< zLY8;gm%ZYBnTP}?oTv_^3K{y`ubJEX%gd_a9B#e9jiv=^65Imlc|GR(t&?>&Pw^pz zrDu9VbL^j65YqHlu;v0!TSa_sJ#-NJheTJBK&Pb<@cOteaH37NWS z43kxAV@RQel%?5Sz3%2*)XckuN6B1)`z$E|#3+b{i~TEh%(PH+mtMf`h2E@3Zvbg= zwhZiDSY{=Xb>0kDAk+%J+oV@Lv8boW(VMqODU%22%NhOpPE~*5nUr>w&^}QJ zv5xy~n|F`@^jsTR*>Fa_mXO^QtGf*-&2yDQc{I`Z%c(YfF6gzz?eiCvzNlJbn6p3< z0!L>r>Yn~Z205QfS1yfFqN&jN12{9C*RqrWIzk&y&HK2e+UmgX?2d4o6 zpqxWXqU+*g`|jrQO@r5qC)XSHm2v4zJ27-rd-P|5JyN??98q@%-v z!H6KCb;03i4(m*um>xd@Q}O>l10^Kb z`U02lkke4V&b{!JB8g#Q@2CgYQ`$!(`sVU)FNI0(xMb_JAcY-$!$|*qvHrh*`0K{T z!nHZl+&QeCZGNF)vPmN+W%pvCmxlV<_XSfzf#kpoUCwG8_sQOd9}&xQ-MXo}br)Ca_fr#k+|jgAFi&D+AND~9dU@(Ex?u!RWh6Gdgs71s3%{}J^Z z%)+sXP=?A(jEW^2mTuKwf5FbZIVtsF3iU2+<^E-^-f7E43xNoyS`v*o@AU% z84zq?wx@T%B@g62=n2m%M(7cZt)$=&4fvExRBSDe^>3(#Z{d4!FMzq*6!W-n6aL;F z>I>s4SA*x8WB%C4AnL?8{jds<0P616)K#Rwx>Ff`i2!?!&7O!`XwswzLKy-(8ER=p zFO1^sIp9hs_h|-QYbVdSx9W%_W01_88hk1$%+@T`Iqi)Me5ySOchk`reY%+h?!Whv z^~eo77~Q|5c5kHvt$0J1RBi|W-2`Y72Z5D=k2lp zGV)if!w%A2#c*g%G5>TEq6})C&Qj_>okpo9StB!)d{T;8Bg>caK20q6uUTfqfT z_(}iC9wgJ(7U`InX2=@UXs?i)PPs({+A7gW?xL!NiESp1E3wlk4(ES2VxDtwgcvOrpt0qLK4fz;4-1HY$;N8~}Pn5^LTzLSWgGl4iV>>!=r87R_vad!w%(Ff2un96$gXll-ZC3xMjQ zMWAp(A0fEV94~Do^f?4)9pj?GZ{RkCTJtPq;h9@WS<6Lh5E4eyJa=iwzK1=ylfT`q zg&6xa(zs$ag8;HQ(+}Q>w}z$p0r4NNxXBIe=Ke6KKWS5#`7-S1{#N^0)8|(J}WIra1e4q||;w4YF z$=^9mvvtHB?V&Pv)p&onOsqptQQm6MN&!V;pUo|)k$ch~%Do7}26J#4 z@GR9%ssBV^_oVtq6DC@Z!OXw<+~_IeYn3#5!0qqaculqxCb)LU$PBV`P}wjAj800- zrEm<}M1%6uy;*QG0U+|PbzP%*7w=I#V^p|cgwa#+j#Uu=5g3PX(zsyz?m4~ zq-Yk)a)M%WtmbRiB0Z(3;^jAolgUraI9!qixs%$*PgnsM7NVPM4BOTfjpyEKUDv1%!YKPCv ze%aa6_kdgWmesYI(|P=aIC*vLKyaEc-$)-7iqcSUnjIsz+Cy08Gug;{@CYX_64HAe z6eQf>wWm@#j>^&?gWg17W*i)#{x?px$@uCjg)u0=_8)~G23WOdqNJ+Jhkd!yy%ps^ zrJD`1vy3c+GXDf%@8D#CfQGMTKc~&i{a}wkinyh}o$f)2X;Q>FhM6To(1+WNkd3qf zng0lJT=>QJ)PCJBeRp6VUk57?Urte{9)45+T)2`|xhpvtu>cL^*16Z`2z>0m(OEj&+~-_1WB84GNW;&U#8J)OCUvMx^C;C~14;eMeTSJwRsJht z`vU2orGgFUZfQU95t>86qW{7rcXtxC$FMX*$ZqHt50H33n&>sK#7?Zx0&z)hisZ3u z84Zw}$|*d8ddq~n?66j|G6&vU{Z!5+Q-hCsQ8)l-RJ%GPZk@Y9_B5L$R6qjO-*=X_ z1n#V3yol{03@O0^SWrM=z6& zp6d)R1wOSLS;7S{OtqFMOQ_7QM0|~R!e52l{C9@PG-<^yIc?36N65HWp%M6JBjG3c zQGC+aPqUlDgK*`9bjMxBBt9-%*Tiiw;4Vg5!jFQCvPp^_GlHp1P$5+?+b~iewQg(F0AC63{iYXM$r5^15|u@dP=HbJ57`EVad z&A^GbG#1uEMjheL|KgFU0=zU}D#c_;if`C=q)dqY`gcFMziY#xY|uRflM^7ZCpJAx z5yD`SMMrzG^-T2h5S!#d-(7JjUJ7%)U-peSOfS$0E9qL&W$6^ezGH>_HQ-Y`sqSAj z62S+jc3y^S4UmQeHo;)vKuQRx*{kh@GQUa$P>>@VQAm&l24h&8y<;MnH-+R31K<4* zWx^RtxUA`r?9o|I>$QE7bA8O8$1RHC(l1XeD$0oIf~wWpUV`n-o?(t%c>F|4GlNY= z-6qN0*})c*(fJ(&@)1yGf5d#4fH{+jFYEN6ceTmYuzv~a*b6hiQYHPvgexfHH$!ah z3dJl%-8ZikyEt(Z$p=H=ZGc0Ebr&L*aO#I=u%9i1uuz0_uZJ=CNlhgfN-;2Br%_(` ziO4R0APhZG8#O_f0r;9Rd&VgjvLa%pL-{*2NDNM#rDuwLyZB*^R(GbUPXI<|D1ga- zPd0RUJN@XP#&$-n=79jWAEq(AI!MRqW0d^?BD$d%Mh{W?#|@YP(9CjkM$$*iy#(Qo ztyFA0uzVI+f5}6w;ab5d_REODp9v#g<-YWbCIAzZ8X>X2t1RCUrn<*FH79lAKde@3 zm{VEDp+MpSZw8`~`EL&{)G=}VxH@;lS!rOmrDizOmZpIzbc7g>7v~w8i!HxwuQBC_ zjg~oZS&>0-li*~JqpDWNzOMUO9>Ysjg=o1=NQ;5(WKQIp3(7{bDYb{jf~f?X)7@lA z!ZP%ge+g%ZCfESEaqYCIa^;h6flu#t1vImmf(kf85rPc<{(ZHBn`=N zW=`R+3_Z9!94Aav3p9??P|o!SUBGT`P2C>WYkk@3ly*ed<&o08vQG(`7J{l%s%jD znMXPV60s@`{NPh7iI;Ih5A^a5Ij79@*2waV^!GSHTnq}-Qc&z23>QxlNUYLAhESci zm7U0R`QyaIa;raYy4JWoB1`MJPI zrb~A?GBXs2wLrJa98V;tP=ik&p-w#!#l&C>`snrHERFA5(+Tsy5A7tVzjFRoCes|H zHn`=u_30CFfp+?L3oC40geM-Sl{4_d2FbOS@&0G?_ExdUk&u6LfR%h$twKG!&x`#Q zM%&%@i?ss(GJ-8i#wg`g#+BN2=rcd|9P|3@wXP)= zX<{?aB9E5Ny#`azu&PY~CBh_xRz<29TjC-~@XszY#c5E}%{Kk(kwY0c9#pnS7r`4G4)cyK(_H}6gc)uGHv2uKm z`W2>{tc8Tim#6OA;NzOqP+Cy)Y=%_w*`?1!=e27Bpw0H$MiVNKjM%wtF7ETb_KWdd zseVL#zJ9?k6Gk@{oUcHC!N5jL$_0V^nJ_RFjqK!WfcoL=B@}?UvY+nMIPu}40Mv_m z)JrM4=E@O`=$S0Y(v|aS@vE%|ln@0~8U<&->)Yih*AVVceTO&fQJNqNaMn$oihlNa z@XUaCSv}P6$6Bxs$X0^SvpfLb@DBh&6X$O(XL|jpw+$jD!xVT9WTn6O~$IHBtfw>i}5`Llnp5z@vT3% zNnY(hP;}qlOBMI}->9-LD|FbbaA2!9Ppcgf9y|V36^zM#>vGY`vffsA`F^+Oha4pD zE1GR2z5tsHLr~TD68lWIAhVpmZ8HY6+exT5Pc)K;xE%k!lmj&IA8{Kxlt(TaLz={O zV>SvXgbq{FJmOuba%g^5O~SGQ9bs(usjj1@0BMuxEfRXNaWCl?Vln+N7EYr=g^3H% zpzG839f-om53IBd(nVwy!c41wyC%z)p)Lhu_Ep@Bt-|#?_;8dYLf|;d?MYHnWum(M zLTwz7(?J>0*tRZNDWtL#Ibn>pbiD>&_P@cJ*GGY32fs{P9-eV9>ehZ0-pR+Y~d=36pW|=N2+t!vLjG+< zx?WiXzhXPR9QUVGv%#?x|1D3Vz2Nh`dCnC`hI&4d&Jt&`%K`He$lHpSu9gxKyXc*WnTbh!fab{x%m(kUtbj&#``+(X zX8-5KkWfRGBHh6WzF2vE74X1paON9D75Q!rm9`r|I3+Kt(oy<63|#43VrY7`jI$T^ z{=iF;M=h>m^o|x=ZsTQ?vJgkk3Ds*C4}IJShjX@FWn;f55ZnEd5p^YGG6^M-8OtR9 z9dsEzF2;TWE3YjKH(|EaHcK6(434X5_)ZXNLq()@s~E1e0$%>k+m0WlGJ$CX65B~b z)qI*PoPY@G$L+hzBiZuK$~)itAA2QNV$zO#2#923D7%p5Dt6WD`*@INCu&aw5wG5KBy!I?kX zNh?Lbw8?*Reg2ie8k@6lx{<7GG!}d95uq{}=d@kbJR8A2>gUh~G|m8IU5|%<-*Z!7 z?#t}W4sH}?k6Mh^(jD8WAaeU>{cd$PUm}G`IGooKMR0nK{6=HIErBcvB@s8aZ#XZLj5whAj&- z)E)+-Q^8dkgHKS*{CGI9q?FHnn4F(h$oy3RNBdjrH169^;JjmoIISX?v#RXklH_%(x+N>`QedDJm?$S-`IF}S4rp9<-F@Sp zEcsMt<4$>clwz>kQ>1~GhhspBqRZdH+GySs=#r_)s#k(lie+NZ0!jSE&qYX2l$tTM&BV6gw&4@yglkZr9 zW(3-wYW%L~pLV13D;B&3zw1lsVJy$v zR6+jmT!Nh>hkJTHMNGi=K}%#^$4$G2whTh;{NZF(o>sSXL3#{c#(?lb{RD;ohSkmu zOYQQRKM}NSQ#21sFxxLAy5tuBGJIfB>`VaC$DVRuUeB#y@aM>`xJ?D6J|mh;Aq9=W zCxr-XX;(m&|N9IQ;Y`U%=3?PA1#-{hr3GP3;phq_g0XXmW2k#Y$SwqDUY`4?!|mO_ z$RVM`aMVuG@TiygYlFV)Ia7EybU+Jkq#^TH&i2Qkhh4u2BDP0tlvSz>yYwqx5bMip zZR)uQ%3=d~Z2FLt)q5HsE7^EPk;iHrWz{2YsZJ?uO>HP0ER~uNF4BH*`SwRTooqHN zRS9$cT@a*1nfX~T z^K)uu$*+>848Vs;o$kkm`6y?yE|{=GWRqh~7o!mk<-d^k(JP+qG15M6)$4i&tpvUi z^!(3TN~=y*p7>HgXz7i%=nl6KHP_oDL*>88ZJSY40)py z1ufS1-BZ|ZPE`lU!`-41nzN(7b?TT64uss_*GrOo^OCCzsjzwJMQ~QA!59c z#-J<(GYX@9Y3Rljhb%CflVYFH44^f#1Ab} z`E;SF4tFE6=F_E14wpI4;dr$yKZ2aA9n=%Gy%M#R^l36U!gh=|3eNRv#gY3`LiW0_ zt?-9I#mAF9XIL|}P-Ce(<7hF+=WZc>*6Iv1B?*n`iPGBqBa;Cou!0~}+*qvQM*imN z2FavH!3|uUMv_ZrY!0v zeXlBbx)jbuq%O($p6Nf@+fEu0qFSw5;pz8uFbo|E-)tdD^uBhT9zpFJ?z&i0zW|DD zd0_%hZQKhhzdYh!vZIQ)2+eoMhNkqFQj7*%9%0~jP(}@x!32Zya8&pB%#+1U_8&DF z)Y&|(;P@eO_Hh$++`gCh@I+|S8R6L2Ji~up#HF3?b_ErCWf%JQSG<++pb`q#Eby&i zqq5}-rjl$(L$2JP3VzGS+KYXPAny`NPa)?wCFWGDOr-Al(!N*Q9ktWmVQQsbdY8*@ zJce-d&GKc$=GkK!&J-Tef4E=AX)Kq(kq6y~G%U%9h>p(37qsl@pbHg!$ri2{Z{ef>tHFxJXh^E669OSH0;bA<9ro z5!jtaYT!4?#`aFoD4hH9_4wOtKP!Bg6@!LRxb)88P^k6TYh$lMK8mitb7`jg#^?7m z>==GEgj2$oepIo|`C~HndV}x^@g=+$&&+1e{?jcv=z&A?F`!WGRpv8IOM#JPX@nID z)V9TnO75xPm%*`ui&Ks8D7Sk@O==k~iCHxal)?bS_o0c0cXJeDok+lz%Bx?I_xP?^Gf&GFK8kKh%-GaEAw(dapJ<=Z{D9BFneN z%bK^)Cdrp@y?E--2y|rX=?0NWW=>eG%9uM}Q>di5h zl_7rKL9RLiD<4 zywto4RBx+9n42p7&JS=anE@OBM<0bGJaf zOzdD3w!q%tC6=1c5Ep#QuV0E!>>D$$Plnh$Z!ytaT2rVU2JO@asy*?i>G^2FW09qmp-+Mj(cavX1~BjsEPXe~ z_mfdA4UYTs{{2qwU7m)Hg zq9G8bljT4tRuItd62f#9ZkJ=6A@i5k%0W6cM;o{HPH0t#-B6L6Os`@Lyr?&pKYWKsw-An3&s1$$VLa`9W=vH7G19Ge@ zcr{**&quw9k5}3}-b6SIlI1Hv=Euig=s+K8C!jHGO(#t6{^5vb*`Dq z(s<8yFzRPesGW~w)1yk;<_%Uvbx++IDu(itOXB0(0(yH3Wch#}7lWn#r{pYyV#V7l z*8#e|w;ibq&fe!Be$zA_hgC3pZL73CL3ZUg5QY^?b2;2hM=@QAE3X_O3p>8Yeo1y~ zh+=gJB8d2GylRZe0u8~3f`Q@MH+@&IsfdwO8PxR0P)*OOF-c!U3?q=n*ab>2(tL`p z2}eh5Hg!C&kF6hZQ6eDp8j6~kfdkj86lf47O-#QYJ2QqG{3kJ#k|A}Q@ZRdk);97+l#H5*(H6V-#e(OAL(^t}F4dKNg@y8;@?X7?>ag{fhIv?O#{ zs9?#KE-=r}e`K1saFEzpm&EH7eS0jGkKL}a0D3mYw)-Srl`g7piD5@PdlVP%n)XUi z?9H-Q`YXG2P(BVB#WEQ7!}LlN;}w1w&z-_k0YtLnZbhkx>KAQ@iV*)@&p1G=k_F-? z>(Y<@&W;r{2}iFLia?mA!D+{U>!saIE6JHRv)-(~zdG0-QnYB>FFqad_d`Bp`#b?g z^U_%|p!`v4!4}lR3Ac0Gwxd`lJ_9|vbR^ic)AQHbl3Iu31F41xbNxa1p*jUP4$pWg zD>kE2YRGHwX~S9VXt|1UasW50al{+mPj^2Q9IRF&Dyz4L@at)R&)JJpE)HJ+ zPSf%`hfu2n8+2B`H~fg(;{(>K!YBwgFvp}@%Q6(bo4QW2x=9-iv$l9Zjmj?7VsCQ5 z-l{C)pd+i=nLsEw{2o9jhcZxNk~VQ()9ix0GL2$ zzw?|FB_lx&)QOt5$qMdN+j2Dz3KSN1Vj0PfqlR5>r?wr8%54StG!s(A+3M1ply`n- z^JT3+~g*Dp82k4T4lrGFYKuDV<&)89h!n|ojCyDfE#`nl-P~|AF5n@ z#54fpS!?sV2E<;ViB%-ftK}C(E>|{romDSFswrWqbPDG5V++dLTpX=+wg$j~7UtBl zsO#W>ijBrB0WP(1dMjocZ$_^fPiPujB`MXRiFtCu$9Fu@PWQBX&Z+pRsv<;K z59HH68Ghk*Ip*&m*W7B8_=Hts4}xsT75OUNb)Ry&J@10gx!4K%!dJ3&fb6U>%t`aU z3*Z}jdoJ`ROh@z0Syqes@h`N&d0gfcur9 z_EmtdB@&CleKu%qj;-a&m7mzCYvyrIEql!QF8Nk@qy!yt9IVE_%H1PO3MBMd@J97=6y+77$I^pr(IT#$F~CM9=D*(DHRWKjpS>o&84f9*jIH1(Z6)i2a9 zWi)(R`Xb^8~0PR2YQ<)2-Jr}GElmFVjjmDqLn=4r;6UsJFzsw9C_h?OmmQX87;&ZtQ9Hp6i+F(9_Ej!eW}@>XhrCpP$r}hJMM1+c_+_N0nfe&w=E~< z37Bki&Z6p?FrateqXzWq(MB^M`$~HIPYoteaG!sgF1e;%1TOfHRNc{8u$=N!&IQ;`~elZGn8z5;R@Kh8XUwYonZACA^eW@4%x8GW`4VT+sTiA_}x5VHUUca-x1y zf>Ilrw&SEwE-(|5_~!S9tb?cQQbl@qKRzIjxe$KDmqDGhECtO#7&T_dS=XS`Tx?xr z2Q~pbNY@ZAfbp5&J3u>BkS6m21^~$kEz(@4VChpa4zw54-IYu7G_~u+WC->`Nrxt{ z)m%l^lt-3*62R$ao^sv*-K8D*uTUX+!g)^WjcQgY&UG|O8by{Ocz9-u@tGn7E;pVQrdj?)uWl{hAp+R)sCgbo0rIC46DRqf?ak{HWdef&trxY8 zP3HmhDWd3v0NVg?mkJkp1vI%M;e3stmOd|pVJ7LX$DP!l|V7B zr1*jATFo)5tze80O$w$*TPxs_y+hNpfr6RY*`W9Is*>C*4Q&GD)beLN@C8ECb!`Zm za|9Mz1>Hcqga%SW9iifd%Dqd$=fIsYd+72h>Cl|0y{t-$lt)Yq24g!8eLxhq0qtT7 z{Z79FJgj0l9tV#cZo3fR7A1c=T(dx{jbG;!rd3m~ro7PQ+MCQT;TrNbo16`Ttc@xs zSBSz1jiK5VD=A*dith1BF-k9JhO~Ce=Xc=J*+#@9yFSjOu`%5`qMWBsSI;+Dq zn?o&znhM28R)^fZK-1nNbyd}={vI&k3c&*Mn^rxvY4D3YSMeha z^qQA%#YuCrcT*rky26xZ&?E)IW?mKbYQ2027{`CfIQKitgNxztQxwx_5Sm+1{KW^q z0iZszP_apeE7dUrU9gn#LIZAML1?~Z24=eTG0xO7@dLQpN3Sw3QQWZcf5Cauu6p#O zJ&C?k+_1$KUJ79MH_0{5!EwoRwe+KszZ5|GUczoC7~|A89B8(Hx_&CEWt$tC>oAck zwpl*4%EziNfk0z4yt^+7?6|D~)VTRyVX68uJZL9O7fLs1+QCpvlN1Z<^-hMT@25N% zh;EDi+RkX-#~%`!g%mL0wVA&IWDVy+3ar(Q=GaxoTAHm%Xo~Fy+*qn>axn;C1#Jgd zw=vLC)0Re^XZcxgcVWz)yIe{-H0w2|P9RZsA$u_B+t5x*(#gjF?j`c*5#Tyw9p}&0 z^MLlH9Il;!<6TDA^gMFUga_`w_m;s@!EcH9idH1k7X2WAd+9J`3^1;)3%R#$R>^2i zdS0YV@6@IAf+pUmP32BiDNAHxANSXw_d+KqMDCq=(WI83U-MtC=9y!#kG2Fk=x`lQ zUoUn|s^^l>rESb2a^xB~1Jnw>UI4ThCaU=@yg*wbO1#9h5!9ZA!0=jJo ze%&n3=;S*~Un8~47wLGcj!^gZ9cd-N?oR0i&E1L9*e~j5z{%e^F6IN8hCPm|PGOh+ zX=CQ4WPpX1!kIkuLU6Z4@5_oBpt*Al-7`&t@BHZ3yp5BJ@;+qE5x0A^2f{AA-VIq> z!WJMYLZz&Oo`8TLrH@sZCjje(T^{?d~C9P2TI4r5(5p_<`8_@j#}WkS-$&Y zPs0PA&Y!Q#hNbfTo!KxB#a~m*)nTUmycJ~YkPR-V-SPbIc`5u!-m&(ujx%wt{+$r3 z^5r+OLH^Jdi-@I&(}vO;nqrrV7<#`Cz-eC!G&R5xWy%Swn#7?8L{7KsG|V6L;X1%P z!(I7c+$a%ie=`6eAG$7Guj`A2*rFR4DJ#D*&kgU`2LtR3XOjcLm{@vRo3pZoh;ZTF z1`Fcz(BYIkXp(Ag{h<#l`@X7ai6fxMB(E_K{cTaXXR2nfb^gbzf+s^=R5%4Ig`*RO z?6D^1S_cUY@e#~Hwiu^u!{yRQ$Kv>#PnnP3I;G?zmz3wjkj1{&hGL9@W z8&u~_B?j75#Xue$plY-#Di_NYtNxzC3T*sf_8Ng*05GE7wrNob)m_7MdVmJk2fl5J z@IU1O;^B8CCc^aiKYj89T4fOb5k~G2=a0DZm-#DP6%F=~^(sV`R!n4$q#A6x;iFOX zso1K}2`rU0vS&#)>vnA+8|!ufWFgap9QY)m?J#3b=?zUzRZi9K_d0=o(yEPcYFK$p zl4V07&s@bnJ0)-NZ|6wrQ)@XdE!BReR04AvIf}3ahGz+P8JLzRlVOj1gFmB9i|x}! zqU1r7)2Mt6SFy6tM7Cy?LIQ1dW>}dnTXnL)k@u?t-NLeLi{s8IWf!i>TJnHnO7IaA z4uoNwMb0_$iSgQkIc}_|skvN+ZU7u9c*Tn)rXHm?G%+NHb1F|PEZ=*bJlX&l68<@N zrjjXql2riJ%mB$n7@wjFc*`?Lc=>_=Rn=*If~mB5tT(=!LBUk9^dTxMVo@Z@RQ=i5 zK5QgPq8SL+4gVa*in0qxj^Iy+G;i9%q2_IxH9e$p)7HVin>8zF+3}!)R;^mKZPKh& zlY$lntsA#F_@K6}nin*0(V~5`w#^HgHEY?tZIc!S&0Dr?(xz#f7LD7sY8m``i#AQ0 zw`tU}?ZQ27$3Nb9x`_rJ!vIaAYKaMnl#CyxH#GgKP#04LgG}p%R@u-!jGJ*1JK4I9 zt((btZ&A>uRg)%7TNbov*`{U7rUk8=v}@3zWy^wQEe>kkq;1P)Ee>wiq-pCWO0Zk`3rr_ZfTNoAN#RhgIXb~QKxQhe#7d)KWo>k*1(hBpn6{QnvHAb)vH~- zLACmIJ#}m5=he=yomaa?Ud`H)YUI_eS+7Q3etus4+}d?&=jB%meZO|i+BNeVy}$eY z1jM6oI3ZF)Rnx75u6OaLmA7O!N^fWi|5KL^A3pTd6Z-e>-lcPs=8dZ7K+mxL7}R1z zSbsHXAg4P&zjh5d&Gl>7%CAwqW}W;R^=ej^%cfRMIp1}w*Qi^&dW~we>*m+4RkwEC zTKV#wTKT!PYu0E`zgpcIb!*nCU9VQ1;QFYUU!zXVy7{5M^XuO$%BVlMIlR1|Lz4vA zZ}iJV8LuW+x_P*t(=Wp13pCQHPbmvm<@_nPSm+F4h1mIST^N{?PqGKGp+>b6F1hlC z8?L*3{DTioczV*aQ)ZY6DbpP%N^fX#>un7E&;X?v6k$9A;LPyHF;&H1S}Lj#pXDqQ z)l;F=DDUKW(O^(7A@2O)57{+JWm?ijt#IzVTUCElfv35ij?-g@Q4$4OQmB`C&Lh=4 zY$#9@bSyd1UBArfrK;ut<9;Hv6Jpdd%2Yg>`b48gD?)^W|0cNry3Psu%N!eT+Ai(% zg{DapDFM@slA_&|MsGl)Kj35$40#WeW-QY&_(di}XqmPeUMm#Cu-lQ?DvV4W%AmoV z+QwzEN$C>L>Cf-`bMB3j3Qf6keurU%s?sH7QO9xA?yd6b8WK4b*qA)lk7X;EQeGn* zNwp_}9dZ0BOLvb95-zSYrVholOe!X9%ZW01qI>ZnL%bORKZ)n*lCwF6d}uf*z-!2AF#rd zT!B`If8k^vCVP+$fs#F0UZd6=ELQFkNBE$M2ayVh_$@TEdrAJG2@IB&-kt1AVVU^m zEP&n%l%O2+@1!fGCo~-y$dA>#`l=>W+4y6e5biF{s`0b3Ux=!9P`l)?BLehqk1($Q zqSh&a%b4HMj!1g)i|{5p7!z}=I{_BLq(ReG94X-HNz!9z2{GZc7R74bR@B-%+zP6g zIB)^(FQ*lS?G;Y zs=Kx)qxC9qG7J*s42ysJMaZ-menB~?=fK)3KVXF^nc?kJH@i)BQ3e6FH)z?xUNJ@G zewhxT0?;^|#oVXPxThJ2PNE_ZY-N)(BhO^3JmRWyGUoOK82UAHDv75nr6)9*Y8)gd zY156hJZPVlx~AtjoV31Lu`;hq;m8aH*0Jbq<5)3M{WZb^@5;M0_tNLPEag(QkV*JN zSr-DH=_q4Y3?6kal+>g!TsedEf674fitOjQ^*a2BK1X!x*0X1)qsx_4CLP(cQ;%Lf zx*y)ROP8L$r}}&tFw2pCFRy``rti6ab#m(sdX-$+Euc9+LkQpK6e%oBr8+T~}u0BQbvZLXR zJ&xN5r;t*i=9z!c3yg?!m^PHI&_qYq`WteM+PCizE2+YFqiy8Jx*KLWvtx?eAVeBO z?RHsk!o5H%MUg44hL%*0PnY8Ew=HyN-n|JxuR?j;CB}@hRXEUXZgh_MbMHw> zPo;iHd)0AZ(g^$sj|Pf16#hE{I_}u|@3taUh1s;ZQy@09)QWa)*|zO9==ATlt=o5+ zSHjDbl0s?%6Je26d<{I$tUq$$j8#%5THI0Z7Z7ZeF+*k23lvx=`c{0PUsqO%`Dy^b zAu}mvlGIg|_L4r7F3=3Ns}{#;vOK7Je=(zsc}?>ypf~~hHF(T1KQPPOx_#@G z>2S!7O>+Kejj-LSSSTAITd7alwPowptux@T!p&Q^Zn4ExFvC*mWM#~O@*1n)Ss{MO zf&cw3&+}d{O&C3lXxEm2l4f%r%2F5AIZLY}L#>leLnRjk#JE{4`jU zMe(vAXqa$CW^fVxE% z!JFOC;UO#lHA~owpDKRulDmF!%Ac@N@IzUyi46Of^K_%dvs8G*WF||eT9;`8P1N#< z-8mxB55scdpodMUbv|@)J{SX&S3Z2fL*LUMWzc+Vf#9D){mo99ksAu0ED@K(3}Jow?8Z+KGkdh8fQKyMwiv-SH^)5yJ$=N)u3!}g(aVhAo>V|&EPT?)fHsx35>78xj zxntn`ntDwQ?on1-e9Q5?cxzlK*i~?ba+pvBE=#H#)46?(3-#5gS35aeCOfgCiXsQ_{LB|Z(3yyX{v*}J$7q?l7*xGoxlkmkw>pEdw zr8q*fvlHkuQiD7V;LzgI&(%y7)fuiVtP9|9e3O~tS{PmjssY?70%dkuv!wn=VUN~_ za=%~YyC4!r=+lL`5+(vvn@9=#^1`RW-w6n=PChh`bZvC>OdFf2Eu!6%FMzK2p&U|I z+wVo;XYF(D<-2vMkw-~^R=pmhX~%8gi<-b&jyHunb1OcAxt8du-62sH2*%x(Tbh7l`qUZ4^U_jo$D*On1@5t=>kT+=^b~~0pqMMOc6aK zEX62&ph>!;_vr&5Z_uYcXC(-=C<38CYSaZ`Qdmm7E%lksjN+ruhfneN7xf`!O{s$2 zlwC-QB|{F2oG>76hNgJ_51{pK87Jd3$#gryTTDf`qc~N_M#7syO0^w)c5q*|H|WR2 zdq9wq56vZTnTkx8|N9R;)|r@jdN;?MvkLZjIF666P4sC=raBw8g#fdf9Rdj1nM74PvG_t*x#f z{Z=5IoGIx6O%-5ZZNI%HRQuoWmMShvol)ysIDKd@>52AOWbI_D`Ag3A1BA`F>lNOU}%oSpuJtbbt?Q;rgxi7E5sK} z|77gV9#WT?mPXYt(*v3`x<8FDv^ux^t5yL4L%Bn61y~{&XCTbnmdr5&(Y8GYx~kP; zi%;%5Z$NbylwoHQ(%a?qn`Hf;3tg0#hF@*GgQdE}F|a7yb`bc-cvznNNY_+qJ*^VL zEIvHz6(+{QL?ww){h^VtC=uh5d}xXu@DK4ffgPro;YiD2nGM}-wA%6Y5)tn9=Uxj( zt1{lCLpp+||2<{L71q_T1V1u|z}!$_J*t#86@KFA9lJ)!E}U(DZjG=nhc^VjQh$*# zRld&>focUzM2#vD{PWWdIN1VPiL%~F_W zG{-M3fMz%g7^L^4)>_!3uvp<3#YzRS?0Lp0a=Vnflqs+FkL$7^_oA=lOCPE&v8wM z%Eh%#uo*qT&v3dw5yP5vXa>ahaEf}#dCf~q<^14pLDvAvvl|VKJi`xb$N8OjKBkIJ zAR^{KHwC#d+D-B^?{-ff6{+6gLRLzOxv2?Fl>q2>3V*FyR+P(k!xaR;1y%f9+Q#^pWY62+f z&=g+LJU0+PnNoZSvy_9&5+9KB#1&-U8B}1|=S8G1ptvfhbAhL`>I*g6 zUF$139T&Q;l?Q+GmE3|UwoWY4lyT=3xAvI4gl~IaXuVB{(eR2b6$q(SD9wgjjcV;E z>pR(l934ln_fF8E?iFjC5QczS{wWy3m!i;gf+$guUoZf){PF+NyH4C>`Qu2wltZem zCsQ0P6Z?J7;gl4;*3eK!`l_Mc6D>$Te(xhwJcgRQgZ*?7%Jbb_RQ9cXHPl=w*U~7s zUfAZc?~7He1OE~ubR|cA%gB1f;*6~vpf}$o0watWOkAgza!s>q*2V}a`?06wLsM8c zl54{+_#N7ICnC784jB8` zK&|OcA+~_)H+;85PT(ExZS*<2*MNgAzUm~+Rr_DL&yFzO5aM#<1!g&(ttD`l*sX@l zZe*(}31o@-b6jnJqvy%(Rz-BCW;KKqg(kWvOC&P?op>N*9Wh1O>=>2TkKTz5;rK8- zuEZv)7CRdbrC@l`E$6W3Upu#U0kn~SZPVP#Dec!UZq3?CW;`@rXoulBLSku8eA_(dr2-kP_?N7oy$hk2pES_T16-O>d$hN0&I;2iHei(_JkmVQg5B7lK=uLTVumM?6?P`RoHC&rvO4d0Aw*fyI-7eARD-UzIA{AK% z0|Y{EKom_rGz*$Mx+VBQDjI@P6Ph-a=P^G#H4Ewv|5nv*!$GG$2X^_I0V8+DUt0HI zNR~X&Oer)`49Vt!U_iZVb{*>aUg{_SzpJ2YyC-Fc5a!?2NcfefxeDGL;s*VU?edUa z9{Al>HB9;(N|&+Zz4ZtAdEQkU@$XBuw}p8l30R8mhet$0oM_31rX1Nrb2s}?N?G)? zC~<1P;LuuBB#&+V0>?=4rXB7=l{CO{E`2!76mgCU5lT&H z;s|fJv!$lDerTJT8Xm0EWky@?0Wv{|qqDzKN=N{CubO=HK=1tKZ>~h;LQyDrB_qr( zUV#zrbX6+sPH&^EyM1|y^2cn+DpYgs{d5J1skA`{e);UL0P3OGKgG1e3w@cbv$wP8 z>^(-5bZDZGI1$D>N?*V+h0+$PvQEfcz@0)`lMW-Jkc#07R%5~nB)Ichs)+@g(ou!G zDy7CJg0(FzwFE3xwNC_VY03$E4aSjV6xGmb3zcMQtC$AHx8B|ew6^2dQ-h|+&cU^~hHw`~@xF+~BCHDo+qyZ7PaO1qxtX$&^Fr4O@h^ zOxsBsQ@+8Heh3DnEpzrKr6}n(CRYJ;1H*&n6$)aRK z(^laCs^X4(?ZrKH9Z-V-ZJwKC=z9Jo6Ax~=bvCG3R`^a6BUwqRQ$Fj z&eh))Wzt{bM#+aJvb&)$-%DGRul#4G;Vvon<5EI}rQ)UVavm)vEF%9V~`IdhCuDkJ0tK!SlT&_b6+EiYQDXHj@iYl;kZn4t9Wv-*0X0 zg2q?;!j(C6A8u<1%nL+W2o;`x%XS*y3di;nom5cfwgc+zBJbUQAzzczWW57}DTFK9 z2w9l=S^)*zC%CT?RvG3Wp*>&m!@~)^WN7@O7`|HyG-!C)lG6C=WLt)k%rz-46&JlF zwm;VywA|C*_4`GzDnXTrf92_6MMXx%=3kj*$tJ*}In9E^2*med75M}ixgH!JB86r@^n@Cw6+)o??(B&67#QIA||Vt+JRZbTWi!Qq!_S7%xu!3 zN&A*p%+rsxqFUZ?H}qFvL~QD0T$%c@XJHyprN^48>@fvG7R)a+-#sr^gSHb^TB@5( zQtd#=h9;V0w!b&52Iz-AxLKtQnU2{uVym`_ot25Nt96O8l~#EE3-O2LxNa&SjA6X( zLvRObEWU)ePm&K!%00J4Z)2XlNAK=13i`kHdO|!!Wqc>!>#ZZz+21Rv1Q-*!C;Ck& z~_2e{NH#2xmcj?)7-F-c^6Q*h{Ey>?rBb4AQqo8_p8s%q23hhm@R?Uat9j%SNgc z*<#x>y{Z9pzVBD%GC*Ip>fWp7G*NPHVxSq42L1Sw1bcdC=d8190vvGJXC=GUhuwEt zon0RrZtNxGHb%rL)Lfnuw&a$aq za{{CiC3~Y2Xek_=$kuVG1IGJbzi`bwjNZaiIeD{+V`c4bTe4 zeqpJGIsRbpdRApct8$beXxbrny?S!7b$b6A1~j?;8}+!fwqv^Yi5(5IzY|msJOMpv zP+JL5Y+r%4D3Q5;sRKW_W(4!X#P%G zn|7)7B5Ie87*XQ5CI-_;^%0{jmfzRIW4N1K`yIhX>YIKZ+sF$l>+>#j+lhaa#9yQh zfsxytyov7weay~JHp(Pfit%@nXs+2O& zM1g2BbV``Bf#&rjxJy;DU@?RWEt!B~lJXB5-}vjvQ>tr_cjBXeBrbX{QC!m&g^R8{ z9CDyw?E6K|o)cu7PND4>YS*}r`fV}GXjQ11AHJQ9?Hd~$YxQU{afhNt}rZy-w zaHn%$T*y+<=eYTP>Z-RPcxA4bTu2Fw!;+SJ?yAQIRWm?uJ!;-2C;PtCLK1vc=J&oB zQ1%U)Wy9Af8j%H!BEgT$Umra+&jm)C(F?Yedmg9sWGOjm%KJo|n}=wRIQL@4vo@2; zuV0<-Sr)7`pD0QhXv*o6g8aADYW;>;d2v)Db~%;Zt@U-Z1tBD=_x2eM<&)*qNGSaB zo}(m}(Ysu}V5=%CWQkDC>mVSNX=NYpWZ$_aHmD3>=7<$?@T<&5ys=eN&uAo7=%&M7 z-w+iS%qdjikjizedNahJHc+yzj{8$8217L%t9-t_5~;QwVX~@d`k&;QDwS#~G}#o_ zI1f$PhJ`AS3VTPPp<||dE zeS@YQ42)CRZDzQ;$N%kwGxKva{eY7v{~8LQE05T2_2>A$#};#%r1>7XjU$;+s(tM< zAcNG zm^asY=@4(&O6Ovq7Q?kD;;1i(j4yQTJe^Q{OwA%nSjy^^|CBEu5h67vyeYQ%y z$1hkZ5@_h-7eyB;Ktnv4B9M?}|2pOTc3F_Ew>agF*{cJ>G3h$eZ&JBt@VgRy+m}tg z^swpxuIfi$|G}0rp?CCHQMlF^y=xih?4v--gO^1A%uwhZ2<+nywpV%#nysN12~H$R z8EB$+TJ`BJ>Z6~tGj5kL$z(8EZ@106t9b32@4sETc;U?H(igwTmuu&O74aQpn*`ZWMegNFTYc=>k}w^dW@eY#?dpt=3q$rp9Z1p~4=oHKE0 zP^(i_VU-UzYDj^v5xCZb9kH)wpb(2L`g`%zhugRYs0J5tvm5fKsvoorjZM^Q=RXNe z3rmQqMtfU3xz388?jUE@x)cs-0y;=CAV@ms8f3S(gZwJiq?QzSY#6l9JF84pNo9Y} zy7lB{cvm&Lj-K-2wtW`T_R$O^M9HtO-|)XSl2?MK(?I4sDlL{A!L!X4qR-8LdAUblkahp@#d=Da2g#>vnLY7!ZpYkr7ar2NC zIkOuFIFRbNei@bNSm3k2=0h z7Vc6t4wQl-}Lc)-VJT)t|))4 z?gYneCcW>sLnT|#+-?LVlKfeZ+BTR;Xp~T@g;Kb^9E758U%K_QPA(Fequme*{JXEbW8k^I|a(Yj8TM;2AXH`|c%p}XW9Wswr1>8<~!_)2q> zmj8_^k7388ffqU0AT;I5l3VVbMzwcDfa2$3B&6Upn&RUF z-~wgeGXv%|xj4%x$!2*BL(csOGk9pC$&o_oCB6BFJ3{xZst{%Z6HY>ua?lJVJWj4=+#pENkTYQJ^Vm50<0D$` z1Rktc$if@oI~ily<898V6N9Y4K2amV~XV^*aqb zefW(No_%$}m&@0cggadXA8ztN;0y_r5 z0dt5I*iIzvra`W3&~qd<<%Vp)wJXV5YA0cr9@Y5UNg^SSk~Y%GLIu z!u)MVQlrdA5?XDtr+C+Wzi8gH$8Njo;?s^gyk*n+c^>%~nj|1ZkOWM?H6%9NH5;{T z-*v!{i?12~?DPfStSodIcsa@^4h0LkU1`BnU0oUmD_Xbi^2svIRwT{JJ8`@J4tKm% zid4PB9kn6L@pnm`^8z0e#T4malRctj7}S{Ri^#nP?`yLU&T z1`wZOLdoz%pZcCTV9r_02xdhT#Egmw10V>3VnRTDW=u~pf`TGBU)bFV-Tik}&))6c z?cMF&ZJ4>AQn+JxXLhEizpm;EU;VN#?Dz@QgNc}v4}ZNMq3P8%yCUX_abTXZB2y(| zn=Xff;g0gME6xl~#ea(qKqsw%&wbnr-8IIO;@-1dCl13Ev?o$?l`u82?2+Qm`LO0xa9uYFsB+--8|M3L^{Xt=lvIuF{U2RU@05 zZSN_Q&nX&T>2t|)m?6n`6F+zNQ)f!`cDpvf7Mnl3y8TjCw;%+vxZplAGP<+g?D`V% z3o76c6ivjqca=}$bNcO~5FE7-!;qM%n@&Gl;M+I^$X!SKFQ~XV#mbCMefjcx zy#Nk6HFp?)0R~5TvQIMIu54XB=yemm;T}4DKJpo9f-?2{og(LbHzI#@iTj z;%k>5{eGyf4_u_4hkOVJz&2mHDtWkyW$cPF<$<=|?hWvdhsvZu8cj1Hnl?Jlypsx` z_1zoEw}AGr>*gn|Jube5rbjO9FpnvS%^svf+lxMq2gYkXL5UEbU)%|x_Y|6+L@k`c zX%|B`99lD~jGe2jT?_RxTYL?~p*ms9Yp#@M z@hvn_eQ`(Sw{vQP82k0QR$%UU$$!hz;qI|Mx^6oiT3`7cg+YkgpSwK8h?CXDRPfZ{ z6(wl2*ZpK4XnOr7mWz&58JGM*lhbxjKBt@X0g7RiiB!X1trXuDHg3#QTh%`7&s(=Mp!J2b(oLZfG9&0M-W?XTIa3bZbn2@QolN-12RVGff{ACq(t|X(Nf7YzbE|Jy%`s2mnnL0{p-t5xM+OP)Jt8vtX_Gb@x^xBlOlX$cH8y{E)C zzIo10awwY~e!;{q*I^N+<jj6NY<4Knt)K&-B@!Yr8WkO}JvQY(E?5wpTg!?DM6V*y%#k zc9@EHNfm2-bqE;OV47_G?Mg1n=3loDm{73aMUO97N=yJZi``Ahqj> zFZ`*}8*tq#29C$t@%I6HMb zVX(^a?qCWp8X6d#_8zqyRnl4r-6~X4O}(`yK3SK#e+l=mlK@Uwf;;^Bhm%>%K`VIW zvZfBpTz`G-?%(aB_`EKV4xZ2 zWmRjsYj6DUfs2mbs~KpNA%bb$a)veC`{>Ib{&<}ts}mXVtD?!~OxxDn;f;i3q(De& zXnF~`_G89LLi7Wbph(n6q367*so1HQlhVBz6@7KXz-s0Ktfeb>QXaH247aGHwiLee zw4rIMn1x&XSd(zK&$)M{^%t_SWAY}peDl(EM|W!{ZLN^55{fYQf2V$Dj-J1U(Vo&O zXV>3q(AG#gM#j2QN&-#(f1+B15$8L!OwV#yW}uilCG=xDh2TkT{cmuR6g*5##G ztlmcVufV=O1Wc;J!?z(;# zxg>tziZvSCu5xcuXr1);nhq_$A9jOw6IASlHK0tFN_RXZPRuQC$=_einKtcYxcb%E zU;MtB-f$i2;la>P7-v=TjRXgp$<@u=iEoAE)0-O}PK6ruB)Q|bDxp0iBkf}D118}f zY_xr$PA;2)G8NdUn)TMb=of6!^RsfNAeFDP?l$si2>>UX|SNI%f7Ii=+N>V)RSAq{n@);A#o^NJ7%4Lz&gw za_d*KmNf|uG^MzfqNAYo4Z+FCS?E3j6BIdtDED^9i=Z-MpishA$z9>_(SK&*bUBjh z_L>6k=6yIwIPOsflsMF^SMai3!Tdw0okN@`B*jd%#9=0Ers*z+rK}@pOT;6#sMD_vjqh(8$vcwQFQC5Tb3BaGs=>qGVp+$4=Ja9hGnK{lpR1kDR(JwTspsEb**(e&k`pAT&p;0k}&nfBgD;-cF%5 z(}X4^OLvF%4|%zftbzT180{cgyvm`x9M#^Zsl(y~gIwhSsc0!}uzh3|1qPZmh%>-g zoBeN*PtJOsk^tF2j+zh4@B1{XTkTu z2u}wen)2Ch+bR3LQ7<^8Lj zq1!it*qk!OkeeN;)}WO$^BDbEYydo1gDsJ$En>fYaJB|8M{c=h06a^(cK24zoL}_@ zV*uMgB)_5`=%rB!zu2Gep8_FxU5J(P$9y{V!vL?aNK(wI7HHBZt;bF6@L$PEnr-35 zh5vAZfXv`SQyOEBg(hUbo|4>5>?+0uN0&`L2SGvcK)4sGCjo4bJRVaPoTUuoFy!mVW`eQr^K4 z3YEI1g$j0ff>9|HM+PNF{5EwU=rdBRJ!~*BgBnn10_-vY&HObQ+$l#Q%Fst-R`LVY z>IPzCTEHQaQ^3Eu+yisOY*T)AJ?=;XxCGd z^*)+**SLqq-aq!KsXr2ZB-IJbyW;rWb?n9kvr=FsdKBpQjvjOWJr7QpvP_;W#$y|e z@I>VqbI&V)btOq#x!Sbm?+5EGCQ>?1PQb~;Q`&=p$Q%hgG`VoQw#q@4j+$OfvDb3v zYAAZt@^|#4y11sq=0WftDab(prHYsZ8?q_>>2LSKoMf$8|8qhxE7hj#a080*r{mF& z3bqd54KGJ>IGCG=0KNRX9F~WHe!DrG zP!?xyu15!~>`S$YdSaDP5F`5c!6AjRgsWfDIRt%2&ia*!X$Ux~z)b3G!G}mZCmg|q zvqICK!|E{k(G!hkapFTyDnpZ7Ue{Dh*nCMZXgis+9GV|;B?|8q1Y|Y_X#S-gm#Ty} z%i?Z0Slj(a)i{VNvUQ(Hbskz*OopRWaV9!j@udW44hMLgVbd8l5wvNp3g0HgP&k&W zIEiQDVk5wPq>e3dARHzlNjvaL(6qUT6R0u%Tyyx(DitEl#2u$2(&pKxi4_EF1|ORI zL*vSPuxv-1i=g$(_#5+Q_Ca+i2V5Kv57Td=zXs&zNx1wy3tQt4N7!ee)FTU);nvi}HJ_*P5SKFd(Q#&YRTlPk$B1v9oL z>g7WCXqcXdVjjjE&OhHZbj)T90{YONt=6E8d_MinsREl4k$eS!VNTs_6`!n`SBOek@m1YGHlA+N`4Ad`-)+0O zUD&vo9Jfe3Zbd&ekP2>doF|wjUSa>Ig{e!_1iy za;5V6{|ONF`ec>Mq9Hoy(C)n7#8BAQ63!&hu1Y+CHLw6mE3k)~QK>cGp56saXnISD z$2(a4UK8Jn+!xZX+@icoJWc?eD{*6?{r$O^CQI<4$$FYB50wPUb0KHclVKQ>Q#VGs zQte*z)yayU)%IfiNuQGMum57sO4n=biLu7QI}#hllP#QpBxM^*L@u29-zf9OrTVxg z>gYd@h4yc9I+6%aa>+`-^nN5PXi{;FRR^~T7tUJ~pPcZd zCr=#>1H7Zg49Cg)YJOaoHIu`oo)g1KB4$d@+9bU+8918UteDb2|P6Uc>TqELes&- zGx^`NLKCedA35bS15FjeOn=Ei3cGo)PoMItC(|a+ASy28U|!n~cKld)nmAvACRUd= z`TPE~CGgM`BlUOl+hdP0@=1Qs&K&6{dp3hj{8*ioTqQmsIXp3k82CQu3`cF`JRb?q ziQ+KP2TJmK32902p?Q_|MPB!K3>5_?1pT}n4D%EHcZQ(pGDTXF{>K&Tt0xSzjwq@2 z4$T~z{E=U0Lc&0}DVA3B!eb6($DI}pjL{juTn2Q+Cg9PvvJt=F;? zeZ+DuG*uqgC*=D&YM0#{IB{ z;#8Rr*ZW~$YL>u5lkd?|_@XZ&CkMmnu6%bL4DE z@S$1I-5+%yq_?Gp7^8JqWch)f)+f+(|Jq+Wcoi|2M4kLw`m~Jmw%dye50y_P@X##L z`UsJtd2+5rwfe)EcYC<`m-)UL6n{W7@^vRTy$lnKrIqi8uHH0NdKO>`mmjRH>Lu{d zTw(l+`(OsDk_ML|b`P1Y=jHoqgkPXJwIz&Fj3g{6?LBWoPQ#;Ni=9L+=0gcQG#4AE z_#%oJ7tEZQq_z4;5PfSt?YBHZlj4P{t*ji2+o1Jp%<)tI2@2+13g6`4wys4256xfn z)BRwi@Er7QywoQKhSiExDqKcEwS=IIAVQ_=a^@ab0eK@Y<3k z@X(wCclnP3R(KwUhhh7laJE|cSUYm8HMt7mqd{9d7!LeX*f<3Ki|aGW*lN3*59ds> z1Rk2RV6;DX4NQ~?XDn>DnkgE$oJ)?ONe)lkS+Xq$z>v-44Duf^0plgG4L-tADlS34xYH!DRV(nV?5qD`9WC|M zr|3=JB@!0ff2ot@qTKlx!nZuHB=WUO;Gwy~JklR#2}kCeC|jAmbzJ3amA{!xN?dJ>v9!v|ZTnPp+xq5kj$@|Y{uHi5@P3`eCfqo zDXKYM+hv23)9cKY)|9raE1U_TW*^h-xwhlCDzHRh%Ay?!@B12;q7gt-wCE=Mu=)|N z=_nJrmU6Gi?KM;bKD@(6mWNKjIRRyNah^+yvt(r@^T?)h4-uro6Ywn8)8asY^$`dP*Uj3~@a^J#E2Ng0+V#3LT%u~SE+*3pQ9+d)FJ zt0hv!^_Ykq2)EE#aun*$GBh!NU!qVBRZL8QHs4zb1MO#_F>yy}bGeUoJ4*1OIRrM< zJON#tJ}$E;UnHY+6r_4n2M2vswWlE;%$sc z^2n&jO@V24p@}5uj44)!nFY-jmigN;n<%K-N5Z_V(9E__^Y7}%nAY*Q>PzEMaD`v_ z*kbBH+C7mPrJoiSMyH=WT-Z|Cg{DU>i(@gz2z}(e z>g&0lARIlkTcMd{xd9f`>IQOMp_qzme;Dx?DJeFac`tCU?lx|zRt32u*0*T_rVhIH zVm066x^KfazYELdZZ0gpEE}}08Xm`ew|TR7Y{diQYlb={UdZHH_{1Nra;lf$LvsvF zt8s|ABMq;3$x<5b38KwVd{gpQ+w~5L(GF2UGUn3nmBkWwXg~(7xf^$@ehsrC@~=mr zODtCtBD>IJHhG?1@kuLvrZ=v>WHqQH9yBk9gLD3R&dp}v+9{Pw$Y#fz>wg4rJ7UAUFHQvgXA8~%|(1O%UYAE`tZ+N7_WNW zhPXdGwghSl^)Ld$0EM7IQ`pt6np@z|X_?hqACV(}N!EiWn907Vll}r{@ffZj zN`Pt+RlFunhoAF(CACT5p~=3|FRm#Ys1KsWkhW&1b_6fU!qzGcMxpwv0`X1l*g2dcGg~*eaEpa^k=JIE!r2#BwkgWiedh9Y&=@>i$2mCst?pL*lh``lZ!ht}8~u;HaP^+pJEE>_9W_ z=Efly3P(kicg*J=l|)e{Q_mvR!`{ai9(ZVSakyDrLp)8w%O+2&BUowMLRcne7C96# zx7A7E7NV4&1<*sneXs@*`UFzMZEF}yxxLN()1u^dLPg5DneVCU0UO>J~n3?12ow=+W%^p z8U0P8wEPjA4e&0xYQKHrj%#jv?e}W@wGO{Jbd!8=64oW?@E{PL3GhA>E1~EnvGR%G zcYhFbrNW>SxRl_7T9~(o#hg2}?7aBqo%N{#8{4sLmZD?}nphr-sf@RpJ=ahW$)enY zzzAJy$L)y1Dc{#plLQ``!oF8~wZ^?B=GY>HnqBzsyDH_Fabz0DtJ{o~L^f}}R_0XWUO`^a5?O zE#81|sMqOd?+XT8Ugh(c2`_#(+m*=!2I){@skPM+dl$mrLaZ|Mj%H~83ws#_P2M6@ z#t8Hlk>ZFB@C)S()u0U>M_Rj+p<6NjBi&M%`bc)5$yI%L>=V7&_j1jWH%py|^FdEv zKcNxXtPdmyy`Qyx;GxOHmvE_5(_T|6qntbW6rO|dPE1%GLoF8Jb6MCHiZ)g`Kmsu` z368~M`a;oW(sR;&d)|cIjZsR0dgzlgP3m)w50GbRlvw>|l0Cz9fF^T)-zNNdHvO|%GiZeq6(zmlwusm)yyV%e z3Ue*_HHr6OHN<{a3@LBHD0N03qcix>w8ga3v|Hz_RP?* z{zjDpDoyvyU57;CL6gb}!EOFi8eny@5GE`4aCE|?nLWuuDJ zWJ$8;p|wtdQ%K+Epv@8&$+c$8;SJK(sto=a{bBX5tB~e)S6@Nd+5Fg z?tSLfrIZ4Mnd zKH-Z&UsHFj8J`YZTy6Y2fF4>r;qChV5ziRUdWN1{1OK;ur=r%aJZayi+p1Utvv`=e zU!TgivbSeh4}TF;L8BZvX1T+!grgJI08Fn>QTdauV8Dd2lVO?9f!R2qxmp`o{WuTN zV6y_2>(y(XuZ6}c?u;i2VP@se%eC}H7%CjBKRgmNY>>+1m7emUZUF6W1f*2Ihrxcy z%Xgiii9L&6H@a@F%dOT;vIEWNx}D)=L2Htgn|vC#vr&{ZObse`RlA7;!ew#31K&#E zp^3irP93VBA$`G!A)}s3XGf5B*V}NF+k7&FrlvUKnJ`Yp)eqN=GM8o{6Q1*7g#mqC z@&YZP8zZnT95j0rBB5&{b`xy;4<6@eZbY z7G8~0lqdZluPmXEJG%ow`!Y8}y>#j8qec5S^gP*@z(W(C-)$+vlX2-|LX;&={@9sX z=$cZ&Vv}2fsZW%z*pu6|8d3g!KJT_C?bv0LsiRFabatj}46RkZMk#@L(noLE6m}qEP81ALR zGQkUmDL{WxGLX+D@X*Aueg|%>_T2#{L=pkS#nKiAwCQtr3kW}62p8a#dk(bW@%JO* zZn#9HK08AstSgSBMSK;&sTyc|$(6j7tg@gro!?r77RD=8 z1qK;y+hL+EhHcsxqL;b6LcOXEd zG+{=#5%KE)0}N<6><@7WY=;x>8BM#c;)q`6>x|Pp=neXoiq{F68$h2qty37xrZ-k$ zgRh$#2+KO9{yCxGR#qM7bi*XG&?JJv(%s=j(tC_2S7~NG5D~}VvsfYoQf-Pjp<%-W zuhV&?a6&`RlYI$1G$~}cU8icD>GX&b7j-np)_wiv@0{Ml)B^d)_1i1XH~A&nVA?5r zlUVf9m(xFV8LQj@zHZPI$XYEegELVG6y%^5xRB@Tf(^9 zqXFeS4Rf|aI8Q#J@?KNXr$yNAx{kbQM*C7r@C;-);3C4Wh4~FWQPw5!&?Kk~(w0~E zHm}qq#})CVm&ZKbXTcX1yp;F};bl-q6IN-a95-^qWfAj}LUvN&N}aVPksQ1SowJil zn`x~nqK`pOT;yU*MAZmj2ucw;)YZ_eeNT!{&c(e35)~Q%_hD9JMgpm}I005=;zZe% z;6oGno9|AUI-sP|q`@8wxX#DaW447|Cf0QCP;R&q?~OOx68XI`heX6VkfW$EEOb=X zIfu4FY{b7j{#x_Yv_4-~$t*N2Vf`Fxw@j%0HTq*>#J=Kq9QSqmBF-yoO(802U57I1 z_XvY7aoG%@^9Jnj-SGRtG2f?&p9?iT2|P5B4};HxYpQ#nd#$|^g^XYu=avdUzt!RM zO4}wC1x+@fyy8S2_om`M>K{B|uAr7-jwtB~(*t18ijqA-lU2KI#E?rlQn44G-zXxs z9kXJV?WBDd@&qwYDqeGKI}v$Aob)2LMbxCyls4^nTnZZwDfty;&3bHwX7+`iR<y z>QY|6nOL`7QVo|sM z5QMarhg`cPZu(PRhH~bzq_vSEQv;FOH!K0Cw>;n`HD)V7yIrM_aBp$6MOPvaHt@sH zzEosjeDJ~+!C3(y&J8>?T{1rpC|u9fzv?6TgeFek+qI*(R1wk4(s*SCn$m{Ej-bMM z4tj{h0Y(qA`&8&;&IX#&;M7gx;?|o!jmB+!k>N=49!vJ#C}@Vs^C~e{6awv89EDX7 zx-IIhwcI6Jf0Bf6tGzpgTJP7Y0N}wC{VM7qE}wx6{JxjKLsQMY>tQ~XKGxH>o=>e= zEDfv!n>rle1^P}GJ_p-#Zk$-v!OjdPwUTP8S2W6{4W2>GwVO@FQa%eDJKDLMF`T7?(nlC$b&X3gue64;c3Y6Bocqoc}a& zP^TKoa?=)c7u=y$NuBng1Rk0+neBJAYt;@ipHe?);vFn0YQBO(`k5@{$P6@NWQ@A* zp72uA>*ORWX|4Y)L|?rrdaAi76Yho3WOr`>RMTPHOgc#=4n$I#FA;)u7FZ$S1#{$zJ7&oq3b`L6gbJ z;*2dCnDGvHnQ{Z6Md4hjog){H1bdtEX5WW@V3Pt(D-#xHIQo%o!Tb$d`#QGQUB)VR zx5dwgM@u8v_7bAX_;z3{j>)~Ic^hY5D|$4d%e)Qkg>bB(mtz^&YvLT)wS}MA4dN1b zXnGUiKyxK|5b{ZpRxfC(bX{>s=%ol+ff-br8G@#T4I>tWcidE&9MZ+!&NnkkxWXh2 ziAAaP&jy%FTf*-rjlAlP=}TQP2!|r26=eQw*$ZUR?;c^0g1$aOc}9JCafx zTnX08%%f}-RL%^dHtL6D;xyToz(bQ9_Ab8{o)tXXT|{b;dO_303}1^aEo@b7)1UHZ^tRA6{n9Bx)%`%tA5J^aKZ+s+?`A#=%u4Y1$v#8%pCE`Ie zXrYvhOI%tn2YoJbyO<;IGNA>)zQ0GL{^hFZj60Va|Di-di)TP<$}RE&xUq5~mmekY z&=ktK|FLmq^(~2VB=y{D+Ei~g7LLblRtE1OV+Jj=PuFiG+cE)RxC>kXO>l$X7pOo- zg(!OhtQF$*0!=^UjMIAoz{N!No|sx7$PE*a0CZnir26 zo9xWS-jvpfPz=$YpVgwcj?3rgZ>Pc*0Xm|MxiNB zzgzP>%#*rHqk-mRZB+H0^Rg(6U?~5lqU$#B3nyUKS^sfyC!AsVFB?dn;B>e*#d%`F zY6HKcJ>D1db-=*X9tgu(^1VOiKCL=Zh*vb1)%HHA<>UQ(_S}EJ{SG+rz#a$g_YY0` ztA6IJRGU_J^PNnt<_(3WGD9u#rnawDH4R73?N6ZxbEL5_P$DyoxYR?i^Ak41=&W3; zO1W4xX{cdNtJD(3)0&D>-;jUr@+4D{Oh=D{DA3>Bok)%@(FO z3m1`F^{Xj8b^yQwcaI%2?*0kWepIxeuCNzedd!{$CZcavB@aM+ulc|O4~`pm--Orx zax9`oTM00cKH#_)0&KEK>tz!X=r{W&QBNHxHRqZ7$VzMu-Us*r@D8cdAz+loyT~)u&-aHOU`Qjku?G81F{16<6@`Ex9&DW6QEdX21#sf_z z9)mlBG3Kd-+Y;FSGM-cg!wpzuxR(|+2Z->fp3DGDS(&5Y5`ngQm2+@$nlV-tnGOMP zE3^=TYcH*h_rhtqv{E@0)4CMgB8BCOzM8hN(n(iqa2UR1i6sT(=Z#Bdps5%s9DW5X z$iUfhAdLo^E?d{6dvMy8R}}~IxqmNgu^#_Xe^zux$v0>i&em_G00!2G)GMweQM9C0 zyEW8&wko`x?cpbCt`O^Mz^3w-DZyt?y#@6rbiXr9cv&c72uxpyp;dwW1w)_!U0xOL z7-p}6kUopbKu=40A-R zVI2!-x68#FCK|M4DAy-xp13@Zg~=A#>{Z5T!Yetxh}tbS$ha=d&nUz%%Ud>V0e@xoov%<1=8;^rP2pTXvUH-QoO;0(?M&# zEog9Xl2XeEG`$Wd_JpF*jvI)LPosgRoPcGmwv7c~$Wx2zC?dp%uwM-6BS} zm%R^nc$_>bG6rfDOrG7cqxr29Z(mqh4n-Ej2%@$hm=%Vp{LOa6cgF(gMw`=@IekLy z<*OwVi(oic%9l%1mkoq)iAYY$`CHSb&FBJplcJkSl6EE;fhPAd7>4TK3BJ`sn^Tc< z=`46Z00~&tiCoMvN&X~wiV0D<*GgR^MmoWnQ*a|SKVU=yQjhBq_h{EhtZ|WQCR;OJ z-k`McCLt0tpls#vDO)fPGyS<#q7L4c-Q*@>KNJ*Tv5A;FF=g0M8n|rXYwx?25~?z^ z*W_r6L|b>kd)aEx=1^>5^N+ExFQ^jr@VKN!v$YMdw-}H7b@MgX-EiHtCx(09eB(7Y zTzBJFZnXB}Qk-dRvrc~@WFo2es*H*!oN_U^3y(a0(IdaouZ{2I=Rxo93A6S>~%c!qVw=Ekj(0k!Lw?T6!QlOcj zYoHkGLhwbs8j&Gk0p?fPm6CZpAzBi$Pccwa;k8owHA*L7%(EhyySjVJQk7HWxT;LY zq1|lxeYzCzan&}^78!Q~sjLujnfV4?@>YX3mm)VZ55Q;<3~QwZvAV+7mY0K250Ufz zWF5*yM@MR%CZelS1R_7`yJe)y5T?mT=XIGU>YJ;TDGx3B**Z0k;VwD=$ZR~&q+;d` zg*&?3RZDPC#pZ7{IZ#2OK1^O1kC;pqTArBK*NJ(W97hy5836NAd1mV<*sxYwkkK;i z$I0py#>reZki8&ul1g(5fV;5KKvS_ioepyuZD4}y4w7i-7n-V)#p~(h-_kr(p*9PC z;B_h=OQV6NYQWA9Fc(GgLPU}&A%pTF<5o&xtp~3zc}r&@?SK;F2JUfQrGElk z9;U(xJQI5|N0E`rzig+TF`2=k*6L6i9ZUx#5Bun)q}S0}D5R<~=7 zNu>4JYZ4!NaWk!4YkpnFsfg8WT-aS({x4G*$(&PYRYgwfA(`^fI?l!6H?~4E_d>Ze zw!NFNLDVZ);1jVa;x`tqpf(|Ox4Tr#?&NZ0?oLBd7wyL}u=q5bDTEh+Y?wq<@WlgV zeI87PvVoYeJGCs1b8`D%8Vxk5PEyXm`?ZnW4anf96l#4J+Z}!qtX^5}6tJIB4$T`8 zUv)s3ODTFKI}|O+P>4a5u_EB>OWl+iH;`Nurt6og3(7B*c$(5^pc$7fE!vd?*`QTT zW!+iYk&d9g>~$4t{bFIshpvGM-&1>^^g%{G8}SreN||)IkN>f_Z`u=SMO+5QH2gwv zT{sTD4ba4BbkGzxY4-{@xCX7Oe45dN;FFjm>JbN|F8A*7P(*xnT_eilT9*ruOTymf z(sK5JTnkqXspArLFE)pC zI8V~(pee*f@K_M?u&Na_>1Y)GrW*FyiUn4#G%zgTSO!UdD|F# z`sy@Kro8s@k^0e@$QN{WD_9q#olc{JrX^MxJ2*k$8BHxL9x?d|c4YMlHh6BA<1it} z*o_Qgr!L7r^0TwxIPYTeu*S)aGT#6R=}ZP_S2i4)j(87F%fRL3P8uCFh0Csk`|^De z4G^rUwq2>`E(kwbpI8I2dbr|sIj1$WXX|d!M)z;>m7L45di8F}j68eiXix4RJ@&qb zmS?~p#fBDsYHih;`4rn-+ooA8K#!o3s7xj<_@8FyNPVUx@%8X6EkV=ZJBKS;-1Nu8 z7T>^;BJxp=G;ej~tg_QH z0m^ZwY}km=RQt+S2{`y~u82WuKhLf3Sb)m|DhmdaBuHWpz&&+yRX14Fz;jP1S*=dh zd5sR5bn}rt!{0@5N(a`iC9mqHR&9$FPe<*LA|4*w+o#gHbQ)}@dL*gMC%%)$aPYDn zT2$s$54JdzVYN%>&z#mzyD^bObKrHO)QE;2rf-4PB zIrQuiqAFE>g>!ugL83-afo`lWsa(RHq^`p9`n4W6!|F_-pRaiSc2Fno}vE7w!Mo zTGML_*B@RFN;P|GA$V{CW`GcKGGN|XhPKr736p& zbh>4KeKO^dF(a=(XaDoAy7jgRugw2-LoDtDs$FX?H}?xjWk#ApjUgt(nR2h4)9GL_ zk=!e$u3hRI9W+U2vWU18MhPCIl*!71D-4gcZn@e`3!3`JM$eGJtKC?wf4mx!308je-^U7G=thh!8 zO|I_W-qgP7A0ohYn_h$KTVZT^PqXZkQHQmWh}7Et*@ zpVyD3$!+s`5qaca&~;!JH*I4nR^_*4#qC0K0dG5_I$DxACvQO z{nrz&>SpTDr0>}B1&ddF!eeHZ>)PkqziHpvc=np|rlNbo&pH2MN1OrIZrQM5^OiN6 zHm}*TaZ9w0>s{l8rZ^lX3*wt#PB4`KdQ_d^+CxraaTrs?bn?9jk)YeW?1g@Y4!fQ? za}6~(sT92A3TYAUh?05Ncb96l=lGSvb*!i&G^j}ABQMc~@Fo|H2$>XxdK}Kr#}~JS z-J}tX-RZgTDizZ4w8y2e=Uo3tid4@73Rz7u5bI^`?-?LfCGvcMcuEBin z2ZGk|+MlrQ8B_Equ3ZjBB^1uY&X7AQ_5p3@(ZaFg)nfDmaqfI(p46CdiQ@7* z;o3Zy0nxo6Y#f4AzT`N^r&G6!XuQyLg}t9Xox0^jgF4;tY5pGG%}vPFb7IuLTB^F_kvaBeWMG87-vRJw5$!x3O(nlv3K}cB9R^d93ol%y;->BjMJIgYrMtwq zi@J}yDO!3|B-i3!Hl6Ix>x-b%m@RRWf_do~+cn>!a30*cfJ(x|S?2wDxE-sT5dsJu zLi_o0H{RC0CW<30em@M2qB00nF_0(afcn|!#VhCzuxTvPdVO{PgiicP{uZ;#Ctmr3 zPk^S%(L-C8T93FY5H4RWy|XoRnIPh{Ug!`KU%-iSQU%lcuTH*%{p^eseAZ1+X-aHr z@2F+Z;dM?DY_!niG=&d`XDliK3?evb+bE$l82+1R5n)!z^3y`_pPzZTgNI5Snfh62 zVdkz^@4)DzygbXAufMtwdXP~kDQ-?4Z2NOYJea5rV zLR0CGKU%c>m0P*Yoy@o}F%O8gDvFL9n-<@vJLr49-monpt>xbi1M{*HQmTa6Ltu60 zE^l@fD)l@RAWi(Iv9s(?hW3jUKZU*M2g)8J);cJ58xIVSarX%Ns2; zX$R$s=V5Oi;5G|_sIv~z7CST>P~*8`*%5m4aVmDw0Naze)Fbn^({}tQ-coZ*sAq;Q z+6Th0lwNFT+48q|QBIO@;<|OI#SB$+M$z$IJ5O4~JD3J6DxX1=B2eYt07pCZG-;)j8>rKY&f+2v4Z^DtdF3y_xq^JNt|eESY0ZoQOXdEVZmA)j zXPmIH$W?|u0uBCRVNVZVA7Jw$S-dK&Gqn5Uou#sxp4>e zA=c?o@B~v&+ipPGjtY%Ri;gYWMmubooV*cni9R=T7oKk^ljGxj4BgdEx(fTLy!+x~ zq|K>OPqp^FZdgJu*GfXv2MWP3Ow)ia|Da=Bu^iy;a`dSuvx^RGPS?=^7}-3uepf|9%D-}g0pE+QHOGSXQ6wI(iHjEZ~L9S5D?#WU{R zG{B_yRfRjzF4`wzOUgc_%;kIlK!F5M$TV~bw+W;_Ym-3;I8=txUTvmL#Nw~b0Jm3s zK(2QDS=?tfYX)HxcbxO8E)3~NqlG5-0)9d~3wsm?*PcbPhDb^K_7Sqt-fJH^d5f?V z|CGxcfXuk@7R9+V&Vajw*r4^b8&BZGj=JI;xXH`!uR2ij#l9Nc^Ux!Yj=TT<^Y)Mc zeJ7ozuCD>(#y|MT!=p!EyBlc60y)!`8*p32+K(w5-MU;jYq>QPZgdw-J*|UOo2B)* zfkq2Wm7pe=v{0@>CtXm5ys++B;ny%a`x1m7wp7BamjKj&HOF@4^d)zOBR=kIp6|?u z;TgMZU&vfz2S;xFFuT9%n-Wa@z$_Jt{ehjM!M<)9*3|$VN>pYC;}-yQmm|1bgS#qn zmgUp>W~O|#gq^HkfUoe0f7R{)5cWQ+>*WEs1YzNpb3pBgbQaw7xQb%Ura@HEvE+`sB6WJ*KpJA7=oo~ zP$v!0^x`-KG&ahm?_k!)vc90-cS{3#&a9d4J+`@e$TAG}~Yp0nom(l~i zcxvSJcRasbW&Kxy)IULwdM`3@259dIu@c}8w&IZ+?ta%+=>?S5!NoDqpk6JF2AZTw zq7|!t6!oQRt6<<)MWK&zup$8rajLNF%f?w3gm?Zen_qJ*G+9N~ax8&7J$Rx5zS1^* z#@yek;XWjWd+VW6;aHU0&(8z+RO-69-AyP0UDM7iNtv_QyMW+~>5(ow4~&nQ*a+d9 z?kxgMhpsSzc{-Bpxs+65SEA^y2(cgwVcAlJN zGn>I)G1p6d-U`jQSmHbAHhTQs@#b%LJ+j5i!r+c2Rp$l9!5f2^vga-QcWCW@6rzl0 zZ4*e%hfalo7VQKAXkL^Hx?N)8e0^ALxQGn`eY4H%h`VymMJ5>U*z8S!$8p+3#H|G& zw~kd;@+0)Zjly<#bjdqshcs=r{IZprS@1UL*9l;};wiTM?!fu9Ek%~D*zm&OZ zyNQ0X6JV$n$cVZlqV{BM`#*)7-GwD0{~rpc5RF1`4O+E!@h6~LwB`+roC^am%@uS* z{Wx9Te`LX9WrD@H;8OwPoKLZJl=Zd&9YD*Y#%nNDK?p9#&bcS9|{7O4MO!@Jp4BM$vvd;r`mN`mUkCUG!Y z`+2C%0LW;rdWqrfcdf8Jw`Qo z8*~_fZb&(PM?rxt;#5mpq3OvJa)9?v@cNUAu^5$VQp9=VArhN`6P!XzI~wn&q87>U zH(?}r#ZEA-F6}sdDDvX}+z#GBLX{dibD<}7kOp^-8}q<}W1pJ-8;jR=&ADO7?jaNF zMrNnlJq&`--FJ_B@V+q614($t*5XM}Un&v1Y)qBx%^*>yw zg9iI5_go6Z#PUvH9CpX6KQb}hfSH`iq-hgTz7eVnO}Tvj8G;wd8WzsUdI#52Il+OZ zoM%KVg=D$q4I^uK@|0qSb^UQs2b8HM775wJ0>gf;yPK*8cwIuinm$C1BbIlC>n#xw zo>e3c!D%rC_P289T6V;6IHCN()U(tu4}vC{LtOo0c=%u^ojrLaXkyIhB10cX_wGKb z7rZJ|5G1KotSU6c3LQo%7H{`p*h`%(P+F6WOxrUJnB%cCR(tIPu2_Xz2czu{B`CT) zmS|#-78Q@vc3v~~@rWO*o|m{Hmh7fof!(SDKuu*UjytiuRpEEJ%$sv!J+$XVz^qvm zuM?O3q`u4Qw5*I|gO+B<-dcOy?#SQH1bCgcUNqI*^1-NL&^lth)U*PXru~-=m&98~ z1_+v5-5;hJ6ZwB_nJq5W0VIVx%3Fvgu8A=Wj>LBI%IM(L>e>Rh3<;{%_Jp%jM5}x# z9AoG5b`LQgPsMGMy|3jz7PVQLtFOzX$jK3P#arR#U!_-{TN#>CU37yMj)+Ms_H)C8 zSG>kzj+L;~SAnK*SL-@Vr>3SSh0X5>*ntY3pi^2^gOHI#>rsvKjmE`I2!>GYj@(Z; zs^C9CEb)|v!KMO<&+axF!PTsq($-zG51bkiQQxZswf5TLNo|13oE%0oW46u;aKbmK`p%`@#=j!Z0EsSHg<>Fs9dMLV+g z3=OUnah(|)Xv({b*>+4sOC>BEH=(h4wSwvBWid}w?;Jv1X;dWIvvMT1>;Xu`qTzp2 z=+!D`cBpT<{OZVlFrYq_tvMF1GWM6XZN9ih!#~r)aM!fIF_TE$bN96k7u&^^TL4$!X6b3&ygD&#RRx+%tOhvI&|yyvjDt#8Mf@Qs z(3Dp@05zKipldDr3||=$HAXR9wc8q0-k`AE0k>c$He39yhesuBzL0EbBBuSD$oy$S z_iCaeimg>nck3wFbA|usoP%b&buv7{MGfJ(EQp+;KQw6A_++*WMU%eX?!UuMF z;sLQoVW1#!`>vn~`rQva`taBX@4RNGP#CcJ+y-PxvecH9TB+Ml>7l(Z7&{y~P8@Y) zYrTh8dr+O&jExGldY1;<^C$Tbn#u*SpZ2aAlboqdMp3Ix+co)vs;d1rFqOph_T)HP zKW+o#W9XA;K&T0+m^=b$5_=I$O>67QT+R}cc7p>=dC~ne*o2V_T-7cDZ4U_{a_Hb1 zr%Jb+L`n?>O}(JRTeSGurg;DtF=^W0Mjt?f4_({#Kc849KQS9)O0Mx z@_$tvzGAO-n`3DVgq!q31PPk7Z`3UB;vH*e2>&9;mZcYLYE9x+Is&yOlqtO-$EO46 zzj3iXY>dLO_sGlTvx$Hy;?CIR{;1=-21hU}7ESM3x%d1u=N-j1slVNUXR1qYh) zo(F(dBCSbE#}Ox+@SdPWYT>v$ues;NH4(&SV!%>C)0Dezm-dw0Jzdw|;?4KEyn{ z_xC9T&46&h*_$f`iamoCER}fYh}ii$e1yJg{niJ-)J@oD5q{2Ah%TFI?n=j4HJTp` zdG@hY&8DMZn=e`Xi~KhQ9TDB3siFsWa_FL!79m-Pq8EJAFwVZ*3338XX`w$O9L1|E zvDJV+O^hX5Z@56!t~HsLhFbnYaG)tKa)1e_F*$ZTaP|YEMDDj|XaECGIrR_#xEjYe zX&9Ha8Z8 zCJ0CP5tjllYNl}tqX?3fUI;xyUn_X29QfGV1t+>W^$gcflry$$VpC%dD^q?w){q6o zGfK;~gqnG^pAaW*()R`jnsU$vf?1**kBq!(itnk^FKqkmo-^wa8zOgR7ke zj9>1wW>g%BOb>P%DPb6ifV?-cXZxO zL%Zr&(51bWOdA^a(2jZVo(IQ1{Pc`Jb!{K9Ji3!Hce8pMO_~1lG`K`HQ)Y`aFp5gN4jYH+O(V2(w=7kGhYfT#X~vCs z(2C=l#35IsTK*P>`erz)2(nwC2hg5mhVQb-Da-R(`7QN#EP16n20fegm)vPhSM;Uy z0amfi#-L_T%#RJ5N1p~T$K_RXXd%3rd%+WWjS0*q_I|yl{?H`LPwlu~YN_}BjXLG# z)ZJ2x^f4FLtg^89ZA)9dJK46b7zG^wX5t&n*<1*Nam>g(_e>GT+1zQ{J8(04l3@xJ zgR8;*b41M9H@v~W*o_ zgdG}9_m7S`9D2*_#mt!v+H5yTOL$t-C}ygF9k~f`=>G<7G6s>P!FCF?s<%PwQr4sV zX*(}6S`1K^ao=zk%c>h;KFUg7mx?sUI@lR!j;=ij(ce0 zRH8*kd4SF-D#QwPo|r0HRitfvD@@GjJ!MkVO8x7>u*VPhTX_v|{;r54hex87bEJM{ zL#`)>9$I@a7-iA|@rq_F%saW%fX4-G8z0od(~}Jn1x*7K(Sf4Jks5%l)Ui)1g@F$Y zcc)L*#|@-B;+}i<*-p^?OJB7UcmYP&9CCHZZWv=|^GGdjZU)mXh8<}S?cNKph>o>| zx1V&edcaXH4TEN00I-Jd$659h49M(FWl|jGx>MW0Sy9VlA7pu5RvmJUuUx#uli!#9 zJP{fG-pO zd;IQeM^0Tz>{INc|1RcY>AWFY_`~_jBk`(@)MBF!=x&x&_kO-b-p}SUwb0XCr3WZ) zb6x|~a*ED{1Tp2KX^2}fhdH!-5SYaY|3nLNPiUz&e4oiXc^O??R9bH-W6Qx#qfnY; z*tu*2K;aCAcs@xh{JG+Ub=8`cuI{~kpw(lpDkws7wskX4r|hC5~iAQW7Ev2*fJsv*Ocae$*7Ph z=ZP$HmSSG6|M_xRdD0K7NaCXEbXOID)~3%9?V#}SLbyo2JQ3iEm@>1F5S#TwBRJ9S zVqycB!vLD>01)bxNVwNPXv(o%eJYqo{DjKNM#UX>E#z8oU`D{3Zdxk)Nr129h5iWe z8MkjMD2m$L9b=fQFteazxa|*EBK3KDy=awS8$r7XSs_k?wwy>5YW@aHGittT`S1A_ zLLtW%8C>q5Ki&hneCUVEiVLf}lH>I@$l;&6^mbbe0HdU06`|0DvutQcn&r*U{Y@O) zqO)A+p914rK~^0l{P4jBcrDS&WqA=ynY9h*M*AzQ#KCA7G#M2zeA^e`ls|-Wq2#5{ zBvyYH(Z; z5i37?YXkM#i>fsS?k2OqRYmr$BO;Y(t5gJF;oI+)B~$V>5SmP#`@^1KoI$l%%&XVV zv7ndQwwmoheuXqF+MVGvM5A$}{M~L3=gYObopvM6;dO648j<5FhwxRzh$_`u!bt&;ZQ0j7D0#|h$}lxI#sgM`P0lej^higTznS@I&y zSX4RqameNKFQq=)fA80{SVcqVMxA&o(~9F_@gJ2_CL0LNsAAW|<`0v0F3?Y2gxj%v z*h{$XOoYeNtOj;p(O@N;587f}* zvrGdsKv+B-A+=DMqUf+~T7Kf}i%oN(!r)%63 zuHbD$uTEg}zGM0#AzlM*rV#5xa6JNTm|oz-ZI0@{f!?)`f(<;qC{D&jIZ@nr z!)$2>*wed&n%}oc6@xW;@f|c>^+f71^)m}iF6Ivgv&CI&ROlwg$hgK?63fs^&B#Ji zcbj~>TU3J8mkZU@#qgzDvv$pr5P3Xjj^~q=-*0`iKNzR2sdt2GufvJI zItr-%iJ4?idQ!mIB1J(eiqDI1RS@d~M4kc(1VSb==bXLw-D~ZACNl|C3z^9o*#GxQGOu&a z#Pjd9_geq{R}iUrkf`ekt*7%pyzH3)5XwF8uR9%ow`m>45tZLaO?;7nai0BfFR-a;Luhh(QA;alW+N!(@!bvJRxTXx^)Nv0Fq#$qJI+zT zWIGK1QC^RA55J|V*miGg%ertaE-0$B_y3VFpEcAxAn?X?(D6j@O0jNg7l5OFHFF0J z;!dqR`N1Hr_SR@}B%%OG$sdL1)Po;01~_j5v{o#a?_XhsisY0JyXCUAzkr4fpx~l! z<7e2dE5u%~ZlQ9}{dqQ==+!JV*8-kBZlBKU$HQH_iY*&yHEJ?uo7(739h9l9oQay* zgu2eqYEKE@O>)g$KeHfwa>+6-ejwcZ*yG2=;!7TQ^She47#Yp(hSz>{b3sRm8WYE0 zOszCg(#Fe|FW8c(k*E>HkuOxP`Px-M>44vZQD)lX4Nv5CH%<5tK;@EMkM{~g*SmK6 z-kh$wlsa1N2OL>TO|KR`UdTN@y}GUV>idgqfVP)DyID=O=mL0kv4=tz=c$=h{jz?W zSN*hn=3TV?Yd~Qlxr7)>6|CX4N)HuR`}Ub^=hlG%9yKGvX+@n(JKr z_YFi9D!);_Lf%)Ha#h6SYMZ07V9=jcoYiGpifLj?7 zs7UXI=6xjFt8HoArFoTV`J+2%p*o9-N!#e%k!=zPz=42#&dFgChSA z_kKJ4khRpTHNf-v;ZA9cDm+o@u6+N#0R=XMI*oknGp2co*k7%~pOIGYAjfTz>Og36 z+=TjQgN>fq*zUgDMGr0AD#RGwQXvUU{AHx{H=#jCU%mW} zmFxfc=P5&b77TRiq+7PmxT3Dmi>Ve8ac9kKCt9IK7cHfC;7D*}hzwGZwbT^2iG1wH z^L@jIONRG_}v+&rqx+nN{}YeS;f81IRsf+|1A8m>5E51df|OFn_^0_r-kv zzj~glc}#DVOQx>cMkWNBqmc+SI_A6{xu4ZOf7dYNh9Qjzhj-VGf+t%-myM!p-}p<( z`E45mSU8f5r7u$#QInHNy!y+?zwWz}J3vl&@cbyHWc7e|MC4>sk+sys{-!&dX+L;G z2<+R^o#m&jty*DvJod?Bqk7<(8+05ob?)j?HL}wrJ2kW8$5lmArXQjloe#^k?GQDM zp#LIx&qLm=W8l-;=Uy^t@=YaEO45W|rrbVh=6<46*QW6upT2PasB_zgY&kH5Y;~3hZ9a~5yh%SoQ<7c2GZjl!)YbYcst+L1a% zk1J?jZ-?YB5jgtxz25cV6*W&!i`sO`U>NmJt3|f9M>UJcVGplO4ILr*D-I@~a<4AD zlaPOE!%ykr;%>QM9ee5jRH$T_i&hQ1dN@47kiFE5*Vwk{`#YuTPC>ByB>A-=Et>#x?XTK3Wt(@QS@+2s`OHE$GxW`TN|2|jiQ$&K8T7I6W zJ@WE3iypuE(gAG?BGe1bwk;hKBl(3#w`*OPi}yCs5MPlbZr-hvrDn1=hNTD;jKjPq zcYg574-aoAzkU9xlV9H@ z|DG`|k)liS{8hlApC{{(wbTqUsy2SO@R=v>n|#eB7Y;e+tp0s^bnSRtyACIG?>%tv z`4?R_`i7f-Kl8ueTfIZxZ#$5XVGI8OJ8%4UydC9@`3TIVTQWuCiv1BhQs|Htpyoi7 zRBBW&RjG0fPgTya#D;vGTXB7-70*dwCb@ER*Dk^XFXXbFBK3^nT*s+hu*HDjtqooihU zl{uBLrK+)wbHrS^RxKE}1&Kw4irL{ALKPCLKaW14s>6@kKVhhL4pciy{S zD_DP7h_^$ouUkL0c>Fy<%Z#icosA1XbeN!v#}GQDjREYWfpyE>sUz z^>PJGy;I^Qxzls?8|7b=G?k)cYFi6*O86YEz?ae5@WEUaIpw@uUi0O{x;L{hFJ$Mn zJaN$Il0VH`{PCCDVzs_&BJfwNU-i!4XZ+VSLw?p&4n{Z@opSlT3pU6RMZaCHrH{yo z>w#dXS;wF{9IM^%IpJBNf6}xrE`~-z@vaGN^*QLDdInhRD@Ejq07D@*J@kW4IMkw_w|&j8)u36+;MrYRg}TJ3VcM;BL7g zOeNS*2y${T2e;{?7ap82{G=8QBe)W^P}r2)qD|MngNBZ{=)#d#U3=|SBQLyo#QA6U z?$V}3BplK!)qBJ7y}h%}YHlCf|9Lvl)V@oEsMQtR^&W@Wc-_=**>hJ9 zlFXD#V;g$v-knt3@V0*Z=G@2bxc;*9`gUvED&N)xAxb@mh>gdz?>1n>HIt@2w`ldo zs8VI9kNc}jroOnD(N@Dyv(CXW9B6F|(=8%UQJbWL7Zj&(x%sECecgI|Sd~J=!nP_c znM9RNL+-I4Y+GSU#n`pini$-UA3PNc960BcF)GB^M3@s#NnXq4CvShgJoQIi0owI# zX@^cPmyxv?o_FJ^V*!P+$*@f2N*xNod|@YCZRWuQlrU3Si0cZj+Qdam+{&`e-)>z0 z#iyTsv3}z>n|G@QPf4>?wX22Z;FZ|wp1ag)=*UzS91w<@X@Y;7dC50iLawL6lf2j8 zFUE+eb0kWifbTdhyj$J9i4U)XC-;_C>?nO6dhXiweR*k3YSI|+h5dA8>7FfRb0Xcg z?cTm?uOo`>=S7O)tUSY)_AF1VZ4ARUOExd>41-*cjSasfQnx`WLZ1hlbs9-|H!|^f zGc0dDDq|>5@*Gob$2_j{uiP&m6SD_DO+>q1y@c}EpXDFa-}>-`p=KITt(#4H zuEwk6rspZ!TZ&JZHl^3_$uaOrjC#T(J~hMsitgM6pUdAX*R-|qH8@33 zvkGrK%<&!R5*PB6e3$40JXe|DO>3{Ku1=l@`d2aGaLj^9g=)6vaxvl@n)K?9NVad=9bWBXk`2Y07} ziHocwxMKBF#aCWG*Hi0EB!qWCCp9Q6gj01_h6&c}@9w{P`bvMxC0hLt=_vTyR^xqK z)gz~LepK-5ta(DbJNx@Lue<#lPY)OJ$h+Z8o+$h$u5jb!Ih8dp+n}yts2McX?E({$gIN=?&`hNz}BjYzYm+FimJ(fpDXZCRB>+ z>|ZP6XWfftEX>0N=k$Tn3@!N{5^O>9V3aF0E0L4B^_a|KC&y8!>d9Jgy~fA zq+`0myB_44bYf2afG4il6-_k%^?q+XuO^`>VN)&?gVP7-u!W&!@PJh49@&#fX)@0h zlkzLn>ddF6dl@Xn82C3Bs`h^%4;z!0UJ5mHI-qmj8_<`>yQ04lq6wa~N(GHvH>?*lryEPZO26YcZ&A@@8+l}_JIB`j<-MXG78H!@p zMAQ_eq@{Zn&Q?||@~vG4X}hB2s5zDFGdsc-uKIPdZf8nAiCzE0_X!5b}Voclw zH^sS^uso8-ZPAKWx=D7NTqy{nng6>SX)x3b8dU9J0ZdWFK(*T35PDE|RP(9H9P$1= zR~5AY`&I>X@T8mKmfOhMEDz1MoEWyI{Ib^HKiul6ADJdMD&ZF~|B4oS{mP!fA4?>Jjfr z{`S(7(BHysxJ2FAFi**Ixl=d_!?Dv2o_1-2#0_l2bEXgPoN+z&oxVimkZk$8RtW+9W;_X~9_6Jo3-sX57-lXL}KJO&S#RBXM$ zP&26L(Qu2Bw95HxiM`8zdO@hCOAQeQ!YQ+7UDK>Hv=br<@Qt`Fr;N65xpLNPos1t8 zQ9e1RS=-6ee`&R0l_HvFeeT|BS5onVO}C-_s0qJn7-{|u!i=O21?4%2D#3``B zP_y1(s2NbOhhaJuMPi<)>fbx@`~qBS;^cJOxgpCgoKSvJ&I++72R;);C(|2Hwf7Gw z5-I_EBP{x5BsVm?oH0=euwE4PbF`#((J(YH$}QfD!Beg^tHjNbc}eLV_v;2l8U4xz zDKOLwDz@cz7UXmwq_fA~BbKd?Gf{<#@tBLNP?rg1 zAh2@t|$u1}`)C?%N7!L3F z`!$k%SgA3yP=%1F%K9+(*&c~~D%!ACtK-R5UQj906VG%{9L2ZiBby>R8cQ5q|H9i9 z>@qcy`h%fnP*L@I=u)9niGyNl~R%Uo1j&-&_yB=ilhGqR#PNMk&HLuj(0j2QB|^btnpJvRTZUfG3^h6PwzrPF!h~sQQvWd23@X%qXEK;wp40TJbe~R9 z({uY-cL)y9R#c?tB6kzt?Ns9EnY)C@Sda;+Q=7dUR(h>3L*%m+`)KX%7H#tk!r z07K1y!$o3x@%Xvc5H46%8qT0~vhv?QLX&l__8l^)Zy0I@9t=CQi@gKkf(o9FMIhH_ z@&1t4d@Z#b@=Tk)0KiZ);9%rvDJsS8Gm)EVpp4c4@%zGstI3Nm&P`P_05H@HIMA`O zirVHy`@x{yX*dD-NxR;7yX9J3W0DV1Cgt=7`-h=s(19r$s-WvjoV`iiyzjJ>6J=`nVs8t(G>5R${9ARFV~ncoFQ`~YYz+zHM0ez z(7{ZN^UvniiOaQ??x3lJ2AW(%zdIZ32k|jibs96F4Gau5vj=Dl%UmYn(a~Uy+8`Wk zCw;J>mVSHgYZU6YP92&v<{D-O2!@*30xUYleSzy+U9Ezt`^2FL_vBo7&T-Ikmf$W` zVmx8G4+RQ_npujckG5J(tCXaoP!~^-3i);54k^Br9k*sK=>-k0-;s%HH8>a;YGy0S zigTgu^eU`(paOw2zu7cGMndj89P@3M>pYvlU1_x!m+rTGspIk+YhB|^S00^s!3nt*K;z!GzUS|e#_}jT1PQJ|+F_`9m;jAR*8F+&fcyv& z)B+oc znV7PZeQcARVaESOPN(mj?|*&YxxTrcnYrKReV%*2@8`asa6O&NjE6Z7gFqn0t5?+Y zL7@Et0GxSnFYsGmd_DvCJLG!B_znofRJZlr6EDKV1p@K*TvfYh=$$&#=VxzfmyDZR zVXoy3)sq}lcGSOOsQ=Zv=Im0xx)uSsmxQMYg;r>9IZ@lhwQS5u3y*0-w)Cd; zD+A2TQOHyitb&{p8H2->Qap)Z$I%{__-`yG`|>;)cgKN%U+|xkQRnhtk*I1eq2k;2 zC4Ub2iK0K@UjRF#>>g|Ph2md>XR+(Ak3Zo^RBz3p8BUX5gNZWz*TXqI<>`MB+>X0UKgwW&iO6M%(q~1#Tw@;R>aePR~Eun-g zK$yqGL(uvAorBy5JG6?+-LAJz9zVIROs(yQq!N4ds)*vs5RZU?QAhG3u`02(ZL;CI z(-OvoToF)IJkalTyLx<4*WP1zc8Oxk*!28nZb`B&L23)hK$)lnBG)>r3ENxYy>Mt@ zUn6!FTk)QJu0`v>>(`I6)0C*WYqxX8ErR;x-8af|hFVAlW9g}=TUcs;1TN5baGBhs zdvT;~RNPaCif_WJI&nkPaemI?{dUnPf#U8!kvv)#O?T686T`C5oH;?@2ecA@d#UH1 z%i#m~+e=r-efhj{)T4Q&UESH{=IiyDkZ(O?6Z3LMxp#S(@i9C46}>DX&fH(b$NrUn zjp1Cisf$bZtG3DH>$g3ON6Ho;@>ohySvs1?i1|AC!Vcj%ii=5zk>!l~0_dO$rW@2B zIHSGL3`y@@A?26Bq@Aa}iN_ML2;*Yicvz8WceV+pDfe7NBr4ZvcK-Ga`RdglPuA8m zvllF8QaR^jt{c4VO}pV+wz}lPTzNELV|kNa&_ZH!gcwm#O&iIXKvh|6dTxr0!uy|X z_GgoMmi~iroywy%8YC+F`p-M~Y+l6h6!cEs7#LVua#_)~z4R@xXrA_-YKz~Lde-A&0&7h-WgaY&TG7uU-cGO(qgl6W zguuSNPJpFTma20`?)7Mm1t!C!Uu$B*(vojZCQ&oIC@;bgL9D`6m6?E2JYiVx>b5jx z1K;6^iiH8}Qp+`yzJfdp>}zV8EkWtJNmZz=TaUvn529@>*x-26O(n$zs`%Sh6qe6- ztea0xEXFrA_w4nwHt}k|JKsu12=M$_tZBKxQ*k=N3h!#u#xS9X`Au)09_g$HL zmV^`PX8>F#3F-~d>yG~M_O!GL6nD}0hkM!+M;`h-02UW2E_j%2w@wz=7!?g2Q@VF@<_F z;#;~gYJ&Rx!@{Np#tBAsMt=^)-ZEAUa#jr1?yu^QKyb<}t(L^BU}0$~Cep&iWzj(gzLdk2hZDj2faHGU;PUsY;E;PS{Zx)}tz*Hrv2cXH!0})Hps-Eagq-SK>mJcI&~}%*iBD zybZZz+93Z;U_L$Ieq`q*y|?4D<6|a1?>cGC7PF?QE>o3dym@EK9%d68H<_p=!ZZh{))6{NZ zE@Ea%N(HMumTN`_`d5hl6B|8Y=HZo3$`-1`-;N2rea$#f?CTt;QII8^Cxsor1z4QR zkH?bVFJagK8FJHzA~0nqEesc|gqU^Pn+79s@_ruhpXf^`0O{-Y5}}=MzjFY0^5THF;eGzYn6isgA$M2yYj}gw=nw>LEQs+Z>UL5 z)tGXV=A@j%eL76qIug-^E59K$QfJntP3FXAI)s&RO1762xKIDT1lh!3ik_Q?Ozz(1jv-k zgE=)EM&Xi?b=%zAFS&BRXsreB>>Rq<0KOhWIDZtkJ-@rVM;Snt=l^%vCl*|R#NIYD z^29sN4NCkSE3g~C3?S1N;d^2A681Yo6a{X;nKXG`o_YNI&yky8ji(1HFAykr2L2NQ zalMW~9owD+wViK(_D@!K&FHaI9Fky&(jF6hbPin9)@kE>zw;>Mzf9~*_WY3oM&967 z7*F+=I^B?vWZW33jSvYhJdJ=!z>#Hfb-+duRAHmzX>e&BUK8OcaU+gB1rIw4*TTPc zeB7MWC~{QS{xsz0OVg{70L3_t6ny-tf5c`}8pm#Gji^t$DibbL9LE8`ry)|PyxrAf zn%kId8U%~tcWIklwRV))!EB8`m0HJY(zr`yhYw)&ozuHKcWGKXhNI%~oi=Yyfp;;3 z5l3}~4&~YWBTxSy3E3fsgytRsssN<@8&5k_b_CiILgeb7x;rO}nBQ01*cGza9_qlX zk$u7)Cts!0@vmfdXapnm8wL#t+>o;`Tq-{$-MVdt7P$!LNzEV>X>GFpkSNt=ydLK2O z`d50BK=>+ENGiU!iZlcxg!?~@kVl4@fxxY^EsxvrzyGO=5rK5vZ)2szVv>H+cos45 zrIaGpQuko*0quX|utZL{;DR3{3bBwVkyEI);cbz)h8#<97h0#2e?TCGeDn^=X3@lp zH%Eoh$ye%_2>mOC#)A#oLToom8lWGqDr5pq{|~>9Md!dBFE;(5QzGJdE!3iwSENtvO0P>CjH%>1o)7q%8l;OXm@}^>#Bca)y1<6=%IZPazL(XD$RTDd&W8U`Ed}>u79X;DQ4u3wwvmC~5+b zXA^haC+YxZ`gC`gO}_u=clWO@sX#?mcRVwDd6T1psw6~r9ucQaZU@?8AYlvg!|{v$ zp0|8mYiBgSf|2d)kVf>%4rLYO6EI>@`@|S)=8`Ncz|y5(yR7jxfi7r*BTZlMLc$|H z@{~l-mtOr@n@wgKf3m6Q%QR+Bxs4xy&f2LvvSpk-$N}+*1S1_&`?n~A-UovX&H>aK zeu~M!4j~Q|Ennsl&fm1n%e?Dra7_K$&oSx`Mr^hCfbL6op` z!KD9xsQgTz=jRdk1&uyV)#5}!!9-S9PI)oVD>k^G{rxESfYqdZ zpaS2$I&j=R&=PQnX%}33!^~F%0>N}^ZcV=jWtan)4@rGq`VKzWBcd zN`BBNQ}V~}1sN&s$u1n;8|(`P$aJ3h78YX+0%3#(B|XhRdg3=4pc;dg3h$D5dcTi6 zP>}&JS+&!FESKn08=@INlcQhypc+AwUXiFDH@7xxGymc-@gyAG_q}67KTskz2|woY za{sa;H)QSPFlp6QA>cII)CIYqq)(eUNr6(9(+7{FHrqzi2UHc}4TS?G;;a5(r0o6P zsOl!ilKv?x#>0fq7N;_#D&Q#)UlBL4(#64-X8FR5PfGDGqPFxl+Z@qhGtlMCdUs!F z{4qSBfs)kt!wW_CqKzwLqrl><#i}DXNFbwoZ{eI#K^{b+>WRro=62*>XO)krZxBp6(kpaMy^px0cp=KRlED*ZMYl7ZgLy(L1qpzMW3=3 zzs%ZRzu{V=Fc_|%|)m(dV<8g>cc)?nT%d=RL z^_nyK_zEwCgNm%5W=UVe4p`zlj{MCAa5y?-!&_1=4sQm1NEY9GS*F}_I{gskKB3oN z3Bns=apssf)BdJ*{(&OW23O|j#aMEok*8oH3fd5M;QkElhnm%Q{YsbC69%U?W1&`3 zDV$MR2sZax{%U#TUB{wU#?bLwA zuB_fGL6(=}=^oUQ@HWCeqDCG9#}!hqL<>n9Dpcao#cgzd)B2b*8f`GiJnD+#vTdpD zKDY9D$}S41mW;~<+r zjj>t(o~Xiu4v)dCAj!}W#wjC>?<`_!!A0*Y={Vsm9mn8;Ap0W>Twe<#Kb|yu1hRAU zIUv~}@an8|1C%H8Ls7^_F8C| z-)kfwi)tP?!{cb`4|>G{NHZr#|C<_R-J}gHWt62kg{!Q%p~vlp@0Birk@-zz5$aky zX{f`J^zIq%Ekk>(j_u+zsD>w|Cfwicd26ah6#lp-nV&r!vguWet!SsPkM%)CEF{_3 zQ6f5vJwBLYppIZbtKK|&V_f<7rq+-0w6RBIpt*SX1z~RE zOR+3MU!G#%HD7r7V>qEemxIb$KXg|>iaR38Rzf^1G9KIxiz&1MBE3Z{J`4sL6g(mI zdf4OOZ?<>ea7J?#E>)mBFW*^ce3BU{WKd6v|Dg9{#LP{Ji+mx-uD@Bb|DDqLTqnGt zFLRccGdvLVYTrMpMG_&bBlNs>uES7pImu;BsQDbUoid+OL-CYL*N$B`9{ad_j!pOJx%5Nk z=}1F{6I)R+;O>)sCLlsx&)~Nx-457-JwQxse>%60+j1Ar2$6ih?J5Rjas-YRtSj27 zm3o2l=g^$v&LO)3MmVS{q-^i235Y}`|IxaG#g*@Wwq*zho%!A|lF>SK%r|!ZC)ToJ z4hY8wILexzRTQkoadBaLO*V2nMLGg)c%y+Bq|UnyJlm?Xp)JX z(e__Am6KsL!+Fz7UrQ>!le zpXeGy2(XK=KN`7MT?BSsmM@od^&f&9kndqY?V+g{PK~mdgkIEDQ zfu~>p@!#1@kJRYBO0Y#eD<`TtXzsf0dfxY(J6-pq`=T1ZU``ZQGp0>jx0>KT1Q*@w=NbQo7 zKv{Pm$e?)z|EEhUIUyXn*^d(i0u%X4t^tm3A99>UWq3ysGu6QdDOsv%D+hl+f4D_@x$MazdT=2#1|J*eCq+7S2clIDp>oGg)Jtxn*mKK~x zYU&{7LsUIQdHJ-)4~HyT1(ALX7T#Sp6Htn6j9grk!dCFmg)@YAh8xamJ`ak(mIC$h zf5l(n1@X|xKNi>I1ySTqPYK`G{giCA&Muf(za$zboZfJ?D@1=6CGnYo^{3yTBd6D5P)D zc#mmnU84MBA;Ej`av?PHi4C4UBYs==TbW?XOsWIm_U=BYDTE>v(RETOYlFZA=&$$$ zObryZb$z44k>YUqy*xsK(w`(^rm3+>IuIak7vUulOI4oWOE-OgY-;N8VodY7o8c)g zm9ZAd;VXSE^f7kxH?}&^=_{}9w6D+eH6BA0q<`Voi|_XenaC8UVaPb3hBd38?VkX*vN{lon)AiEpe1HT;r1}WY-27l8H=KOs4R@X4<1DKe8OXjT)ko{P9Ajg`&^n`{C2e8tt zymjtE0^%#+-O0y)e&< zI2O~F1fVuKk5FaZxr0-=Bo>X5yCmeU1=JPZL9O?^oxxmlX9wVgz1IBl2~geE<96+H zv1*e;W^RJK5>E+SP0*|%A%iXk!r)jeQ13_?;G<5*A-guBIxG{52po_dnRnF3Smq;* zbUS}NH9E-)D4lZ#P;f!p#nTLB_PBYjwF&2}Zq@KL|JRu9)}ZvRn%#&#cxePJi}aCh z|IF6BPh;#cE48OWHurD?^f~x1OqWNZ60)`YG+pS2i`G^Ley{sC-hh#AG8K{+J|!Jm z`M70)pWNJ%Lr{8JKHV+!mu^>dWQXk2Z8_e5P&PRgyrbTojMw%AGqBfR_mpXpR=Pj` zM(bQJ-?)D3elJDtX0a2RE~|boy2KeswHG%}#^TA3NwxQ)an@ZZGlT>OAMZhDu=6B$ z(kI)7p*e!#m}(`qwremFwPVk1M+y!F+LCbK-cYgu`lq*CsY_~{h}!aD5#NILX3)YkkM|#tL|jHdzcqE?Lw9hTy`4XV5eW# btQ*QlpXXMFF2nt|{Ozi`j@lbl%lrQi8m-U* literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm-image-0135.D-DY2zzy.png b/docs/.vitepress/dist/assets/fsm-image-0135.D-DY2zzy.png new file mode 100644 index 0000000000000000000000000000000000000000..44a5c3059fad97b25d7ae8bdcf11651d8be26c86 GIT binary patch literal 51897 zcmbqZV|ON8udZ!#YIn-1ZQE||+O}=mnA(`y?OofpZRXCD)2Pvp3MP$&?iJ@x4T+cjx-85}C6W7%FamoL2d3~*ZDL7;Y0eYs-u0J{X zVs?B5HWJhcL;rr)3!c8!%IN=alThF@UZzVG*`q+5|4l?g|8&JoHdH7# zf}HQ}Yvdqu)hhN`H5Igy6lz8*gCZHuw%7}DF_@(K{h#)@=v1)?pSwS!FY}oP;m_GJ0+1uLN><0|3f!|ljF%A~h=N!m60e9AaL{Akb9MH)YpusO zG-O`x7E7Zeoi<~_wQ)#pEiGD?dji5cxql^>h{V!(UkKi-2Q|&lBX9mr0225aN4E19fn#<&$ z)KV$v-mfy+`qJa;>~Xgy7Y1rYYus2B!iZ5D-ufm2{^KG6LtBDvzV!Rr$VkI-_4wna zhte^~27*A00J1b|C-Mj6i3s2n@X9p-gzjnUDwdF<^PU+e)aa#Z3#PF<_K}wRX{{fW z)*NkTZr-exje6cbBSOuc6d^zvOv1*;MPTNnhG6!V+4JSZ7h6@%%^6Ty0M$XU5jI(! zI;zo~%-y`0@gf#GTY$Zfoc+%{ijQOZV%3we)-#@Y8GVKq=%sER#rx(J7+opxi^A-& zk3#~ye_vgET$oi@@o&cBGj-b%@)N%W3`bNH@Mh36K+>ez<|p(3d#eC@;&ku|aukQ^ z9btQG{J&1l1{6ltX*g{OBc9%(dmsKUCtvUNe<=fLvlw|l4}mXD@c3(3cAZpF#t5bRZ*IhtN>}57NXa(B7g+6F16=((L{WhmO4@gw6-fB6TWLj26DEOO3f(DWA8KswtL3so*7##18 zj@+h)n*}?HJ1{)u5u(Pgj7kW_B6;DogTD$0Ri=APx2rl_MNoG?-hQWy$UK1%&2YdS zZOxGepY&8AKugE%DlS1SG#4mHQq%yrP`)UFQCY&?&2*Ld&7$W`w26(f$q$J?%lna$ zMB}{airLD)YEBz>2-0mdq5T2f*?I^gS~I9!6Y$5&n_3Ej^&0^hqiXOcLqhLvaknPE%6Wmg zquvELp4fg3I6l0drH8nd?bvsqum+N)_PjaDgDszvoqLvK@8`T|cRB?5OH_1a;hzo; z&joRE_$_uT{%2222pm{6AktDk11m$_0-JS`fzR1s#29t-hzxJe2c_|Pe$4XBU^?WB z{lS5+7p4kFxT__vS3@wE!CJ`$Um}o3UhCHq-GxCgDz`3Li17Iot>_>^qyMk14t-XICZIO|cMTfGAB+x89{k!MzN0 zT+J`jL@y+53wLGUNS{{hlG}lVakvg34)hZG_oA}2L@(-%i?y?{5LhAGUs|i>b7Pw1 z>vZQp!*wHJ(=HU8dwO}p@hC5<`dR3ysdIuMahBt;E(D_Mg)Gvv;C;xHs=J_2cHDZmhC+iS87D0E!Pd&f!7W1t-Qqi&QtVbaZZm03oq^#Ij z^x30~mu1yVsoQ$k*BF4tts7pcG8y*m?ztA`5 zykAU~jic6;_6QszB~r@KUjB2dwKN+zJ3p{FJiI;E-#<4tH#I#sG`+q&K0Z3SI(IVj zMzsSRrmA{FJMt%&i@%jDhr4?aJ;2ybVr;S@s&-U+?cmfOhhRV%vS*;7=_HF(vrcJL z8>&*X^U8t06Gg@^Z2C%KnDm3ZajV`2G&68KniGEwBGE)n8Z)-GHZpAaX4|`HR^Obq zh*6YYKX^%zmmZWiyy~I7;-vu)j$;}$@UyViQOG3u&I8g${Jh=WjAW3VWhBZ-^Nz9} zb34p#&Z>|Ne36h45q)1i$7-BAHDvMUE0rA;u<4xtl3tnRed7H3ZIOoI@kc`5rfhUi zc?4sHc#!{@)+uzMo*~4Y9gjT{YIpH!k% z2wc0DN!&J)$V7edbW_Sc#_!!L1ASdhwHrnQj|>cq3>+H3c%pM2I0l1KMyVd!|Rw|LFX&ad{huLPW3CV_0q9P$~Fo3zjt^o;nLhBj7AKIC$(;pF$v=P0;cn7Ca8kqhgW>N;`yOWhxFZXsW zR;ZUv+q)8N4l$#jV0UK#AQ)$e;C)~Hjj(o4stz5l>movL&?BY)Ejm=-a$?U8$vBU~ z=nEYzl@`Wxf8;n){=G^FDS6u{e2DC&A>TO}zbnRqOEH0?%g5i-`9I|}0lvCC`V!XU z5VcQkMsuWmjIcIAVvX_X*sizrFE+E@do`L#pW=AV!YNkqs~Rzq2lA46e`=So3UF^a zeI&p3TWV{ta(^b31>hOqKX5=&Nnb-$woJD30~iR|Z}B3k_WjUJ+iaNTLrl~DmGtO+ z87reY4)74%C8HY(C;bhLe~TU(c|_u=?h1)-vUbED@dx5`JLF@U<7?x|#K>LR{j_p& zlaXe~bu4c!dmF*Hz4<=qZM8?(o(T*SepeTe;@r}vM}iPF3}{z3p_o#s&SJ>+F(-Wa z7&=MVXAcmn>%oJP*Nf||3C4IUL9Gm!3e1;x?pavbsEo9e9?#nstdj*Hhk3Nsy@q&F z>4PD_4$($f0#TX+7l;S^o#uHiA*g}&w>A#BRN|m=sA$*xWo?_|{gME{kGzbXgfe?k z>r#`wpA@A-c&m4KN)z3(+jgj6Tm%i8he$+PSLyixlBHI<1H>LGd>1r$ngf<@4r0%* z?2V;`fBU1|_MBYJ$*AdF%vile<*A*iuVK!5f8O<0(QB~?QxsV*A=F1u;yD3tqfe=F z?*=kZud;WpqX{{A5GX$)9Utfq>}P~-5$5^okDDV=nS!d(q%6mN$mpz*I@Ho+7_1~n zKAFmmryQh{p6Hz;fCzb{U5A2hX#$CgBU)7YHpbbg}b>`u+N17n z+GYDC_oF4wP|eF2RV4I*42VdNe+`xw6OD(_gIzY`3j9xr9v$XG=z{e+oTsz@&ec=H^khV(>vfd(IUSpf3VpUJdEy4{U)c)mFafD3 z2)~$8aJ&U5sR}1=-$_#Y#v^_o*@nYkVl`wKDuJ4H00{A6AN;em z%BWp7UyO=O;o-7t=mL%n!|1>;81#$I*^OcAQ$6Z@Gx{@B zF@6*#FNyg?81cAu=mc!%e@y6R+ENJQ&D%yCa%O}cAYVA6jQ^Ugob(k*8VWzFOwoVR zACWtYhaqQ5oXQ#j$bO%v!Y660|7`hxfXNE^;H1I=J&(P-RSlue&1*yz19IpPx8O-d zv&_@-5b>Fql zxZNLg_6jL4nh%#Y=ds*7Udhz9+p45(Bj`cfafvc7+I>1Cr^}x6<6-dY(9t7=J3m7; z9+KB{LxraG5e)hn6kHizOO)=5J>6aH(|KBU3M{_VBrAx@v@!+LbN^G51rU6Xnkbi_ ze8&hx>rIayU9Pg#7?LTCc)iMY6(q!H5}Y4DJJ_lud8{xfJyHj_jskhg%+z-e6Y&L> z65cJ|Xb-i^?mg71^FM`~CJc!Vv0iZzIVPJ$9Bc8`( z{z(#4Jjb#9iqz&3BW~d;RIc~1(}Op!$L;IF;t-yVIK|xwsJ1z!FWV?k5hVG9VV^%t zQGdHQrKUadulv{XZ!0V|)_RAkZUG@CABbcScIE^e9O;-GS_Q9aQQQviJDPbL8X=yP zYxmZ?JDO;Ydvnt6!8n5(&SV)i7eQTj6lP?6JnZhAh{d;z0IzKaUSdB3wL$H8i)Khi zu>4xuGbi)ruW?`%G=3DUY1GU0-mh`JldMjY;KO}(*iQ5)q8*R{YC1)Jc5Df;#7I+;eGgVIwl)cJAdV># zGo)g8d=lQ(+3s?Zk>mrsXZzP}r4^MS1L?Heyb$>@YE-4uQ+71P zw}sV+&^)p4)O$P+oaYPT;kq)Z-gs%YrsWFJVCZqwmLmmflqwmHko@TXlA&Ta?OsLK zD_D4^PSvBGQlEujB5fJkrqjI_7W2w@pKsrni7VMt4zCJ8>VTdzty6W*S%Y()9$aes zV?w@^EV-h)K&KA0t3sH0p?woo*FBAY{N6g@KOol3*tmH-Va^$;s8Am9D>q*8JCMZ*49qj27_WyKq+8^d>xm(Hi$LEoMSN zagl(NZoj*ADx#%do&Uo){M8jHcwe~z@mW1{y=Q-6zK=?B8s7+(y13lg`$HTWaHn!C z=9m6gIL$?HSi8^UgdbGYrIf=HwHXet++Cjt#>ULvFZ`yV3?n|?!6k*=f4Coq$kPVfPV zsHK=ew?x%8V|dsVvx9)d`dpa&{Z-vH?Vd3rN&xxYr!%fiRv$Rg^d9|JBUIHwNvmZY7*-V0~n6dc{FFp`?sP%mj{wSn!qx zvVz0h2|ouPSl=4Pxx#U1-VLgQRFX>r;VGsT5Xi0a^A4-P_KDVC9k;L9XbIa4ifY=R zC^IHr=ODhh2kHlCJsxTf*Vv?AgKw6U=- zs`$*{Oa29cHC=H+Xf$)4X%#`tAJV4~nv$>zcG1L8isk1xhU>f%y7$jz+ktnLI+H)M z5{?qP=_IY_eOT;c6=Rq|oX;8$e@}_rA_AFNukiJ$+|wi+o1=GxDl@YOn$XpGBoKDeNksK__sBN(gCgI2n6I~f2-Focj`Un3y_-Ov$HkZQf@2f zyuXiTf}BQ$QaT*ujJ3=9jzzv3Egu3t6OlV@C?j}ippe17DD~68^+0jV7T1$b!JHuQS&R zt4k7NW6ifvsf;C|k^J{cYwg#YIX^U5I~ymS48-UElH6~(`= zvdMW{$x|->D_@sdu+41DA`pg=0|s5;Bdpw&{IU3{)z43`8peKBXV7&H_j=IY#rfK*BdJP&0yLnV?!nErN;s_)>*&PagzHxT0{I_> zm6hmqN_gXkP@8A;=l1&nXV1l@rBT3WSfn~@xkB2%du49Ex6j|SmILE#DnWDq{Ef?6 zEqXw#okdrjs4Y_ugw@CbH;Zo1kMhCQf?*lj+ugNBXs;M84qL}Fr|FhrVNP|5Yao*h za5Top=9kS~1$~ASS;0(i>x<564-I11jzCu3|8K#-A(B~0e9KF zu6bD8tKc9*f&E`R?|${#JI#Bv#fofiW(rz}Qw|aY()=5}Nu8d9axeQNGVKLWmT<2W zkmLM?Z;%81eu=8(yx=^kDC-tHxf(|$@EvX5ZMRaBZT@}JiE@kqTd`oIy>kHnd0TGB z&;v9z46<|>2x+|H#PiA0U%cvvUg0s8NbUjM^!0nTPtmnG2{7>>)PSUer9a(Ow?pItM;fY}^g4dRTd@i`7dr9?Y=I|2`ml@15H+B)mZ^%Y2hL4I?gqhsK+ zWKV0;Xk)#~b;MEz!V4Klnn?poRQN(RS4TWAy29q@S!?iUuXZ3N{e*c!z$@#2J#4~5 zOOa%9jvE-!EkuH|?dU^5QFszn79t~i?Qn0eTJ>X$4-a;}xZ&72Xhmo>Ktmw}ja^=| z;oy-9pIl%QFF!V>4YR#DPK9+H@plwi`T#kv{_5g@_oZoU9-2N$1}23J0ae|DQTmkV zi7+w7*6IW8lo*L{x=W$4Iui1aJyE^igYP8Wly7l6Ym0IVu(pC|=GeqY_xgWr z`3!wg<#8_G*hJLA5?bux>R+ca+?s;49a~jG3`F-#JS;V5H z+K4<0-2>9r|DK^(Wp#)-vYB;ltYJQJ;)0))wUc19EYfT0_^hp8{NIeBL5TCjC;f;z$sUY#if+h<8(0D$zltiAOCfn*FBiVvVgM#! z4$m_rE9QJx_{`PMD(m6z>84LrR%#kN{ZSe2FXO#jMcBMj@v=y6rc)@OLG5E;egb4lX?X5M-y<= zC2jM&D%ME?D*hf8K05mo3n7tUr2yQjI>B)FjRjNQL6`rsF&&5H2gcmD$NsQhpC*mn zyo;}OYLiFFI5~xY>~eCiSh8c$Nfb;@as~q8w#Btj1QPDDpz5Tv-gvAFj8rFoiIuuO z{hzIQ`$erM!18IbN!#VSh#)Cd?(7B8hoh0z4SJ0tjuVApV1j(y9EAr z0I!LYZWxtc{G5hO8#9v3GB|{MHia+5k(-@bQB4x*hS}dE_t~fNN!mGSLD7H3I5bF) z$^195{}uEHEpYY=>X;G=ZP4k8(#BwApn3(KOB7HGI|Un5=~tx*?Xx{!-Ad2lWG6=P zYn05c+QQ6?nwcIiOmX#3HUpyx_0U1pxri7G$gOvyKcUPU2~tQ*z5P$vdRHYtY{_*Y z$9R0fh&DIqPngfbV$U)xMLT#G_i4_*(H@2sIs)QKL?3YpI0qNS49|-BDsbw==>p%**EJ*wP@hH4-GtwlEOdy z>VpET#qQK~_j{G4sD?;5-F2#axtx%AU1xht_vk2Vq}f?2pi8%!>hy9+(Uvzrh&QJB zigBbl0T{`^7LW&p+^c1{u;G~QQ5S8&|NQzUh{uTE{%KP16KqFrG+ z3!RA^A`mDEzo&XeyaE1)P=m=-xp>NxvwaZxnLr!H{;?W2bzgltRgr=KX$-*gq%*Nu zwtIgn3okBsA%5)ENm!xZA}P>EN`3DwHwo-*_?+IO2EiR+oxUk~TBZ>Y3wE zWO>Z0_Xx_)lL6Ng;{TcpU2Ovmp;)s^o1=mrjqF60|Gez>vCBQZnm+=laL4Bo*_9!Ege{rsPep-?_2Ttj7nPs~S zhlQ4`*-qlJki&Zbl^X}8lM9sMAX=v_)rE2JSGtPv!OXH7KA|9 zrZtx&Wov#lz}AX1@lq8LOsyx^VEFvS)d05`FAye#RT=mZSF2cmMMJY^jk4R()&|M& zM6@?NUg2yy+DG+je`hPbxM%dpA_^B!qJlMNBQL~DWD(r9@S6atN6DEz!1Ng_dHAl~ zb&E^FD?GPgni>?2cYbTB>1&TlJ`AnXQb;ru-LEGXM{#kza{<^aVbA;A>3_5Ah9sS*k$m+i1<*bR=Y@_AJ*GL`07IussqkcQb zF_38BciZy%ah--HIOv};lz@m9%AfB~OcSqg!m#wu_1%4W!WT%|8>|$~Nq7o-m2}_N z61Ci|Nq8@{YNax-RHwM%yx&v?Nb06LN7Ii`1I=g(>U2!^TTC*8VWM1r#gRk?=IG;I#f}8Op5(8xKKU zn9)VX6R=;JA1$y8k9*Qzf+Oo4=W(+7HeM%%JW9bXtYfT{hY@= z0AprVB?KKVUS+_i*`MH@68-64QbnZ5@D+TAbEyT~^#|`H){ULvcrA^V_;Zl1|1w** z^p5?Bm;&&2QW^UBCF~p>n3Vcw4@lk)VjGr9+hS zW6BXw#JoM8zIKeoPSGLew4S_=IAo3|7p=oLvrc2uI3!D591o?py9y8N&zahVw~y-Gdn$Dt z@!m(|XUWQjH@b>NeGyXSq&WFZWT@&C^DZ3LyF&iA?IK04()7cF1Y)RFwP~V#%qGot zk7Qpih)-3}x@Bt@iV+oG?TFK$WLzezgYvk%k)AwEXeVI^y{e%$DNaF>MXONBS(f!U zRF!$a(v7%(HkU0QW_(EEmk!>MD@-s8F|WJTB&w^l@9tF8WA(; z+}5_eiRQU*Q!pcUBDKHtZFNDN78hEH%; zbN{GsI2D(9W;egjR-j$rAfjSLwa7TXsagKb!-2&>gSDU8f1Ec$LQYfGxSBGO#tj@V zWD_$Ntx%wSN-n*2*mEkGlJzP@kR<6Kfi8X}1Hnj3XLHH-Sr;yj7lLgiz(f zFUEo)=`JCN6uhT?lQV~&u%s_~ivi%FS{^2hBhnYeDCDYT;=jbp5}-_TxBL}4f`%Jt zm_IkO!i9H;JBLe$R6bvU`WgEP$+$3X|5#euyHUjhSEq|$Dln^T<5How#M5hkA_&96uWwE~iWdWH@j7)+6P*Twj>r__!YU1QX0J|>LX;?UafwhPvrKcL4lyoL+(E1w$wFVrb z>dNjKtlbwI_~a*yM~8U!>YTol>g3JholspXI05%8ku5dUl2?VfhxiX$$*A~&@-zW= zhmg-H4j@#QH?DIX7&=~Aimxwj0>*Wgpbl4vZKdJX2`ggb{sMwWI5uCNmFY)3i^)x7zQG*2HAZt{k_=w(9b`yNzWx>FF45r(ZFRG z4en>TgC)85?s5 zE7Vgn;je%``I%{s8$RzJmpIQ> z7YYg>bMi(gzIr;#zQUaBgKW(_vSI^e&Ne?&1a3em-^C?QyW-K^e*z@BN}yj=?KLqT zsx$RZee0=KF1EHb%&8f%%NVtNzo;&GLP@B?yz#u2?biI~FFhB;pRM-vW{d7qvW*t~ z>rOZ&;vhyiEm4P_x0}MqWAyV^ckzPz$g+F9XTN&ZkF1Fw=e#lYNp-f9n)vmtSXLs@K{ynKQT0jOkdbU7*`!hzp-pca7kx%XRGz; zk}@-=NRl_9Eimd-;(?*~Kh6jZ6X0w3#RM$}(2y>5 zG`b)dU=h2gqTAt{VvPr%2bx%22e0z}a-lpU4=}V)CPN#xqYHn_1=>`}4%(%FRV5po zoho{Nd-va##e%6NIwss&m|?DbBRf;k@t}{pte0T0FlkPx0gKzJ8Cnlq#Hc8VnQwy)Cxe`W2yOgVtJtX1USSbAA86B8R;d#I0 zx@}3`O2Hv4qR-H|bC>Yk$3r)cEG$0M*z4|AbA0YS(?|U1&%?f!^bPMxKTyj@n^Kjs zHA!)JcVA&xG4)cnYuqVDIe?+Jy_t*#-{#C}4}L=G-I)yc??3QT+9p__%lYo436=T% z4QF``me`TG1ZN}~%fTf~$!)5xAn(^#vQF_bbauNKiAmVe%wJ*4@`o0cJ}3E67#uXD zR)Vcho~bicq6#zPT}hso8g89JF&Bp1=j9HhYM8^1%}(MbS$T4s*b*%T_SR#G8HT^g znExIAF1TO!_t{EPK)vB7&)VWDHdP}hJ%Ba8EWFMo=WcG&2-WH?2g3SRm*Ug7^9_jOG5Yl5&sEY_d^WrV{j(1O!wUG6ioPW{JcLi z2MzWDuO+*xAb3-Mpl1U_DD_%f68ts2`NCywQln+fYSJyI1WvBf6#aCLCI<6zj}FRK zlk&$$U${*jDHAVQrviDr)?HV3@vv(gcZOrM9ojt=7YtD)j{@?zg8)vPJ+#&ntSI$^ z86KB(I~32QK1wZXeT`{!d6$KhA!LM$X8M$K69FFG-v;ulJh)Ij=}poH@WPdv8f?~} zcaq@D@#~*oWOsJ{&XEoMI`8iH3j+Q;nZ%OTxJZ_>_pB^_9DW71JGZg~v9EYvIvb2} z14p#)jTX)v6}i!8MjOOIYKKB*5+Z8!2sZ#N2Nf_|^3vaoD25!Hx7BGY$igT#>dP3F26aAMU{n{a8jmaVU51Ow>qn2#atgW}EG=9Mhq6o!mx zFKyv;|E+OIjIpiKI4VNLxX?ea+fP>%8{^L{RZ3)`_1~&(mBu0k2(@3xioo8WOxx%#0{T&0pAAm#>n%~lA9fMsoalYyl zBl~(&a!TE}lYvk32)fug@=Vw=g5TgGodrV(;cHn~nE@)!0`P0lnZ+Fvrf z7?uf6-bG!LlZM?BccTANn_Redt8F^4d~SRmXLnrrGIh|On2MZ#ZH_Vtk13|K@(l`# z{QY!gVtK%Jj#s2L`A80`{L4~fbkTX+IwvA^SNWA;oE}Dq)Op}#dEGq@ytgL-Gw-6C zlD*0lSUQs|)X--9M31MRs5GG%uB(fdgA-*`HpLic307GIY|rWn)z> zlLkjyc<#N5VFp3$pQ$=fy552lCt$dSVE{6Di}X-DhzuCC*6K2PO#8~Tu181s2xR}; zz!P~&?8yKrh|1Jd|IwyUv`V5Tyl(Br-4|z~3fq@$w@R-|WrptEkgB$(l@BU6GU!UN zNFn`~`gU7TqipW`9?5K^_aJwlTJ!C!)cFZJd&+SYbj}E2KOMv7EIoYwZ7DSLl`H7b z`O2n?W60#_F`^jX%t2^Ud?_hOTU#CgG*Mze-^!Er*?YEqak@CDn!E+^`E2QsuaW2R zlvG*2HuYM5$*C$24?N^P0&5NS=)p?LXE^cQ|9};3m-r`yLqldhyJ5Nw$-Sj$QZW+% z)A>MNr+HydWABa44L+oMe&eI?`o~G>&go&tJd83R@7bAw!7@HrAO`C-zSiPF%>D}3 znZT{+-~P6nn;uHt%j1BmXcVy}N!$LZL#Gq`c5pQ=H+iC2+a6azx9%{o&cX6k6k*Hf z=B}y1-#cXuD_6@R!xYtnV{NRQ12)5#NFL=WCFdv9NJ3%^IPG?dJ$gv-p{5JMD~LML z^;;)jiZa%xvETw_%dU72cdL=Z^U=0^u-p&;FiB%Yc6<(T3DqglLkLtK_QoK7wCz!A zh+emvhNoBm>gXUO$>Yx^YIn|HI=4`%gYPDcmh*?aMHr!fQ-Hk`$IU%-0l0zv zxn809CxN8JY)wiwz-Ro@nZC&w3ed|m14zP&Kl)5S&D=@G-!MAZ#a~HwQ+OW-B@C@# zZLWJZ$CM3nKR^j77YFQ?S@Kib%8ywDs;8MC1#aOS9MFShVyb+mV@NhMIFK2L@}QXd z7=)mE>khjTW-4Yk7>E+9lWd-^k|bS|tY@-gPkEnSSsrp;!XPIwwBCIGI;xPR@){AT zb8)@XybWY;g3`JOkWXM=e#MCnKx!sg4T;OK;A2<36i&JGY`pW=Jdno*F{2%p3bupT z=5Wcbo7z8~C5%S$qN^PBB$AR~gHGYmzUrHAuZb|rboyrz+6XNsoeru5Ckz*VX#7L~ zSLdg&2@r7cF}gvYe2L^+`bW8^YZ4B>+%2Pg{Kqd|T$(?vxvO#zRvJ6wQA$0%(LBk- zzrQqmyEuNjUL}xTEx%n>Fg#M@T`LvwP~~0Ulrixbo2lj6zS#=Nmt^a{^%kQ9NC%c^ z<%M?EUSt;tL>CYU=0|j>Km8;Y;<>RZ9l?%c9|JH(v;0o^LRJ#(%HL%=)hV@O51`aR zKK?fXeVETd+b(cKjcYe*-$an_+31I)jMYZijy5-~#8q0{S zR#X3Cphe)Ib_D|qRt0vjKlsR??mJ^;+V~jQZ-5IQ-_Ag9@7py8!#dl%0hsnO{aQJ+ zgv1{g)>O&rC^ka>PhTH1ZebI|kESkpWKk+K9#VfgyujJ$x#;vbWtSaDzs~U(UDV!! zkCFO%i1}UJW?G0AG_Q_Otv!-&`aWlSU>1-lc3tS-s-tUBZ5Ta2QC~ppFIR)opQ6#g zOeJ}1Tz%+XbO!kM#n!|A8_PMv@;9r;2Z#|5poDIw^3dpDr(d)iq0aa5m6^(u{m&sn zw0NKV36!+j;C&7Y4RH*$)Bf<7>EG>I0_-(^ft^=+oaUtN<*(7$aONDZQ_AOAO5Inve*2z5`k-u$znf@A*?A1|k*>wAU znO7A^d4!oYC|8DFojbScp@4j^y;hrhY^|~PnEy=Omeu!Ffb4(i zeCp59Qz^dko-a=v6t{_!?5Nji*n`sE9kK5$Hc^nvdz`@+#b-AfOpEvX(_JjHb(FZa zY6+*fTjQjIBBM=v-UW`dRdwl@_QzZPfW1`t*{$R~{}h!|Z5m`IB8o_Xl2h5eaP>>& z(%E~x+g($9q5G`!o(sue>Pe%vn(gv7bw8`E*tq7Za;i%{N_Q0P5Y4wNt(m|f7oAm4 z$`gr>b}~ZXa*pYqM-SFNh4?PO@P^KDNr=Tu%?Au+4heFAk>UF66EAM*xXZAysW*G| z)kLq~lUXZ>aCqJ7;@ybA_9(+@1Q^Gss||uOCR`TW9O>FmSC`k1$PJ#<$mHXS7HBIV8mH&($n8@g?mm#_(Fi^(>zfx`B{o4)orRF6AS_*{G zkqeV$VCjC*gsSyhZzHRx;!CF@65%E?(}s=ZY=l5UK(qr!YcB}!?2(>@4TQUhbDJ#t zb&#<|_p$Ngrp;vj_a-Jo1dpVg;nXj4oG68>yBxS7SLU#gkXzmW-#1tbA=Ld*Us9EQ za{7>{%6HP}iVHKce#FJk63we8xKV?ar}1-Og9Yw(Acsr~bAZ0g$x%C)HDzrV`dcLa z+^5Zj`lwdg5-S>nSGgHR@id`mg!#ajjU3XDWWtU{|0+~A{>XcMP`-^AE2rwHJ*5wB zMFOQ4_O7)0_gYtuXZOYF(?6?eon$rN0!WwfWz3v7@1G;4>rquQM^5Al@DT;M{;HFl zhD7Thhu@DYnJA>=jm`SfhYcSx2=Fs_my+sVK7Xeji{oMxp4hi{1jm|FDpzo$(L!bp z-YF*UahBSi)qZRrG{PQMPQHCv?w+F#R5T_YD(T99u3um2+z0=6i0E$EBSSrBSRZ`4P|Lp|Sgw_K`Qm&S2j71C3oeLJHd)M{zIezX(V;OmJc;8k1*OaRtoG~- zJoBY_%jM7cOuxtNq3XRv%s>_Z+u0Ak{*16g96R>@wcSD1q^I_eLcqAzpR;lYdVy;@ zmmD87s*9t6?+Hi^T|{6w!r@bN-Ss+OPgilcJP=T@e2M!al+Rb+Wrkf^?3>LUtAeDj z?~lssWzia?)4ISF42cbhFnKyQI@ktI@4D9XsrmC= zcHb_)byi($cKPn@^@6vZ>Qjjlk%uRlX<FZeTS(=zF8qCU3p?QiV|FAS0iiV&_w8< zIqI+$6#PL9O6fH>Btn3##L&A^RsTGBR3t&@z)Z)gL#uYL`Ew zmzlNt7FwocY15-06QmX#)Keu&*IDtbo5D+^W}HGO>46L*hBA+p;=s`1>|ZYxOBP=9 z+uVrjSD&o{QK$X^BgW&m8iV2(Ryt7bOKF;G+U=^Bbemx7>#TQ<_;j6~%v`N-&E!`` z(EUPE{)qM>v`hS0s1D|wx6!&SLleuB9ZwxPcR^BP)MzDlE0h|{P5&%ClnRN9NX_w- zW{^6_ zTa-B3$&0s6(3Ia!E@5rk@1;ytj-34-6&0AVoE5b3#CHR&tXwyNIhPTn9qGXBl8Tmnt;r8WaR&nG z8b}(SnBeHt_>kH^jD3BO6lg2MmV29(*&9GL<(!eRkZ?KSw>;u_Zxh?%gCF8&jLlj; z2Q_E9wmvrTdae2+^V1Z+!(dA_wT;il&aoOwb9q#i5W@d=tJM3f%DTtb$3dQOG=VLW zes`o3HmCCAK+N)l+k3&K-`Aja5<>%tg+akOy~d8|*U87l#MZUiQXFXmx@5HE4C$cT zMVnR7kY~aZkCJe)ihWiVja!*k+8T^QVLX6<&fy^8Z9%*$UJov8KEa=i%gl+ZCXOy_ zw#2^NT+iI8(z0-rGUg`-`5gfS2x(dP1=31((YwXbrI%t_K_0xVS7JMTO-~np7}3<> ziKZ=w!`eP|`ZTCoqgJIF^{e>!w3v2N zCMrcqdUM!`q=O~<)})yx3zQ5u$Cn+@)6&(x&m%U~Cy0(KR8m7Kd1o`bt`G6&S?o6Q z9THd_PzKzeQr5A^A8SIWGXgR>m+D7}nzGStoSz}BV8;?6KyGAmDq$zQ5xL8u>!(z$ zx=CyQ(H5JP#5gBfA7ognzOppxhp&wlbXR;}PHYVTQDE2AalAe_O^1DaK! zU(!AU1>Q62#%aJE6$`l2)ojC}Ge1Kpsx9O$L81!N8U|sbdtGeW-i25kW;^W2gGzq5 zrEgJtpFxKsRk{N(A%uUYf_xp*Ot>E>{gEAoG!h zd%4%6Ym~|j$bJz;V~yjdv3=( zHtCRoQb1p3QP7z@W!qvVQZ1ej|UaLk}8SaM=q(=q3+7E`f_b2Amweo1c z^p5<8>hQ29mE>%R{1P8QT@}WW=&y$TcQd$$c%+TT7{)j2GGWY+X&;|2rme{^G^ijw zR;nRMxPDfcSqvGn+ZzGQkt+;2|)LS8dEDa6dF_EXAJ+sdXm^ z*ZW(C``8uuW978~1$F9YncYDZrZ^JbCFqvX)B&X!UFS4$pP^=F#qnO>A)bx@{VG(V zquv+lf)&3lYd>m9%YWIgJvT0$^o+e(t;|iLDW~k;x0L5c9o8v8Nr#*|g=o9Eo=6u5 zN~zK>HC#SXff?mUt3LxXk3L|Rf$;tNUamWT)Ln^HMOGE}X5Z|a(5SGa*6brMhwFA-L z3HTj0bv|b0RK@)Om6)ael91z*+7vM=Gicj=YS|Hq{{x-x0efxoxS7uZ0}1qqS|O;S zj5L`BdugQ_N;k83hW)<^trT^hjck4$K;^FHCx1^~F$N7@#?7B0Tj+ECz>e35ecIIH zh%!yi4)?NHf&1E<01UIB*ScV)U+ zP0zXkFUI1C&c(%?8`G$$xkvYHet|$;zCoQfSw6t!PKPgS@9mGzn3}^_A>gbs+YX_G-){)`YJBWmZD#Qpe(ZYbikZp2W!}n@a1!UbA}xYaJJbPE zEHi5%)t=ow@+@~U4|c*&OS&XJz4EN~MAMBG-rH)@JQhha^#`efu^j)%wZiisNkI{V zYspuJ*D7k``p1R`!HLwf10Zd60)L=2H`jKp0tGJ_o4Eb}Kku^Q+Tbp$6t_C99^Tmd z)UEMDMkRh}yJnSe^mNMU2KSlqTJabNBbqd9+o9j?xRcGKOQxWa%caYv$YK|_>pAhf za9yE2&Hh!U4qkC6ytdtBt?yTK8;h}wzGt$3mvn#~n>gNXcDi>-28Obh#TgRZ&X~)j z)H|^JIP0lD;y@ApXQIyzty0v&s`Z*DUlpoMp;Ti9vo2#|OMQa8@_75G8FNw3?b5)H zcZ-LdDYf@aziIvh54|3-@`dG=P)(rTQFvmEc8)v92fY9AI#3RiKP#fY@ez?IB1VDY z-+ufe$M(>Hl9j%uD(1n(Vvj6K@6h)AN1D`);B|1g=!bMDSOolS*DAO0Yd)pQpO(;_ zbEpo~jl7UX8HZ6vOFa>M;#B*Cm|3QISwfJ;69ECq6Q;iey(eHt+oEnpW-T|ojL!D) z+fgOH3g>vfRnW|Z%%3~BgcC~~Lyk3(#!p^(p+U#d_1nKH9FH_5(Ht(-FIJ~KPZcnr z=zQCxoJzIWXYM z*N8+m)gjcmLO_+9NPg+5P*w*^R^SAaEr)y~@`YLloH(DI?QXh91r%Hw$Z0B2R7VPU z6xQ2gqbi9&<8~>xiBD7AF4zjp{n)Flpw8&cw)f2s?hDFVXZL7NKMb?(rh zw7Z>!ou!S9wY8;{t+m9u(k;1|IHDdTPl?*wf|kMZfcw|RSmI`9ZDL_zX=7_;>0H{U zS)ZAk&c99I8D0fE0ylz4n4<|{nUQV z8;aTE4p-dqsoITK?oSW5K=E^DeCxh6G%osCY4G2IRzi0wH2bD|%n9(K1*BkP5l{C` zY*p1-B9R!GSUK9*xfQBdsiIHK>eWkoxD__B@H#0~Gyi}0^Ap$sZuetcxw+Ba;mlzi;*=+GMG6osP z4RRo&&7yjxNu7^_xiuyY>Unt}LZ{mwe?73}?<)d)kVe_`qH^_MU35wg z($-nRbC)=E*|+z1j<26Hs7d(}E)M1f*44*u`xp|PjO{w=2hx`gs8b0K zF2pY7u`~jb0uV8aQ+V_vY&NDaI|4}!v3Cw9B|@sngmy1?RAguwAC9Uq2quPn@!vVJ zqOF-riP|HMeo0m*(u;@7ZsL*R;-7;b;+ihN(V7O@y8`EI0Z4d#diqkM zPY8N^Xl!LONdfng^#-oF{6Y#4`;TcmBClCo{41tA#jY&nJmDoia{5LZL^Vvj)x)aF z87xbQAW}8$rSV;P=#|~m+E*#!Y;Rg+=$1PH31WUwo)@xob-<*ZrEUItBsNq;lqWGQ z4WFvv!_yId8n1^V^8y4&MlZ8pLUU5mZO8L;{gOK(5Bv1^^vXfiY^@7dY&?3SAE!E` zV90PJhg644)s6sm1#`*|394OlNC^ngd0y2!N>j)5=z1;zI;omG50tU*Z*FR1XYEm{ z$*}d8!&PcN`c~8Y45I0rBwG>3oVkQeb#0p*dW$0^v=o#Q%_iWIo-QbE-QkHsrOFTs zgHuSM^Dw0zCvoY}1BJs@BuF^d)S|}H$G8%Xc9I1steSn8 zdOODV%7qJ;BGP>y$mF6-6z+iXsOd;Hv-(+{k%zsyb7sS+cExP1i`Q&Dew$x7ejg|O z@M1R|nuenFm1;{$TER^m!W;lB;diu&4Vt zVe9Tj(aHcK3du^<3{K>0ru zPm(qb;l#pkVgJhK+eWpn=4t0MZ2!}6R$mEFx)dyu-a3f8H@duOi}Cw!y#E#%5%k8_ zH||ZTDsk$qVw<#|Frvfqbi$8)c#9>qQusBxX4^xmHs$|AOw6Z87mqBR)WOTfsz}x5 zgO?tD8c*>}vK_@dI+$@^{U0Kr($H1udStx`9EAgjOKW$grB((nGhp%>>Ghd~&8_Te zx0`n=RAo4+J`xU7&2hUNshyNK^#xyR?B2HSt{pet4ooHGAxe64YF=a8ihZv#bo7Th z&k&|pooViS*o{>~Yr0xj8@vBSg4QRTt(27k0pVxIRI#?M+I7(Ik^TOt)WGjvp{9(Q z>qIoW3Hi&ODCzEjgRjxs!@I7^dH>yqZ+sry@&yv>xgmVy(J6$a5UK13@~<-D&4bgs zmrdwduY{9Ti5kBTp1tjQuvC@gm_wux6BwwT!-|SF!4*T40uG6ps~lxIGTDCf%VI1Y%O+#x~*tMB=DF}$B#gENN(2aD49g7>T zhF@Gepnc7fg*?ku?=bu0=~5kH)t|@Je~1!Sw^BSBuxkE%zhxuWALBy%tXn?z=zzKp z8Fc~6L$CH9g?-^C$Z!IM|t#-MY>yQT2z z_=bN>9N6+|(!vJ2XScgFy7z$u<-QWTmbO{CW|*oTS-8AMm0ATci6O83uAbbte*XCW z9h%pv;AU>&QN7uqscZI}y7yIv$Rs*iQks*2Z9My&XZ`w$OM<5*8RBbvcm-|aqc}Eu z?zFYONHH@ZXwnHBv6>PD=FFY5c=kb@6UBnR?bm8@9I1(=43dAks-uT_&6$@2<($SC zHgz0ba;)c%(!?VG5|f_guTCr(*STI*uTrJU`851<=Gt??YN4x5wLetKez+@;IFu6gx_b#T!k^1rg0B4JIRNH+hy2qE6;!j-_j~>Nj)k&STg8-p5ffN3S0sjghEq zBoV(mlPQFKJ)YRixloVw_hRXws`QyGSp64HAf~U&lK)CQd_q_&;Q*iT=85n5GiNW} zdHz9I@o_kxo>9%T(&~yuHw#caJkYC<>u>WOVeLVYYfWXd!0mVyTOb3dh0C~IrfIbL zd_$Yu<->c9Oxpg($K*s=Y{bp7{&&4z$fR-qjG)#8fs&t7w@(x7Ju1}g(0kOvEr-te zK6>#vT0w6JFNSc$z^pocm_rmlXT+FCm%UF6nEl}Os$mNvBDy^oJ^WJK_W84yeRwi+ z+|oFP$oS|n+gUz*R;T#F!PlJnTta?hT<*exxJZ!z1)-hpBa<_Uj^TnW=s&iWUGYI@ zzmSeNn*A4$T2J!puFamNW`?}zq$ch*Y|*QuX((o8wOuT&`Ope>qNPNg%7_mYY?r}5vB{niWT{kT9}fw0@vCe4#BpHZb_MEetenkWmjyORh-l~)+c!$2D!hXf zipqUON*tqe)wJ^eijErkFo1CGp?78!u`M_1gP6mg3#2=@gB&M@CrEM|4whE6`KHbU zkp(5-9spnD(H}m1js5)Yc{qUK?*cx?Bz=kENSATpYx3PT6I9AM6{3LURjCBT(h#=e zc+v99(H6Uk+Lr}m;##%O$+M;=JlRp^RFM4_*{SlA7J+NSme%`oPQxBieovmg2#FrH zML^f50x|B9*IV!JePiB^mN$6MBmOU*C(w3QcS|-*%tXp@@|mUWiv8^$1!-iDurE!Z z!+tiwR*^m`RR2k8HV?U!KR7iT#D*^yx74lTc_retwg~79EEU5Qo?X<_@vhG0=V+Q! zkRz1kd9o^okDHQqFnp5ce2GgJh`vaciUqYfiq-1GC63FHsue?;uhNCg19eygspLuy zQB>VW0{ggF8zsz{v3bg^+7~`sBKi5^ljneK!)J9m^ziP>wVqzZJjaI*+$@~L!A1!l zUw!|0HniQMr;To-g6{#D3W`nH*JUbY<^((7fLr2^F zo#L0Gst5gtO`~|=6!^%$t?E1=s@->im+EfQG$PTdmH1+il})X)*`7$InSOYTv7pPs zX_hNlZA;CGogUoL>m;W!qMqx-_8XVPN8YT?E9Va#w=}Tk(a+Y1IazmC?NLp-pJ=&T z4&#`pq?l-F!r+Yv`udy_V0XQpY-WK?(T#4Q!tZbzBEn6rK};p5nAFWB#N0xn&GOG% zxk}nRl4;M61U7uFc!P(czb=y?AS77(I@Y4w%O9%8<59xXHYSek?$g{gB-UpAz#cQB zAD^BrNX|1Z4Txm~wJ3{*_$Iz8+VHK~ho@)y(yv&BOBb7?#CZ$%HEjKt&&vXcz43e4 zjeUz}zV_{0sn%LDj2$J6EK#L++e=JbxsV6l*_S7R;#=N9#fy2D_Zs+)b|Ch#3{52z zDI3G)Fvo>VaWXG)5PzEFhGiDo=J|S6m9a2fE?d_7C%TSYC?cjdSMc(kcS zO`jD0ezHssu50#$Zu^BwtzVJ=X3n>t6CMU8V})JMx4Jif;kQR%QtA7vS#`W5MMHzN zdrY(;QP>VpttCi&X;wHzQ{zqkVuaH7-_LhA6OlPJol*CpSLg9K61_%}jbX{y2S%-N zGpjzZ=e@#m!;ga=cy4*I*v(;#pW3O{ZpatQ#ZI>3#T}QsF@h{cv?nfVtid8_KGX*( z|79Tke1xOZ@(=2%ZF(UQJX!Q49=C7&4QkXRl7*@VcJcs zm*jQM?8FESKw0*FL#OMycY0C?g?zkZOnp#E^DKIkmG{iN`_Y{j^aT zU5jnth&lATyZa$RLJMg!ERo0qE;QOCZTW=>I4m9>g5Pm0!40wmAWa%n0C>T*7x?To`RAUj~G;^)5 zMZJR=Tz35oMWKAN9f#qT^nItwcX5|f0J4ww0Mh!8znD9UwTdeYzJ$ocz9`w4Q(J+k ze`(MxYxj-8I(auZ|8AQ6v_30 z?9UrRvmbXbU!9xM_^%Rx8I_94iCp4TPHPsCQWd02PDND zo?@Y&U~fG)bRE zFDd1)8I8`=B2f3sa!7}$;CAP3az>MqG!l+lVKj)P^7U8)p~#VCn2=>9-FK!!TKvLL z5tJ-((O6~13&OU-Mg>n-^G8;O7NR-3IwVP^Pgv_+F^G6Bk|^Lh|Rt0}O`%3JfP zIVx_6#V95}jwgnW;?eI28kv5evi+h&@hu_|*iPL~TFG#)`V@277?-^cWuEsovtAaN z=2c{}x~Ss+iYR!{aw>Z9akyEtCn})?Q1|m>1sq>oC5sOl=uCzL6}bevG9RHcr! zX6G)Picy@dS!`a6=r)lEN|q3b-^DL4X;^wsIu0SwM3v%NEI@smX)9@bn!gdDZkGQ5 z67|;*vhv>`3f{Bm!JpN~o`(Ith=`VCmzj+cToX;M7B$;!f$&9$y7Wd5H_JPuMHooX}ibq|yNA4Oc3hOwpWB83P_HXX8lp*QB9p-`R1rHC|OGX##I za3eU3^#-hFsY&)hvm#?t7(w2NgeAJNd}SdpR7y)rcI5 z^rD%IKq(lC$WK56lu4zJW)D5T(ESz$lT%3nhggvm(b$f(Wk6eF{au`)G5~##;uMfo1ViDPGfb4wwI=UHc@}-_DOr+2q@+mqOFShj44$B% zYNl14ge$8?wrtR#cFo%L8hK6L{t`bWKq#H$GKp^qR2Ky+Gb4cua-*<8A^lp_80Nm|^~1%B0tgsY~2 zs;hO~FDn0k6f7bxZCz2r-slV&C?-w~0pU&*>!rxGe(XB|NPRBfEC{2dt8Z@>5>$)c zF$zAIxoG*r4<%wI9HM=vte(5|Wv4qBP^f`vC-i6aajSTO5v`%1h-`=FMgX|eRbpTy z@pwTBkbl`G38BaDDB1QkDlq-;vmT8eEM6w5wv{Mt(oy{NQ2^vy-BLFoBg8)P`aBak zsQhURo2&0XWy+TtsvRTfrHkSq7iYI&nl$#$Zb#=0Iot8JmNf;+HN`ydkmM2rG=cNx zN+Q~J8CYt6>siE5A~7%-tz=+!<9w9D!#K z={l>ij8daw6<*M$thN6OeNI*BTWI5z^{8E=(`T$vKoC-V_#zNJzTdgsho5%&7ix#c z07-Lgy1r8Po6fJ$6I0sZxZLsb#s-Fl5`!|=P`P?Gwu3N{?nzt6U2<)@t`=HiaiQkz zrsnH2O4uZcy*h4Q%m$QcchI(Q2v1%TQZKJ`)Ns*M|oAZs% zccg1a1S*mfTHnZJEJ$|5L4R+T5h3u0Mi@GiXl$p#qK!)!DM4gtWa6Dl>Jfe-p>G|h zJnUZcPC+#o(jy5L?C0LL^CMvshj)571QK@>?G|euUiYio^&N^BREdEJL+Z=+kA%v`Odw*{P(pi>BSg5&%rxP4hGm?6nlN58&r3!yM_Rbx_$6W`l(m_ zt0>;~DFrYAA62nIGz@?BI#T(JmcNq0pjYn#Qvwp9CRNQpkm^iL_Y?AbUcWlf)K@G$BdLudD30O3k9;XREOrDXGg{~GZ(Pr2Qp;Zp@<|1{ks`s!p- zQ*^H~hasau4GMbBW9K^@q_UtIflv{LTY)m_Ua{JyCK*Pt)6w$9DE6=hTR;y?jU$haZV_^ux{euQhNx`JV^g;lTx0>bf0A5a7d>)5JdlmP*q7W+SAU zwC+K7LE&SvLdb)uD99>FA-RGf{l{4rmx6t7>ZuW7ZjGI8G0qA2lK zbRteG;U2{bZ3e`qs};I_0-C@_dFFUr*UO*GJrV8j2x-btFmG?iAJ+K|ey)M>1&(r0-E8f`x2a1;t1VW#s{}gfRA?QidZH6Zh z&xliwaXymSmRRKDQHSluM&-@V*v$;>Tl)soE-sfh;ju&Mno~{ipu2?~0OXFC)ha&*WuAcqnZ6n_=NTUpId5PcH`KpbfV`lY4IEIVA3-OShIcQNtV4vF=KmT&X^81AvH zfUhb#AI$?+Tks!J_^3x6V6Bgrx$CrSEmFb@M+MHO6eGcwN^04kWn*6p@T}s-4Wi z+y*r3Obm=16t zGh$^{06t0wmN}zM&ra=5@I~X=&xLtxIo@vktHgOuM>Rs{=|KF&VfIQor*KfSPE^^F zKC%=n^p~_(3!J>a0Kl`R4qIipHzd!SSkjqG{?~4fA-zy3O5MR9>j&h0F)GA_W6m+~ z%UbBWO$lEwALBjZYU~&p^J-fZyKTszkz=NS50g+`GY&

rOX)S57Oad-N*VIeAt z{bLAx-+$t*=|bdQ+i@Ma!)UqG>)Zmz_n>YdhujAuC&`1z-kWSj9>5A`YoL~ltdRo zvCP*4I?s7AdHTY(%Mz{+=(;iW`iQMU zC!UU*GxKGG=ttwXPpDMJz0_T$n_uMzPdc*)Cw?8hUx|u8<1I!nkn%5vyOeY*zngnj zQ(Zm}qilJ7tJ*Pa*MzhY^E*CQw76V~dTilbq4c;gNUyN(sbas^{h@!plxP{9|4eyy zgySLeO;^bB{3HuQy=(a9p^J4TmcwT8Q@dJU^I=Ko$EBcFSmQc&4MInFm322LI4B+emnxyrd&TkjWrGj&ON*b>xBm;cWZ~k9Bl|bol9-Z`n8dJU)3>#J z(HQO;9X)(M>IgujF*l(Iq;8rz5U9< ze_I5`r_l@yuP*sO>X*rR^2R9_+OFmkJ=yZeD!o;+VIaxL<@_mr+wVFyeFXCv8OVvS zK2oI(v00%ufOQ}}#O+Xq^^ECwWUJAdYzymR=1vW(<9R>GITN6vf8}JzCe7Q-Ce?q@ zeD9YMVQ0EQ#rOfe{>>ONZBzTOz|hyjEp4rBF2YjD@?Ku;;Jz{Gv*yL3_(ycbm(xeY zbJT>5=zg+~>rgla!M};JS3)*y!KR%PQ^uXR(53B_Po=m!o#WB`aBiX56d6vyasoh{ zKlhlCZm0B=ra)scRGlS{D3I$2I%MZRZQlMB)DzorxGg&U$gWwC?$4JVTnZKdF4&+s z4Yb0?&_~O-J)qRM4jq~v&@i^wrjV$Ji90|{(>vw-d@DXZ*cYaZ-7wjEe7!mEYdnq$ zlj43fkSQxyK6hvfca2MV)N`$WwBPlpYEfS%&4>rXR)s`GMlg@dCWl3(VI=rB$KbG# zjs3#5Pfj0pQr4ns^82#%!&a|iBcf8j)U|x~{q_r4S=l=KRYJs1WWs?^W=rvr@?0%o z9FDwQvHw>_Kk-8~#f@`ZqhYJEY)B7mhXh8nTlEMnPda6uYa5~Niq$P?p?EN$#Z13V z2cfiQ;L4WEW=*^{lgU_dBJ{$Y5gVvWJ-sH~5a6~QWH5c>VCKpnjO_8mmMy#ANotn@ zA5S}|Ska_i`z{?nziZU4bElU^BsoG6-S_S4zbBgA#4EDu{-P{PB$AT61z4qK zP8sIVIBfQOvzD!z);q3JpA#>M@oZleAVNH_mCL;!;hY$(|HQmk8W62%LslYzp#DXV zu(@V`P)25#)nb);G@70WM0vaH(>Y$OC$^I>ble2A0@-pj{M6QRp_HT&wZB|svbhW& zpAonS-<_aky?Y;nDh0yEl3o}bHhLJG+`#~CGy#oL*BddR_piMQM~uh{MQ(WFEUnH+ z;%O%gOKo-<5uWAltKIgG?rj2SJ&bz+kmTTwxVFi)<2c%J@}KrQq1xKi7p0wZ>=C2u zm-Q021AsnZHeO2)o;QCZjsJ#t)w!uj zuUD-Jd)6Eq=V28=MneExeMQ0{p*W9bgXu!@Wky%2UQ~5yfqy=rw&}2zDq=*hDv20YgheWaxLj!2UYm+8Oxwn&i;0-@` z`z;JU?{go%|L5)z?|yGXE*-y`nzG};eFWM1+oRi;tQTEK27>t6uDkUjWf+cR-bWj6 z$@Q}Gm*HJw&zE_Pq0KL`FnP1{bY0=?`al;WimX=WjaHs4mOso&8_&lO4-wXu&^HjL zap)fv9>`1M=4ZT0RAol&F)RyPYB=yoV_8PyfwAOqVdNe!`FBUU$1hm{dmoeg?Oob3 z`9it%d%JXgv~cOpAs60Suer>xoiNsXhax)@RKCYzp?>Z+zgGmD`Pbf)&P|%~lKzJC zkXXUa)h9iy-sFXjUlrez#k|HPdRO>|)ygwKZF<5UHUGa)qvWy$GOO^cUeDRPg^+VF;Z2GtB9|ydL ze=P2gnD&0d`tN=kCPa9FzGOB&Lk~GwO4u)yRki+*i!|lWEA4R61+?0=7JDDxkHf?+ zu-~L(RfRrW3KYMRZ!up&5JsAQ3$uhGwu{C1I9!s+V%{k5(jH}X{t3=F*gs+6a%S*e zYQ2xIW9YdyP&#|Z-5mGCy-SE5w#}&@6u8iE6&}7Aqx{hp z!I#V*aBR%P(ueeF)geO9*wIo$!vvU@mWR_5+i}ztv*8MDY#hOTRlTe2xGY^A5EZcn zu*Nj6UmAQjYP(|DoOdnuB-FeUV)^A-2OwRzIIzd@SVi)ivS>bp_1pU$7YRD#Q5at3?|EQ2ws)`^DPAawd%h?uPMD=J>Dw$)V0DDRt>Gz ziu93_#R!QH*{lnwNX-hyfFJ1{6o(zVj9P2ItVaCTdLi6Z-Helxj0X0|%@I}}0-(hn4X{~Ok~$`!3u4YHY4M~l}yrNX&UAWBm! z?TCR1A0KUMH|<5*yKjL>Ao6W^$oqt^3``76PYVrvlaTfaO&(laY>g^=ToET*X}w+# zSOAu21Kx0D7h5b=oT_ZM6aH{~9Djs$t&gY8vHc6XWPoM#SND#TK6SBLw*Z}d7rn3@ z+C{=@t92UHQe=Kd_$ZaW#k9>G%y1gQ;>D#5{l<~_Z%!}y8# zoBP$<)|b^KNU0~b<9))jCZj2>n1<}0SIaRoO$G+y(u&_uwN3FtmV$B|YZh{4D8{TJ z1$z+JS;DjSBO*C<0^>&l@Ors{U-e;C@!R5#RIzQ?e~G)PnZ%&YQ{2Z={af-P*2X~M zW!NQ6{kV%cnC0i~@PC=brmJNdO+x#L^bjrv9!c#QsEds5p+KIg{rVU#a?zDE@(1__}S=hvILG!&yDW*3uGVBZ<#AvmHLerqwR$CDE@@bwSY2v(vOlwBwb^+ zLMK^;Zu^b;j$5TD2gT{B5=D37FYz_I0XZhY0hBJc*rdPvO)s)BC}LnBu~aq5XuHbT&4Cn1r6l`Ne#s2$u-BgpkjnpOR=QSSiHuC{)tO38TD! z5+C|wJ8;TrOsp;?h)9T}9IIIRm=gD^C}dp6Q|d~G13#JcVI(9Ak>{SXoSN6HO~!5JaNKG#kiyyv})>X!t7;CBNW zgUFa)D~I*Qb{u@&*z=k8ECUD>J8h%0$7LDJcCy89C4M{MUhY?N`^dG5LxS|SYrsh- zT1(6%bqQty-+ZT$Dj^t)2r31%hsv)$Gv{(bviaB zRREj)Kzd_4{>)`7imucCsaH?%;}>{Z(_(>&z~KrH-+|YTEplNh{&530YV{7(*Wnr7 zKa^b?QOTn8pw;J}1SP9*g=k9Pv&%kXY7{eTe?-o*>V`0SDV+RHWJYlBA`g(sA>CVT z@thgU{UXc71UtZoE#q%3y07$^u;7o=$ZYy~8~RkT@Kl8%A!K5UF7lo?nXi?1SgisY zbr&cwqEDNR1_UzBW!LhEzZL#%YduBm-1O#IU;*S=8MFuxwz4zh1MyFVg()Bek(F8_>e#Vw>oSfQb` z<;7Rw7ZkaAU7;%rei&Kx*M!ZMi=1>gEz~O#WN}&`bYwUv* zx1nM1C@&1sk2Ep%*!eP6m02~Ed0Z%cbJ|l<dr*Q zjJ_-XyxG>a?|V+IUuj`a`lC-3DoM$K$XFX>mJ3A#%=eLVKV2w2vK{~U9w|90np|A% z&m2_)D4}ADQSD3mExgl`B1_i}N4=?X1avI2~YGD5E{!%KzB7u{7tBsN8eSAou(}mZP zq7PTc(DZoW9G3@+O{Qc=l)R|&qiDjRb?eDRCi|y$23<_0{I8}mjDq)htiYG&dPZ%i%&{UOr~L~3Z^BZ%aM=>>A3Wy zlxUhGeZ#)R$HYq^r&Pkkc*I`GN*JG*n3|rL6~4)fF*@n}%6dk{B&OryDG15Mz@<_k z%W(li<8Yl4O4pfHLnQ??ML;Nem3Sv@he7z=GY4u9n$2uW@GG=lpDqP|UB0w)_0zI9 zfSQoWjUf!+(_oAK!J_X@M^f}Cc?pY|xVHDQ`#p|^u)A#Mr9$Xew0YyElkUnUZO^Fh z8o^(UdfmBg&YrD2yM$v?kJ{$k+_S@ik8GvKl%#zIWY4>;gT1PHwr(^qb3OhpD$_r# zYiDLs(%s5pRD}8{g6)*`(3ze!4HK5yc6pZZD3tM#Dg@kTMwq_< z1h8pAg^P)p<)#+fnx1W`i>8F6reCjOQ%Lgt_m53UukQX)neP8KBBJ%p&(C92G4DTq zgV8~uuLIcF;J{aj^7uDj66u@nop=U$Qxufi)sH%cJB2i&;5~$txA|; zGAV+3P|~B##1k)L2;=FckvH#KyE<##pi7@h7G2phRa?ZPz<|y!0YJ_DZ+Enx9|<|} zt53D3G%NS?s6V|2`h03PV|0fB?6C=6OXC-GUD$Du-(Pcg=uZDeR zT>STD1QHkstCsqBOLIeqdPC19(`PHxzM4(M<|h)Kaprkv+rx?nO&eBoHgz3!DOgDf z#USF7ypn0Ew%^fXP8RE%*p8<6^J!b40+xRpoDX!px21w@Dk^DF6O_=e<3t z&E$6vdTT?N+hVxe@r1@jF*XfSF%|cGhn6wUdYtg62Kwla=Ad#0RRj{etdu;&9 z0(5lQrpo(D0<}SP%+143A^mi7%g~0d_Ffm!>`{u-OF*Et#J>=91t26~`@np=xmtAx z_?ZZRhq+eIX>GhJA5(h7qFZLY>A(ACa7;#<+iaM%?BMam?Lz0SV^SKck&U-aYj7Dmhw~qJ+uN_!QE-Bj5or$NCQTt=*%kq<3hU@=*NvLN*5-Ck}b zrao3udWqt(aHP@I!c$8@EJ^URsz;R0Q!@~;_^?s%I&w)OjxnBu=P{+DNb#zFeP-xW^TX<6mA8o(A`5z+Ip?NF90F@8dbig zk#&}dHGbB@@*!?!#VDh8H@9tfF@wP1MJ50jn(fhcS^cpcOT1}i50lgRm~sMfZj--J zvSaQJy9+@WWr_I?7&v3{nrk20J>p`lA{(suqvNspt6)O2n;REzY`yCMV?dn0(ZuB& zkA%W6wJS%m0p@GgubO*2VZt71!+;F$KNRP^IiJ>luHUfw3yXTaXY6jVYQ-N59xL7b zRIV8>hM!2CwGw*uUA1Z5#!o)|T%G$L2$Dfusl%pjvzm~onJOc!rGo4d>#?+;bkbi; zDqiZ%Gn;$v6;4rG~VhM4L=?} zazFZV6e~L{y|(Y}#hZ^_LB&4-L4NnzUS?h$JLW58<(&IFLO($skEq!{jERGX? zt8VF^uK@`dJH~J@`Jl7w-@o0q>*R17r@a{xpoMCb1>|1L9N;}E5oPm{7F}Sq1?MJN zp>JsB5KKJl5nMd6|JdIb8)*uL7(AbOaM+VvP_h*zMOQAWksB86F!4d6{J@p#4L<`F!uGt3Z`w3MM#BU z2>xo?C?_9Q4Pn9x06C?M5Twn#>G+{!NiQ7!X?^D4&Z^<(9F#6GYY?UG0xsS~0o;4@ z=GuNs?`+3%?;YJ!^N(C&`Kw87G57cz=Z1cZYk^bC6=i8Pp9BPxbve}H{*&5Yg%QjQ|+<1z42JvbR=awsQES0{4K*UQFw z#(OzA7J)GHubG=h-g1($!RS16`iSW$L6CBs+H1>9(&7osvkf;3h&~Q&A;it;g3z)| zOl=!uQMvF0Pz2(y+9i|L`7flxcu7vax|qjBJ(jxXOai0OMs945#hDIgEjJmTnbp3cZtq`^ zBtR>qhVC$1wj)J(-*JKLd53Ng2j>9k;*ER5qelnN*`)<}gkb7;DhqmhwPVqeYZ5Tq z5TvItFqBE}UFN)i>EYMI9pY)VY{hsZgq`BZPz0p40U4eF(`;ODf)|qJLA0vA{W*+= z5>H#8bFr~6bS?#Yur?VF6XQHN1%HwmODsIj{RWqUROG-oqgpUqC7T70N#4dd7e_Z3 z*>9?}gtQDn#AM1DT0N_UcmPlpv%<=_*9WNNnL@29F?f&XR%xZG9|$s;KZuM@h|7p) zAu4L2~P6#U<~vTm>P zyABx|poFT_d6u4+bdLk-etgV!UyVGox5r6|SQgF$Ee&52MpIrGUcben2n_T@&MZUn z)oBj|D60y|wxpLWOloaZ(AfK>@Jt|%;8gfU5^U-wv4Rd~`!=m+*{tgUn!s@YspvpL zlX@oOGTEQOinx>gJ>enY#Vr7IT$u{5(W!{&lJOgGwAD6_UjP9V{%TLNpPlc8lu*zd zar15JpDJ+kPUvqJWZ0Vf!DIbSKjA6CeACSww%%_HIm5&cpHC}3Kxr705&k}cO8@*d zlW> zj#Z=tN4*Vs)8zR31Sn5Rp#>=lz%=9IP$(5bb8}(FIM;1zDJv53T^W|#-z=jts}i$kW`=$*8qv?Wh4raw->xHk!Ce5d=y-3_lr4cO51`Lo8Uetl$&P}T2pV;h>YXw#K&pl`IN8(RUo0eH5oz9ga{%&2{uV%OKCKz?7}fBjb}%8x7$@ENo?d z3%!ufUX=<#tW(_Ua`0rggm;IJH98mSnhc-R3LevK&7ws&+D>-0AN+}d*`{1^?CyEd zo`{ox5aoMZ2eOgN=d7Cb#}uExJT|PEyMNmVR@QHOo9F3`Z*5qMuQOr0WyO4mO-i~A zurR!wXRydxf@O=_7CKKBTf$sif9G9{II(d&lrwUneeDaXfy<=9-e%`$gc9?-4R_48q?X@;M9Z~;*Z_S|Sn53=aVBFBnjUU1d-mOCzA{Ei4nq#?-6iITJ zfmtrRSsg!-0Re!raVT_gJBV#tY~<{ypo)X=wJRg36m8pC4ArOFSS6H4>8UQ1l5P z(L6F;27c>O<6lvIF|?++M`2_;^rXp7mh}26N|aJb7G+ZwS$mu+K#ziCO{IoaSk1x@ zG^F~toaE5Fca&YZyXh|ao{EMvho2S&O0~$&x3nJm2pQyL;>E9}arjV)O)EUZJ0OM6 za$ML%0H7RdN}lk5QQyT)SO z$;nUFv7Z!3ri_!)>TFiwf0#0)%%+J31ZY&%TK11nB%_1dGA5elr zV=$xOTS;0$03qz3AOF|ZHBB>7!Hb^IB8_C~*WdRCGr<7KM?D;u26MSeAFQS2TPzl6 zG8+c4jL`H1D_Qo6(V*{#Mf}Kqv1^^gi4i}|Bo_Kw_Sg1H1;%#pb9aX$a^doe;DVyu zw|t{jg~Rbb;sQ|R1GN15PAuw^`2q6P>qYvjqj=&FzuFPA zDcXLkz}Svu_c-Y$gd2$^p7V2vYbm1K>%3rd#oef{sJa&vvVo;_ zjJ`MV0I0cC+DcngZX=>o!Eb!6*&1z^D>!Kf1Nzw?5)T-R^eP4E?GDbHDLN|`DWQN% zL*_S%S!Kox(XFL12np&w@S>CbzfcplLwbz8U_4N0)SqSFYINNJE2o_lZcxJH!Uoti zVAH4DZBPe&bNE~qMU7waQs395cIM9*EaBp7SGol_QE_7ooMU>l&>>JViGxQdaS|jtiR@<@@0`0X+L8Y z@<|jNT;xun%TlO`zw_UQS#8HAwkYS!%Q6(1ppRFy_$%T2)5h@vHU0074I6EtiJ?c@ z6e4pkE><#gAwODwl)ynhhi+wDBbs%7~KhqSExn5n})7M%ljUvrTbq{nPTN4LMV z@`U)Wy)Wk&_X9srR6naVWPG3&E9F4|HkAYw!T^ezC~(HT*$1I1VE$LbWd%yq=diBL z?C31N$xxl_<;NtnT+1d!!MFrY5fJbdQm+$(mw890M237xWBn7tbUy9@)47`U-A9g$ zbcgK%R*1I=MEnccDT@;OGArQN+&bz2jNwT?W?hD$xvGM$HQ^*(1g@fOmUA4xv6d2oK zX!X6S?tTKiTC|0Ev3IGt<>){fn{eo=r?k1nOTw^*pzwV&AyF`E2Ja-#;K| z`h34R6aAcy>z*zNH1gCi?ufK!SflDMI5{bXWitnGQdg_lt|3@UjS)kt-A+CI)$Ezu z;eik*iW^})mrOclg**klR?;p`)8lDQzII)-=HdcWvMd9WW;)D}VP8_jtQK#m-Rx#E z^c}yd5@!UIeajLG6hd=K*c-6wCe&ynHKYW}`^%PC4 z1Z=l+$y1%TqIW{5m*1QUGSq!fo299^q(O^)1P>_jR|3F@0Xnw`5C?EOHs#hzO~P1p zO%c+o)Wy=}d_~9X0Jz_7yw=|;Kxv1b`DgW9eSd9ipC7{U5awQA^X_-hD;27mSvKbr zOkFZgb-YW=q&X?0H-E15_H$ONniM9Gdlo+OEPhZa_#-5Cd9kkf8jTO9#lB`) z`sDqwpQs=`Srlp8V9`C57Ln^nw19>0ha0rhgvWm#~kgYW+7n|EIQ;+(9zl2!I}yQ~=)05yT&UR3GuUa+NDV#U$HLrq47q9BS^ zDME&pZ!6W}DTB50uRW-<62;5ZcU>Ikrl8OvIVeZT{%K&dAW;vnSm0&+SnDqpppwNE z?4j+=4v+?^;w_7FvH{bIHa^P=#aZumPb<jU>wDzYlF~*zmxKkleJ?{Z`H;8V0hEEvn{9>ieA!0xr5u^|M^e$s zjio*RrBUvVMmy4CAAo%~%(7>I8o`(&@xOaB#nyenfsIRMj~LRqP1Bm)Px?+Q;r>^Q zn3p}1-j=H-FT89=1|2a_I>u~l z_i|)$*XrfUI#?JONDPWds{S$C!@Ax>73H zNa*_GshN;U+wJK7R~*jPlA2PI?Bs;P7wqUV1mCUu@tHX1I*}U1PYC0^?^x$H<`E2s zQ@n2Nv?d9v=hSEeK;)1g{HBjp{bRW|&Y|Jko_1}|&b5vaoI$r57VHc9Jr_254dQLYSRWk)MI%uQz)L7?FZe9_hyh)wNK@v;_A zcghm8ZG!L4{|OssG430IrfW!QsYzNmGht&bO8qItEJ#Yh0O`RdKneLHr5$KkwC7uS zE8#RblG5T-S>*@$vAVsjm@O_djFIgbj4 za^Whlv>uF^^cl{(N#h&M_I224S~RYJ9G`~qk5YdN{UJ(mA&?bq={eZq^^k!YE&{@`|$s7kaq0-V%6RV zww9Q}2vuoOH(N@&`Qx5kq#QsAR`>?>|U@uTGF0zu7e zS0SOqYVo{b6akAQ8AXb4q${AU!j>i#e@hvG{UAYnuBxvIoK z;z1K_s2^hC607wY+Bdf-c(&s&V04XA+fmseCuYGRK;u(+?H4)Bs^T@=Nsd&?h)r}v zi<*x#Z@vIHAKSSJ*r`dIRdirH>iMM&rd;iNsvwskO?q{9_2|wGE0nP@Hj!A@pMEDX zF-4V;k)AG(54b$Ntf57zdFg;>Kr*HqekfN&QX1e+o_Z;Q%p;xtc#Vz+P!Q|&wlG)WR}_rPz#p1;ach`)Q^tku-WNTdSU{reK@ed*$xNI z==Uv4>`NDSPA85Y;3=jYv$a~$g`c3f9ktX%gV!b7MrbZQ7`V!0O$OlT$)-co0q#4j z<))NhPj)l0soZ(e@lY9tpD-|k%qUPsJUX{;bkQn%?uSUBP%@{+4s$rXx4-6XsJ-W` z836#8N#=(Xq(((6DsZ+VPGH)Z(oOCIsM1HahF?>Dv0;&3?*x-WTre%aVsOvOS4q@a z1CNw9t*&@<38%m%s~T^!c-9O@R3WY$rtk+bZVNl8cqY5>P%@v-^))HgZvN$@?3*UT zSWlOeq!l;J;-?8^i_D3OoVZ}(OhV>rK)W(87-4#`HuM|fb{w(V3piIu`&6p*Fq zHetuGbA7BUkKT=@ID_m&EP%>=mVmESEul34ZC$F>If5Ejt ztgDx{_88qj&9U67Qw{2GP_j7C$;!`FY;Z#l9ZYaRAX+zSZmDuxk{BY9M6{{-rQWq@ zc!v}~k_U~yRS`!e(^`-N%j+#TuX zW1yV>7=5}A2UG+A|Ee?+fx+|2mzwcGL8j_)Ot#E}8mK&T>GXx9tFrQ)`VFa7&*xcZ zL%hp!s@$Lu!0I6GeuI(~_>K$iXE8TT4@clwlDL5hCmRBni6iqeSKGd81QNZr2% zALf~DhIx_N-yrg!vbNxPXEFRN{A;^({(G4E*X+g55B7;W{fv3Ne&(w5R~2|}_49UY zyd##vJR{gPu4SFuoM!1g?C)+YVSG^eQ{fT?{c8G52%Wn*sr3Um zVczw}@w<9mo);WDYtOy$yWf|F?7gnWUbmIlI)kLyc(!N3`xL4Jrqkshm%FbAm>mkn zziw6Y9@&DnRPaicRht4pxwTZyx8kp_{=>7I(tn73-D3(-bF-)eaKcja9m0UGygrUQ z9ImpFyd{}ZkmcuZTLg^RGRG&j^|SQSA0Ws$>^}1fd%OL}Kic+v@5bJ1XgT_gf;1|^ z&G1;5waoj66aLq&TeLj8dRyFEP*0PxX!fAZq$q;l{SO3C1mZ8HY@)eW8tN&bP2rw~ z1aK?WjQEAlB{oS}tY|eTZq|Tsy~c@>gF?`f8UTv;^Ym|D)Nnsb{g5H%_vhCfo*3F> zTYS4GN%l#QQ|_xg{2%Um@1u_&z3?ybvbNKND4>Z=#vdTStNIoEx&S9ns$k4Why6NU z(%;5*=%&#zo>ntB93~ZA*$O&`PTk>VGc*~&0$5HIZG*i|f3K2vkr$wmamQ`8scqGj zK%ld-(Yv;C>02oTCQc-_+woTj(r{JwM{OQel)9UJ3=Fz3+Cmtc}{zC8V;l{ z0`O9WPP)$Y`hC)lL5%m&#q}GwF+5z|ntQgFV1GDt1Vt*#)kTv+} z;|zS$yu_h;RzaE2NK6zBU$unbVbd)so&SX)t;)8!cDR4~lIh_u`_^i*=7q$1pp@e1 zyl1Q7h8)}xlX)^IZUJ&0#Bv#{%onP3va_w>ng^&$jHB0x6w-2LEA$ve_7@pWhI{idXxvj@<1TSH z!l}`N!ZNoV2gG0nQh+_gpEam|OIM}-+t`lOdS<{`MLnbR!FK8uQ3fitXL0*oDZ-TB zd=ly84`at!9+qknuj1hQ4kd3=7aZC!KjtVX3P=7_q_rl4;hMrYY<6eS`oS18r&$#^ zA=J_;mPwTSrc#6yxK76QPmFrG<9<1{Y|A6S;Wfjliul6oW*?(fy` zK3kv@*qDIM6_4xOeEj#Z9V*{L|AaQSU6ihm^#QMAu`7tWrrxM--us0@93T|dh{3&` z&&xHr&;Fg=u4O=ON|7z#hYnvTdxF$8g`3I)mSqW-vG8ld;%m`6z-aI^VkAEd9a{N3 z4(Ak@w4=@x?VhYNpZ`9#W7WlYx82?LDMZ(@nPwE$<{bOFk9oZViTOVaN3c{JTzxr0=-OiPH75`}%eDNX07eMD<{t?4xv^s&B^3(9NkM~4 zp=PmA>0$99QygU*j#Gz|A9$3ytGUo3E2S)O-1l>QMqh+oos9EV-6EjQ5u4x6c03;> zEp}}C5cdevtBQ!0jma|(~H$$un;dFyLf#kS;Jx_uPAxznH$l za(4l-9TstM&Fqdd0O~v<^tZDe9u=W_?qxqWQm;xDfq0cLWMvtr39lfRk^co*_`$u@ z$DFM@q<-mCANz3%Y)Z0lo$+jxlm|=>1}t$d?$)jS3ThKz#N3wp2e0!AM%dxu3j0la z$3RZ^l;&?|I|89m`Zg}PCY1$ZqR)RK3VSVyXPViyIVZ~SBLHWc4$kGbD8~@nru-=Z zslt6KRyRrA=;>FJkbspTGskXuibkp4A1G;_^I^6?OgkK^i}tX&%Be&Cy3p@uI~;`I zsLK`HcIYL1mr1Di4a=$g2RKyRne7Not%$d9xF~n0`#ByBdFAX)tL{mFAsyPK9qiBH z97MJQ282w$f5)Li@z*8X-4|Wo~+O} zT&rzhW@SUXYa!&5UJBBGBqX`tl(qFIQv1K_$qEGMbuMM^DoCH8*?c9kN{z?D&v+;OYw=nk4EuH1k!;(+VM!&O zV{kyKuDaBSIP50BY1`^Kz`aTl3ROE{sTnc2@CxF#qMb|@=99e(4coCCwa~)t34VMt z^!m*5Je|l>nU=w9j(S?P_ZK{>nP&}b;^mE)(w10K8q6=e&#iKP&7nfW zc4+X{5{Hs+Sv-SS509&w`1?x%xW%?u|4`HslVf#6M=Eigt-Hyei09bM+l&kPdBKBn ztnwkwI|w@gJW%3-q|?{QW)_#3Y)+4aKQ34B4$!g}B;p3um15(wXl^lkd}NZ^NMVB- zNG|4A85J6~L;0hOu@>b&K{SC-f8q`&+&L9{r@mR^^YTm(p7xA~+vl(^9m+o-U=e|Y zdF+@6qU>sY!AGD~vZVMV*~c1H`WKOST+fj)*iGgu(zQ%-A+J1RYtdyGsizRqi+Tt} z;rLnRw$IxZu>Mo^()J3IkUHr~eLv+n+pMx>Mz3n*x~gX7Xj-jAkrEThE*U8?0q zJ(GXF%>vRyamCmR1M7LY=hL_2l=&-L7#5L8>fg=|qJ)KF+EnXP4DnX9*a*u;kF0Q< z15lWo#{fOl#gZq9Vd>*#JwD}owL-&oxN=#QKESH}D_&#$S`R83aX1Obvs>EU`j#XA zO~ZA}y4@vy$8>nzvU^orR<^|>3NTW5nB^WEQKc0yZCMEk&vJ%FMGRWJ%+B({_|A|% z9yf)l_F8S^ZQbUtguWI?^D`n&n^6-dSgo(xS|5_<8$ecg*ba|Ff@wp|Yd_P&Rm&s> z%7rIaN%^+3tFtfg2RA@-!s9j>s66A`&@YQ@q7nPhILVjc;ufw@qiR&!OG}Cv7)d0O zE}wG5#XWA;$q!0nfi^A%&#{cVRBb@8?84va+Lg9~#3fd1u>gvwIC|=Y;afwl z@6q~v@*+Ii1IqT5ZS+QjiNJ-2?F0g$V;nrMarnA3`i#0`11S1P8`IV&MN-cZ(W9Te%dsCYElrQFg0 z@?IB^DF;&zmu-2U%dCiCc)LEhv)u?ks$S8zFy`Om?^rw*k=MtEYe;y;^B6RA;E1ua z=B_;C`zj7~Sm(b=p!cf%)}z+C8r|2X6o=Ok@^dyrVi~kRZ0UFi6Snl*JS}B$Imjwwg>UVSTtTO=N(B~?Fa z(}J4kp~Qhscvdo0MVF7}dE#h?ts`W^7o;>_CmX(5wxa3D`2(*}yeLzu{V7&XN*ifL z@W(MQ9vAZEc)iiNeOqkr{SgpfIe%36*p7c}BNvyfbutwrhxB+Lp^5=YpLH~DurvzS znCY~R>O)uOwTY1Xz9igRRbuEOaja@?;(mqynIib;nD%8%t(y&KE-@Z`$@kW+tN$F? zG{?I^3G*tg#+^-IxN~)@b?iC5JF@;dMgomGn5l_==u$TXD+d_smH<`hE5~k}nLP3s z)8;xeZEf0|9!E~@{eq!A3aB1zjI03_i(@-zt}TU`j?VR<0Hz%*+whh`5bOD)LdSMU z8*t%&x>P@y0p)sZ=>fh6+vJ~DRInLv8xRXiYoej@LX9ftI23tgDAKq4T|;97o`VdE z*sf0k@WZn1*92a zqwp)FY;Ww_F%~e-6zM;y75m0YK&m;0wUvkv%|d*X4ZZ=s^}E5-=)Tgs^HMWIKo-T-gba zV$-Dhv+aay%yI-D0@Ba6Hm>t`x(*LAu6}&q&U#TA11>o1A?BTb4HTkt+IhddwZrho zsk|LU(@4ItSjYij*)n*grHNdWIog*(^K)Wg9oJX`(qwoej$c3CtxyneIRP5Z$cIiscJgKb&` zaO(VZev{^flkE^uH!4~7Ou|=D>v5&JP-@sbbBj@dthyeG%b}e0XA*A2ztv5SFsca# zE#&w|R1af`k;%ZN#f|EpVsdXthSRo+$L8i&lw;zTWW9#i_SCo{UQP{LZg&^z8``Ak*nsTvAF3ccvp0 zjJ&Kz!B^r>^K#F{OO_W}w&QQrr%DD*gT$z5)r5FGB|Tryr0i)ax$*;5nC(; zkCwB!lUT;6+5`L}*2h*NG3snoVq*-izF;mTLxAM)>4e4RX6C$}osghW1syBot!Ef? zXH(8Mu08$X$hrMXOiJ}>UUv~(*LhaO-tSKh9$n$j_=T;SZBisFQWd_Xz9m*VZ{n96k4`Jj1bYy zwBkJ}L@`eN7pKVi1Ex%KmW+>(;aoi6G}I&{$OhnQo8nhZBxdtC98P^%zKF54LCY6{ z*3M-q0U1s+Co7xsN_MS+VKrcP#1{<5UA1=&WxjO0$tqKNcYX1?OhT;mUhUv*)h~p4 zt!Vw0oxJpHt8cfbuJ(3uE>-$IW&fu6m1~3H%6U;8FC$bS^m87t2KA!pR@}kG^}OO* zM;u3{vZAFCqe(BBIiA2X?=`w2c~jwKJ9N5fW6eq(OV?(`xz2=wQ4~j=@H8xQ_8Y?r z6JYZW$CGdZjjXn*7Pb--gVtY(fmO|@nCYl!hz6KyGCx+uuk@mig<;WSDxnrNcLEq) z>R-eBAjkSPlkv9Qh}~RD`ERd}%&8r@VKV(~(9piY)a+S{s!ku)MZYt z3qq*^D8yqKV#NfHcP%>jr4q&PGz6v)$BtE{J@PP+NQ_G#MMx@;KFHK|K^jMosXjmch>Mi_fQK@}I$#d@?1GLKtFLpplIKk#eGuaz$O)`{9M7N+!@*7in3 zt3_+Q1ERzHXL&Yj*!Ux3LC215(jWe{D0Sxi&%6ts{HB55oC$#p-Tn%N=D(fpgrJNR&T6)!UU7PAHvS`F`$opFW zu^pHE$#+&26G;(6iRsvMgtj|WrsQ%gGE@}I4K}ath(e*305E2rW0Q->u*+M#^QK++ zIMYtNJf(xi{Bg%GqSr|fT`91_+2c(vY?#ZXcl&$J%xO(lyy<%Q$(snA`)7d-(%eyy%R}l`P9Txr`$@cN#i%F5Dz_C zXxR==nMNmnv7J?$%ld0l@%?a^(fC8E0>@W$9&|kl|1@tK3xn7L4|TVZ6p^5CuTf*( z<4gUgX7M$0qzCCds+hA3&Ow9OlNZ{xxWTY_a~;p7;nVF}K4K_-$FF8i*crIvr7CG^ z($)!E*6+G>OqsUr-(cUz-HyudE}FIu!S7H8W-Nd)`?s@aPD0h&RU^kNc^1DUo$*`y zFm3zjsnf>Ji+wzN+Kg$}!4KHxxTBTIERzwqDl3+?Q+U}9-zj7=JsRp*XMeQ54+n(y za43kc*w@9r{K^1%-rvH+(9r*{p-n0xS@*y8t^=&8s|^PP1R_&G86qk;QE?Vq)c$U9 z*S)LOI&jqWTie=Np-$ZTD`MTVsA$!?Drz0LS_hyYf(#MaBZJ&^&VSDcj#7&xVZ^*X z4+cVVFS+mi&iU5+85v7#SF2Qiw5q-)52zb+-rY~Cev(7WESEaR(eHkVWC5PdcKYND zZm#8_+Mtr1CeAnraXVHA_IN^`CUe1XAdkV0HmMe-@j;&4blBVz6aOkpm>t}#PQXk0 z@n{Vdmv$85$Mg6n54W%51@^T+T)V^G-#Vc9?)R3obQ%zflB1+^<=;B9sXzplNC;6-%Y7p8MHn^5^`oQjczZ5>|JOfW zE@iboxm_5@XKbqPxh6yF<=z#Zb_l5dz9fhhqg**6qEE26nCWX#_ykcclxio~dJhmfl?`tU>)?WatDA z-*zJNPIq(7)w<4_~x>5s!LpjH~ZaB`VJQ;AjU(pBIyMz^kUu zSrp6%P!|&e)hJS^R2IVYUA?4UUuOwS354(kPN1srsk5wS;{qYJG;Pu>WCi7Cra!8u z8L)J8pFnD5P_~te8C9dfk5T^?g)XIu!l^Mlq%FLQb?*oz@jJAf6tD2KQ}@LPiibU{ z>-~^RSfRVp0Akx%9E{_I$YqXJ9YW!|%*xv@7LIs!xPeQ@nNpo6+R5Ln;ekYTac~7Y zl`KhI;5M9sRgJ8C_hoVKUc<@XQ3~p*4WxW$1Do-YnDo5X$E;L*+5u|uAop^emEEv= zN?2UKpB9ftDr~8p%6%|g;yNI%WG}9Ue!g(gGoScb%#YR_FZd3jqyy{c7hIi!T%ZMkPl{fzxClsaEaVB+v|F zHuZ6{>XwC`V`0{IZ!5{Ldj*gLq2JNfKxqH|yAe``ph)Uv?sA|2kdU5GdJp9vQ1NL; zLA=T2`PFPkKOmn3TKdW$St|%HzO^v>^eiLrIAiyZ@+A1;faH-*n>b#B6GK{_P`1K! zjJU97U76p)QetXi^9YF<$nFF*G^^qp27&fv#MBL&_+w_k{st!QQ6(vaDJ3cm?eL9P z2$iAUX7z(}MT5YgeT!}iRz*y(z zM|KQxmY5kys!B``Guf$^m$bDoavpF2r`&XqqZW!34CEPK*i`jbL7>vvU0sQ2M>F%u zQ)^Zqex-mToGXJ80c2Ct)_GaC2#Q0}cpUxV-_8#&{dx1HOQ43QB>)B}zn554F*24& zB<2!HkAu_v>RDRV_E{D$`Y8gZ3)Mu9^i~uCnEOX}>!t@dB!Hx|kU^!P9ocWO3@6A# zJK8o{A77}1x=mLQC`oG-d^Xj^x@#zk9@B8@TL2{V^4P1@&5{k({s(YaKo&4p93_$} zRZS#SBxY5NC6?A+Js02OXc&dp#n>2n6Ek=J>*6@zE)u3-prEUWL8YM`1k^7SciQ(S zH!&R?mQ5=tbqt1F=|c9{kXq7lmoxvtts@~-?icCkd{~@*T}dI37DD)WbuvW(-@%3P+x+ak*Jh)7dE!Xx;!u@|cH{#fxp3KUL!=(Fufq3` zmM$%Dt1gTGC2Z|hwf^#3g|PVk4s_kJr`v9(g)F57GHASL(^r{RGZATrni$P}S0-^R z^IFxh_7^|2tz+`f&akU9@)|=M&UELpRT|onIz@;CS;a2}NkKj~Emp^t!GWbljRye9bwEE47+kJZ)e4D!ikKaZV>2x7+5sq8sQq|3V*7)| zt*rdErK_;Zf*NR*y4pKe8rl)fW;!$k#qzGqYHT^;aFVW%3P`X<0NB)UzfY@YKlD&a z@mGU~_o{Ty7fMrjQUq~dh{i5MA+Doqb7bu#CX)S-?CBUmU4Em3J(uucRTrD)0kKMQ zd-J3SLr3PW64CBW2r%RL2y3^gr}K*DAtUI#p*H=OA=Y)Kgd&+923sF;ZIGr354jD} z|I!tZv`7;VK|S{Z*OKt0+87sF@|epE74pW>U6K$Je3TxW7Y&Zl zMpHgM8A#l375<+~2A^i_8>2rUO?(VGRK#-l>vU45vW@DUz}ED|6*r~p?EKp<~h_cr<^%p+6-j7#+d zM!i^(s!Wd34e#QKuLOANq%hbBVFP~Ja@sCWQ=@$a=O=iWw48KIhI4h=a-szFVZ>YG z*~Z?^*8TS+Xh9vegs8lXfD}%TiV&X`vl-p7;(AQa4|$1NC8W&u~#Oy zv$Y<1C{|TQc8;LZ(vI*8ZJ3n#zYnig&3i`y)Lm5l6#y>p6(77f+279Y)05)9?SMo& zBN<0nZX5N1RP6@N=+e!r>yXVjtB1;rQFj8-5J_Qqtnw~W^XNw(`}J86)#nA?@)fe; zk9ZYJoRX+PZO<}`dPd^?9#io2Sa++MO+P=G2}uE-CVvAUieoT+TX%PB=gDW2RG9rb z9z^pRDlP5E7K!NAXZEHU4hg-+(A*+lX? z0B{9K*X`4uWuP4%PnvMBzMR0M&J87RC0^xC;}VmTbC?Gl%?K3I%roM3!q^s}@b0>J zDu$-wvQ7-PwXts(7y~)YbpH_T3L&^M(cMy7Z`H#*6|Ss-?-cXYE-EkW5Z^djam(@Y z^Ic3Vyf(?oL>>wkLZVT3%r+Mjhc8x|NF?+b?_{xw&?D*^Z zx_6qGd!qg7roE@vZrJ9heE7{Ck!O3xrHiX{YO@z3_rjzlXCF-N*!K)=k>HZFP|Uk2MXqWJ0eWAbWYb>=#+xT${-`e zH%wvu{l`Z}PhT4M{3+>~fG~Aty~D-)o%pN#+$=v&drLc?FLqvj`uwFF8?slo7I@Xf zK@1<@Rf>$bduNvpscTuSX4Apz9@7s*C&euP4!xlrj=w4(A33*OO{?x(B2rN<8)c(_ zz`o~T;1U6l>}bq?B_>7^iz*URN!_c3VI~tOFHjC3#ZJ837aM(>H@ETimwk6!i-+=zyH-JLe7gs7W1Li)!9o#>@@ebnw*Ki+^0das9^4 zTM^f;UitlW*p9UeCk<@xZewBZ(Z;v`_eZ1U>i#4Mp)R##-U+>-9Z9g`Pf?Y8Y*IUO zr@@=AWIz^APuGoy#=`875#z>;oj7Lv=+7rk7&HD-s-zR75jorv!{I0~4jlsq?XEZ$ z4VvXaT!$n9&yJ&~roh@J_#_!JJc5UjT{~PY#xX~kHf#>5K92ArJS1S+;7{8(6E}Qj z#x3@BJNh$_O$`?r;QywY*7E+y?XTY z>pytZgem^>1A~7#d*`{5_*Wc*yx-S09R^QUGXOR5NTG)SV-EWNr>151nLkJCsH}oV zqBx}P7*dy6(XhcI_4ho{h2;^a5ZyS^0ZYcO$n#056Df&8ISi=wz9DIf1n{);=wbsL zZ-m!Kd`MlyBJSn#GLoLeJc@c4{WvZmIYXgdOa)prh?2mFrZi1qpq;kC!@O%DUpA@g z-rYYW4ppk5RajNjt3bn8TH96Q^{Q~F^9lByUDG9dAM`)B$8-)?Hp3Ch9{Nl>C=@1z zuNmQHqK>Ex_8jwPB+9wfT8OJqwUeexQp z^}SQ4^E2(;6%`D6OFMyQ1-d&&vDM^<`zJIox2o4_^!6y^V(=ua3OHg~v;h>!%k1Z} z50CuP=zS0ryR9ZE%VG{pxj=7eM*zrX4iyS1vy;Pr^tCjzb80?uA5{)}C0(g8^N=J9 z3*{lwDNx<=Q0j9=UvfTo+N08`qFQ-EZ)ry)p|D^NuBk*B^Fl>-+>y^+BvKoz##6RL z;uVa9OtmxTL$s~;tAFxHv%Y4s=j5V1+QvZ5%w`3K-qKDzlL?Cg@QviDHpzTciW_y>BJE=PPNf;5)bv&*y%Ct{sAOxCr{Yn z|50swsj0-F+sqADlhQJCWn{7$4XwP2$G$!$ht_$hW3A{ZAn>Y!%oooi4lWwxSw&)N zD;b`piR>d!x`a}E>YmbGP_e?{gQFcaAt>{ie16Tij~luite_fd0~?mlz%_Fwm7o+hQfNXy91 z%E^-{luD&SE|V4HWxjlooDdfi`RC1uvwK!AoY2e1uBu73nsuAD9Xf5*$ru@gc^m8} zrE1nh9gK zYyVM`=X|#$X!W{{o3{i9Z{D~rXnDY*Z>CQg*|$?m&)UXj)vCLAHgDad--I8w9>1SW zS7iY{=vw!n25}GkK8ii2eY1tv3Y7E>A0F+n1qs~1ikJGfWp zkK1`S@pN}}s%~#;Ap3=)&SH!;MMv@G^qh`vtjb1yVuVjKeThp zhM<+p0+;-_c=7j(1D6GD2;Q;pms6K+MJJ`>5fQ`|k?J~uloN5nqrtB0G$`B!kY`s& zMi&Y?5J;~u_~2*7eFNIexp%02Y*jFAeK*gCH=~Dwd%Ze-Z zA1G6&fEP?Q{!N<8t56WpS>cc9u&BhUl;JFk@QP(K3BCa});J zX&a2VH#^{{WXn9wUV<#8}+G!gi?V#d+&P}djheKB4m(g&J zYHh>Xr?nxQSfOE{otA;6wfTZ?ZChV@zcxt$Ab~kyZzG5OWg#I{zA(^E%OH(iAbGmf z^A^>@E7|LCbs&GBfkRLhku1??7-*+;06Y^iccPKomG=quqS8R+uKIS%vY{^8$txBN zw9_(pGBS?imGjK)LqUnt6#ziKV1s?lRWcyxYvax<7-*+;aI|WQ`_W1|@89Rps=*5} zlcWu{DR8ZVzHjafw9^@Qc*s@SCqjYyw7DWBC=Am1PYU=1q6U`t>&KQIel2x&qjJGO zI~_rE5g2%MkSq=b^1%Sq)z*Zy0E&BOn!7GSNv98#26EwN}H|T!_u%8TBfP6^CW_j|7c(w`wzS zPXH*I{yX(?Q{gHCCg!jvG~0K-5kxE51#VV{xeta$HXTlJo)qL@ohg%>)~bI ztp9?uIqDL?@>16w(Cn@=Mrsdeiy zOAp5=sobdk=fsL&pk0|k{`k_7HM58JY}>SfXQO6q`;GW!%aO|oGL9CB5*cd_dmiF? z3jnTJ#v_q|mQ)KW4h*y_BVaX6UiLCR>dwuGYu9f^M#beI2LfQtPmVNYbA@E`7eik_ z;wc=|JHasn?aBps@*NNa(i_I0&L4ogGUTX^o#oKbMV_dFQc<*WK4C4xK)W&mo&o`A zpcu;sLv?e8$gVAV3CN?pGx4|( zzT~d_)1~JwUe4ryYd&>T!Q_2eP2(UeSlv7F#`%lkNg^Meo^twD=5C-}c|kN}=|9ZK z4q7dTK0X5n4vT;%M}>~MG5%my!+3EC4r>wIx9_mNsNA^rBYksZ4YVsaAfMvY*dyN` z2ZHbKI7e|}#LFdfdLBz}o}z@x9Rn`y+P*C~K{RtNQFNr9{=h)H@&eM9N}TE&7{|kw z=Wy!m{BZ8pB&WkEjbkAH=Y-Y!=FXkD;JnCBw^)OAlw| z(nIeZCDNO;AT9a7fHVKhoHOUlx%avE{+B24CE@#aS$pqyt@m9kP)kFZhKiMnjEs!t z)=fnnGP09%!23kXr413qRR;3~XaF$K3+SxRBPF1mN-K%UASMO9NG%&g~JKoJVN_n2; zw%}XgH6|NjRbzJXVxP1i^Hv~hvE<$Ei}!CCJnW36fAJ>sbKNK5WL%9vnvmy5{s>Sx z@`=X{j8KbBZDE4d%;Ret-fP0(mY{39PcW06+bmxD?l)HK-N_NIX;MAQ9LMCot&D{| zBvO*eUw1hnpgr=`Ku@xZ$<4qnv@w-d9C9qu{rOMUO27M;IAkjkaVouD>=!w~Og62+ zo|DDNmCte$5g8OHRXLsnu45+J<4;v0E+;be(>Rl(RAsDT#|73-pXv%)IH{Lhd@LvZ zVWOZlY@uMHT*%95y$Qa13s>Prm_<)itmi<8YGn8a8!SCT+k|f;?azo?+mkTjHxbN0 z9MZLP%~SR*(RUT)x;lH}5oRFMb2IOfEOEYQkTqteU8H*B1hG!ty@FjA>*CtHtA~Mr8*n5B98CG#aULf0Z*%o`ZN_0;_Na45O`}Zx@H4k1) zdM&oViJLrx*T)0m!Ne*1O@|xa2c5`oV4&LyaOy$4WbL;vofr+96IAy4+eq*Ec;x=> z*AX$NOg2guU@-<*(RrP+>sgH)dq8iyEf|_=v59dGst?}dRfwJOyaUHA7M(qt?x9fc zZQ}SowDZ-|q2Y<~?*nv5{8!CPq`V7YN&S&YFB^OloY2tIyad-8d3utq{~)KO>}~vh z5z1;tPZD&A744BdiP#A}!Lk3d8|=8plLauE1Nr5}GG^qU1BuIXeP&ddRf*a1z+qSS zY5Hlb^EZ~I2}_HZ@876p_wxXAdD`_KjAOj;@fbW&=KHqfYWcg$XQJKZedY%e5ahR1 zItTCg!SbK_%H42unLWTCXbr{T6KXFlBr-U#cy$Q&Dq4nxG7bX1-O5pCU z@?U=9g8t9EZOkU5*f9L#9no{APx}6P(GndYrXVst5DDF@ZhOiAc9MR4uH$s*SkXrx zw9HL$Iv}f6^_R|nxPf$NkFZh?NqC2LakGz$h&J`N^*)+DTRm0tB_Q2r&lzoas zyaO`)>(JJLB!oi<l1j8+i11Adm;{1c^7=b6b@iVF~3~CR)&CpFr zLikK|Y%o2kk&!%_AuA%xM;7yOHN;)w5mT3{-N>!rly4KXX0Wk`;b+H9ol>t8lU#lY zUm2~&^|Wf{iFcUvpjlaNAAHi=c|Lp9>ek3@Se0?%!JVzeB16-5>Wu!pP8gn9&}MNb za~63!+q{1ORnRBHiq4o)D;k-w7`OwKDQ4xyjoIsmmSE;$)~5<%sWTBf&Ch1oG?_=X zjd^ch`QFk{U)nfrsR^+fiD@c{q&*yD;^++mo;^u7ZVI?0N{Q(ZZCPkvV87#R%9o7S=D^_SV2dhgXh+|zhi|? zmS)tAT{m$^c!f&)a6!wi&h!q`wjyA^E5XMm5s&kSYH^o#roQ2&A(r}z3&a6RX_>vhN z4xDkcMEM+cWu_jO9iu3jisAFr$K03(<;NZqYs$+4#VSt#aR-0ghZY!XIU-gqO>ircVa}AY0|uFTkUQ0ztBV+mvAtUp z%z6{ogET~J@8ewW=3lE^G;27r5a=$OS%aJGhF}VNvY7K_$TnXXn;TYn6xBj_Su`X> z=Rn->tdRXzf@2f7Nkgs=)u) zZk}HV>o7cPFcu!gelxT^w=G`vBtD)_#(+&d3Ukp&MCOh6oyCp+eDu#-1v*Oi8&i#j z1#>>LqUoaG(iXB`WLrb8jl18?a=P^&o6s`T1}DcF6>x;?*Lx>PO$ggHN%dJ(d2Gy_SSv`DIZJhcB5jL$y3WVFyqiP8l{F!)~}9 z3~v2Sfmf5|dc)n7@@hJZyFo&yf8C;g-h6-FXsqb#g$Y?6lJRW@R*p?zbjDYkG6{#Y z?a|67`THZf9oLE4A%o@BBL88dA5~@=+1kq%OHMUiL(42-vc4}@s8)n^rZwf}xEng& z62y&F*SPb@_F(cv0~JCmW;x2JeYdnH^!L4A{m(p0g%joWdDb^SO>W;^4KG>MWVWCW z7h-%T$82$(^tx*WfJ7lDHR(kueFQgtVI+zO{SC3YSbi6Ikx2lsa~*f)2)}HBAkI7o z@p$&Y&0OYk@BFUjnVZ~Z#EZ@H$^dR~QlIptUrS1?WO|p#OAS_NGI^!se9~Ca0$Ant zt^(%xo6j0kS)nWCV`JOngzI>Jp=5<4ydeWUpvpA8PL<3<4R+2ZH&&!DR`l~>>?1wY zas*x}zJKR#aY%urIOPBRy@10O;bF+tYrQnx8fa05Ox`Q5o$n=&+4?FEt19qjUW3!h z2Ah|vN$VgiO1b#VW0l$l>omW_6ppQbz3=(bs_KAYoQ|BD%Y^m^}`_e>)-NnRy>xY$w)Vm*1>a`l#cfkno5yelMJd_D?A2ja!cp6e9awRSk*NB8PA81E<^q_x8a@TcDn-?%fafobu$wI>0&MMWdx)F|4 zr-~%}tVV?(HNM&4qv`M|hm~p!@r)H)&is=)qJ`tqE`jYI&~;~`{;XwPxa#u#tjKUv zb*bu3qwxYnY{7II15S0M+Gl#m6}vrO2@`QywW>f;4aj48gz$}Vk2 zs5Sp`S8(zRHgsUykY0@mKe}mg#lM)nIVue{dv7=)yDIN~HX*DoVpiQEr#7svxXiI; z0fvZ8FqDoQ8YmyT6Q7$06X9uSy^S|`zn(?Ze_X3CJwZrL37OR|B7EWm{DjPiyO{p> zIHKrQPg10VZ1s-scNDfIN^fM4Oi)lYfqfjF4e55e6-;y-bJ16AQPU0KtK8`w6Ct7#r=)CsB&52-pXfGHwEX3s+}T%hVMOewy~)Q7my zPz!Np^rtmZk={(68*WUEjJ1~#c~|P?Uf|`iE2G>gSYD_9i``uN{p}x4phqcUeiAYKrq#h96WKH!*)9!X zOFdT9Xo;-6vJ$Z(@O?`f($K2rZingy-Nvo+&n6Ntlx;{rBmrmgTDW&Bx>kB60-50=N_;p;s}6n2Bf zj#D>o-5@uzNE6&)X67Xt#d32x7m`~o6Lo&b_Fo6+cIW>gK*u?lPh$3B;4GFF^kAw& ztyey;$e&v4YK;RirLgf_qPcK0H?*0XoAF)Z^N^A`HD;Ny@@j`|52y2D9|4G&)HPndt$CCIR>i6gItZePum)&z2wQEaLWo_K?b- zL18=Pwr(7`6F;GU^}Om*y9v(GrK#TQH?Q?f=-lZW^)C*)d?Vz1`mjqD3;HlppMZOF z=B5Th{kigA-6LqFywC3kz4*t#pCp?YdgGbMQ%0RwUgm24Y*&!6*xM|hJ-;hn$V}!P zoXa7?t`+bm*TH7tIDOxiu(~#<4m97~2DXs&HAIzZPphTjX-NPjGdEN(oBZjxc$_x7 z7G=cqWYEI#W4&5u8Oe|L$0Q%p4eVUkqgxCE+?R|3=Clf!$y|FM-9X53X|V>$r&Ir= zreLPUNXdv&T_Rcc|ARZ91W}xCKF?uqK(Rq*zbF282kk=p)h@iDz80fC6^MNMchdSTRyC9#2iW;8!X4 zLA;M#>6u)2`OCCgJwj&LdTBhk^cU^)j)A2hGfym7`d-VUj4#5KXet{!IQz;ZN)TJI0pk@YBfF!7i; zZWP+?3L;*TPHaVad2ju!udd@}j$ZzTe(4Gb}Ch6HjeYWh>g zh8S}zI?FqsJd{iEK%-q3wW$VtjDu(ac%qaCB@1%Zy*H1d?AGOi$~7sH9zJY@8_ESG zDGuTgPXR@Wx#$bzb{~b5UWAm8WbN)q(A{2OOmi6^aH4rK>EXjh=;J~0N1BBUQ}PLC zwFsXQU}^yL0iw9yoJv6c{EwLdgCIS8*hqjzK*tdbB~W!AgYv3;G!a$pPBIq;)N^@f z9>pQ&wliEFM}r`l<**S&zC(%l9~55aw*!j;X0MDjA$~omEd}->%aO34pNnXuSoUE% zTvHf!@SAk2R!3}j?DE9~8Xm>AL6RwCDEc-^+w?}cX1=NkM1U60I7&oR^k|=5N|*67 zIy(~*v~w}tN_zgn16~1EqhJ}ot}uT;3=sY$^J{+xRFV5=#r%ORF`>4x5W;d?^aLBJVoiXRY{0u#U&t zg35a}#UZKFD$#?n&Kl20II=ZHe1yHY`~rxM&eq8Wbc;@0=M#_C5}1IPl++mEv!ZY| z$WG(RRF2PD6&R_ja<$W4DakMte`7Xf|8qN<>xRolyH_b3y4Z?eW{&@E;O1 z@$X2`Fd`H8!^_@M1^do*RTK75GxTCA2oQ3OLPFH^C}WQ|R~$qIUhv z;%)RadCP#n3WJ;9Z3T4ix0WKFg1V2h9Nt}>%mh0iyS1A(GL4CMLBEOC9mr^p`L5P7 zj*0#2`;F5UsshU=Mp|26^C@qi%~FN#6-$%kYVW+pEpLURS@u<3g1x?F3K?-M5lE}s zF%zFTJWNq1GQsyQtP+dD*jye%-hpnhxLJs1A8ouE00)#C2 zT__u?<{8+~Cy6+LF4-fM7o#ebahLStYz#*ChFI0oUI@)&uSlBqn=gxayss`_n(?uP zU2{y_X$<$rdqoAFrS*2aSOD<&;c#q>s{rCbs;cr^SH4u8@ZOIYMszk91cdD&YJFzN zFqgy&Sf@od90dzE{>Ky-a={Wt5vDXOr@87`lr?xh#R`I5K8B1a1a!ISErnk9ALYlV zwkx`D*xhB9*Jb9CgpjtSKZ251K)|CA!T;LbJKJ^KPWWeS^{?t;b`_LG{F>pPmrS{#6xq!B!W#HDTL%!RhMG;hVkhJ zpyC1!1RmDDD0_0to(~L6Na=)K!3z+y@SFdW-yubkBtR0wtoE;&PeqJY8n6#p!%P6l zo1Z8lEQSjnWks{O!E&qiLVgwDLkAB<`0m;VViAMoPg~l= z&BD`?U=(rhzj;6%<(GpQbK?p3?Ogw-S#E;CS45O&c^5uyTegM;35UB>ocku7!EZ!; zpC2j?*5dHm%yX1j0y9mC&_Ne`z$Iy*_#th?wUZJLj^=U9uAmH<2PN^`OBZ0u??P7gWTy?=p=hteH44^&ZTx)8t7RcgV&I zsC7tKjW1YMIbU^g!(9?v8NZFMj$JO$YBy%fL+~%VF}-z-55jfmQ7@Wp$g!dcRY6rp z`dPQxs=#^VC{l_`QF8w8`=Rb|6xOS9Fm@yQ)O*B^jWz5)c78uF-K!)*wh|p{|Dw>} z$<;itaoVa&y9P>231&#WBOIMN2dGY@6mR7jZhPqcK+uurVH zjYl9jz<~I5=-H1RCXs|AFAS(|l=Aft0Z$7!IZASV5Xp_->nhh%00hh_ z#N+T&;*m@LW1w-Z9iR-6TFPY`)HjBT-rY~`(oLt9<53v}F)#s90s#8~l%?TZC_JolQ>cYG&(e3k@{+k9#k&J(iLsk?j@64_FVIE`j4)cfHsaKy&+U%>M04dIHz16 zdE%MW2LXYk5F+VkYQ>*MTVA~hOg^k9xg*Jn=Iw*^JSEdU3)Ga9a`6g4owNNzgh2H6 zdCypzckpVR<&!f{xW`q{XDdxwY&WO2i6gIi0AZ+B@d1xp> z|9b-)mKu08;O&`;7#Nw|zQyj5effvm>Rawy=9*RTM(<|N` zJC+O~i@fkaK~2wj&WIXp%*j2Z@w`us5rwTOywOpf6Z)p3;OoMt{r-x^todiNty{xy zyfOx&SyZ<}8Q<4LF6z$)jc!(tWYjMp#T;F?LgUu6%=>q|fTE196qKZ{f9DRJt_av< z2mdK{GNh3wpADtTU<g#ZuOoDvzT8t zSoVv&DmhsBy2L>C+N5{aTQ`UH$diUd1wq-8RcQ!^RM!(+i~Qa-Y&|uFY(+FsK(x>N zZ>PBzcE#9EcxXOldSQotUUf8Buw8I^-M>(5JU`Sy0>Y5jR+2lD{l#&^KzMfhtgkg} z*9~@FsC2blt@cVA82!Nk$m{#PQDp^jE*uBylrGmRk^;`IFmi66Ws95birs)@?_E?_ z;+|u2g_D4a8Z-g4n!#mz7%$Zpolg~z>_cJG;(Q4y?0JY&PniGRPMr2qsU0(lYtG%` zWavb*Z8&YUWO^mNy7sEoY|9`QWqHzoLx`kfXc)y%qZ-(@M*MVRG0SG{sk?Y4C}Qs7 zkGx`QRnUpIfK>f|^KbywCZmF_cSHxCBaJ3cZ9FpFn;F*-TPS*GnS4%0BAxoA!gL}K z>kZKyp3obLCr9~B7?=p8FdL}t`3r6WEb0GTx@D*1)@cNGjk zd4qmORREUZOv2A!xd3pj1Eb$`l`jFz;=|v5Mjofbz=|dzZ*+RVpNB}|9EyB?v_D4p z1El4xVYc$PLI7jQ7?|jx%<@epivaWjM8)hB{bg{GV!)hV*L8ro{7Q&`E9D`zBl(d# z$=vcy@^eOZ07Ls7i30c!6gc|7u@nHvlMoq!ljTdQEq;QLg=!U!+{4Rn=dSI<5~78B zL-g2V9yGUnW>{0W>VQ=%0pHW;cqe~~o;dxj$o;)g*;OE$jvLmJuSX`=4LmcxmqRlz zl{mojHIwhG57AQuEN$zR+(RBiV;SW~a@%W@+IM@4;-Ok2;OGmk_Ts=uWQ+rXvTWN_ zH9K9Wevv$##0e-+p7#$E*7E#FaT{>aVsD5U!nTOUN;%{7*QJa%UfsFvdeon|6^84i zstSs&Iffnf>yw&nQ(D#~PcEh^_tM#WO*p_394+5}MvB6i^8^OG&0YL4tA|xB`ER-p zDT+HU--}U>xO9IeZUVNzyDgr2%_H0V_p^w5RBw8!pq+68Ttc|IWMq=-wJ#NGum8T&n1&E1iIJmhV-Y5P`MB%)~fGOpL9;x?6JJ(lKi);Uta47NMWT;r3X zf6j@bdK0!DN4XM((_g0@yWtV#r7=*Qv&*aJdxTC&+iC(dGo|jmrL;?S@n~J_Z!1xZ z@odY>ED(_~8_ht4@0a;&g(*E@MH}qGQcC(BHQH_M3{1HXm>jeTJ~SUG$QxLUw4aiz zyfQtWP$uSU>{ngZ;8D}~-l!y$iY*nl~ z87vsNtfeTG>9oSEcP(hU@&>}e=XNgv3OdAlx0A=ZtPrBI6Zx^ z7vs=3T_H-Mb+pLj=c<=%uu7)62Ge@yFu}Ib>G>{qqKxbm0Fu}LgXX}`J1?bo5P}*) zcC(MRZecvsVC2k-Vu5)IaPa0@k`Knsz4cLE^YbGbb+-t{TzVGtZyQr@lFE9r3Jy5q zo2#%u4Nw?C-mBuvq}cFV7v}0+Ki!9`CWjiCbLhdM8&l0l;5(kc`e#*`HWe^_uPX+7 zdqcjJSo$j`l7PK6YRb%EGtcl8hv+uM+;fzS^ zl`Qjw=u(G+0mOkd2sf|S$p_Cd=bRIyRZeFZ%$eSk5lQ~+bNa<3Tn51|usm+Nm4 zVn~+2HcpGVI(^ijL$!Mq8&zpR;E!yJ`s=|@B26C>o)W+7vZ1r!_?_lTO>uTPGYhvWhs&?(VwU@{S=X&GQ-$Ssz z4R|oRjNIFQf=ewrf5L8q(yM#-aqR(@EAbHGs*L;V;*tRw1De)j61l*qlFBsCk!6m+w zo^La(0p?$(Rb!OC%nAgL2|`cSFqw_jo16B08jhbpRD}Tuoa06aR{cbUW9lnR-zM+D zV8s4rqvgG?ESS#dE7PU9Oca-E+n}Zn>N|NhF)Z*gAj?*ca~vOcgW=PHaT@cvI>s2B zPIjB(UTM45KTEKPP8epL7|6AnaJUvVjoPj;p>&_j^Z!1Vf{e@x(X)@q#x^{iG_e!* zBL>6b(B?A1r2GnRX6(@<%_#H&Fjwb>v`x7>qQ341wT(DJ8E#OzM%V;Iucqe%8#)Q? zN zO9Z^*G|g77DX{(v^vMbBh+uI+5&|@oJ*L_YcHuc)u_&qzFIGXdp70ip!{CL&qRi@rASF_M!f^9V6~2RU4qbp?Fb`-R-@J zk%J9IPxm^#Tk$3>0thk*G*bR=bKev<|P ziSQQ#Kus_I6C?Zko^(F2(Go5I&oIavlV}V!C9dpUGa_kjNBm6s&hPn|2^GYqPEqOk z-wQc<7*N=RLxOhkH-g41z^(8{4-XJDK#WZ?KXULShUNo02GpefWk#pmX|{t%;VQy= UtbQ?Lm=s#wQqoY&mA~WjU#Alyn*aa+ literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.js b/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.js new file mode 100644 index 0000000..d5d2be9 --- /dev/null +++ b/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.js @@ -0,0 +1,451 @@ +import{_ as s,c as i,o as a,a4 as n}from"./chunks/framework.DRnJpP2i.js";const t="/hwlabnitc.github.io/assets/fsm-image-0010.T24H2Nci.png",e="/hwlabnitc.github.io/assets/fsm-image-0016.BirHtMRq.png",h="/hwlabnitc.github.io/assets/fsm-image-0022.B4FawsqK.png",l="/hwlabnitc.github.io/assets/fsm-image-0029.CbRphb6c.png",p="/hwlabnitc.github.io/assets/fsm-image-0035.BXkF_Dg3.png",k="/hwlabnitc.github.io/assets/fsm-image-0042.j6esA-Y4.png",r="/hwlabnitc.github.io/assets/fsm-image-0099.riYCyYMD.png",E="/hwlabnitc.github.io/assets/fsm-image-0100.DaiXE2Ht.png",d="/hwlabnitc.github.io/assets/fsm-image-0112.7vZ8vL9Z.png",g="/hwlabnitc.github.io/assets/fsm-image-0119.RUOc0GsR.png",o="/hwlabnitc.github.io/assets/fsm-image-0128.BYLXnBRZ.png",y="/hwlabnitc.github.io/assets/fsm-image-0135.D-DY2zzy.png",c="/hwlabnitc.github.io/assets/fsm-image-0153.CcG1zPoc.png",A=JSON.parse('{"title":"Introduction To Finite State Machines","description":"","frontmatter":{},"headers":[],"relativePath":"fsm.md","filePath":"fsm.md"}'),F={name:"fsm.md"},C=n('

Basic Definition And Formulations:

An FSM is a digital sequential circuit that can follow a number of predefined states under the control of one or more inputs. Each state is a stable entity that the machine can occupy. It can move from this state to another state under the control of an outside-world input.

Some FSMs have a clock input and are called synchronous FSMs, i.e. those that do not belong to a type of FSM called asynchronous FSMs. Each state of the FSM needs to be identifiable. This is achieved by using a number of internal (to the FSM block) flip-flops. An FSM with four states would require two flip-flops, since two flip-flops can store 22 =4 state numbers. Each state has a unique state number, and states are usually assigned numbers as s0 (state 0), s1, s2, and s3 (for the four-state example). The rule here is

Number of states = 2(number of flip-flops) or Number of flip-flops = log2(number of states)

State Table

The time sequence of inputs, outputs, and flip-flop states may be enumerated in a state table. The state table for the circuit of Fig. 1.2 is shown in Table 1.1. It consists of three sections labeled present state, next state, and output. The present state designates the states of flip-flops before the occurrence of a clock pulse. The next state shows the states of flip-flops after the application of a clock pulse, and the output section lists the values of the output variables during the present state. Both the next state and output sections have two columns, one for x = 0 and the other for x = 1.

State Equations

A state equation (also known as an application equation) is an algebraic expression that specifies the conditions for a flip-flop state transition. The left side of the equation denotes the next state of a flip-flop and the right side, a Boolean function that specifies the present state conditions that make the next state equal to 1. A state equation is similar in form to a flip-flop characteristic equation, except that it specifies the next state conditions in terms of external input variables and other flip-flop values. The state equation is derived directly from a state table. For example, the state equation for flip-flop A is derived from inspection of Table 1-1. From the next state columns, we note that flip- flop A goes to the 1 state four times: when x = 0 and AB = 01 or 10 or 11, or when x = 1 and AB = 11. This can be expressed algebraically in a state equation as follows:
A(t + 1) = (A′B + AB′ + AB) x′ + Abx

State Diagram

The information available in a state table may be represented graphically in a state diagram. In this diagram, a state is represented by a circle, and the transition between states is indicated by directed lines connecting the circles. The state diagram of the sequential circuit give in the Section 1.1 is shown below. The binary number inside each circle identifies the state the circle represents. The directed lines are labeled with two binary numbers separated by a /. The input value that causes the state transition is labeled first; the number after the symbol / gives the value of the output during the present state. For example, the directed line from state 00 to 01 is labeled 1/0, meaning that the sequential circuit is in a present state 00 while x = 1 and x = 0, and that on the termination of the next clock pulse, the circuit goes to next state 01. A directed line connecting a circle with itself indicates that no change of state occurs. The state diagram provides the same information as the state table and is obtained directly from table in Section 1.1


Types of Finite State Machines:

Mealy Model

n this model, the FSM has a number of inputs that connect to the Next State Decoder (combinational) logic. The Q outputs of the memory element Flip- Flops connect to the Output Decoder logic, which in turn connects to the Outside World Outputs. The Flip-Flops outputs are used as Next State inputs to the Next State Decoder, and it is these that determine the next state that the FSM will move to. Once the FSM has moved to this Next State, its Flip-Flops acquire a new Present State, as dictated by the Next State Decoder. Note that some of the Outside World Inputs connect directly to the Output Decoder logic. This is the main feature of the Mealy-type FSM.

Moore Model

Another architectural form for an FSM is the Moore FSM. The Moore FSM Section 2.2 differs from the Mealy FSM in that it does not have the feed-forward paths. This type of FSM is very common. Note that the Outside World Outputs are a function of the Flip-Flops outputs only (unlike the Mealy FSM architecture, where the Outside World Outputs are a function of Flip-Flops outputs and some Outside World Inputs).


Pre-Requisite Verilog Commands:

Initial

A set of Verilog statements are usually executed sequentially in a simulation. These statements are placed inside a procedural block. Initial is one of the two main types of procedural block statements. An initial block is not synthesizable and hence cannot be converted into a hardware schematic with digital elements. Hence initial blocks do not serve much purpose than to be used in simulations. These blocks are primarily used to initialize variables and drive design ports with specific values.This block will be executed only once during the entire simulation. Execution of an initial block finishes once all the statements within the block are executed.
The syntax is shown below:

v
initial
+  [single statement]
+
+initial begin
+  [multiple statement]
+end

Always

An always block is one of the procedural blocks in Verilog. Statements inside an always block are executed sequentially. The always block is executed at some particular event defined in the sensitivity list. A sensitivity list is the expression that defines when the always block should be executed and is specified after the @ operator within parentheses ( ) . This list may contain either one or a group of signals whose value change will execute the always block. An always block can be used to realize combinational or sequential elements. A sequential element like flip flop becomes active when it is provided with a clock and reset. Similarly, a combinational block becomes active when one of its nput values change. These hardware blocks are all working concurrently independent of each other. The connection between each is what determines the flow of data. To model this behavior, an always block is made as a continuous process that gets triggered and performs some action when a signal within the sensitivity list becomes active. The syntax is displayed in Section 2.2

v
always @ (event)
+  [statement]
+
+always @ (event) begin
+  [multiple statement]
+end

Begin..End

Statements are wrapped using begin and end keywords and will be executed sequentially in the given order, one after the other. Delay values are treated relative to the time of execution of the previous statement. After all the statements within the block are executed control may be passed elsewhere. The syntax is shown in Section 3.3

v
begin : name_seq
+  [statements]
+end

Fork..Join

Statements are launched in parallel by wrapping them within the join and fork keywords. A parallel block can execute statements concurrently and delay control can be used to provide time-ordering of the assignments. The syntax is shown in Section 3.4

v
fork : name_fork
+  [statements]
+join

Blocking Statements

Blocking assignment statements are assigned using = and are executed one after the other in a procedural block. However, this will not prevent execution of statments that run in a parallel block. For eg

v
module tb;
+  reg[7:0] a, b, c, d, e;
+
+  initial begin
+    a = 8'hDA;
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+
+    b = 8'hF1;
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+
+    c = 8'h30
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+  end
+
+  initial begin
+    d = 8'hAA;
+    $display("[%0t] d=0x0%h e=0x0%h", $time, d, e);
+
+    e = 8'h55;
+    $display("[%0t] d=0x0%h e=0x0%h", $time, d, e);
+  end
+endmodule

In the above example, there are two initial blocks which are executed in parallel when simulation starts. Statements are executed sequentially in each block and both blocks finish at time 0ns. To be more specific, variable a gets assigned first, followed by the display statement which is then followed by all other statements.

Non-Blocking Statements

Non-blocking assignment allows assignments to be scheduled without blocking the execution of following statements and is specified by a <= symbol. It's interesting to note that the same symbol is used as a relational operator in expressions, and as an assignment operator in the context of a non-blocking assignment. The following code snippet is written by replacing all the blocking statements in the previous example by non blocking statements. Try out for yourself and compare the difference in the outputs 😃 .

v
module tb;
+  reg[7:0] a, b, c, d, e;
+
+  initial begin
+    a <= 8'hDA;
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+
+    b <= 8'hF1;
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+
+    c <= 8'h30
+    $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time);
+  end
+
+  initial begin
+    d <= 8'hAA;
+    $display("[%0t] d=0x0%h e=0x0%h", $time, d, e);
+
+    e <= 8'h55;
+    $display("[%0t] d=0x0%h e=0x0%h", $time, d, e);
+  end
+endmodule

Posedge and Negedge

Simply speaking, posedge means the transition from 0 to 1 negedge the oposit transition from 1 to 0 In synchronous sequential circuits, changes in flip-flops occur only in response to a transition of a clock pulse. The transition may be either a positive edge or a negative edge of the clock, but not both. Verilog HDL takes care of these conditions by providing these two keywords viz. posedge and negedge. The syntax is shown in Section 3.7

v
always@(posedge clock, negedge reset);

Case Statement

The case statement checks if the given expression matches one of the other expressions in the list and branches accordingly. It is typically used to implement a multiplexer. The if-else construct may not be suitable if there are many conditions to be checked and would synthesize into a priority encoder instead of a multiplexer.

v
// Here 'expression' should match one of the lines
+case(<expression>)
+  case_item1  : <single statement>
+  case_item2,
+  case_item3  : begin
+                <multiple statement>
+              end
+  default     : <statement>
+endcase

The syntax is shown in Section 3.8. A Verilog case statement starts with the keyword case and ends with the endcase keyword. The expression within parantheses will be evaluated exactly once and is compared with the list of alternatives in the order they are written and the statements for which the alternative matches the given expression are executed. A block of multiple statements must be grouped and be within begin and end. If none of the case items match the given expression, statements within the default item is executed. The statement is optional, and there can be only one default statement in a case statement.


Examples of Implementing State Machines in Verilog:

Now that we are all set and armed with the required amunition of verilog commands, let’s dive headfirst into implementing state machines using verilog. This section will consist of four examples. Each example will consist of the problem statement, the state diagram, the verilog code and testbench and finally,the output of the code. Try implementing these problems on your own first without referring to this manual. If yoou get stuck at any point, you can come back and take a peek 😉 Remember, the key lies in understanding the state diagram thoroughly!!

Mealy Machine Implementations

Let’s start our dive with a simple example of implementing a mealy machine. Recall that in a Mealy machine, the output is a function of both, the present state as well as the input. Let’s consider the example of a Mealy Zero Detector shown in Section 4.1

Verilog Code:

v
module mealy_zero_det(
+  output reg y_out,
+  input x_in,clk,reset);
+
+  reg [1:0] state,next_state;
+  parameter S0 = 2'b00, S1 = 2'b01, S2 = 2'b10, S3 = 2'b11;
+
+  always@(posedge clk,negedge reset)
+    if (reset==0) state <= S0;
+    else state<=next_state;
+
+  always @ (state, x_in)
+    case (state)
+      S0: 
+        if (x_in) next_state = S1; else next_state =S0; 
+      S1:
+        if (x_in) next_state = S3; else next_state =S0;
+      S2: 
+        if (~x_in) next_state = S0; else next_state = S2
+      S3: 
+        if (x_in) next_state = S2; else next_state=S0
+    endcase
+
+  always @ (state, x_in)
+    case (state)
+      S0:
+        y_out = 0;
+      S1, S2, S3: 
+        y_out = ~x_in;
+    endcase
+
+endmodule

TestBench:

v
module mealy_zero_det_tb();
+  wire t_y_out;
+  reg t_x_in,t_clock,t_reset;  
+  mealy_zero_det uut(t_y_out,t_x_in,t_clock,t_reset);initial #200 $finish;
+  initial 
+    begin 
+      $dumpfile("dump.vcd");
+      $dumpvars(1);
+      t_clock = 0; 
+      forever #5 t_clock = ~t_clock; 
+    end
+  initial 
+    fork
+      t_reset = 0;
+      #2 t_reset = 1;
+      #87 t_reset = 0;
+      #89 t_reset = 1;
+      #10 t_x_in = 1;
+      #30 t_x_in = 0;
+      #40 t_x_in = 1;
+      #50 t_x_in = 0;
+      #52 t_x_in = 1;
+      #54 t_x_in = 0;
+      #70 t_x_in = 1;
+      #80 t_x_in = 1;
+      #70 t_x_in = 0;
+      #90 t_x_in = 1;
+      #100 t_x_in = 0;
+      #120 t_x_in = 1;
+      #160 t_x_in = 0;
+      #170 t_x_in = 1;
+    join
+endmodule

Simulation Waveform:

Moore Machine Implememtation

Let’s try implementing the above example in Moore Model. The state diagram needs to be modified as in the Moore Model, the output depends only on the initial state. The state diagram will be as shown in Section 4.2

Verilog Code:

v
module moore_zero_det (   
+  output [1:0] y_out,
+  input x_in, clock, reset
+  );
+
+  reg [1:0] state;
+  parameter S0 = 2'b00, S1 = 2'b01, S2 = 2'b10, S3 = 2'b11;
+
+  always @ (posedge clock, negedge reset)
+    if (reset == 0)
+      state <= S0;
+    else
+      case (state)
+        S0: 
+          if (~x_in)
+            state <= S1;
+          else
+            state <= S0;
+        S1: 
+          if (x_in)
+            state <= S2; 
+          else               
+            state <= S3;
+        S2: 
+          if (~x_in)               
+            state <= S3;          
+          else               
+            state <= S2;
+        S3: 
+          if (~x_in)               
+            state <= S0;          
+          else               
+            state <= S3;
+      endcase
+      
+  assign y_out = state;
+endmodule

Testbench:

v
module moore_zero_det_tb();
+wire [1:0] t_y_out;
+reg t_x_in,t_clock,t_reset;  
+moore_zero_det uut(t_y_out,t_x_in,t_clock,t_reset);initial #200 $finish;
+initial 
+  begin 
+    // Dump waves
+    $dumpfile("dump.vcd");
+    $dumpvars(1);
+    t_clock = 0; 
+    forever #5 t_clock = ~t_clock;
+  end
+    initial 
+      fork
+        t_reset = 0;
+        #2 t_reset = 1;
+        #87 t_reset = 0;
+        #89 t_reset = 1;
+        #10 t_x_in = 1;
+        #30 t_x_in = 0;
+        #40 t_x_in = 1;
+        #50 t_x_in = 0;
+        #52 t_x_in = 1;
+        #54 t_x_in = 0;
+        #70 t_x_in = 1;
+        #80 t_x_in = 1;
+        #70 t_x_in = 0;
+        #90 t_x_in = 1;
+        #100 t_x_in = 0;
+        #120 t_x_in = 1;
+        #160 t_x_in = 0;
+        #170 t_x_in = 1;
+      join
+endmodule

Simulation Waveform:

Chess Clock Controller

Background: At the start of a new game, the Reset input is asserted to initialize the system and clear both timers to zero time. This is achieved by means of the Clr output of the Chess Clock FSM being driven high, thereby asserting the reset (rst) input of both timers. Each chess player has a push-button, which when pressed applies a logic 1 to their respective inputs, Pa and Pb, of the Chess Clock FSM. After resetting the timers, the player who is not making the first move presses their push-button in order to enable the other player’s timer to commence timing.
For example, if Player-A is to make the first move, then Player-B starts the game by pressing their push-button. This has the effect of activating the Ta output of the Chess Clock FSM block in order to enable TIMER-A to record the time taken by Player-A to make the first move. Once Player-A completes the first move, Player-A’s button is pressed in order to stop their own timer and start Player-B’s timer (Ta is negated and Tb is asserted)
The state diagram of this machine is given in Section 4.3


As shown, the FSM makes use of four states having the names shown in the upper half of the state circles. The states of the FSM outputs Ta, Tb and Clr are listed in the lower half of every state circle; those outputs preceded by ‘/’ are forced to logic 0, whereas those without ‘/’ are forced to logic 1. The presence of the output states within each of the state circles indicates that the Chess Clock FSM is of the Moore variety. The values of the inputs, Pa and Pb, are shown alongside each corresponding state transition path (arrow) using a format similar to that used to show the state of the outputs. The movement from one state to another occurs on the rising edge of the Clock input. Where the number of transitions shown originating from a given state is less than the total number possible, the remaining input conditions result in a so-called sling, i.e. the next state is the same as the current state.

Verilog Code:

v
module chess_clk_cntrl(
+  output time_a,time_b,clr,
+  input player_a,player_b,clk,reset);
+  
+  localparam run_a=0,run_b=1,stop=2,Wait=3;
+  reg [1:0] state;
+  always@(posedge clk,posedge reset)
+    begin
+      if(reset)
+        state<=stop;
+      else
+        case(state)
+          run_a:
+            casex({player_a,player_b})
+              2'b0x: state<=run_a;
+              2'b10: state<=run_b;
+              2'b11: state<=Wait;
+            endcase
+          run_b:
+            casex({player_a,player_b})
+              2'bx0: state<=run_b;
+              2'b01: state<=run_a;
+              2'b11: state<=Wait;
+            endcase
+          stop:
+            case({player_a,player_b})
+              2'b00: state<=stop;
+              2'b01: state<=run_a;
+              2'b10: state<=run_b;
+              2'b11: state<=Wait;
+            endcase
+          Wait:
+            if(player_a==player_b) 
+              state<=Wait;
+          	else 
+              if(player_a==1'b1)
+                state<=run_b;
+          	  else
+                state<=run_a;
+        endcase
+    end
+  assign time_a=state==run_a;
+  assign time_b=state==run_b;
+  assign clr=state==stop;
+endmodule

Testbench:

v
module chess_clk_cntrl_tb();
+  wire time_a,time_b,clr;
+  reg player_a,player_b,clk,reset;
+  chess_clk_cntrl 	uut(time_a,time_b,clr,player_a,player_b,clk,reset);
+  initial
+    begin
+      $dumpfile("dump.vcd");
+	  $dumpvars(1);
+      clk=1'b0;
+      forever 
+        #50 clk=~clk;
+    end
+  
+  initial
+    begin
+      reset=1'b1;player_a=1'b0;player_b=1'b0;
+      #100 reset=1'b0;
+      #101 player_a=1'b1;
+      #167 player_a=1'b0;
+      #215 player_b=1'b1;
+      #289 player_b=1'b0;
+      #350 player_a=1'b1;player_b=1'b1;
+      #478 player_a=1'b0;player_b=1'b0;
+      #513 player_b=1'b1;
+      #550 $stop;
+    end
+endmodule

Simulation Waveform:

Vending Machine

Our vending machine is based on the following state diagram:


The machine has the following states: •State 1: Reset •State 2: Five •State 3: Ten •State 4: Fifteen •State 5: Twenty •State 6: Twenty Five The machine only accepts the following coins •Rs. 5 (nickel) •Rs. 10 (dime) •Rs. 25 (quarter) Whenever we get a coin we jump to the next state. So for example, if we get a coin from the reset state, say a Rs. 5 coin, then we jump to the next state Five . Otherwise we stay in the same state. When we get Extra amount we come back to the reset state and the difference is given back to the user.

Verilog Code

v
module vending_machine(
+  output reg vend,
+  output reg [2:0] change,state,
+  input [2:0] coin,
+  input clk,rst);
+  
+  parameter [2:0]rs_5= 3'b001;
+  parameter [2:0]rs_10= 3'b010;
+  parameter [2:0]rs_15= 3'b011;
+  parameter [2:0]rs_20= 3'b100;
+  parameter [2:0]rs_25= 3'b101;
+  
+  parameter [2:0]idle= 3'b000;
+  parameter [2:0]five= 3'b001;
+  parameter [2:0]ten= 3'b010;
+  parameter [2:0]fifteen= 3'b011;
+  parameter [2:0]twenty= 3'b100;
+  parameter [2:0]twenty_five=3'b101;
+  
+  reg[2:0] next_state;
+  
+  always@(coin or state)
+    begin
+      next_state=0;
+      case(state)
+        idle:
+          case(coin)
+            rs_5: next_state=five;
+            rs_10: next_state=ten;
+            rs_25: next_state=twenty_five;
+            default: next_state=idle;
+          endcase
+        five:
+          case(coin)
+            rs_5: next_state= ten;
+            rs_10: next_state=fifteen;
+            rs_25: next_state=twenty_five;
+            default: next_state=five;
+          endcase
+        ten:
+          case(coin)
+            rs_5: next_state=fifteen;
+            rs_10: next_state=twenty;
+            rs_25: next_state=twenty_five;
+            default: next_state=ten;
+          endcase
+        fifteen:
+          case(coin)
+            rs_5: next_state=twenty;
+            rs_10: next_state=twenty_five;
+            rs_25: next_state=twenty_five;
+            default: next_state=fifteen;
+          endcase
+        twenty:
+          case(coin)
+            rs_5: next_state=twenty_five;
+            rs_10: next_state=twenty_five;
+            rs_25: next_state=twenty_five;
+            default: next_state=twenty;
+          endcase
+        twenty_five:
+          next_state=idle;
+        default: next_state=idle;
+      endcase
+    end
+  
+  always@(clk)
+    begin
+      if(rst)
+        begin
+          change<=3'b000;
+          vend<=1'b0;
+          state<=idle;
+        end
+      else
+        state<=next_state;
+      case(state)
+        idle:
+          begin
+            vend<=1'b0;
+            change<=3'd0;
+          end
+        five:
+          begin
+            vend<=1'b0;
+            if(coin==rs_25)
+              change<=rs_5;
+            else
+              change<=3'd0;
+          end
+        ten:
+          begin
+            vend<=1'b0;
+            if(coin==rs_25)
+              change<=rs_10;
+            else
+              change<=3'd0;
+          end
+        fifteen:
+          begin
+            vend<=1'b0;
+            if(coin==rs_25)
+              change<=rs_15;
+            else
+              change<=3'd0;
+          end
+        twenty:
+          begin
+            vend<=1'b0;
+            if(coin==rs_10)
+              change<=rs_5;
+            else
+              if(coin==rs_25)
+                change<=rs_20;
+              else
+                change<=3'd0;
+          end
+        twenty_five:
+          begin
+            vend<=1'b1;
+            change<=3'd0;
+          end
+        default: state<=idle;
+      endcase
+    end
+endmodule

TestBench:

v
module vending_machine_tb();
+  wire vend;
+  wire [2:0] change,state;
+  reg [2:0] coin;
+  reg clk,rst;
+  
+  vending_machine uut(vend,change,state,coin,clk,rst);
+  
+  parameter [2:0]rs_5= 3'b001;
+  parameter [2:0]rs_10= 3'b010;
+  parameter [2:0]rs_15= 3'b011;
+  parameter [2:0]rs_20= 3'b100;
+  parameter [2:0]rs_25= 3'b101;
+  
+  parameter [2:0]idle= 3'b000;
+  parameter [2:0]five= 3'b001;
+  parameter [2:0]ten= 3'b010;
+  parameter [2:0]fifteen= 3'b011;
+  parameter [2:0]twenty= 3'b100;
+  parameter [2:0]twenty_five=3'b101;
+  
+  initial 
+    begin
+    $dumpvars;
+	  $dumpfile("file.vcd");
+      clk=1'b0; rst=1'b1;
+      #2 rst=0; coin=rs_5;
+      #2 rst=1; coin=2'b00;
+      #2 rst=0;coin=rs_10;
+      #2 rst=1; coin=2'b00;
+	  #2 rst=0; coin=rs_25;
+      #2 rst=1; coin=2'b00;
+      #2 rst=0; coin=rs_5;
+      #2 coin=rs_5;
+      #2 coin=rs_5;
+      #2 coin=rs_5;
+      #2 coin=rs_5;
+      #2 rst=1; coin=2'b00;
+      #2 rst=0; coin=rs_5;
+      #2 coin=rs_10;
+      #2 coin=rs_10;
+      #2 rst=1; coin=2'b00;
+      #2 rst=0; coin=rs_10;
+      #2 coin=rs_25;
+      #2 coin=rs_5;
+      #2 rst=1; coin=2'b00;
+      #2 rst=0; coin=rs_10;
+      #2 coin= rs_10;
+      #2 coin=rs_25;
+      #2 rst=1; coin=2'b00;
+      #2 $finish;
+    end
+  always
+	#1 clk=~clk;
+  initial 
+    begin
+      if (rst)
+			coin=2'b00;
+	end
+endmodule

And with this we have come to the end of the manual for Finite State Machines. Up next, you will learning the implementation of a Single Cycle MIPS Processor. Hopefully this manual helped in broadening your understanding of Finite State Machines from a very basic to a fairly advanced level. You’ll be required to implement these concepts again in the Practice Questions.


References

  1. Digital Design: With An Introduction to Verilog HDL (5th Edition), M. Morris Mano, Michael D. Cilleti.
  2. FSM-based Digital Design using Verilog HDL, Peter Minns and Ian Elliott.
  3. http://www.csun.edu/~ags55111/
  4. [https://ocw.mit.edu/courses/6-111-introductory-digital-systems-
    laboratory-spring-2006/](https://ocw.mit.edu/courses/6-111-introductory-digital-systems-
    laboratory-spring-2006/)
  5. https://www.chipverify.com/verilog/verilog-tutorial
',92),b=[C];function u(m,f,B,w,_,v){return a(),i("div",null,b)}const D=s(F,[["render",u]]);export{A as __pageData,D as default}; diff --git a/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.lean.js b/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.lean.js new file mode 100644 index 0000000..04903f4 --- /dev/null +++ b/docs/.vitepress/dist/assets/fsm.md.BpozOCs2.lean.js @@ -0,0 +1 @@ +import{_ as s,c as i,o as a,a4 as n}from"./chunks/framework.DRnJpP2i.js";const t="/hwlabnitc.github.io/assets/fsm-image-0010.T24H2Nci.png",e="/hwlabnitc.github.io/assets/fsm-image-0016.BirHtMRq.png",h="/hwlabnitc.github.io/assets/fsm-image-0022.B4FawsqK.png",l="/hwlabnitc.github.io/assets/fsm-image-0029.CbRphb6c.png",p="/hwlabnitc.github.io/assets/fsm-image-0035.BXkF_Dg3.png",k="/hwlabnitc.github.io/assets/fsm-image-0042.j6esA-Y4.png",r="/hwlabnitc.github.io/assets/fsm-image-0099.riYCyYMD.png",E="/hwlabnitc.github.io/assets/fsm-image-0100.DaiXE2Ht.png",d="/hwlabnitc.github.io/assets/fsm-image-0112.7vZ8vL9Z.png",g="/hwlabnitc.github.io/assets/fsm-image-0119.RUOc0GsR.png",o="/hwlabnitc.github.io/assets/fsm-image-0128.BYLXnBRZ.png",y="/hwlabnitc.github.io/assets/fsm-image-0135.D-DY2zzy.png",c="/hwlabnitc.github.io/assets/fsm-image-0153.CcG1zPoc.png",A=JSON.parse('{"title":"Introduction To Finite State Machines","description":"","frontmatter":{},"headers":[],"relativePath":"fsm.md","filePath":"fsm.md"}'),F={name:"fsm.md"},C=n("",92),b=[C];function u(m,f,B,w,_,v){return a(),i("div",null,b)}const D=s(F,[["render",u]]);export{A as __pageData,D as default}; diff --git a/docs/.vitepress/dist/assets/index.md.BiX3HcXP.js b/docs/.vitepress/dist/assets/index.md.BiX3HcXP.js new file mode 100644 index 0000000..fb9740f --- /dev/null +++ b/docs/.vitepress/dist/assets/index.md.BiX3HcXP.js @@ -0,0 +1 @@ +import{_ as e,c as t,o,a4 as a}from"./chunks/framework.DRnJpP2i.js";const g=JSON.parse('{"title":"Welcome to the Hardware Lab Guide","description":"","frontmatter":{"layout":"home","hero":{"image":"/NITC_Logo.png","name":"Hardware Lab NITC","text":"","tagline":"The official Hardware Lab Guide","actions":[{"theme":"brand","text":"Verilog Docs","link":"/markdown-examples"},{"theme":"alt","text":"MIPS Docs","link":"/api-examples"},{"theme":"alt","text":"Single Cycle Docs","link":"/guide-examples"}]},"features":[{"title":"Extensive documentation","details":"Study the documentation to understand the working of the topics hardware lab","link":"/markdown-examples"},{"title":"Video tutorials","details":"Watch the video tutorials to understand sample codes and key concepts"},{"title":"Sample Codes","details":"Practice the sample codes to understand the working of the topics"}]},"headers":[],"relativePath":"index.md","filePath":"index.md"}'),i={name:"index.md"},n=a('

Welcome to the Hardware Lab Guide

This course covers the following topics-

  • Introduction to Verilog and Single Cycle - Verilog is a hardware description language (HDL) used for designing and simulating digital systems. In this course, you will learn about Verilog in detail, including its syntax, data types, modules, behavioral modeling, and structural modeling. You will also understand how to simulate and test your Verilog designs and further run basic MIPS instructions using your own Single Cycle program.

  • Introduction to MIPS ISA - MIPS (Microprocessor without Interlocked Pipeline Stages) is a reduced instruction set computer (RISC) architecture commonly used in embedded systems and educational environments. In this course, you will dive into the details of the MIPS architecture, including its instruction set, registers, memory organization, and execution flow. You will also learn how to write MIPS assembly code and understand the basic concepts of computer organization and architecture.

Course Layout

This tutorial is split into a 2 parts for each topic-

  1. Theory - This part focuses on the theoretical concepts and principles of the topic. You will find comprehensive documentation and video explanation that covers the fundamental ideas, techniques, and best practices related to Verilog and MIPS. These resources will provide you with a solid understanding of the topics and their applications.

  2. Implementation - This part emphasizes the practical aspect of the course. You will find documentation and video tutorials that guide you through the implementation of Verilog designs and MIPS assembly code. This hands-on approach will help you gain practical skills and experience in designing and working with digital systems using Verilog and MIPS.

By following this course layout, you will have a well-rounded understanding of Verilog and MIPS, both from a theoretical and practical perspective.

',7),s=[n];function r(l,d,c,u,h,p){return o(),t("div",null,s)}const w=e(i,[["render",r]]);export{g as __pageData,w as default}; diff --git a/docs/.vitepress/dist/assets/index.md.BiX3HcXP.lean.js b/docs/.vitepress/dist/assets/index.md.BiX3HcXP.lean.js new file mode 100644 index 0000000..47ab765 --- /dev/null +++ b/docs/.vitepress/dist/assets/index.md.BiX3HcXP.lean.js @@ -0,0 +1 @@ +import{_ as e,c as t,o,a4 as a}from"./chunks/framework.DRnJpP2i.js";const g=JSON.parse('{"title":"Welcome to the Hardware Lab Guide","description":"","frontmatter":{"layout":"home","hero":{"image":"/NITC_Logo.png","name":"Hardware Lab NITC","text":"","tagline":"The official Hardware Lab Guide","actions":[{"theme":"brand","text":"Verilog Docs","link":"/markdown-examples"},{"theme":"alt","text":"MIPS Docs","link":"/api-examples"},{"theme":"alt","text":"Single Cycle Docs","link":"/guide-examples"}]},"features":[{"title":"Extensive documentation","details":"Study the documentation to understand the working of the topics hardware lab","link":"/markdown-examples"},{"title":"Video tutorials","details":"Watch the video tutorials to understand sample codes and key concepts"},{"title":"Sample Codes","details":"Practice the sample codes to understand the working of the topics"}]},"headers":[],"relativePath":"index.md","filePath":"index.md"}'),i={name:"index.md"},n=a("",7),s=[n];function r(l,d,c,u,h,p){return o(),t("div",null,s)}const w=e(i,[["render",r]]);export{g as __pageData,w as default}; diff --git a/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.js b/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.js deleted file mode 100644 index 208e1e2..0000000 --- a/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.js +++ /dev/null @@ -1 +0,0 @@ -import{_ as e,c as t,o,a4 as a}from"./chunks/framework.CgMb17D3.js";const g=JSON.parse('{"title":"Welcome to the Hardware Lab Guide","description":"","frontmatter":{"layout":"home","hero":{"image":"/img/favicon.ico","name":"Hardware Lab NITC","text":"","tagline":"The official Hardware Lab Guide","actions":[{"theme":"brand","text":"Verilog Docs","link":"/markdown-examples"},{"theme":"alt","text":"MIPS Docs","link":"/api-examples"},{"theme":"alt","text":"Single Cycle Docs","link":"/guide-examples"}]},"features":[{"title":"Extensive documentation","details":"Study the documentation to understand the working of the topics hardware lab","link":"/markdown-examples"},{"title":"Video tutorials","details":"Watch the video tutorials to understand sample codes and key concepts"},{"title":"Sample Codes","details":"Practice the sample codes to understand the working of the topics"}]},"headers":[],"relativePath":"index.md","filePath":"index.md"}'),i={name:"index.md"},n=a('

Welcome to the Hardware Lab Guide

This course covers the following topics-

  • Introduction to Verilog and Single Cycle - Verilog is a hardware description language (HDL) used for designing and simulating digital systems. In this course, you will learn about Verilog in detail, including its syntax, data types, modules, behavioral modeling, and structural modeling. You will also understand how to simulate and test your Verilog designs and further run basic MIPS instructions using your own Single Cycle program.

  • Introduction to MIPS ISA - MIPS (Microprocessor without Interlocked Pipeline Stages) is a reduced instruction set computer (RISC) architecture commonly used in embedded systems and educational environments. In this course, you will dive into the details of the MIPS architecture, including its instruction set, registers, memory organization, and execution flow. You will also learn how to write MIPS assembly code and understand the basic concepts of computer organization and architecture.

Course Layout

This tutorial is split into a 2 parts for each topic-

  1. Theory - This part focuses on the theoretical concepts and principles of the topic. You will find comprehensive documentation and video explanation that covers the fundamental ideas, techniques, and best practices related to Verilog and MIPS. These resources will provide you with a solid understanding of the topics and their applications.

  2. Implementation - This part emphasizes the practical aspect of the course. You will find documentation and video tutorials that guide you through the implementation of Verilog designs and MIPS assembly code. This hands-on approach will help you gain practical skills and experience in designing and working with digital systems using Verilog and MIPS.

By following this course layout, you will have a well-rounded understanding of Verilog and MIPS, both from a theoretical and practical perspective.

',7),s=[n];function r(l,d,c,u,h,p){return o(),t("div",null,s)}const w=e(i,[["render",r]]);export{g as __pageData,w as default}; diff --git a/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.lean.js b/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.lean.js deleted file mode 100644 index f6bdbe5..0000000 --- a/docs/.vitepress/dist/assets/index.md.Ccl4vJqT.lean.js +++ /dev/null @@ -1 +0,0 @@ -import{_ as e,c as t,o,a4 as a}from"./chunks/framework.CgMb17D3.js";const g=JSON.parse('{"title":"Welcome to the Hardware Lab Guide","description":"","frontmatter":{"layout":"home","hero":{"image":"/img/favicon.ico","name":"Hardware Lab NITC","text":"","tagline":"The official Hardware Lab Guide","actions":[{"theme":"brand","text":"Verilog Docs","link":"/markdown-examples"},{"theme":"alt","text":"MIPS Docs","link":"/api-examples"},{"theme":"alt","text":"Single Cycle Docs","link":"/guide-examples"}]},"features":[{"title":"Extensive documentation","details":"Study the documentation to understand the working of the topics hardware lab","link":"/markdown-examples"},{"title":"Video tutorials","details":"Watch the video tutorials to understand sample codes and key concepts"},{"title":"Sample Codes","details":"Practice the sample codes to understand the working of the topics"}]},"headers":[],"relativePath":"index.md","filePath":"index.md"}'),i={name:"index.md"},n=a("",7),s=[n];function r(l,d,c,u,h,p){return o(),t("div",null,s)}const w=e(i,[["render",r]]);export{g as __pageData,w as default}; diff --git a/docs/.vitepress/dist/assets/mips.md.D391O4eq.js b/docs/.vitepress/dist/assets/mips.md.D391O4eq.js new file mode 100644 index 0000000..a0d800f --- /dev/null +++ b/docs/.vitepress/dist/assets/mips.md.D391O4eq.js @@ -0,0 +1,924 @@ +import{_ as e,a as t,b as i,c as p,d as l,e as r,f as o,g as d,h,i as c,j as g,k as u,l as k,m,n as b,o as f}from"./chunks/mips2-image-0009.DFxqnWYO.js";import{_ as y,c as E,a as s,a4 as n,j as a,o as $}from"./chunks/framework.DRnJpP2i.js";const L=JSON.parse('{"title":"MIPS","description":"","frontmatter":{},"headers":[],"relativePath":"mips.md","filePath":"mips.md"}'),v={name:"mips.md"},w=n(`

MIPS

Setting up the MARS Code Editor

Introduction to MARS Simulator

MARS simulator will be the software on which we will be running our MIPS code.

Setting up JAVA SDK

(Please note this tutorial is to download SDK Ver 11)

Downloading Java SDK on MacOS:
  1. Open a web browser on your macOS computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your macOS version (e.g., macOS x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the macOS version and click on the provided download link.
  8. The JDK installer package will be downloaded to your computer.
  9. Locate the downloaded package in your Downloads folder or the folder you specified for downloads.
  10. Double-click on the JDK installer package to launch the installation wizard.
  11. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your macOS computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Windows version (e.g., Windows x64).
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Windows version and click on the provided download link.
  8. The JDK installer executable file (e.g., jdk-11.0.x_windows-x64_bin.exe) will be downloaded to your computer.
  9. Locate the downloaded executable file, and double-click on it to launch the installation wizard.
  10. Follow the instructions in the installation wizard to complete the installation of the Java SDK on your Windows computer.
Downloading Java SDK on Windows:
  1. Open a web browser on your Linux computer.
  2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ technologies/javase-jdk11-downloads.html.
  3. On the Downloads page, locate the JDK (Java Development Kit) section.
  4. Click on the "Download" button for the version of Java you want to install. Make sure to select the version suitable for your Linux distribution.
  5. You may be prompted to log in or create an Oracle account. Follow the instructions on the screen to proceed.
  6. Once logged in, review and accept the license agreement.
  7. On the download page, locate the Linux version and click on the provided download link.
  8. The JDK archive file (e.g., jdk-11.0.x_linux-x64_bin.tar.gz) will be downloaded to your computer.
  9. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  10. Navigate to the directory where you downloaded the JDK archive file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  11. Extract the contents of the JDK archive using the following command: tar -xvzf jdk-11.0.x_linux-x64_bin

Setting Up MARS Simulator

Note: Is your MARS text unreadably small? Download and use a new release Java 9 or above which contains a fix to automatically scale and size AWT and Swing components for High Dots Per Inch (HiDPI) displays on Windows and Linux.

Downloading MIPS MARS Simulator on macOS:
  1. Open a web browser on your macOS computer.
  2. Go to the official website of MIPS MARS at [ http:// courses.missouristate.edu/kenvollmar/mars/]( http:// courses.missouristate.edu/kenvollmar/mars/).
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Double-click on the ZIP file to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Applications folder.
  8. Open Terminal on your macOS by going to Applications > Utilities > Terminal.
  9. In the Terminal window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Applications folder, you can use the following command: cd/Applications
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your macOS computer.
Downloading MIPS MARS Simulator on Windows:
  1. Open a web browser on your Windows computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/]([http://courses.missouristate.edu/ kenvollmar/mars/])
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer.
  5. Locate the downloaded ZIP file in your Downloads folder or the folder you specified for downloads.
  6. Right-click on the ZIP file and select "Extract All" to extract its contents. This will create a JAR file named "mars4_5.jar".
  7. Move the "mars4_5.jar" file to a suitable location on your computer, such as the Program Files folder.
  8. Open the Command Prompt on your Windows computer by pressing the Windows key + R, typing "cmd," and hitting Enter.
  9. In the Command Prompt window, navigate to the directory where you placed the "mars4_5.jar" file. For example, if you placed it in the Program Files folder, you can use the following command: cd "C:\\Program Files"
  10. Once you are in the correct directory, execute the following command to run the MIPS MARS simulator: java -jar mars4_5.jar
  11. The MIPS MARS simulator should now launch on your Windows computer.
Downloading MIPS MARS Simulator on Linux:
  1. Open a web browser on your Linux computer.
  2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ kenvollmar/mars/](http://courses.missouristate.edu/ kenvollmar/mars/)
  3. On the homepage, click on the "MARS 4.5" link under the "Download MARS" section.
  4. A ZIP file named "Mars4_5.jar.zip" will be downloaded to your computer.
  5. Open the terminal on your Linux system. You can typically find it in the Applications menu or by using the shortcut Ctrl+Alt+T.
  6. Navigate to the directory where you downloaded the ZIP file. For example, if it's in the Downloads folder, you can use the following command: cd ~/Downloads
  7. Unzip the ZIP file using the following command: unzip Mars4_5.jar.zip
  8. This will extract the "Mars4_5.jar" file from the ZIP archive.
  9. Move the "Mars4_5.jar" file to a suitable location on your computer. For instance, you can move it to the /opt directory using the following command: sudo mv Mars4_5.jar /opt
  10. To run the MIPS MARS simulator, open the terminal and navigate to the directory where you placed the JAR file. For example, if you moved it to the / opt directory, use the following command: cd /opt
  11. Execute the following command to launch the MIPS MARS simulator java -jar Mars4_5.jar
  12. The MIPS MARS simulator should now launch on your Linux computer.

MARS ASSEMBLY AND SYSTEM CALLS

Before assembling, the environment of this simulator can be simplisticly split to three segments: the editor at the upper left where all of the code is being written, the compiler/output right beneath the editor and the list of registers that represent the "CPU" for our program.

After assembling (by simply pressing F3) the environment changes, with two new segments getting the position of the editor: the text segment where

i) each line of assembly code gets cleared of "pseudoinstructions" (we'll talk about those in a sec) at the "basic" column and

ii) the machine code for each instruction at the "code" column, and the data segment where we can have a look at a representation of the memory of a processor with little-endian order.

After assembling, we can execute our code either all at once (F5) or step by step (F7), as well as rewinding the execution several steps backwards to the back (F8).

MARS accepts and exports files with the .asm filetype

Pseudo instructions

Before looking at the instruction set , let us look at a few pseudo instructions that’ll help you understand the IS better.

Here's a list of useful pseudo-instructions.

mov $t0, $t1: Copy contents of register t1 to register t0.

li $s0, immed: Load immediate into to register s0. The way this is translated depends on whether immed is 16 bits or 32 bits.

la $s0, addr: Load address into to register s0.

lw $t0, address: Load a word at address into register t0

Given below are some standard arithmetic and logical instructions standard to the MIPS Instruction Set Architecture.

**MARS provides a small set of operating system-like services through the system call (syscall) instruction **

**To request a service, a program loads the system call code into register $v0 and arguments into registers $a0~$a3 **

System calls that return values put their results in register $v0

Arithmetic Instructions
InstructionExampleMeaningComments
addadd $1,$2,$3$1=$2+$3
subtractsub $1,$2,$3$1=$2-$3
add immediateaddi $1,$2,100$1=$2+100"Immediate" means a constant number
add unsignedaddu $1,$2,$3$1=$2+$3Values are treated as unsigned integers,not two's complement integer
subtract unsignedsubu $1,$2,$3$1=$2-$3Values are treated as unsigned integers,not two's complement integers
add immediate unsignedaddiu $1,$2,100$1=$2+100Values are treated as unsigned integers,not two's complement integers
multiply (without overflow)mul $1,$2,$3$1=$2*$3Result is only 32 bits!
multiplymult $1,$2,$3$hi, $low=$2*$3Upper 32 bits stored in special register hi. Lower 32 bits stored in special register lo
dividediv $1,$2,$3$hi,$low=$2/$3Remainder stored in special register hi. Quotient stored in special register lo

Logical

InstructionExampleMeaningComments
andand $1,$2,$3$1=$2&$3Bitwise AND
oror $1,$2,$3$1=$2$3
and immediateandi $1,$2,100$1=$2&100Bitwise AND with immediate value
or immediateori $1,$2,100$1=$2100
shift left logicalsll $1,$2,10$1=$2<<10Shift left by constant number of bits
shift right logicalsrl $1,$2,10$1=$2>>10Shift right by constant number of bits

Data Transfer

InstructionExampleMeaningComments
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
store wordsw $1,100($2)Memory[$2+100]=$1Copy from register to memory
load upper immediatelui $1,100$1=100x2^16Load constant into upper 16 bits. Lower 16 bits are set to zero
load addressla $1,label$1=Address of the labelPseudo-instruction (provided by assembler). Loads computed address of label (not it's contents) into register
load immediateli $1,100$1=100Pseudo instruction (provided by assembler). Loads immediate value into the register.
move from himfhi $2$2=hiCopy from special register hi to general register
move from lomflo $2$2=loCopy from special register lo to general register
load wordlw $1,100($2)$1=Memory[$2+100]Copy from memory to register
movemove $1,$2$1=$2Pseudo instruction (provided by assembler). Copy from register to register

Conditional Branch

InstructionExampleMeaningComments
branch on equalbeq $1,$2,100if($1==$2) go to PC+4+100Test if registers are equal
branch on not equalbne $1,$2,100if($1!=$2) go to PC+4+100Test if registers are not equal
branch on greater thanbgt $1,$2,100if($1>$2) go to PC+4+100Pseudo-instruction
branch on greater than or equalbge $1,$2,100if($1>=$2) go to PC+4+100Pseudo-instruction
branch on less thanblt $1,$2,100if($1<$2) go to PC+4+100Pseudo-instruction
branch on less than or equalble $1,$2,100if($1<=$2) go to PC+4+100Pseudo-instruction

Comparison

InstructionExampleMeaningComments
set on less thanslt $s1,$s2,$s3if($2<$3) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.
set on less than immediateslti $s1,$s2,100if($2<100) $1 = 1;else $1 = 0Test if less than. If true set $1 to 1. Otherwise set $1 to 0.

Unconditional Jump

InstructionExampleMeaningComments
jumpj 1000go to address 1000Jump to target address
jump registerjr $1go to address stored in $1For switch procedure return
jump and linkjal 1000$ra=PC+4 go to address 1000Use when making procedure call. This saves the return address in $ra

System Calls

ServiceOperationCode(in $v0)ArgumentsResults
print_intPrint integer number (32 bit)1$a0 = integer to be printedNone
print_floatPrint floating-point number (32 bit)2$f12 = float to be printedNone
print_doublePrint floating-point number (64 bit)3$f12 = integer to be printedNone
print_stringPrint null-terminated character string4$a0 = address of string in memoryNone
read_intRead integer number from user5NoneInteger returned in $v0
read_floatRead floating-point number from user6 NoneFloat returned in $f0
read_doubleRead double floating-point number from user7NoneDouble returned in $f0
read_stringWorks the same as Standard Clibrary fgets()8$a0 = memory address of string input buffer $a1 = length of string buffer (n)None
sbrkReturns the address to a block of memory containing n additional bytes (Useful for dynamic memory allocation)9$a0=amountaddress in $v0
exitStop program from running10NoneNone
print_charPrint character11$a0 = character to be printedNone
read_charRead character from user12NoneChar returned in $v0
exit2Stops program from running and returns an integer17$a0 = result(integer number)None

The complete list of syscalls can be accessed at
https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html

Assembler Directives

DirectiveResult
.word w1, ..., wnStore n 32-bit values in successive memory words
.half h1, ..., hnStore n 16-bit values in successive memory words
.byte b1, ..., bnStore n 8-bit values in successive memory words
.ascii strStore the ASCII string str in memory. Strings are in double-quotes, i.e. "Computer Science"
.asciiz strStore the ASCII string str in memory and null terminate it. Strings are in double-quotes, i.e. "Computer Science"
.space nLeave an empty n-byte region of memory for later use
.align nAlign the next datum on a 2^n byte boundary. For example, .align 2 aligns the next value ona word boundary

Registers

Register NumberRegister NameDescription
0$zeroThe value 0
2-3$v0-$v1Values from expression evaluation and function results
4-7$a0-$a3(arguments) First four parameters for subroutine
8-15, 24-25$t0-$t9Temporary variables
16-23$s0-$s7Saved values representing final computed results
31$raReturn address

MARS(MIPS Assembler/Simulator) Tutorial

1. Input the Tutorial program

1.1) Open the MARS program and click from the file menu choose “File...New”. A black document will open which you can enter your assembly code into. Click “File...Save As” and save the file as “Tutorial1.asm ”.

1.2) Enter the code as shown below into the editor and save the file.

v
# Program File: Tutorial1.asm 
+# Written by:   MoSaad 
+# Date Created: 10/05/2023 
+# Description:  Tutorial program to introduce MARS simulator  including: breakpoints, single-
+stepping, and register and memory windows. 
+#----------------------------------------------------------- 
+#----------------------- 
+# Declare some constants 
+#----------------------- 
+.data 
+string1: .asciiz "Welcome to Hardware Lab at NIT Calicut\\n" 
+string2: .asciiz "Hello World \\n" 
+string3: .asciiz "\\nLoop #" 
+#------------------ 
+# Main program body 
+#------------------ 
+.text 
+main: 
+li $v0,4 
+la $a0,string1 
+syscall 
+la $a0,string2 
+syscall 
+li $t0, 1 
+loop: 
+li $v0, 4 
+la $a0,string3 
+syscall 
+li $v0,1 
+move $a0,$t0 
+syscall 
+addi $t0,$t0,1 
+bne  $t0,4,loop 
+#----- 
+# Halt  
+#----- 
+li $v0, 10 
+syscall

1.4) From the menu, choose “Run...Assemble”. The “Mars Messages” window at the bottom of the screen will indicate if any errors occurred. No errors should occur.

2. Simulate the tutorial program

2.1) From the menu, choose “Run...Go” to execute the program. The program will execute displaying two lines of text and three iterations of a loop to the Run /IO window.

2.2) The buttons at the top of the window can be used as shortcuts for the run menu. Use the “Reset” button to reset the program, and then try tracing through the program by clicking the step button.

2.3) You can adjust the speed the program runs by moving the slider to the right of the buttons. If you have an infinite loop in your program, it may be necessary to adjust (slow down) the speed of the simulator to prevent the MARS program from crashing.

',70),C=a("img",{src:e},null,-1),F=a("img",{src:t},null,-1),x=a("img",{src:i},null,-1),q=a("img",{src:p},null,-1),A=a("img",{src:l},null,-1),S=a("img",{src:r},null,-1),D=n('

3. Using the Debugging Tools

3.1) When a program does not work as expected you will need to use the debugging tools provided with the simulator.

3.2) One of the primary tools used to debug a program is setting a breakpoint. You can break before execution of an instruction by clicking on the checkbox associated with each instruction on the far left of the execute window. Set a breakpoint at the instruction: addi $t0,$t0,1

3.3) Run the program until the breakpoint by clicking “Run”. At this point in the program only the first loop iteration has been printed. (You will need to click back to the Run/IO window to see the output.)

3.4) Now use the “Trace Into” button to step through the loop that prints out the next line of text one character at a time. Step through the instructions until “Loop #2” is printed to the output window. Stop and find the value of the registers “t0” and “pc” at that point? Has the line of code that the program counter points to executed yet?

3.5) The simulator also allows you to view the memory contents. The memory window appears in the middle of the screen and is titled “Data Segment”. Remove the earlier breakpoint and add a breakpoint to line 33, “syscall”. Click the run button so that the program executes up until the new breakpoint. We are now in the code right before “Loop #” is about to be printed for the third iteration. Notice that the $a0 register is now a pointer to the address where the “Loop #” text is stored. What is the memory location the register is pointing to?

3.6) Now look in the data segment area, and find the address $a0 points to. This is the memory section where the characters of the text “Loop #” is stored. Using an ASCII table find the address where the ‘p’ in “Loop” is located?

3.7) Exercise: Can you find where the word “Welcome” is stored in the memory?

Integer Handling

Unlike NASM where numbers are stored as characters, MIPS can store single or multiple digit integers directly. This chapter describes how to read and print integers. Basic operations in integer handling (add, sub, mul, div) will also be covered.

1. Declaring an integer

Integers can be declared as constants in the ‘.data’ section as shown below:

Code to declare constants ‘x’ and ‘y’ with values 30 and 40 respectively:

v
.data 
+x: .word 30 
+y: .word 40

2. Loading an integer value into a register

Another way of using integers in MIPS is to read their values into temporary registers using ‘I’ type instructions. The two commonly used methods of reading integer values are:

  1. Loading a value into a temporary register.
  2. Adding the value of the zero register and any value into a temporary register.

Code to enter integer values 5 and 10 using add and load instructions respectively into temporary registers:

v
.text 
+addi  $t0, $0, 5 
+li $t1, 10

3. Reading integers as input from the user

Integers can be read from the user using syscall (system call) instructions. The system call code for reading an integer is ‘5’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The input is then stored in $v0.

Code to read an integer input from the user:

v
.text 
+li $v0, 5 
+syscall

4. Printing integers

Integers stored in registers can also be printed using syscall instructions. The system call code for printing an integer is ‘1’. This syscall code value must be loaded into the register $v0 in order to perform its designated function. The integer to be printed must be stored in the $a0 register.

Code to print the integer ‘5’ after storing it in a register:

v
.text 
+li $a0, 5 
+li $v0, 1 
+syscall

We have now learnt how to store single and multi digit integers and how to print their values. We shall now combine all of these into a single program for a more robust understanding of the covered concepts.

Code to declare a constant x with value 10, load values 20 and 30 into two registers and read an integer value from the user and print all these values:

v
.data 
+x: .word 10 
+.text 
+addi $t0, $0, 20   #load value 20 
+li $t1, 30    #load value 30 
+li $v0, 5     #read integer input
+syscall 
+move  $t2, $v0   #move integer input 
+lw $a0, x     #print x 
+li $v0, 1 
+syscall 
+move $a0, $t0    
+li $v0, 1     #print value of $t0  
+syscall    
+move $a0, $t1   #print value of $t1 
+li $v0, 1 
+syscall 
+move $a0, $t2   #print integer input 
+li $v0, 1 
+syscall 
+li $v0, 10    #exit program 
+syscall

Output:Assume the value ‘5’ is entered by the user as input.

v
5
+1020305
+-- program is finished running --

5. Adding integers

Integers can be added in two ways, either by adding fixed or immediate values to an integer value stored in a register, or by adding two integers that are both stored in registers. Both methods to add integers are demonstrated below:

Code to add integer values stored in registers:

v
.text 
+addi  $t0, $t1, 5   #t0=t1+5  
+add $t2, $t2, $t1   #t2=t2+t1 
+addi  $t1, $zero, 5   #t1=0+5 
+add   $t2, $zero, $t1    #t2=0+t1

6. Subtracting integers

Unlike addition, values can only be subtracted if they are stored in a register.

Code to subtract integer values stored in registers:

.text 
+sub $t2, $t2, $t1   #t2=t2-t1    
+sub   $t2, $zero, $t1    #t2=0-t1

7. Multiplying integers

MIPS allows you to multiply the values present in two registers and stores the 32 most significant bits in the HI special register and the 32 least significant bits in the LO special register.

The value obtained in the HI and LO registers can be accessed using the mfhi and mflo instructions respectively.

Code to multiply two integers values and access the result after multiplication:

.text 
+mult  $t0, $t1     #signed mult 
+mflo  $s0     #s0=t0*t1 
+multu $t2, $t3    #unsigned mult  
+mflo  $s1     #s1=t2*t3

8. Dividing integers

Division in MIPS is similar to multiplication except for a key difference, the HI special register stores the remainder while the LO special register will hold the quotient of the division.

Code to divide to integers and access the remainder and quotient after division:

.text 
+div $t1, $t2    #signed div 
+mfhi  $s0     #s0=t1%t2 
+mflo  $s1     #s1=t1/t2 
+divu  $t3, $t4    #unsigned div 
+mfhi  $s2     #s2=t3%t4 
+mflo  $s3      #s3=t3/t4

Points To Note

1. Entering number of size larger than 32 bits The largest integer that can be entered in 32 bit space is 2,147,483,647. Entering a number larger than that results in the following error:

\`\`\` Runtime exception at 0x0040002c: invalid integer input (syscall 5)\`\`\`
+

2. Changing the value of $zero register It is not possible to change the value of the $zero register, any instructions that attempt to alter the value have no effect.

3. Multiplying numbers of size 32 bits In MIPS, all integer values must be 32 bits. So if there is a valid answer, it must be contained in the lower 32 bits of the answer. Thus to implement multiplication in MIPS, the two numbers must be multiplied using the mult operator, and the valid result moved from the lo register.

4. Division by zero If the divisor is zero, then the MIPS divide instructions do not compute any result in the HI and LO registers. Division by zero is ignored and no exception is produced.

5. Meaning of the .word directive The .word directive allocates 4 bytes of space in the data region. The .word directive can then be given an integer value, and it will initialize the allocated space to that integer value. Be careful as it is incorrect to think of a the .word directive as a declaration for an integer, as this directive simply allocates and initializes 4 bytes of memory, it is not a data type. What is stored in this memory can be any type of data.

String Operations

Strings in MIPS can be declared as constant in the .data part of the program. They are often stored this way so that they can be used as user prompts or to format output of a program. Strings can also be entered by the user during the runtime of the program. Both methods of using strings in MIPS will be demonstrated below.

1. Entering string constants

Pre-determined strings enclosed by double quotes can be declared in the .data section.

Code to store the string “enter an element:” in memory under the name “message”:

.data 
+message: .asciiz “enter an element:”

2. Enter strings as input from the user

To enter strings as input, the syscall code to be used is 8. Apart from that, the address of the memory space into which the string must be entered, is loaded into the $a0 register. The maximum size of the string must also be loaded into the $a1 register before performing the syscall.

Code to read a string as input from the user:

.data 
+input: .space 100 
+inputsize: .word 100 
+.text 
+li $v0, 8 
+la $a0, input 
+lw $a1, inputsize 
+syscall

3. Printing strings stored in memory

To print the required string, the syscall to be used is 4. The address of the string has to be loaded into the $a0 register.

Code to print a string named ‘message’ stored in memory:

.text 
+li $v0, 4 
+la $a0, output 
+syscall

We have now learnt how to declare strings constants as well as how to store strings entered by the user. We shall now write a program combining both the concepts for a more robust understanding of basic string operations.

Code to read a string, with prompts instructing the user:

.text 
+main: 
+    # Prompt for the string to enter 
+    li $v0, 4 
+    la $a0, prompt 
+    syscall 
+ 
+    # Read the string.  
+    li $v0, 8 
+    la $a0, input 
+    lw $a1, inputSize  
+    syscall 
+     
+    # Output the text 
+    li $v0, 4 
+    la $a0, output 
+    syscall
+
+    # Output the number 
+    li $v0, 4 
+    la $a0, input 
+    syscall 
+ 
+    # Exit the program 
+    li $v0, 10 
+    syscall 
+ 
+.data 
+input:        .space 81 
+inputSize:    .word 80 
+prompt:       .asciiz "Please enter a string: " 
+output:       .asciiz "\\nYou typed the string: "

Points To Note

  • The .space directive allocates n bytes of memory in the data region of the program, where n=81 in this program. Since the size of a character is 1 byte, this is equivalent to saving 80 characters for data. 81 was used here because in MIPS a sequence of ASCII characters is terminated by a null value (byte containing 0). This is known as a null terminator.

  • If the string the user enters is larger than the maximum size of the string, it is truncated to the maximum size. This is to prevent the program from accessing memory not allocated to the string.

Floating Point Numbers

Floating point numbers are stored according to the IEEE 754 Standard. There are 2 types of floating point numbers, single precision and double precision.

Floating point number representation

According to IEE 754 Standard, floating point numbers follow the given representation.

Sign Exponent Fraction

  • The sign bit is 0 or 1, for positive or negative respectively.

  • The exponent stores the exponent of the number in scientific notation of its binary representation, plus a bias.

  • The fraction stores the fractional part of the binary representation of the number.

DataSingle PrecisionDouble Precision
Size32 bits64 bits
Exponent size8 bits11 bits
Fraction size23 bits52 bits
Bias1271023

Note

  • Since there are some numbers with non-ending decimal part in there binary representation ( For Example- ( 1 /3) 10 = (0.01 0011 0011 0011 ....) 2 ) and we have only limited bits to store the fraction part, there will be some slight inaccuracy while storing certain floating point numbers. Therefore, it is recommended to always use double, as it has a higher precision due to its increased no. of bits.

MIPS floating point architecture

In MIPS, all floating point calculations are computed in a separate processor, called co- processor 1.

The coprocessor contains 32 floating point registers, each of width 32 bits. The registers are numbered from $f 0 to $f3 1.

Each register is can store a single precision floating point number, while double precision is stored in 2 registers in an even-odd pair. For instructions concerning double precision numbers, the even numbered register is used in the instruction. Using an odd numbered register will throw an error.

In addition to the registers, there are 8 condition flags, which are used in floating point compare and branch instructions.

Floating point registers in MIPS

RegistersUsage
$f0 - $f3Used for results of floating point procedures
$f4 - $f11Temporary floating point registers, whose values are NOT preserved across procedure calls
$f12 - $f15Floating point parameters, whose values are NOT preserved across procedure calls
$f16 - $f19More temporary floating point registers, whose values are NOT preserved across procedure calls
$f20 - $f31Saved floating point registers, whose values are preserved across procedure calls

Among the 32 registers, only $f 4 - $f 11 , $f 16 - $f 19 and $f 20 - $f 31 can be used by the programmer for storing values, as the others are reserved for special purposes.

Note

  • Unlike the general purpose register $ 0 , $f 0 is not hardwired to be zero, and is used for storing results of procedures.

Declaring a Floating point number

.data
+  num1: .float 3.
+  num2: .double 4.5 3
+
+  .align 2 # Since float has 2^2 bytes
+  float_arr: .space 100 it has to be aligned to 2
+
+  .align 3 # Since double has 2^3 bytes it has to be aligned to 3
+  double_arr: .space 100

Reading and Printing Floating point numbers

Reading and printing a floating point number is similar to that of an integer, using syscall, only difference being in the $v0 value and parameter registers.

Single precision

The $v0 value for reading a single precision floating point number is 6 while that of printing is 2.

main: 
+  li $v0, 6 
+  syscall    # The number is stored in $f0 
+        
+  li $v0, 2 
+  mov.s $f12, $f0   # The number to be printed is moved to $f12 
+  syscall

Double precision

The $v0 value for reading a double precision floating point number is 7 while that of printing is 3.

main: 
+  li $v0, 7 
+  syscall    # The number is stored in $f0/$f1 
+        
+  li $v0, 3 
+  mov.d $f12, $f0   # The number to be printed is 
+  syscall     moved to $f12/$f13

Data Movement Instructions

InstructionSyntaxRemarks
Load single/doublel.s fdest, address l.d fdest, addressThe single/double floating-point stored in address is loaded onto register fdest
Store single/doubles.s fsrc, address s.d fsrc, addressThe single/double floating-point stored in register fsrc is stored to address
Move single/doublemov.s fdest, fsrc mov.d fdest, fsrcThe single/double floating-point stored in register fsrc is moved to register fdest
Move from coprocessor 1mfc1 dest, fsrcThe 32 - bit data from floating register fsrc is copied to general purpose register dest
Move to coprocessor 1mtc1 src, fdestThe 32 - bit data from general purpose register src is copied to floating point register fdest

Note

  • There is no load immediate for floating point. So if a constant is needed, it has to be stored in the data segment and loaded to the required register.
  • For the move to/from coprocessor 1 instructions, the first operand is a general purpose register and the second one is the floating point register.

Arithmetic Instructions

InstructionSyntaxRemarks
Additionadd.s fdest, fsrc1, fsrc2
add.d fdest, fsrc1, fsrc
The single/double floating-point numbers stored in fsrc1 and fsrc2
are added and stored in register fdest
Subtractionsub.s fdest, fsrc1, fsrc2
sub.d fdest, fsrc1, fsrc2
The single/double floating-point number stored in fsrc
subtracted from fsrc1 and stored in register fdest
Multiplicationmul.s fdest, fsrc1, fsrc2
mul.d fdest, fsrc1, fsrc2
The single/double floating-point numbers stored in fsrc1 and fsrc2
are multiplied and stored in register fdest
Divisiondiv.s fdest, fsrc1, fsrc2
div.d fdest, fsrc1, fsrc
The single/double floating-point number stored in fsrc1 is divided by fsrc2
and the quotient is stored in register fdest
Negationneg.s fdest, fsrc
neg.d fdest, fsrc
The single/double floating-point number stored in fsrc
is negated (Sign changed) and stored in register fdest
Absolute valueabs.s fdest, fsrc
abs.d fdest, fsrc
Absolute value (Magnitude) of the single/double floating-point number stored in fsrc
is stored in register fdest
Square rootsqrt.s fdest, fsrc
sqrt.d fdest, fsrc
Square root of the single/double floating-point number stored in fsrc
is stored in register fdest

Sample Question 1

Given a temperature in Fahrenheit, convert it into Celsius (Input and output has to be floating point values).

Temperature in degrees Celsius = (Temperature in degrees Fahrenheit - 32 ) * 5 / 9.

data 
+  # Constants used for calculation 
+  const1: .double 32.0 
+  const2: .double 5.0 
+  const3: .double 9.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the temperature in Fahrenheit: " 
+  msg2: .asciiz "The temperature in Celsius is: " 
+ 
+
+.text 
+.globl main 
+main: 
+ 
+  li $v0, 4                    # Printing msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7                    # Reading user input 
+  syscall 
+  
+  mov.d $f12, $f0              # $f12 = User Input 
+  l.d $f14, const1             # $f12 = $f12 - 32 
+  sub.d $f12, $f12, $f14 
+  
+  l.d $f14, const2             # $f12 = $f12 * 5 
+  mul.d $f12, $f12, $f14 
+  
+  l.d $f14, const3             # $f12 = $f12 / 9 
+  div.d $f12, $f12, $f14 
+  
+  li $v0, 4                    # Printing msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 3                    # Printing final answer 
+  syscall 
+  
+  li $v0, 10                   # Exit 
+  syscall

Comparison/Branch Instructions

InstructionSyntaxRemarks
Compare equalc.eq.s cc, fsrc1, fsrc2
c.eq.s fsrc1, fsrc2
c.eq.d cc, fsrc1, fsrc2
c.eq.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the numbers in fsrc1
and fsrc2 are equal, 0 otherwise.
Compare less thanc.lt.s cc, fsrc1, fsrc2
c.lt.s fsrc1, fsrc2
c.lt.d cc, fsrc1, fsrc2
c.lt.d fsrc1, fsrc2
Sets the condition flag cc as 1 if the number in fsrc1
is less than that in fsrc2, 0 otherwise.
Compare less than or equal toc.le.s cc, fsrc1, fsrc2
c.le.s fsrc1, fsrc2
c.le.d cc, fsrc1, fsrc2
c.le.d fsrc1, fsrc
Sets the condition flag cc as 1 if the number in fsrc1
is less thanor equal to that in fsrc2, 0 otherwise.
Branch if truebc1t cc, label
bc1t label
Jumps to label if the condition flag cc is set as 1
Branch if falsebc1f cc, label
bc1f label
Jumps to label if the condition flag cc is set as 0

Note

  • The condition flag can be omitted in the above instructions, in which case condition flag 0 is taken as default.
  • As there is no comparison instruction for “Not equal to”, it has to be implemented by reversing the required branch condition Ex. We need to branch to label if $f4 and $f6 are not equal. This can be written as
      c.eq.d $f4, $f6
    +  bc1.f label
  • For greater than and greater than and equal to, it is simpler to reverse the input registers. Ex. We need to branch to label if $f4 if greater than $f6. This can be written as
      c.le.d $f6 , $f4
    +  bc1.t label

Sample Question 2

Given an array of floating numbers of size n, print the maximum and minimum element

data 
+  # Array 
+  .align 3 
+  arr: .space 1000 
+  
+  # Characters 
+  newline: .asciiz "\\n" 
+ 
+  # User Prompts 
+  msg1: .asciiz "Enter n: " 
+  msg2: .asciiz "Enter no. " 
+  msg3: .asciiz ": " 
+  msg4: .asciiz "The maximum no. is: " 
+  msg5: .asciiz "The minimum no. is: " 
+ 
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4    # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 5    # Read n 
+  syscall 
+  move $t0, $v0 
+  
+  li $t1, 0    # $t1 will be the loop variable  
+               # going from 0,1,2.. 
+  li $t2, 0    # $t2 will be the element indices 
+               # going from 0,8,16.. 
+  loop1:                      # Loop to read n elements 
+    beq $t0, $t1, end_loop1   # Termination condition 
+   
+    li $v0, 4                 # Print msg2 
+    la $a0, msg2 
+    syscall 
+   
+    li $v0, 1                 # Print position of                    
+    move $a0, $t1             # number to be inputted 
+    addi $a0, $a0, 1 
+    syscall 
+   
+    li $v0, 4                 # Print msg3 
+    la $a0, msg3 
+    syscall 
+   
+    li $v0, 7                 # Read input and  
+    syscall                   # store in arr 
+    s.d $f0, arr($t2) 
+   
+    addi $t1, $t1, 1   # Increamenting #t1  
+    addi $t2, $t2, 8   # and $t2 
+    j loop1 
+  
+  end_loop1: 
+  
+  li $t1, 0    # Resetting $t1 and $t2 
+  li $t2, 0 
+  l.d $f4, arr($zero)  # $f4 stores the max value 
+  l.d $f6, arr($zero)  # $f6 stores the min value
+
+  loop2:                        # Loop to compute max and min 
+    beq $t0, $t1, end_loop2     # Termination Condition 
+   
+    l.d $f8, arr($t2)           # Load a number from arr 
+   
+    c.lt.d $f4, $f8             # Compare if the number is  
+                                # greater than current max 
+    bc1f not_max  
+      mov.d $f4, $f8            # If yes then update new max 
+    not_max: 
+   
+    c.lt.d $f8, $f6             # Compare if the number is  
+                                # less than current min 
+    bc1f not_min 
+      mov.d $f6, $f8            # If yes then update new min 
+    not_min: 
+   
+    addi $t1, $t1, 1            # Incrementing $t1 and $t2 
+    addi $t2, $t2, 8 
+    j loop2 
+  
+  end_loop2: 
+  
+  li $v0, 4                      # Print msg4 
+  la $a0, msg4 
+  syscall 
+  
+  li $v0, 3                      # Print max number 
+  mov.d $f12, $f4 
+  syscall 
+
+  i $v0, 4    # Print newline 
+  la $a0, newline 
+  syscall 
+  
+  li $v0, 4    # Print msg5 
+  la $a0, msg5 
+  syscall 
+  
+  li $v0, 3    # Print min number 
+  mov.d $f12, $f6 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Data Conversion Instructions

Conversion within floating point

InstructionSyntaxRemarks
Convert single to doublecvt.d.s fdest, fsrcThe single floating-point stored in fsrc is converted to double and stored in fdest
Convert double to singlecvt.s.d fdest, fsrcThe double floating-point stored in fsrc is converted to single and stored in fdest

Conversion to integers

InstructionSyntaxRemarks
Convert single to integercvt.w.s fdest, fsrcThe single floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point)
and stored in 2 ’s compliment form in fdest
Convert double to integercvt.w.d fdest, fsrcThe double floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point) and
stored in 2 ’s compliment form in fdest

Note

  • The output of the above two instructions is in 2 ’s compliment form, which should not be used with any other instructions other than mfc1. Other instructions always assume the data in registers are according to IEEE standards, which can cause errors.

Conversion from integers

InstructionSyntaxRemarks
Convert integer to singlecvt.s.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to single precision floating point and is stored in fdest
Convert integer to doublecvt.d.w fdest, fsrcThe data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to double precision floating point and is stored in fdest

Special Instructions

InstructionSyntaxRemarks
Ceilingceil.w.s fdest, fsrc
ceil.w.d fdest, fsrc
The smallest integer not greater than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Floorfloor.w.s fdest, fsrc
floor.w.d fdest, fsrc
The greatest integer not smaller than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form
Round to nearest integerround.w.s fdest, fsrc
round.w.d fdest, fsrc
The floating-point stored in fsrc is rounded off to
nearest integer and stored in fdest in 2 ’s compliment form

Sample Question 3

Given a floating point number and an integer n, round off the floating point number to n digits.

Hint-

Multiplty then given number with 10^n, and round off using round.w.d instruction. Divide the result by 10^n to obtain the final result.

data 
+  # Constants used for calculation 
+  const1: .double 0.0 
+  const2: .double 1.0 
+  const3: .double 10.0 
+  
+  # User prompts 
+  msg1: .asciiz "Enter the number : " 
+  msg2: .asciiz "Enter number of digits to round off to: " 
+  msg3: .asciiz "The rounded off number is: " 
+  
+.text 
+.globl main 
+main: 
+  
+  li $v0, 4     # Print msg1 
+  la $a0, msg1 
+  syscall 
+  
+  li $v0, 7     # Read number to round off 
+  syscall 
+  
+  mov.d $f12, $f0    # $f12 = user input 
+  
+  li $v0, 4     # Print msg2 
+  la $a0, msg2 
+  syscall 
+  
+  li $v0, 7     # Read n 
+  syscall 
+
+  mov.d $f16, $f0    # $f16 = n 
+  l.d $f4, const1    # $f4 used as a loop varibale 
+                     # initialised to 0 
+  l.d $f6, const2    # $f6 used to store 10^n 
+  l.d $f8, const3    # $f8 used to store 10 for  
+                     # calculating power 
+  l.d $f10, const2   # $f10 used to store 1 for  
+                     # incrementing loop variable 
+  loop1:    # Loop to compute 10^n 
+    c.eq.d $f4, $f16  # Ternminaltion Condition 
+    bc1t end_loop1 
+  
+    mul.d $f6, $f6, $f8 # $f6 = $f6 * 10 
+    add.d $f4, $f4, $f10  # $f4 = $f4 + 1 
+  
+    j loop1 
+  end_loop1: 
+  
+  mul.d $f12, $f12, $f6  # $f12 = $f12 * (10^n) 
+  round.w.d $f12, $f12   # $f12 is rounded to nearest 
+                         # integer 
+  cvt.d.w $f12, $f12     # Output after rounding,  
+                         # which is a word, is  
+                         # converted back to double 
+  div.d $f12, $f12, $f6  # $f12 = $f12 / (10^n) 
+  
+  li $v0, 4    # Print msg3 
+  la $a0, msg3 
+  syscall  
+ 
+  li $v0, 3    # Print final answer 
+  syscall 
+  
+  li $v0, 10   # Exit 
+  syscall

Integer Arrays

An Integer Array is continuous storage block in memory in which each element is an integer. Each element of an array is accessed using the base address of the array and the index of the element we must access.

Declaration and Initialization

Integer arrays can be initialized using .word or an empty array can be declared using the .space keyword inside the .data section of the program

data: 
+arr: .word 3,4,-5,6    #initialized an array arr=[3,4,-5,6] 
+arr2: .space 40  # empty array with 40 bytes reserved for arr2

Array Traversal

Array traversal refers to accessing each element of the array using its index.

Each integer element of an array occupies a word (4 bytes). Therefore,4 bytes needed to be added to the current address to obtain the address of the following element.

Address of arr [k] = base address of arr + 4 * k

Eg: To access arr[3] and store it to $t

a $s0,arr   # base add address of array is stored in $s0 
+addi $s0,$s0,12    #  $s0 = address of arr[3] = arr + 4 * 3 
+lw $t2,0($s0)    # $t2=arr[3]

or

a $s0,arr   # base add address of array is stored in $s0 
+lw $t2,12($s0)  # $t2=value of (arr + 12)

Array Input

The elements of an integer array can be received from the user using the syscall for integer input and a for loop.

An empty array must be declared in the .data by allocating the necessary space as shown before.

Each integer element of an array occupies 4 bytes. Therefore, 4 bytes needed to be added to the current address after each insertion.

The following code segment can be used to create an array of size n by receiving inputs from the user:

Assume $s1 contains n, $s0 contains base address of an empty array and $t0 is the loop counter

for: 
+  beq $t0,$s1,exit      # loop termination condition 
+  sll $t1,$t0,2         # $t1=i*4 
+  add $t1,$t1,$s0   # $t1 = arr + i * 4         
+       
+  li $v0,5           # input integer and store in $t2 
+  syscall 
+  move $t2,$v0 
+  sw $t2,0($t1)      # $t2 = arr[i] 
+  addi $t0,$t0,1     # increment loop counter i 
+  j for

Printing an Integer Array

Integer Array can be printed by traversing each element by using array traversal rules (as discussed above) and integer printing (code 1 is loaded to $v0).

Consider the following code snippet to print the array created in the previous example in which every element is provided by the user:

la $s0,arr             # store base address of array in $s0 
+li $t0,0 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       # array traversal to access arr[i] 
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)       # $t2=arr[i] 
+  li $v0,1            # 1 is the syscall number to print integers 
+  move $a0,$t2         # print $t2   
+  syscall 
+    
+  li $a0, 32     # 32 is the ASCII code for space  
+  li $v0, 11          # syscall number for printing character 
+  syscall 
+  addi $t0,$t0,1 # increment loop counter 
+  j print 
+end:                         
+li $v0,10   #end program        
+syscall

Negative Numbers as Elements

All integer operations in MIPS support both positive and negative numbers. No changes are required to the program for the input and output of positive integers.

enter array element: -5 
+enter array element: -6 
+enter array element: 8 
+enter array element: -2 
+The elements of the array are: -5.0 -6.0 8.0 -2.0  
+-- program is finished running --

Floating Point Numbers as Elements

An array of floating-point numbers can be created by replacing all the integer operations with floating-point operations. The following changes need to be made to convert an integer array into an array of floating-point numbers:

  1. Replace the integer code loaded to $v0 by floating-point code
  2. Replace the load, store and move operation by l.s , s.s and mov.s where floating point data type is used
# program to input array 
+for: 
+  beq $t0,$s1,exit
+  sll $t1,$t0,2
+  add $t1,$t1,$s0  
+  li $v0,6          # code for floating point input 
+  syscall
+  s.s $f0,0($t1)    # arr[i]=$f0 
+  addi $t0,$t0,1 
+  j for  
+exit: 
+
+# print array 
+print: 
+  beq $t0,$s1,end  
+  sll $t1,$t0,2       
+  add $t1,$t1,$s0 
+  l.s $f0,0($t1)       # $f0=arr[i] 
+  li $v0,2           # code for printing floating point 
+  mov.s $f12,$f0   
+  syscall 
+  li $a0, 32           
+  li $v0, 11           
+  syscall 
+  addi $t0,$t0,1  
+  j print 
+end

One Dimensional Arrays

To calculate the sum of n numbers in an n sized array

li $t0,0 
+la $s0,arr 
+li $t3,0        #t3 stores the sum 
+sum:          #for loop 
+  beq $t0,$s1,end     # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)      # $t2=arr[i] 
+  add $t3,$t3,$t2    # $t3=$t3+$t2 
+  addi $t0,$t0,1     # increment loop counter 
+  j sum 
+end:                 
+li $v0,1              # print sum 
+move $a0,$t3                 
+syscall

To find the largest element in an n sized array

la $s0,arr 
+li $t0,0 
+li $t3,-1000 # $t3=max 
+  # initialized to -1000   
+max: 
+  beq $t0,$s1,end # $s1 contains n 
+  sll $t1,$t0,2              
+  add $t1,$t1,$s0 
+  lw $t2,0($t1)  # $t2=arr[i] 
+  ble $t2,$t3,inc_i  # if arr[i]<=max, jump to inc_count 
+  move $t3,$t2   # if arr[i]>max, max=arr[i] 
+  inc_count: 
+    addi $t0,$t0,1  # increment loop counter 
+    j max 
+end:  
+li $v0,1                  # print max 
+move $a0,$t3                 
+syscall

String Operations (Advanced)

Null Character and Newline

When strings are created by using user input (code 8 passed to $vo), it forms a sequence of ASCII characters that are terminated by a newline character, followed by a NULL character. The newline character is triggered by the pressing of enter key during user input.

The ASCII code for newline is 10. Hence beq, $t1,10,label can be used as a comparing instruction

MIPS treats NULL character as a zero. Hence beqz, $t1, label can be used as a comparing instruction

String Traversal

Strings are made up of characters, in which each character occupies a byte. Hence, unlike an Integer Array, each character must be traversed byte by byte.

Eg:

To find the length of a string (newline character need not be included)

# assume string is input to str1 
+li $s1,0 #loop counter (i) 
+  
+len: 
+  lb $t1,str1($s1)  # $t1=str[i] 
+  beq $t1,10,start  # termination condition 
+  addi $s1,$s1,1    # i=i+1 
+  j len 
+start: 
+  li $v0,1          # print len=i  
+  move $a0,$s1 
+  syscall

If the newline character needs to be included in the length, beq should be replaced with beqz

String Concatenation

Concatenation of two strings can be done using 2 loops. The presence of newline and null character at the end of each string should be considered.

Consider two strings, str1 and str2. The following is the pseudocode and the code snippet for concatenating str2 to str1:
Pseudo Code:

c
i=0
+
+j=0
+
+while str1[i]!='\\n'
+
+i++
+
+while str2[j]!='\\0'
+
+str1[i]=str2[j]
+
+i++
+
+j++

MIPS:

mips
i $s1,0           # i=0 
+li $s2,0           # j=0 
+loop1: 
+   lb $t1,str1($s1)  
+  beq $t1,10,loop2 
+  addi $s1,$s1,1           # i++ 
+  j loop1 
+loop2: 
+  lb $t1,str2($s2) 
+  beqz $t1,end 
+  sb $t1,str1($s1)    # str1[i]=str[j] 
+  addi $s1,$s1,1      # i++ 
+  addi $s2,$s2,1      # j++ 
+  j loop2 
+end:

Palindrome Checking

A palindrome sequence that reads the same backwards as forwards. Palindrome checking uses two pointer method.

Assume a string str, whole length is n. Length of the string is calculated by previous method and stored in $s1. The following code snippet shows the while loop necessary for checking whether the string is palindrome

addi $s1,$s1,-1 # j=n-1  
+li $s0,0        # i=0 
+for: 
+  lb $t1,str($s0)   
+  lb $t2,str($s1)   
+  bge $s0,$s1,true    # if i>=j,exit loop. return True 
+  bne $t1,$t2,false   # if str[i]!=str[j], return False 
+  addi $s0,$s0,1      #  i++ 
+  addi $s1,$s1,-1     #  j-- 
+  j for

Two Dimensional Arrays

2-DArrays/Matrices/Tables aren’t stored in memory the way it is normally visualized; rather it is stored as a single array in either row major form or column major form. Let’s see how a matrix is represented in memory in both the forms with a starting address of 1230. (Remember:In an array each element is 4 bytes long).

a) Row Major form : Here elements are stored row wise. First we store the first row, then the second and soon.

b) Column Major form: Here elements are stored column wise. First we store the first column, then the second and soon.

Row Major form ( starting address 1000 )

Column Major form ( starting address 1000 )

Sample code

->Insertion of elements and printing the 2D-array

**We are using row major form to input the elements as a string

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D array
+Print the matrix:
+- Loop through rows:
+- Loop through columns:
+- Print each element of the matrix
+- Print a space between elements
+- Print a newline after each row
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+mat1: .space 100
+input: .space 100
+
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0         # $t0 = number of rows
+
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+
+  li $v0, 5
+  syscall
+  move $t1, $v0         # $t1 = number of columns
+
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+
+  li $t5, 0
+
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+# when a space is detected add the number calculated to the array and continue
+
+  beq $t4, $zero , end_input
+
+#In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+
+#This loop exist to calculate numbers that have more than one digit , since our input is a string.
+
+# We need to iterate and add the characters until we detect a space
+
+  sub $t6 , $t4, 48 # converts character to integer
+
+# for calculating the number
+  
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  
+  j input_loop
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+print_loop:
+  beq $t6 , $t0 , end_programme
+  li $t7 , 0 # Initialize column index
+
+print_column_loop:
+  lw $t9 , 0($t8) # Load number from array
+  li $v0, 1 # syscall for print_int
+  move $a0, $t9 # Load number to print
+  syscall
+
+  li $v0, 4 # syscall for print_str 
+  la $a0, space # Load address of space
+  syscall
+
+  addi $t7, $t7, 1 # Increment column index
+  addi $t8 , $t8 , 4 # Increment base address
+  blt $t7, $t1 , print_column_loop # Print all elements in the row
+
+# Print newline after each row
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+
+# Reset column index for next row
+  li $t7 , 0
+
+# Increment row index
+  addi $t6 , $t6 , 1
+
+  j print_loop
+  
+end_programme :
+  li $v0, 10 # syscall for exit
+  syscall

Output

  Enter number of rows:3
+  Enter number of columns:3
+  Enter matrix(row major form) : 1 2 3 4 5 6 7 8 9
+
+  1 2 3 
+  4 5 6 
+  7 8 9

->To find maximum and minimum element in a 2-D array

**We are using row major form to input the elements as a string

**same process of taking the input as the above

**NOTE: all characters need to be space separated, the end of the sequence also need to have a space

Pseudo code->

Prompt user for number of rows and columns
+Initialize 2D array
+Prompt user to enter matrix values as a string
+Loop through input string:
+- Extract numbers delimited by spaces
+- Convert each substring to integer and store in the 2D
+array
+Find minimum and maximum elements in the matrix:
+- Initialize minimum element to maximum possible value
+and maximum element to 0
+- Loop through each element of the matrix:
+- Update minimum and maximum elements if necessary
+Print the maximum element message:
+- Print "The maximum element is: "
+- Print the maximum element
+Print the minimum element message:
+- Print "The minimum element is: "
+- Print the minimum element
+Exit the program

MIPS Code->

.data
+newline: .asciiz "\\n"
+space: .asciiz " "
+msg_rows: .asciiz "Enter number of rows : "
+msg_columns: .asciiz "Enter number of columns : "
+msg_input: .asciiz "Enter matrix ( row major form ) : "
+maximum : .asciiz"The maximum element is : "
+minimum : .asciiz"The minimum element is :
+mat1: .space 100
+input: .space 100
+.text
+main:
+  li $v0, 4
+  la $a0, msg_rows
+  syscall
+  
+  li $v0, 5
+  syscall
+  move $t0, $v0 # $t0 = number of rows
+  
+  li $v0, 4
+  la $a0, msg_columns
+  syscall
+  
+  li $v0, 5
+  syscall 
+  move $t1, $v0 # $t1 = number of columns
+  
+  li $v0, 4
+  la $a0, msg_input
+  syscall
+  
+  li $v0, 8 # syscall for read_str
+  la $a0, input # Load address of input buer
+  li $a1, 100 # Maximum length
+  syscall
+
+  la $t2, input
+  la $t3, mat1
+  
+  li $t5, 0
+  
+  # t2 - address of input string
+  # t3 - address of matrix
+  # t4 - used to iterate through string
+  # t5 - used to calculate number
+
+input_loop:
+  lb $t4, 0($t2)
+  beq $t4, 32, store
+
+  # when a space is detected add the number calculated to the array and continue
+  
+  beq $t4, $zero , end_input
+  
+  #In ASCII encoding, the decimal value 32 corresponds to the space character (' ')
+  
+  #This loop exist to calculate numbers that have more than one digit , since our input is a string
+  # we need to iterate and add the characters until we detect a space
+  
+  sub $t6 , $t4, 48 # converts character to integer
+
+  # for calculating the number
+  mul $t5, $t5, 10
+  add $t5, $t5, $t6
+  addi $t2, $t2, 1
+  j input_loop
+
+store:
+  sw $t5, 0($t3) #store the number obtained in the 2D-array
+  addi $t3, $t3, 4 #increment the address to next memory block
+  li $t5, 0
+  add $t2 , $t2 , 1 #increment the index of string
+  j input_loop #loop back to the input to continue iteration of string
+
+end_input :
+  li $t6 , 0 # Initialize row index
+  la $t8, mat1 # Load address of array for printing
+  li $v0, 4
+  la $a0, newline
+  syscall
+
+find_min_max:
+  li $t3 , 0 # Initialize max element to 0
+  li $t2 , 0xffffffff
+  li $t6 , 0 # Initialize row index to 0
+  la $a0 , mat1
+
+row_loop:
+  beq $t6, $t0 , end # If all rows have been checked, exit
+  
+  li $t7, 0 # Initialize column index to 0 
+
+column_loop:
+  beq $t7, $t1 , end_column_loop # If all columns have been checked, move to next row
+  
+  lw $t8, 0($a0) # Load current element from array
+
+# Compare current element with max element and the min element
+  bgt $t8, $t3, update_max # if element greater than max element , update max
+  
+  min :
+  blt $t8 , $t2 , update_min # if element smaller than min element, update min
+  
+  increment :
+  addi $a0, $a0, 4 # Move to next column
+  addi $t7, $t7, 1 # Increment column index
+  j column_loop
+
+update_max:
+  move $t3 , $t8 # Update max element
+  j min # control goes back to checking for the conditional statement of min element
+
+update_min:
+  move $t2 , $t8 # Update min element
+  j increment # control goes back to incrementing the looping values
+
+End_column_loop:
+  addi $t6, $t6, 1 # Increment row index
+  j row_loop
+
+end :
+  li $v0 , 4
+  la $a0 , maximum  
+  syscall
+  
+  li $v0 , 1
+  move $a0 , $t3 # printing the maximum element
+  syscall
+  
+  li $v0 , 4
+  la $a0 , newline
+  syscall
+  
+  li $v0 , 4
+  la $a0 , minimum # printing the minimum element
+  syscall
+
+  li $v0 , 1
+  move $a0 , $t2
+  syscall
+  
+  li $v0 , 10
+  syscall

Output

  Enter number of rows :3
+  Enter number of columns :3
+  Enter matrix ( row major form ) : 1 2 34 5 6 7 300 9 22
+
+  The maximum element is : 300
+  The minimum element is : 1

More Questions

->Searching in Matrix: Write a MIPS assembly program to search for a given element in a matrix and return its position if found.

->Matrix Addition: Write a MIPS program to add two matrices of the same size

->Transpose of a Matrix: Write a MIPS assembly program to find the transpose of a given matrix.

MIPS code for if statements ● Iftheconditionisanequalityusebeq,bne ● Iftheconditionisacomparisoncombinebeq/bnewithset-on-less-than

Why not blt or bge? While blt and bge (pseudo-instructions) are available in MIPS, beq and bne are favoured inconditional statements for their efficiency making them the common choice.

Example 1) Given,f:$s0, g:$s1, h:$s2, i:$s3, j:$s4

  if(i==j)
+    f = g + h;
+  else
+    f = g - h;

Solution 1 :- Corresponding MIPS code

  bne $s3, $s4, else
+  add $s0, $s1, $s2
+  j endif
+else: sub $s0, $s1, $s2
+endif: ...........

Example 2) Given,f:$s0 ,g:$s1 ,h:$s2 ,i:$s3 ,j:$s4

  if(i<j)
+    f=g+h;
+  else
+    f=g-h;

Solution 2 :- Corresponding MIPS code

  slt $to, $s3, $s4
+  beq $to, $zero, else
+  add $s0, $s1, $s2
+  j endif
+else:
+  sub $s0, $s1, $s2
+endif: .......

MIPS code for Loop statements

Although there are said to be 3 different types of loops in C namely, do/while, while and for loop, they are all functionally identical. In other words, you can take any for-loop and easily turn it into a while-loop.

asm
  int i;
+  for(i= 0 ;i< 10 ;i++){
+    loopbody;
+  }

or

asm
  inti=0;
+  while(i< 10 ){
+    loopbody;
+    i++;
+  }

Example 1) Given, i:$s3, k:$s

asm
  while(i < j)
+    i+=1;

Solution 1 :- Corresponding MIPS code

asm
loop:
+  slt $t0, $s3, $s4
+  beq $t0, $zero, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit: ...

Example 2) Given i:$t0, k is some integer

asm
  int i;
+  for(i = 0; i < k; i++){
+    // loop body
+  }

Solution 2 :- Corresponding MIPS code

asm
  add $t0, $zero, $zero # i is initialized to 0, $t0 = 0
+Loop: // loop body
+  addi $t0, $t0, 1 # i ++
+  slti $t1, $t0, 4 # $t1 = 1 if i < 4
+  bne $t1, $zero, Loop # go to Loop if i < 4

Example 3) Given, i:$s3, base address of arr:$s6, k:$s

asm
  while(arr[i] == k)
+    i+=3;

Solution 3 :- Corresponding MIPS code

asm
loop:
+  sll $t0, $s3, 3
+  add $t1, $t0, $s6
+  lw $t2, 0($t1)
+  bne $t2, $s5, exit
+  addi $s3, $s3, 1
+  j loop
+
+exit:...

Procedures in MIPS

Understanding the memory layout and the way procedures are called is crucial for writing efficient and correct MIPS assembly code.

➢ Memory Layout

➢ Stack allocation (refer Recursion in MIPS(non-leaf procedure) for detailed explanation)

(a) before, (b) during, and (c) after a procedure call.

There are two types of procedure calling-

1) Leaf Procedures: These procedures do not call other procedures.

When a leaf procedure is called:

● There turn address is saved on the stack. ● A stack frame/procedure frame/activation record is setup to store local variables. ● Arguments may be passed in registers or on the stack. ● The procedure executes its code.

Upon completion,it restores the stack pointer and returns to the saved return address.

2) Non-leaf Procedures(NestedProcedures): These procedures call other procedures, eg.- Recursive Functions/Procedures.

In addition to the steps for leaf procedures, non-leaf procedures must manage:

● Saving and restoring additional registers beyond there turn address. ● Managing multiple levels of procedure calls and returns. ● Ensuring that data in registers is preserved a cross nested calls. ● Properly handling there turn value from called procedures.

Function (Procedure) calling in MIPS

In MIPS assembly language, passing parameters to functions involves using registers. Unlike high-level languages where parameters are often passed on the stack,MIPS typically uses specific registers for passing arguments.

● $a0 to $a3 :These are argument registers and are used to pass the first four arguments to a function. If a function has more than four parameters,additional parameters are typically passed on the stack.

● $v0 and $v1: These are value registers and are used to return values from functions. Functions can return up to two values using these registers.

(Note:-i) Register 1, called $at, is reserved for the assembler.
ii) Registers 26–27, called $k0–$k1 are reserved for the operating system.)

Steps in calling a procedure (function)

In MIPS assembly language, procedure calling follows a similar structure to function calls in high-level languages like C.

The following steps typically occur in both leaf and non-leaf functions but there are some nuances in how they're implemented, especially regarding the handling of the stack:

Arguments Passing :.Arguments to the function can be passed via registers or the stack, depending on the calling convention. In register-based passing, arguments are loaded in to designated argument registers like $a0-$a3. If there are more arguments than available registers, excess arguments are typically passed on the stack.

Jump and Link (jal) :jal makes the control jump to the given address while storing there turn address at PC+4 in the $ra register This effectively sets up the return mechanism for the function call.

Function Prologue (Non-leaf functions): Non-leaf functions need to setup a stack frame. This involves: Saving the return address($ra) on to the stack, saving any callee- saved registers on to the stack(these are typically $s0-$s7),setting up the frame pointer($fp) to establish a reference point for accessing local variables and saved registers.

Function Execution :The function performs its task, accessing arguments, local variables, and performing computations.

● Function Epilogue (Non-leaf functions): Before returning, non-leaf functions need to cleanup the stack frame and restore the state of callee-saved registers.This involves restoring callee-saved registers from the stack, restoring the return address($ra) from the stack resetting the stack pointer($sp) to deallocate the stack frame, jumping back to the return address using the jr $ra instruction.

● Return: Upon completing its task, the function returns control to the caller. If it's a leaf function, it typically involves jumping back to the return address stored in $ra using the jr $ra instruction. For non-leaf functions, the return sequence includes restoring the stack frame and registers before jumping back to the caller.

(Note:-For simplicity we will only use $sp and extend the stack at procedure entry/exit)

Example 1) Calling a procedure which prints a string

asm
//code
+printFunction();
+a=a+2;
+//code

Solution 1 :- Corresponding MIPS code

asm
data
+hello_string: .asciiz "Hello, world!\\n" # String definition
+
+.text
+main:
+....previous code
+jal printFunction #jump to printFunction
+addi $s2, 2
+....further code
+li $v0, 10 # Set syscall code 10 for exit
+syscall # Perform syscall to exit the program
+
+printFunction:
+li $v0, 4 # Set syscall code 4 to print a string
+la $a0, hello_string # Load the address of the string
+syscall # Perform syscall to print
+jr $ra # Return control to PC + 4

● When jal is used, the control moves to the address specified in the instruction and the address of the next instruction is stored in $ra.

● When the procedure ends jr $ra is used to return control back to the next address from where it jumped.

● These procedures are usually placed after the “main” procedure to avoid instruction overlap.

Example 1) Calling a function with parameters

asm
//code
+result=addNumbers(5,7);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load first parameter (5) into $a0
+  li $a1, 7 # Load second parameter (7) into $a1
+  jal addNumbers # Jump to addNumbers function
+  move $s0, $v0 # Store the result returned by addNumbers in $s0
+  # Further code
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+addNumbers:
+  add $v0, $a0, $a1 # Add the values of $a0 and $a1 and store the result in $v0
+  jr $ra # Return control to the next instruction after jal

● In the main function, parameters are passed to the addNumbers function by loading values into registers $a0 and $a1.

● The jal instruction is used to jump to the addNumbers function.

● Inside the addNumbers function, the parameters are accessed from the $a0 and $a1 registers.

● The result of the addition is stored in register $v0, which is commonly used to return function results in MIPS.

● Finally, jr $ra is used to return control back to the instruction after the jal in the main function.

Recursion in MIPS (non-leaf procedure)

In MIPS assembly language, implementing recursion involves understanding function calls and stack manipulation.

The Stack in MIPS Assembly

● The stack is a crucial data structure used in MIPS assembly language for managing function calls, local variables, and return addresses. ● It operates based on the Last-In-First-Out (LIFO) principle, meaning the last item pushed onto the stack is the first item to be popped off.

Stack Operations:

Stack Pointer ($sp): ● The stack pointer register, $sp, points to the top of the stack. It keeps track of the current position in memory where new items are pushed onto or popped off the stack.

Push Operation: ● To push data onto the stack, the stack pointer is decremented to reserve space for the new item, and then the data is stored at the memory location pointed to by the stack pointer.

Pop Operation: ● To pop data off the stack,the data is retrieved from the memory location pointed to by the stack pointer, and then the stack pointer is incremented to remove the item from the stack.

Stack Usage in Function Calls:Function Prologue: ● When a function is called, the current contents of relevant registers (such as there turn address and callee-saved registers) are typically saved on the stack to ensure they are preserved. ● This process is often referred to as the function prologue.

Function Epilogue: ● Upon completion of the function, the saved values on the stack are restored to their original registers. ● This process is known as the function epilogue.

Example) Function Call and Stack Usage

● When main calls my Function using jal, the return address (the address of the instruction following the function call) is automatically saved in register $ra. ● Inside my Function, the function prologue allocates space on the stack to save the return address. ● The function body executes the desired operations. ● Finally, in the function epilogue, the return address is restored, and the stack space allocated in the prologue is deallocated before returning control to the caller.

To understand recursion in MIPS, a good understanding of the stack pointer and how it operates on memory is imperative. Recursion involves careful management of the function call stack, ensuring that return addresses and local variables are properly saved and restored. This example illustrates the process of calculating the factorial of a number using recursion in MIPS assembly language.

Example) Calculating the factorial of a number using recursion

asm
//code
+result = factorial(5);
+//code

Solution 1 :- Corresponding MIPS code

asm
text
+main:
+  li $a0, 5 # Load the value 5 (number whose factorial is to be calculated) into $a0
+  jal factorial # Jump to the factorial function
+  move $s0, $v0 # Store the result returned by factorial in $s0
+  
+  # Further code using the result stored in $s0
+  # ...
+
+  li $v0, 10 # Set syscall code 10 for exit
+  syscall # Perform syscall to exit the program
+
+factorial:
+  # Function prologue
+  addi $sp, $sp, -4 # Allocate space on the stack for local variables
+  sw $ra, 0($sp) # Save the return address on the stack
+  
+  # Check for base case: if n <= 1, return 1
+  li $t0, 1 # Load the value 1 into $t0
+  ble $a0, $t0, base_case # Branch to base_case if $a0 (n) <= $t0 (1)
+
+  # Recursive case: n * factorial(n - 1)
+  addi $a0, $a0, -1 # Decrement $a0 (n) by 1
+  jal factorial # Recursive call to factorial function
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  mul $v0, $a0, $v0 # Multiply n by the result of factorial(n - 1)
+  jr $ra # Return control to the caller
+
+base_case:
+  # Base case: n <= 1, return 1
+  li $v0, 1 # Load the value 1 into $v0
+  lw $ra, 0($sp) # Restore the return address from the stack
+  addi $sp, $sp, 4 # Deallocate space on the stack for local variables
+  jr $ra # Return control to the caller

● In the main function, the value 5 is loaded into register $a0 to calculate its factorial.
● The factorial function is then called using the jal instruction.
● Inside the factorial function, the base case checks if the input value n is less than or equal to 1.If so,it returns 1.
● Otherwise,the function decrements n by 1 and recursively calls itself with the decremented value.
● The result of the recursive call is then multiplied by n to compute the factorial. ● Finally,the result is returned to the caller using register $v0.

References

J.L.Hennessy and D.A.Patterson Computer Organization and Design:The Hardware/Software Interface, Fifth Edition
“Digital Logic and Computer Design” by M.Morris Mano “Digital Fundamentals” by Thomas L.Flyod http://www.cs.missouristate.edu/MARS/https://www.d.umn.edu/~gshute/mips/directives-registers.pdfhttps://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.htmlhttps://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.htmlhttps://riptutorial.com/mips/example/29993/mars-mips-simulatorhttps://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf

`,322);function P(I,T,_,M,B,j){return $(),E("div",null,[w,s(" Run the program. If a breakpoint has been set the program will stop at the next breakpoint. "),C,s(" Trace (Step) Into. Executes a single instruction. If the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. "),F,s(" Backstep. Undo the last step taken in the code. "),x,s(" Pause the currently running program. Press the run button to continue execution. "),q,s(" Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. "),A,s(" Reset. Resets the simulator, reinitializing the registers, program counter, and memory. "),S,s(" Adjusts the speed that the simulator runs at. "),D])}const N=y(v,[["render",P]]);export{L as __pageData,N as default}; diff --git a/docs/.vitepress/dist/assets/mips.md.D391O4eq.lean.js b/docs/.vitepress/dist/assets/mips.md.D391O4eq.lean.js new file mode 100644 index 0000000..20b4bf2 --- /dev/null +++ b/docs/.vitepress/dist/assets/mips.md.D391O4eq.lean.js @@ -0,0 +1 @@ +import{_ as e,a as t,b as i,c as p,d as l,e as r,f as o,g as d,h,i as c,j as g,k as u,l as k,m,n as b,o as f}from"./chunks/mips2-image-0009.DFxqnWYO.js";import{_ as y,c as E,a as s,a4 as n,j as a,o as $}from"./chunks/framework.DRnJpP2i.js";const L=JSON.parse('{"title":"MIPS","description":"","frontmatter":{},"headers":[],"relativePath":"mips.md","filePath":"mips.md"}'),v={name:"mips.md"},w=n("",70),C=a("img",{src:e},null,-1),F=a("img",{src:t},null,-1),x=a("img",{src:i},null,-1),q=a("img",{src:p},null,-1),A=a("img",{src:l},null,-1),S=a("img",{src:r},null,-1),D=n("",322);function P(I,T,_,M,B,j){return $(),E("div",null,[w,s(" Run the program. If a breakpoint has been set the program will stop at the next breakpoint. "),C,s(" Trace (Step) Into. Executes a single instruction. If the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. "),F,s(" Backstep. Undo the last step taken in the code. "),x,s(" Pause the currently running program. Press the run button to continue execution. "),q,s(" Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. "),A,s(" Reset. Resets the simulator, reinitializing the registers, program counter, and memory. "),S,s(" Adjusts the speed that the simulator runs at. "),D])}const N=y(v,[["render",P]]);export{L as __pageData,N as default}; diff --git a/docs/.vitepress/dist/assets/mips1-image-0093.DIct3pP6.png b/docs/.vitepress/dist/assets/mips1-image-0093.DIct3pP6.png new file mode 100644 index 0000000000000000000000000000000000000000..85219e0ec8ec1567fd0362603530586cded92dc6 GIT binary patch literal 304014 zcma%i1yq!6+pUdC2+}Pe(jZ*|(hAbu(%qdSB3;tm(lOFKbayvHHw+y^%z5zr{_}nB z`~7FF^Q@@Bo?f!GmWC&mRGMP8sSb zfL||cKB?J1c!1V^|LJPR>(PMr-c~05>QMNQI_+^O}{a0ZXQ7qm! zI9PJ@^g*cCdV->AxX4cHQZKjaz#i5R>w{aEfbpIE#(eGigLJ1zir4FzI}g@gAa|6K zJv_DTp>Y^h`1XM1!M7(3-1CnxSb2CJX?tg!K2D@f#HUN^DnF`ExpVI8i$S^i{auSvl8As#i?x4lAo<3IM%lYYCY}WU zY3aLm(kCAh_}Zx{C0{4LsTN1AeM%qCn*O*HGaM5St(2_2rFFjh`O~yivgby^D9+D$ zlw`ZZ{8eAA_}+X^=kgsevh^xz#Z^xuGh)WR;SN*XP}$jqyruHa9|om#7}K3HPplMO zz73#3*T8!5nu1K(ce?Zq6{DsuYYta1Hn#yqY`es2^*63qCX^RHclAZ_SC&+LJ=L^Z zhTTdB)E+tgdPGXyAM`3_6Ultt&fuu6@@w3ckWuSTw5G3`?ZfIWH_dOZW=oAdbcK?I zHhuEmzftDL%?+^2!alFT7vL1>O%mKwm&MAB52nItMWvbQVioZnIXdP#TCtE;t@uFV zfgkXI9{u^&$4Blw-AFSZhexJ{k-%#dE#Druzrj58_W6#V81a@6qg9`X`WZ;59qDP? z$s>a-57{SI;I^Whtp_XyS)yh5+)FRoUEdI`wVDT7#M(@2kh`GZw+J-Yf8A_#vDb|Y zL%4ed+z!4c!_4^lR+wblOgOgvjousPXuK~K9jG=s^Mv8w#6i<3w$Bc@E5cysKd634 zw+gp93UMw^z7-6-%%$%7Zk0z`)GZ1RuWc?)&mkoFcKy*YFJyP=S@T0@U$6KY($l?X zYO&;2rpj9arU=WY0`sW8#4~{*k&Zog21#kg1+)2esD+>GZ}q*_N^@RqCb54wE(cA% zn%QizzZ{C47TS9=>6g+vsNePb*~hNLQn4apUdE2L`rT3sXH?hVn2xB&j!zJWoDRm> z3G_AvjysNUa#FfAnHWgcLpmKcDf;ey+Fz{8xZX0x$hBb<+k?`Bj1N9FF&$4$CE?9D zKOQkf3=UjBevr1&($bOzt^IBE;M~2r3(@U7==V^7xc5OaZ);3I@EQ>#ANrDp5GubZ zycsY4F<}jf@e*2tx4`p9x^G^#`xv~(5KDV{QH%HGA#1ay?91L3mfr+LNJ-7gzcKe7 zB7Jb_P$&NM^o|zqyW}=%!iwFd8^7MDnJw+k6c(D1$Z+$LxoCMwaZe zUXivD7l%iQfzo2ZBUf9m9T?O@55#sy6t+Z9d1gZ)ACcaFqtWMyr`h<%{2m9#H~TAo zJYJG-j4rk+yRtuf%e4pgZYTVAI2T6lvcvqtgC*Gy4>wn$j?^yoJoq4(;4Y1$!pp}E zqzJL}*C;Q}pD;a2dLQ=lbG#5Wr6PJ2CK~2ovvI!vVc4g)JLtuMB!_BW-Wg%$V&Y>(6NhGRI`15nR87u!^EH-zw6| z70AwJI|ywDjVfdh890_v&IA*QZbalp#6?y|Bz4Di^Q{eGp$4&c_`jCv$?4B7${v>+ zls%ANq^6@wqH(9~qDGG1qy{T3=6Xn9c^`{oOJVb3$4V3gi5Ldo&Q~cQm4nHX4yT)jOa_d0kEs@wO0G6^vPwnf#2Ed2Cu0xUP#zdd3^&0W zNbbzEH9awzGch*dQx{<{XQ)!HQm&mODMl;yvXrt^*%#T*pN*OAs;qZ>PfSN#C0#No zpYoW8(}91RV4KD9sxH`J*8#i*nIg>C1b0o*jm;H0Yr&%UwFEv1h=XdqeD3%j&o>Xg z>HHehBId*T%#)%*%q4s&jGEB)YrCcJ`0(KSNt?Q5Jo8L2p(UKGQ!--%`|W z0iNNr58`h7(B={28tmHS8`z;5jE_@^Q_av5i_%Bm#~y1L3o9-vjx54aWmn}ZpDZ`h zz}1*ihgN3HUe0`}%CD+5lB_VC-k7%f-Du%ITRUSoqcp2kCceM0|MZ-2k5~M)m|GZD zSP{w0diqMU1GQbAqsvm>D&Df{%JkBuU35oYv|OKfWhi`OZ6kWOVqkmFWMD)$OHzz8 z)N9{jv){4A0kR?F_`)e+e{}1(cVaOHRyT5%LpWerH20_)ry6`fa-es?bD{kTIh-w= zHk{E9ha#~w-gYo*34e)u?j(LwoXHt11b(aya^-c|bb%dvadf(&fcmSL!#(;vxcD_Z z>O8k0rjR7h`r9wJTwW7iuWko!UGL^@(^xDMX8ITU;~q*sT=~}a5T>SGlu#7qt@`!T zSGBL=KaFIChT-YgEk-Q~EtM^|WL9Kj!qR@Ph1!JU{GCcer!}2W>jnBLER0mmYb0DW zFX4y&7)}@~0Xd~x)4xmUx)wt#La@X*M7*h;#(VSJMat^V7URG2>F)eE!9;zxA^m~>v*l~!(H1r#b@|zn3Z`UgX?Ei` zDJj}9i$jLCQ#Lw>H?D;)jUJ?TFYm@s(n7HDhgdvWRE*Azt_+n7&R(c~Kl`pSlQEN2 z2Hg}{-|NQjm+DBp$rAl#H0fMLx9ONcH+HNFF<&NHFmS~Goo`3{Q> z$E=0)$|*2(4Y$XK9Gcmi`AXq+E>Us;vl3UOXW~MI=vXQa5-x&+IBhUxk)_R{a~}Vd z5sR@^f^WY>+62p-$N3EqtwI}n$&v|4tQQxrb0e`Stv4wL%oDBIK!Z2pO+RkrH44;e z8TZwf8o!PSd1QRm?IMp8-No^wTaD48cBTbWBg*3IFI&M`Ag$}&rN|IUV#$R#D@`%Y zcbZQ6wb0`fIyPl2uuFyAUN2nfRLQV#u_Uzu?%`|Rk;5L%9?8C7PBF<*Qm$HUu@HBr zwhLByrg%`yQlzeU=JnXREhhAiaF+w$k`{VuTK@H9fPqY7r?9wyuIhC)j-_WAgRRD# zbqLg~iqL`ydgthGBYrVBol?wB!p>fg;>=JiYED_%Sg+u$vxr%fTRNCw)u)}(xPINt z-vima9y^C}p?jsTzghjdK-MR$t-*F9G@HwxoZb#dmPZ+Dy$9_ z#Bngax?M-8xFc%rQdL$|e89L(BcSrt;@!De?=A0Z*zuyLm(IB$qHQodB-}DBlArJP z!#eVI-z>OFCkf&Us^^X8P-9QAW1hFI+(j>PH!$KFMRzZ+h--bJB=wAE5>&PNlrUh~7+qWTk9jREj=^m6Vzmlz-cPwt)B4ID5{ zZ2^C!GEMD~d3j~HZMsc7*3O&o`UK6-l}Wumi$iGkT~oq#hT`mx?aE8Y|FLBvGi|PE z4G#1*!A~l0bfl@YFKaeWn^a&1?%84&D(2^}5fII+xjuHc2F&S8giUPK^1L3W(qBuws8AkxwUoWEK0!dO(|LVh`Rl z;e$|G0G)P~pPYCx1`Kt-<$sLv_pMY_yQingZ9Q>6hJa7Ic&7X-MQGxJb{@&ijnDpu zjG6*^rtMW?SK;6991x(YTY-I$IkK8fpoO@&TiPtBPT@6mTvS?NMgRK^FeFuhH(LbN zicR5~J6v^n-ccY8G{jy6ckTZ8$3<0-DjF3V=Yl8d$Lj^sEqw%4z`ui^{bMlo@=e@p zjjC}A{BNv_&&AKvPF*bjc}u#2syK6q4%n@W>DtQ~7$XU3NEh9I-HxoXQMT~}F=+-m zAMZ%+ry~KQ{_|#{6Uwl==2S~D&4I>^qk=d8_+0s`C8DlrVW$Jb;+OY7-=<(T6_jTw zrarj7_aR*6=|6_0^pUyw$)tbnOez@ZUsuh1Pez=$jSuqNbBq3E40k(FH@lyTHa3W?2E_dblE;@x+D`=G@ho;Nrl3e&yS4b2j zrD(@vS+ma5?^qzQFP2_KYP$O3XV!YF|=2vP6`R$_FKMT39z8No{v|k@7gDtH8b^uI$BqUn-Jj5eBkQjeA}sV zGt8Ko4}({G#!Zqo*_$}XzYxH^pTN>q_v1^N+Aq{McHQqI%IvQX z$SAtLmU-*mfPKJC?(oa(x|HGt2{;^IewFqlN|bvnLJB8RBpj;tGO5>Y{Pb)%6RJ#qYRqqTn{%J?#C||O^u)s z3%tp!PBHB3?T)dpQIgbQ)?V?KG*ug9*##LmHYM@g=7wr$B4!p&$0lv{o3Z zir4elnFDuY|F8$QK&RS07=0`TJA02fXL2zjLLXhA(r!bfxD0isQ`iPPT{_qeNt4oh|+XpD89sdi8cyiMjkas7gKSoK$$^u z0n^~|j=8t|V>tZ?ZObXL`>~a`RP-G=?BTvFA4*yO@*%<^-hI&SU>-8}^8}cHWWz~B zHxJwU_uXER#@fC3yhaV>8X^gE)%#_$*m~q=yK_+4@!uI4@2s1mW0ltCX0z>8!o#`# zdg*tww#Tcn-cv@l7(exagU4T7_Cpfd-*oe0stLmHVJ3N$0>4Gdsd<8PpE6 zUr^#@FGrx^TB=a)P2;G|5Q1VRGPN%-b@c100?<8>V*d&E6-sz}>BpDxxP zT*lPp5#5>JJ|@cXgBtY@@X)hbBI&Ix)a>s?!d|&G#vFNF@6H)vCELkgT3>|WFYxw) zSlyl&^^VCHq<3Buqq#IXI&EjJC|=ww`X1>b2BC{ZZyxE_%iM9K-8@fgc+?0AAD!M{ zkUuu8=^Wf}olETSZ&dClW^aAPc&dF0OV7h0D=qf1Za04N!nyh-W5p6uM2$wL84&L9 zzh_i%g@-fWPxT6eZukM;I?Ly=ciQ;dGqu%H(PHhB#04){jr;#S*k_eu;GBW) zK0-er#W#CXJ+qaTpo6^*7L!&6f^1!AwHA+a%q`7CIP#IzMwZU&REZD!KtO+<8_@VJ zM?kWD$PzY64MBtESYq%dU02xD5J^Y-ecoT4gXq*u^J_>?#)J_+>K8E$;4T_cHDzV8 zHa3>t1{qwRZ*u~%?YomLTV*{ZxKL&=!g3qgO@zb2>9HLIAmr)Mx!iUNuOl<`6-`h6 z`9u{~wyg~U<96gRKb{F}nt7Fvf8|e-b4fDcb){dob4ty2AI;1Re{yB$VCu|wlWBt- z+S(oRjgXAssenN@>DmDSi*cNjxX(@d{=vkVyxw>qB?Geah>4fyNl3shGrkJ)Db5CV zB8b9@Lg$&8h)asC};P@C?ofMIX-31e+^^Q`lMe=B(chB-Ovs>pL$B(G*S{XT`0uo}=WeK?Ho_PD`xX z&MgK)wpgQnq)~h*o?nu^<@bw*Y&cbW8W#HtpBwF19;w$i%nYVR_0P%&vwPi)ne9RZ2? z!g@?u$Ays3EX4h>LH=&CsA)j5S+s9Y?qI;PAktHC0n*cFeTCv^#H?8EM>musT6%BZ zdGN{2lkd>;3`6|%>I%dIH0+RNurU(ajD|4UHurua`+J(pf;Wem{O63P8|l>fW{3Ut zJW%5#8upE}$(h}iNUptEStqo_O%1erxgD0a!Uc+wSgv@PvqVh0Fkcgsa44P=GqNH* zdzQ~4dd1?C7m~5|_!^B#t>R0CkV8kNujKfyX?|NrQZ`G#qkYNuW6NmJcM&?25NKu6 zU4|~ZMBC_QQol{JiPq&hQ?RQcA0oUBK_G8pU`?bmio zl1Z+=M}bF#Q{$@eqpb$tm{(&9WFT5ycpB9u6MAKqto?l_+50iSVqn^l@Je^4)|n1P*}fp@hm+g&>J1>b$v12^}l((-4m z!gTTBP6QGWQU(Xu)K(wZjefX0N(JJ@0yz%=-BMgmAvOaWzmOs7n-(ey=NAIG z%V1!qa%aycz4_|}$?bBpAZ@rhL51R{l|X|=^z5zV?i4w{er`3D*0|!+BU7t1(DbnE zwc%2Ba9fA)nT4m@1z*I^HFAlzWfPu|QCSjx7e&Niql@0GFAC?gZuu#0O_jmkZfuG| z0U-x)@Txk5$ZiZVrdeSVcxPy93c#1bJCJdnarH%gAqo(-;$e7(0z~J!)M(d}Un2w` z7pubyQ2fR)_xvl{=d3m;N1Y>wDPHxyK}7nGr31Sl>BP zCZ!ZQ^zl4{tUb3I4O+?|Z-{r;^6`RSLleNNE~yk2h7)<|xIrx?k`pH4AyX-?7fVq7HR5=Pao27x({5 zJ;X*on6usQfZsoR$;5@yP3_-!648Qa%MJ zd~3rq*SW?M=lN;TRrnn~ziX4f$(F$7nz)OOz=eUo%$*bL(iK&NOgW7(j%c^O!pp{? z@F!kVdLCiDN!~4MNGSm)#{~6y+1^+%8Nl_PI}teaX@k^#WjjqA-< z$l3PAt1_NCJ2EW+`)}{206fX9$VdHa)#=t=e;+N6ppGPm&ZnDF%yY@r-pzzgn z^(=LD@O%9H-xfV~C&FN+*B5&ueaj3{R8s6GIe4d^qW!L_l3W9c#7tEacLp=rIIM$g zxU#b|ul%G8dm(KDBBsew+)$cd<>eN4ma{t={8%%~z+v=`HRaTyKz7p0T3rKMiI}S1 zdaxqkyG&mZUZm5;Xj^z&@0WzB?>G|jJp#85pJI_JxTOYfGI8%wUXPA48sz2Ga}?j& zmzCscX^0pTyvrRIjrKeAxqCdWgxy4L6d{2HD{IpmNQy{hcvnC#!zUK4O(pi-?p4!Rl|02Q4Xjdzel^&5UD{$KUIXQys6|{nO zBke_Ce6d9XUn^#YU%)ijx1eB4RlJ<{X6;)`>F6;lbG`GpLg=fV?C0ED{uev@0}ajWIqidjAI@X25hzT0|vK5=_Ti{&3={r3EV&}2bc_DHP%e^Gf57U^!7RJHOc7R zw?Gt!zphM(<&kLTiYnhJD6Ns`=8^4WlVTiw(F1W%rD(&XJvL0-X^ zG;aN>MXk&q_j*jt7EqF$ZeOLRKPV|)mc`U^!ff>UC4|8-mpaG`^=HHWE&wRa!DPSx z;X|r!vn6XA8gZXLIPq^lV+89d@hof3Qh6W2lGSLgM`6?lxLBES*=U(*C z@0c<~9R^%MqtyM$P35&Ue6DRs%4xg?=7@6)Dc+9Dr-U4%HkQjy1W&yQN{c)8GttAt z+3pFZo~!bH;sG?LSi*r9$aNK7i}O!uPkw-@o;`gg=?n`RWcY9=4E?ReFdXFIIU?t2 zJM(*Sdv(lXB8XJ_?OUx6pwA@&37`ta`I2L%S2~83ceTFmeb-l2I6j5UR?{tdqwO>R6Vc>~W85)S?PI$~j{)*5HaqJ(lAD@RXbtnn z*t)^rB@Lc)jM#6c@vq>NmMimVbgp^AqS zC?1NJ;zG`StGCgKnsipK#ZSbQ*9~D;Efai9xp+>llc`Asq=KBfHfmHk=E`QK)I!Kd z(B3DZ+@FJXZUJg?Bs`ihZ{j)fGmUoSJm>UT$9M0{!byB67kxGD)71Y$9N=7^|A`tM z&_fM2YuB&P<@wezC7udco^u?vLO&*RPltcF1XkKBKZ*nincFD)h1t-ZHbJQ6CsCsi zVpeSd;!a3~4FBC1tXs9y&Bptm3)B0q~eZQ=hV%EBf zzCHZ-8H&RVlaR1;GJ*skmvn=W(fE3{W~H(8Dl@5Ys{4aY5}V3hb;mnkf_R+%hIqo9 zFVTb}2){cQ0T?d1g(8iMpGz z;w0?7LCP>OM9S@PnCI@xrKsaWe@xfvR?mNR$(nFEu~q7(7gmLl1^GI2xG`a9oMrk> zLVqnlj&|rLneYPM8%eEPFx@?sM$|O~0oooTKOIcKVKpuyV7+3|>+0De&+v8k@mq

iMa-<++M;rZQ{PSlej7i7MQy*XuliqrcfEQbbMjxctOm?AlcZwY!y0ubgTCTJ4Fi zZP`qLJvI%Q5Oo4F4XPxuwgeDAJ72fYrX=G9tTQC0oUKd%Y9KMx5S@k8k~e1jg_gF~ z=uF*Tn=9^MMeH_;Rc|*8pp)xE_wIoax^;3dI&S@tXtdZ)0&>BN#Q~4CW#yG9aVTpK zx?P<638~r<*?g9}Oa`PjOc6RRQZ=QP?njVrZ_I=2eyaowf zc|DGuFV`$DN|6WO`;}WpmVuMuUp^MN8z-)~M7vASFe-+jBi=g`4E^g5X>)h@T?ZYf;mjla=U}>XSge+0i+!QVAao2n|CW(&j^aKrSJyBNw~rKrOoL z7QFV_1(;KMi8guvICv zWaHlw_y{`4!^p~pa|mT>pg3dWXEPgg%U(aH(i&+7QensNG^}~qW{N!DB%gpnPWZON z7MQ>f<~z}*tqH6~gtSGZFm}6k>VMB(Ro{$jtQ8l*I8V8xMlGe7A24&PMVOYzp(K7= zp4!-B(YHTU=%-TVd+XZP@~W)Lkl+GEg2 zP^f&f)cHVd2V^Wqu(SP4ON4goj0jlYZJ~hKr>rs|MhtJZT*T6?5ruhF{@5$}A5;f) z#2zr$(vHA+t(RF{U7@#~GqWe{FQS5y`wfSeff+h|*Cp1q9rs#h{`7TE7F*DsjlUd$ zGH(N0CR@=Y*;MZ?C|Jxi0PCnrNXp{6nEl>6DTJf(F@6aU$cN@N(VT2B0*pi`&$;7T ztA0IxF-OHClI`SJ`N9v4beVqhKx>3nL^^v>j5){7JR9JSk`$SAiA66_P@X%~ z6aDcWMNVcJjWz23VtF25aZ{`(W_UiVEY`E1D3&fTWnLcR62*`M3Qo1y1U@cM>X#9~ zFJk%#nB3PZSCX}y;Fd!4m!6dUhoPHoNZ~wtuDDs-JQ5TXeDyleJ6hqa3xYIh{@p*5 z49Etry_eVYxl)_vQ{9p9Y zHWRPM?eMa7E88R~0MsXT2==%I@L$*?HDJUxFZe;arnnq|+b3@x8IjN;Ao26`QNaL3ifAh!&BoBEnydcwN_BgrF}K`rH)iGo^ zpKmmHi4qva`oN5wENBX zEKeWjT{!}V%0@PIz@~W~c#g>lF9B&_tsF&&-3J|g+CeaHp0fD3F8U~7z3rO62he*2 ztS!+u8lG@*blx-AK+i-h^XY@rTfqXzYEn!D?`hvMEh0|XFR=YmpGr}}youwuaMNu8 z@YbAQLCTT}q9x9W0HUvk^D70yIrj!|S*R>h+ZO9x?&Ja6$1j^*?z`8Qzz?Xv^^zB4 zku!$F-JheN$fr;goX+H??Fwfp$7zM+1XG7rAmg|B`RzPC2Si+h0g%DZnjj?~fCL^$ z6?v9|aCd+uxYCG&k|Ac(Ih9R!XGg%|K7$7*?~JzGil2Ilk1xN2lb4z#LWB?iYuu6l z*wsw_m%G#=NJiTRRL7LyIcZfqM3a%0_3rmNz^x>T8kHF|emV^0ico_ZePnEQuWCCZ zc@9h+lT=#2=k=*VntLmmjz_*uFZ)IQI#BpA^T!pC5JqtT(u${Srox+^wj)oIR3&RB$`r%HB)^T)GmkkT9|@ExOTu@s4CIDT;1iKc@2ti-67uIL*lU zRZtmT67#pJz1qd0k`TUe)TnmKn*I7&`mtkX?4_&(kE=p)j&wp!udNdb=DlJg*XuE> zk4&=O_B);CL(*tSn#s}^8*2Vy$&1P-BHU>?Uy&lFJNU&`^5TJQJ$1X8C=+Ez=aBi& zrUhPW@s=0wT<022C_GS@@tdqOY;RT4JdR*aq_)8|%({z+K%pXjPDbuYXVY7t0!PLS zv2#bsoip7V6=r*x#Rl-dO!dGyQ8E%z(?3q+alRMH%`E#as>+#Cqn~em7NWwoFXOQ| zNcAiWa`xS3FaBtU@$5`*xhP?(2`4$lRZWKo?zOC!Py(Jnz5JR!-%18l!S5AkqkS$T zi+#ks3sDTwwVu{n@E~)8Jp~+UVwggt!r3KuWkqb1qbP&I3tR?G@Gp_z@D?!G{H-?O-7^jJWNlFWld7EDaCud|Dwdi(-%^yLs%JW%GE2# zMh4V2LJ2v-N!Z0?R0`uupjZp!KF z)T)&R(#}&C1c#*|S*yPI>+!c(DQ|YSXV6IRDJvuYGm5GME&xd%vs76G4Z{cotiIR0 zoh5%JDua8*dG$Y$$0{KlTGO4iB3(4uvM+O|!6>2MaXjJnssbo?rd%w)mk{np=WFs@ z@0cHB4G<{Xi3G&zvfo+KsRm%{DiW8#B*!P4761ebIA^|s)o6sO)~-f{y=Vb^SE z1y&!A;wyPmK+t@`5H9+@(~eB;(Zno4~;VSs-Tq|qMwSzlRINvjH1 z_#$-CoCq5~%PbP8iF#U2sGPhaem^;ut}HvSF}Dyr`kQBhSnR%ZVmdaflg(+lLP^55J zjng*i~mK)vi zhjwhH^00KOMT?azMDPLJRrbUla3Zhqo@+7VkJlS10k%Dy2p#s_tLL|EbPL4ux*nJP zFF#?+?_POW%{w{uWco-!dtI6uUycv!v$IUx;j_s3nKVG}K8|q?G1}H8WZ)0MwD3sJ z-R`Q4fEEvfbg?@Q7~wL(Q{!|ji{Elzb@i4R3H~aEzq6trw2vd!T)Mq26qIA&>ClGC ztzH1-_4@+Zv?rek#oI|H>H@=Zn2wgySMRPayg&Uo^NEqk1vsDhZw-EzTTq3k5dg?} zO-Twf;s*r5q)gV7R+WM4*Nh3_TCG``;t{t1%O8BUvUEp5dP{o7VsU>F(ax=lFd&6!y++lk<7$|@UJym<{Xiie!ZNPVuXKCv z=L_AOj8n<>6J5F2@=UkYK!x2QV1iSW2Ow9y7SlPRG=5)D3L*aOK#z#AbXqy-+BB^K?EhD(aPaw1g{5v+~9 zkctkH*Ba>UzO~wM5Jp!D-eBkoPqG0j!ji-gbMffjH;W=MR{3oyjZ%XfHycSlvHW*i z3JHuar^brCAPJybfR^R6Y^623t+n8$y&AC|kvR+$aB4j(qNX{Mk*@3u;5YNzF4n_p z*ZGp5$8{hACHNfC5nlYnJP4{3^dhSpekp^*PCGCB=@2P$b;$ zH|tO`e>KxVdbh{gF!OES*1WT*ZNFa%Fy7^-(cv`43aYwGt@-Pq`taWKcb)K7x| z61+pymg9;pzc`}OQk)nysmslNSjKj0MGYTTvj+4C6GO$=ZpB+qVQIkQmdGT@f-NI6Iu z2vH*5$R&CW?roc?Ab8=4XONEnsMqbtFFk31My+*`PBLf_G2WR4H?0~T$}+=OvTcr< z(A%>CPi$FIgP0|E@Q_Jity;^0$WGY=43-dQc$0IQor{}#3j-y308 zg||+x(1CWbs}!xe?tLWu4^7U?fgKF2laQ#Uh59$j`o_zt_`E-kH(NpX?PBB_%+4dj z05S-62jSc$5j+*IrVW?~IHQ|Zr^tEFl67btLCtt&ZH3j4*-`eG@?7%|Sq<6&jKy*J ztrB8660l4H!0m)R@%Uk& zdds~Jb7b!3%rc+*Qkx<+RkbpOg~$OII33F`QBmAYnsXqXNdqPS_u!T*B~&{OHhLhg zfPyWW^^woz*-Zib$bL5%Wt)oF!b^iFE6OQ+j(gns-~EW&m_(~|SU`uPs9quESr?3m zAWE@yNc8^Ui+&bp?GkdD$0%f8Hk34gWX6BFc*MA zK*%e13cwl$n(@9Y9(sSmij#oV+V+$J-JvKmvjPT&!5hKa7iy<`%`QH z8Z;Ft|FR(e_4l77LI3uD*;k>}GjQQT`Cq-m4OM-6+5gqd3!g37&_4NJ51%grI)|V9 zp}qh9q31glo3wu=@z=}zd%v=arHcItpY~tvt$)4t{m=H7;C-_L_HdO)|MB2|9=_GQ zZvbdf{NJwR8aE^PUk%;km0CSL|BolN82aC?U*8)@^{?>$zrV7<`+u6I|7#$YD?7Tn zNFM@U`~A=Dn|x<%R8-W9|J--~|Ll-`m4EJ|_OxiaIS$U<{pSa=N2RytbJnRPkN@$> z#}0o?nSbni(EBKp?f-AzoORRf#x~DC4_5TBK-|JWd|F8V_~cuVOfA>Z8gQCt-cKgT zW$T#Z5en#f4r=g9v%zI#%+dQb1sz@An9{eP2WdYC(~bZ0{H53|RXMa6AbbI!3o+rvh;Gq;lg&O*g}d7y)_q-$YjLgR>m&N9_? z1OJ+{+HSqKEbE|dm+`t#@8h3gn#Rcf+i)y>j|?2ODJ96oBqru7`&q0}y|Z1tB9Syv zRS)oFzj6|dDvO!Mi$%KQYd9PSvE3nfCj9Z^w=X@tz3Syg1H0g+5@lT^6uhMwiCEO- z<>j(QJri;YiZjX0!IY#AuE!0oCqY-wr&bT(1?9C*p6yJ5nY!doV{&)xQZ#lkBdJmU zbATS;P6yfBe-@>k52CX)#LQj};kMuCz5&c8VY{p2bznTCwF`8bAh`u9u@1YFQdQL; z#9j6&aCvPnqYegCIf4$LmG$pejNaBJFf}#3M&<_HHKb~WhXB%Jeja%LAipmuJdQ)Dd{Hy>`7f7zA}unwfyOhB^BLn~c_2Vh;$|z&sQ?#|f5C=^kx^RPZ6`k$CGK?b@@g~9 z{d|w+)#Xnhehm+oS}13^%meP?*fGY~xw&UG~TymEF&htRc0lVZ5hZ zw^u_TC+0K|!~EfDgg8klc+>kaQvZc&z8;OlY8NS}!g`SO?$94Vz^W%OyQ3FsXxHVJ zas(R!Cj_3-7v!$qO_~_PbWudxCJ>u>f)^H+yWxw7V zgUg`4<}?r-PAEsPQ17f_iE-9+eNewX1a~tK4H}g#T(F$0V%MZKiWY+{HqKRB!+vA7 zwzWx?xhktRc{LV@0n-DFmDvVk6l((tP+K`KAUol^n5O{QFsG>$t1IQpQ#zitAdxBx zl2q$Oiy`iA&q(sL8|xP9E*9N~me295=GQIudW}IitU%L`u~$TSokq14xbZx30E3^O zpK{vlZ&4pLeRq`DKrTgoV1hd6J_P|?>&6-=_$(qhyT%&Hyv`+Sb81)sIsy@zM_@<_mhGoT z{R{+wu#nJXu?DAZ>yJ9e-QM;o9Z=)1B$J0sOiW6A%)`K!=}VA0Zcv>04vdUMBdpW1 zwY3^tO6#(Lb$hHCsNWUldDw#FHvdhRzX`~KERCMm=XUiNS2!L3r~#|CV+S7DW)SVS zMLzLmB)cqor7`t`YuQVBbWaU(XKup!b0*nEBY5H}~Zo?|&uhGSHJ8>N>TwpPl8wvIdFrq*35I-Z8z)|^F0L#sqm(FTP=e8_K%DJ?e1Z`2R1det+y9YCf4~n01)QgVT}s8(vB`F z%vo#&iuq->t75m2cM~^}=E=M_0CG`?g~%eweA4zf5^XC*YpP>!lLY#jJ-~OTV=^qI z>Xl}>GHF$y*z&8QD(0=l#`?DInB#8=)Ih_<^D{BRS?bI6IGy(RUX<0&P`VARCLYrJ zXaIU`YL3LPxC^0fyTt%*5=vl_@*eM`I4{!FHU8rL+iNysSFIGu!n}ab4I-X}r z{@F@EZzHh&x$6t#ffv8F*KBlC;;Vj}sHBNT%71fE58G*!18@)kj$POJ_~mTis|XMk zDw$MzOdZ#CZ2tjgjx>S0OMRvE%K%k~$5o~I6jAJwAn-kdJVP&IzTsRM(u552sgjO{ zU6oCJ75-}l&v!Qff52P)!PImkKcOjl|IVs>qLOy-Q`0ktwkpcMy=S&mkBDi7=~(9% zFG)JH+v|&J?2abHt#vh>&KLSh&+eaOEcU@T3`9nG59A2Wb|wgUo%Z6H_3tjb1bU;Z z7u|L@(?Cvtrg2Y28wkYlBI=CTm~LV@Zi&@Kj2khmxv+CzHDK>zF6>L z>rp=bM`>wk#9P&J=}>P@?J(Ps!nE9RR1;{33YfYIh4usV43H(n$A2|qX|}5o85MOm zOBy8oo@xDdD=j6r?rU}BQ6m;IgN;aF>iS_i z^y;c?x=xKVc}>f|HiLq#L6OE%<}93Eq*@2rk9;{^b`Ai7{PCKt`n>+h_?d-!(sKg}u$WM}#D`Am3Scb!cCyPQpNqDOKNF*)qb-MWg_8Pk zKYskU7bYd2EWB{X5pDox+BPSu`1*GHU|T#Aaa=ma!9Y>m-Yw9Rdus8#^My|}{oi5H z3hL^@5LlM+*Cqctb7|ahpUYFd3OlYLwlF})lyj9|WFa)mIyaukGih_gaFx^;cDzxbcgMPPm``z6e-vB{2aS z85^sbr^@NJQh&NhE9U;%*w|QFx^t?|1KHdRKxC-gMhjEul*d!OPgZ8+)vFvbJGm3G zRGFtecjaD{c^q!~9Czt`LjazIs5a)4mU!*|cEh~?bH~a4nv#+dw@$UPRM@aRY37HN zlmb-=MpqQJSC7+Fty^vf5e@VRNOuvJP0yudm$4gte?+cemJNh1cZx1Tw{Cl`ZEK`R zqFeU|4$#K8AWv1-0jE@umhQJJ68<}MXfsJEDP4wjTt?}bSjvT)p25~xU@*PUq5yEy z;psk4ZPfDB)6yvz+~!G7kxHWe9c*EMCFOA1C-7U9n{>rO%zD=+s&)bLs_F1r{mj2r z)ipyEGkyW?hzTCTtR?47`YE7bK)GOMWqo(J4YD;)_Hqe!snIX@FY3=mGwyS-%LwuY zRI$g##`9zfqBHiq>VGzPd;PVBI^WYJ31TiMsvIrLbhAMU0a#MW8)E@ch>eXMoE}U> zt4hJyKn;qLKfl$_FRT3K-ya2CU07HU+aFXqsN3$B;kE&E;=&V*6$t_^ziID!C1~gu z)6wP}Wed|I5ODaSlpQ|vN-hCf<-Cz_qpYK^Pwm)5*-v6#OFy$jhBOKsdu1RyPt1W3 zBzBHMsyML`YNE0WOp*$%_su@FIJ4XiV{=fQcI*>7F_nA^^uQT<2FW~b|F7tQ++O<@ zg^h#TPL2RIDzO7*Imiarn=oh5`IwwM33MPHgKJ}9(lKBofE$lt2+xo+<XrkB}hgUaCp_mOD&=zBT?&8G8p|>Q@PqYu4atL%FYIHx|b0lz6v4%K>aN;G9ZqK zA5*B;xjTu0c)X;eqB3V30T^cYR7#5CN0mvt$X4xLj)$h*@pmAM;+{uk!@3|w6D-4* zd3l`#Y{r!RU4-Z|9L7^kFSy>2NRW%Z+L?cWRN1u)EE&yvW|yyC^n_mMUgd7DNFvl? zb~GUU_tix?XQ=~N<^>e)VkU%9wLrTHBpSdA_iABVjFbn+7^?a?JDakh6aWDr*=IoD z0(kjx$XUsJ(-CBi5#SdQ@7~n}Ev8vLeHY86BMdYKkkjl+S#N%j?*5#wTQmdW@NhkU zq~5DWoA`3L;bMVD;^oVJphqMjEe)W$v(K%@-~u~{+|dG0*n<=O;cLoIe&86(|IuB|Sn|ow$@sNB_Kw`NfqYF`EMm ztAYVsBk}d?>Y!i&;-+lq?CcCA;KJ$=Mu7tQ;Z_)IFCr2BFC!>g%Qh2ud!Ld(WyY<# zp$m)@NU-p&4FgBJg?_yu7o#T@*8vZQ# zWa%SH*PH+L2EkNGC@U6t@E#|wDte@=YuzWi+%r(*BU_~Y;db4{@1?-e~>f&!eYz`|NH0DtPzH2 z-u(BgSXgW()_~FPzNY`*H|~p^t*a{5B{$uNOJvGn1X-zC&kTw zJ9@uf8xhs{-v##_zcRki75AI->-)9?P!W(PLLLX!3TjvXI*ZP0V4dlH+px|zAVuc{ zqT=J1SEN;pFM#$+kN-x?pHhPey=l`nV2LOJ-0X;A)e5`kd*{_% zFq-U#g8}G0>|E8aW1x=Q9b@b^yzDRBn$Hb|JA*Vi~`R5PHnv$G6ddx~x{!0`hf7jRyPWTcGBJGl@}u8iHlFkUd%#(HP#wNJDjIx2b>$zop;rtrsV)~OF90kB`Ct*L&qfKZT|=W2WeRu z8IQRz0b}>w#U3&By0;;jY+-1${VyrxegZ}sHi4)0;bJk-F2U^!?E;Xl*(vUwvd0S{ z3}Wu>u?3*|w0Gy^x`8}Ec)%?4K9<68~|W`&sUa}WWtFJxtJ2XSjxqL+VUs9Gf^CDj5J2za9H!TKnz zfUT(KQQ`fcEo6rq;{bX}0b(m^J1DwZTIRBO&VCC`p9i%n?z#N-H?T+VvO#?nxqJ6+ zmtk}(2E-r$Es*vvY_BlgWR8;(V!oAW0Hf&b@9DlVoWXsfbernt%|8+WOQJh|0}Kfv z4*QF<<2z;m5F|TnMSu7nYcSmqaz&$nD+)AuEdbui%1Q!CuF7_jUtvQ|s|B>pZ#i!A z6g*i0W4)zZ04gPuMcY|rKjSa!1Bk%>p6XZ4jy({J*5l z%MrnuNk9X;_X5htrCU3Kd2WMJ_I;Jydk(<98^2fua@|-62bYj7%t88|v;-r8*@~%Y z5d4Q;kzr5|X$0(|vShW)ZhFc)IMFA)`7HmYquqS_hr>yi)HHS8M_lPIeqHG&L*(xv z4cxvdpGz+|!>wEC*?E89NC<&fG|R2o2f$|Qf=oD-+&kV~O3YdM^f+LUlSCBVXcQr) zT4t#dlDD`T3jCc&H^MiB?icg(^S`Wnj4QSJ^K0H6+jyBG*`xV5Wnj3$=JWvW^A86bWzy%!{ zOJn2DDbs-UcH|-IPkIEV&w$$vT%ilUgligf!6S3?&~E z67HMMeG4TU=~n-hNfz8) z{A4+!I0>A!sF>KKr2PIjfFQJ7z|)h{%>}tBOD$lVgbdn6hLCjaH8wuV6JnK5Vp9@! zUN_eh8}gHsvhdvLVCBQ)!8#(BxB(P4>5L>`Z(;f|i`{VW?+*9(>ww--F0ZT<99B@i z4KC3^HJ;H6+>qZVy8FJJWk;meawl}rtmSBX&O{pE5lHmqYTI9zW3To29|GBE6A13~ z2L73F_m*>XutBo!9|}P{)R{l>)VNhD8qOBDF{UJ_oX8}ul=u%H(&QaJG+|FxJ4L}$ zLE|NhW_3BNV%l44%1S(Y;`3u3gXXK0^y@NGX( z+za6Oa*I8QtUg~sB?P~0?0R4ZNbgD{tKG@bsVVuRHxaT!F56}xW>6Hqj8Px-s{k3*=YfJCp!u13JJ1$6HJa0yOw+1t1 z<_8mZ%!<~67RVh1pu)80d3T=*EcO8=vN)Ue_gVjo#~diqfFfJ~)=su`+N((;x)oTR z(%{BrOgRP3YE23);Fx0gk>@O^(F>Vv3?8ysn0k-}_*(0}M9-442ahbAujL{aJgfnr zqcZ41&F6!zHh}AyRbcsYbrnS@vt>G51xJa6!$5}IftbaZM+Z0WFDHifgF0IW#Ghme z*xLjP@CcHGRC3UEN$Va3jthk3S4Y8j9PhuJ+4e5D8R;q@Yf7hhfk8nl$10JJL6}N| z8g30R5DvVk{(*s1p9?pQVgo%qN(F6T)zt3&)UxY{9xXBJioVl#%9FYaMD9nSfPetd zbWIUEg5(1yIxNd!Qc?GXh#*PcqE+MAP%>6w&sosWC9H6DbL)@+0`DU`U_)NO zFgKaqTN%(%ZuIO0UHwjL0K$4tp7=|{Jdelo!rZ0c=q+cv#n?N3usw z9F#|}Qz}}6z>fIgtwi=Km?~|+EsMW?!vfX68O*~1%PjR`kJW7j*Z^Ja?U5@OQ*j$^ zmI4=iH492Orc1M(C;B8kIvx704uGLPpy|$=6Y$trXF#Vw@O84P<|?lMC!IKz4SjGh zRb&+M#S_?_pao_!8>JwglW-R^o*@f-Sj$v#FJgM(XgJ&rBr_mOf#7DBXCCq0?6*>Z z1p+Uzovcoe19fu%*f8LKAB6%p`}!aS@hm9-ygSVI@2^JqoMl*_0y?zU&3Ew#J*cEW zo=xZX2vC z@qV(NzEseo&-vj4wn8%Xodq=|C5D9J649)Wm33)n|599!Bm;g&6wvb42wDojILo@{ zpqXW2sI06Eh=8?#BcKf!6214!>>VrtvL*MX*``^YIU$_sz*~jT5VB| zTb-D7=#{o&s`PaCZ1v)kn0?Ny^3Yc;xx=l~WABf}CqL_!d{zfn(t8nW*O>xuJ~{ek z=eD>vDXQlVBe&%a90?)QQ zKW?rFcHE%=JR7eLnNNWj(}oi-Ag`c$b<7H36Pg|9F%O!a1yzd)u}1X=WeALqY0k+o z-qazbo&|lRtIMEiTd;XK06QOGJ{&$8NWJ)mQx7Jro;fn;g9+`+<33E6RZ>ziwnv=i zY?Q=GIdFeH02p4LOCr-2LOXb{BfsMTU{}w{i}Eui&~K7Q1Vg+Rdy`MY>^za{>hHs} zY^#_>L`0rOf%U;Gk`0wtT<~ANV*aiqjERX^1JhMShLRc@@YLb2U%se=dIRJP1OlnD z2}yicpN%c21GXHLMVkao6_v7AbFIuWvFkuajktTNKU-W_09?fybkO4J_Dq1^n)=XY z3^;HQKE5XvJyE-#xJv0l_P3_NR^_->%ScP#ssF+X#^yg46cBsWyKWmoPt%vqPp2=% zTcrSU*45o9VuS%R?*;ggljG##Dz^yz>x9#ZGNOM!cYpk%#9;F!P|s40j9T83Z`;26 z`^u7#+0m`Hz~wdnkTox^AtK$XzdbAc1d{fm){Og=#jF@t?Y${4b}qTvwxBq3Re{iY zfv28|(h^sVj?gnyRC*`cpkxW(JPvNfu}sZ1%gj#3&Gvuu&~^c!iBjU6ab&1P(gKugp{D zG@LeDrCHF z-k@uscVsN0^=9I&IJa$OO25SZ47IdKc0FZxuFVULEw8X0j7^~&>K`-rC^KidXJf}= zEmH=L`w-fy$(k@ESG!6?sz*aZvr0ERdGoKM2Hu?AdHrVH;6o<#KKOc%{Cu{cTKdho zo-ztMt%E;@@iaYAX{R#*KFba-JQ|KfyB*&wzWp)CXVD~k^r!(JebN@9(?6a*f| zM6uaEbtS{X#$1`eLZ++|&d1IX_#+htjXR$xPeq!T$=jJl;M7cotU!bxA$lzWs%(|l z2#n}mo$UIo3(CHwBis+^iH%;F3LGFRZN@8_hpbfH+4@#|IA{8Y)2wbDDcK>YYBbNX z`MU$V=%=91okmtrHg##Cld|f|ksF0m249iHAxPpW-5=VIBQC79I~GNT2t%BRwdf6h zct@%Cts0LPcnZ2T5g+xQCZawci=Y7;zf!+2?DRb(Etj)YH&peE+43a35J zQM5)=zDgAGe$*P&-^V%1gEhpU%$X+k$Z{|HrA=*hU0ub^rmnSHV8{NmM}aqEUz=N7 zzegEJTeyz%WkS;*mqnHufmhV|Ai<<9x zw$Ba*?+|SIe1eE>p#6{`#AbBje83D4K9fPPGQr|Xw}#9unL>6B8nyJO@KWPT8R|=n z89iTJcnk9F^ML+@baxz)qV1Z9-U)OR-Z1*Dh+Owlz#rw0w^L1kOFLYE0s_&jE>*((Yx9Z*un?u z6|GNhDUKQndY14Q9vosu-mAnkd|35E4b#jE3e)sLd&RwpFudS#Zx_z(WPEt{m#;a& zjNWeqWEkfc8T@NulYVP92TSwYNm}cS3mdZSLkla!TU3Nlo-M|?!v3Z_I8U)=d+o(c^^t83@waL^a_WW^U67q1^_))0&(@t%ZMGeUeTcm; zg8L+PFYKna<&I{*QyT24*%6ggcZ}`Tp*ye%P<;&4c!(;i>t}r)A;_&M2%-0>i^Pmw zYW!6_>MFubk=IwtQ>OkdHlP|5ejARKisARpg68H)f0E1VE~7}CJFfC6x(2d19$olr zv#X9h42&&&%Mz9JjlZgJZe+)rzohwn)7*qOKEeCnTqGUDuSq%8>t{g)5$;Z=ph8i2 znPfo?UwM6@(5Ud{+-sl^h>0OD%rC8MX@5zh1?f+7V@MfI)imvc;~RbG zzg}^^sW~e`7A$XpPNc1zGWC&X=&NeEP@L)wq@}x~<#b@l@;;xUKnr3)YAYR}5+{rn z)g780%GXu&^HX&DqvASo@<-geG8Smj8C@NteE#9tAu3w*1s0Wt^xc!ZieQaNW1Uv< z_UKl*hq zN8k6ZqC*|MKr4#yfT9AE_Ch}%4h}NDup;9P=lDun#-uV9yk4z3f}L!*hR6)-W0c4p zQ~vewoB6Rvy@Ai@;yaE|d+e^YRrm=SL<}7&72nN7Tr02Eix~*5i`mi>RtTiS@sBTT z!f}Q_J`<94ir?TFHb}wQno)4O$H?E3*2t6q=b6wPA@Nl9M?Mb;B`%@6MX!F1BYCAU z8aGehNr?D|8ULNcp-E-IqWr-y4}%JUSfog*7Ixc%&VZU53XN}DBlHJyA`F}cp4scI zyofK%y6)`e#P5mDT(JLj5nKo{nU+4%ruBcLJ(AI7c{Rc^(T$d4s3J5$y^MHb$`=@k< zQx>+e%vydBDE%~<(CGf_!RH+E>_nN+I~f4Pq%BHQ$X_1>yrhw~7=dxyh<@)Ms?bodb zN9fNsoEHY3G_`YItI$5WRK#5z(gu|_s+=}86l9xD4v)n%giuw+!A7bkje9Ra#GiIc z`|FlX>&U!eFTxOk-gfr@I`Q}`eG8Dz2I|6DkH|w;Ej#mWrwn&J9wi!6)qvC6PaQ!e zYN^MIuV@!iCw3Fr`>u8_5#*8t$K0s2cj zPwiT+;&q8r@7V`y9vOOqOXx|!=f~@^;4BEW;GQT%+%l!h4T@4*YF2#5#}pyzx{M|2 zoWFhNxYv(8OlDsf>s0eB34gR4hj{Va)2v-q%FBj-ev`E}q>U$&biI50;C7=Gql~X- z#qrLF@`%@;k4~rFShE=4F(|}7w2e385xBm{n?zC-(*8%t2JwfUz=JaTukHw(Ljmfg z#;dJKkvtVm%6Q{_Kutd7c7}J*QBNrO;a^c^y-z6{DjhlK-AX#Veb03*H0|3JYdiB-Cq57o;-Xg z^}l)2@|2xO07H>TX-?IWFlnJZ;_(+{YHh&~C#hx4JG4g97V&JE|K!{o=Wv8b%AlrE z7W6G$d@I{4MQQjD8z+If>gLslZw+)7++EYFxkX2LI8dQ;=)sPt!YXf0QI%5`QOz2n z|0D+i@!12rARNY;c7rtJm5@`$Wx}3`Pz|FZY?$P1#X017dt`1h&1+gpRi>iiS=08e zDYC!#$+9?$(;Q#B&O>jQ=rwU3Q!!&2)Q226dL_*WH6j%CpPUd7YrXf_5kUsYZ1QZa zZuWhV8Qz0kwH2zS5?}U|M@34A?3Ro8oU+EVBgK84$isp6$I}5KFByH^JeHc@zBQzJ zH-&zf*;F?2mDnhtai6?k-Yw=YU})^t{d0|&0DTt83B~$;VuN3KpY&%4lM-b~Tz+J+~qTTy<8PCQkHmtVISmtYz7l^xc(O%JR-I^i6)tcs6P-{!x zU1|+t>mehYkDt8k0!7I9o{2X5Z6%Qr-D>{kdAqNbd|5lh-t5V#=8=3%4nZ$+VtQT- zZ_}e$BcUoxgUgiGz9o5MN$7ZeyaH4e=$4rJArrLZXpsH_jQ2*2g(a-Uk(A^4ID% z(;FQ>+nH&Y*x^QV)HPh$rPG<1DOIIY)Xog-vE40B< z5FH={F_`iPCP55(0h-**glZzG2y|quT?&Dpm|cA^4)`BYvadARWLovL1C(?YW?hLNvrcfZ%$-OV%k9*u9W zvEzBPS0cu}@(yv}KMWHmZWQ&mAOenFiD(-r6!qvK5AUTRh`4ZrTs6X#Rcd5;XvXb@o@bS_4ymnFIqG2x57|*+{ z9zKNH#GTQd)V3E)sBahmrTT-7A^&#_p)yg7sI~@Qo{rPx0Sm7cQZ=SrT_KA+phRMPQ5X|UrQDXY7 zpX^MM6f8!>IX?6Tc58KOmf^8ji(WaT{Ml67f8uNMZ02K62&(RYmjUyOe<1wk!%C(_+Tb1UEBB2 zJd}X2TnMCsE=Vgp$!~2}JaEkc=4(Zq5}fBC-xMSg(LAN((tH@Z5gf)UkDMk^za~KG zd0l``6k9|r?n)brb%=L(5fd(w=twK(ywB|Ixg7)KN7E%QMLtD&R8`mq1HP%IJWY^{ z4L+NP=v6I5Fko-?65F_yC+(J%#rn+J2^F`+p<7Jt@qV zJ;*e;RFl5QhSIDZBENs-8`iYWMME%OHt=Dn8QQoLeU0a5NALni?Bk(Zww!4ZrHGdL zvNZe(3V|P=p|!dGz{Dv(cZ!%V2%O1+-glnHcgdO}IxMIsqoCXfnL3afm$4W*e6$=L zeEQr)8kx7${Oy9=EUI5$m&uu(2$c0974q+W(ZL%fw^n4SYNB!b7{M7Sy@P>WCzXut zzkVLKEpkZNq|Y99mVU#0mrn8_+5OBJGil_a+iSA5=+;Uhkx!{cvg~0anb7q%m8B&S z37?lVXCcMsRJ&%X0&X_1`QAH88x6&JeXm#1-T%$KW2V1CKshsKCLNFpjiF6aF#Qg` zb?d#u#e#{X33GnBN@iiXFablJyf3TORIpiiJ%LM>7(VTqb7SxcpZV@+m=~vl*bu?y zND)0>>IXp=aoKnXWp9EQo_wM>+0>;zrMiX+&M|#qX>EFLOYu%8g-q9d{a!zbLam6+ z!rW>KhtQ5Jo0*@*wlX(7FIrO2%HZL(*bMMuvYmK+GyVFeES20AhEvVjYQw#z!PC=8KSocisf>zRK?~ zVP_{#sQ!u^c9)%>iAX(hN$kZ<2H{Fl_aWLJ*-!_%?n&8hF*z36$}P9)#%@b{`r&$_ zANfnkU0QTvq~Bjy#Cs&fw|%zj^?UKIM1M`zg3kFvVjMvTG2_*qdIH{B%<`yRTHyuh*PV)xaLpjZJ} zR>jyXkVWq+AXbf~Iz0HqrLausGeNL(A3@?pKUUgvso^yc9)K2cAqgmna%pnQJAAYVDcCq+NNO-; zJj=VbJG{^+l9GsH=VAI#yX2flR=W)EV!7!jRcoY4D_--v4IK!BZtyMZTF(T$ijMNvmb z#Vb4^AvdA1?NG8XjfK{GXU1F5b|SGbt^U@};b9@&ixS#XO$L|eE>s5>qDN6}WT<@w z7TtM;)OZ{_SBFi>>IhMvvuxeNrK|1Z4PH$6KZl0&9_EtTa*9A)nlHBY0%}9puO2Rm zo6?{*nYz;8xXa>>B=fwB84kOSOm@5X@rdUiC6<>hY5LEoaQ-L-#oS#^+TT<b!G<>T`nYu~V)%EfLChy(~H_x?P;&8|GUw5tjOeX4n6o*D- z^)+^SO^1Yq_z>nDoZsHm9VSv$i)&i;xSJ4-tEMn{{Jw+hsONG~Ok2rqItU>9a#)Mz zJK8KR2jWq693Q*GGhvXl&sw)CZgTR9?~np?EMQ8iljWHZn?(cD-_? z(L4(`r=weIqdD!rpIHmOT9FqN;Ya1q`x23c8gcwnnJr=(|N4F9QA@P_>?t4x( zcx`MR(ye(0$H!l~v1wcAF)V-7gqO`UK*i|oI>u{%>YtBGe1s~65Ap0$uM+lCv@eQ?eIf4^ypXO)ybqQSUkl9XifdvKDw*Vi`tfb@(Qc5obN{65EsYM4IQ zD*WDL`u&RSHGPw#dc-(7E^)9+KWq;B@PEP23y+EZjsRqnm zH9|)^0AZCF3;H!5_N9zLHlM(#0G)JN8-CR5(XCJ!<^-@@^SPW8^B&*|r}8tSTdU+S7j{n$3Kv4q*A)rjr0X>OIx6m?U&2p9Ls4D^VNt{cA{}b zCj-phhsyMSg0Y9?pSOHZ<)v7Q4z4hI@S3wo-eP3f5Y{>y116{nsf`}?JvU$XnB(5M z_ZURJqmuKJ#B#SG+9F@hZ;9i%xw*x~e4-`Su3d9m>geeaGsY&ia=XqD9)(gCO!NPz zNAa{4&7W!D;|<9yGJkO^A1c_e`{EjO%kKE}=0)h6U9~%9XgVkCD)%-B34<^epiO{d z3)R<1Bp}}*Z_N;p4a~GAX;vCsw(NM*3RKDV6a>P&Jc-{+a$5Z?2#(5@4_ucx$gYCC zu_%N-s#qC~Y@65{G;beg&SZ}4@O?G+49U5Ym}VJDXlE6gPoz84f0v(nF-eGEI0jdi z>um6Izb}4FYQi9${e(Z=h|^QE5sR1EzH>Ov+BbpopPqAPV)ypqSAXC&v4_pI(BlZh z-zVU}{JV{>LSSP0O4}Rur*9MWe~vxV-&1)S)vrF^NG<|NI#bRhg{31a@wg&0o1 zQ*HWd&u!$$36uK^J3b^$bA0X%t-f|}lOVRg&_czyEHX~djg-%c*K0`kX!E0&{Zz1a zrM(PxQgkg|xLy&-&hv``gZg<-PV9K3pk@vErY`zk(!?Qy>*fWIRS|3|Z`b~XMG-QQ z>m_~s5Rc0fA*a=Q-{^4Q9Y>9R6%fa_28A4B`D&wmfwH$8)vlS|7CePRXdUr4$xR?d zO|m%Cb~U?1rnUiVJ+*fZV{c48IVInF5W+-U%e7=s&ZF72(A=C)o@mnS2wBIVBjuDRzUbUm6uI5fcd~T!i>+CVozfm8y3vTD!WLr{6hq+jVbG5tOx(NcONxnjJl~M?gIu0lMbI z9NS0Jt@f6KUG$7r2r{&WrJ1qe)TrG{;iYPJ<9E(IAJvAH4~HnUhJ}6W&V!>l*!uth z=fvxF-VVaGbH_sH&A7#;Q(XmrlDewf2c9nEP(72j@YGAR2TAIQR1UGJkRzq0B_R7B|`vOSQ!4a-#M1Np)>2{Bakwi0So zp%+(_j7La>ny&~D4kqH|rJLt&T+PWtY<(Bgk@$pDyNW}7TB5qIIrFD35rwYfm;TIf zwWi#pt;X3&7v%eE(?JI|*HtB<>_otLb4=y~U&)zhFBy57@-(XN58X`Yb%32l-`Puk zKAwWvdh%H(vxgDj=WpVTZ{HgsX3r8u9!EE3*MuG?^xHd-n|1|k+414^!lSUrZkdYo z`cH85Tt>Gh+U*CGkeODrUu}K6an1gFt?bds`h%m3oykZTJ;W9|FVEVvLp>U*(70mf z5AdPY=_^a~|2<JY_Yp`8dNN{Q0I(|wg8u}m?B_+;mT%7r2LTm9B1n)ArSa*tHHpAFwkvYG`Dmwg(s=12)ujWZD;ljx<Cbp#p z-hJqe<+Jx)RM6sDGglFSBqDTuLL;jP0&yAtxy0C*B#wDo;Vfk_4ClLPHjK9LZOC!=Pk}N zlE5^r<)wUG9VNWWxn3swo^sEl{$*v?^Sfjy1Vic#46!yA&yY!#L`T?hhlt^3H?P-P zn%8$e+~N#~AkiYKA(HkJ^*&3NtrXwAURyVO+jaDo=sJz znM4=&efYnv6!C$yswyG>5TJ$4OIv|b_WG-DIv3ObMv`+|`r((@c651c`xr`vvq;7w zPz!V`c=HvCHV|6T4K%HHGD!~xP^&}*FP}OGtABZ>PF{qa?=1^(J0fb-uu zOBs#QthQM(Qon~vtKLB9y`A*k*97NDLsph+2DL9~(#98U>|6;No@Z27SAY7{xXa!D zN97IqaGB*b=znU__0(;rHnLL^f^JY?jt?euS%{bXsh6Aua)_6uO$UYbRK3MhAJeY( zagysq_7~b=I!cabNa8$t1~*4yPnRF!g0Q;V;V*>NL+4Z}8b@gfW^@o-K}BB{R?Iw} zY(AKif4D9Zf2~RhcL2+UNb|-PLoH!S&Bi?(*F*~}hSV|voCGtYlwdVw&JVw|0#kyf zFpG0w@`&>h|$&`}i#R1{zbu372T`IYFWE@Fm{bj&M_$ zNv1Go=IhR{ynr0dt8fqLHvuyWlok3Rds7oUc zH1M-yv2mt?O~sACC^LGJ6ba;k?NYBy;8Gs=Y6lmtRK+@S;DPXbA(%fuz-Va%oCg{L zKs>(sHLEd8M*B>Y0L7C<2iMQX>IdqTYNe_8aBCC|nlNM=w_APWGgP+w8*ZO|7IU|X zSz^9KCC73AeLQ^5>6s9CuRGSUF6k@3nMX|@JN>-R+PNW!YQ4l$*0E0l&)~+-Wh_+ai+7!4MQ-6Gn;Dt z<^C!XvCB#{o|iYh09ae^G4RjVm6pFLX zv{}TXFKD7{UR&I#T{_?+Ra~BfIC}&XrLCX`fY^g^0nZiXO~Ik5%6Ix zPmX(JuOum0Uwu7GJ+yf)*Ui7!()pBOqpXJ_F z6t=ctpo`PQ$}Z>)@mWs2Qumcv;P9sB@en0b&2F?r^1Eb@{ z_oPC1bgw2YA|Mq z@T@%lE7ER2X5IDHgKYqB4k?XWy1NX(nWPS=PkxmXEj25|H8pK~unq?^6swlCqhIoK z?}yYByF7tpeh^1%t$1fbyFGDFe7PmV->n3Ywqy5 zl*aq~;4x)~C$5Xo%7huOta|hfn1lYufSOEuW2ph!nF3)*Tw|F53$2te&*9BYcZk~P zq(;~woneuGuSOilN$m}_%1M!wZUKFQss?-yUyjYBj#1Mo1_S%XCU@b6Pq93vBq4Mm zLu(byc9TNaE{gRS)}iMQ&W29SP&xw&NTx)ECL0sRW+O&VLf9D_iWN6Wpnf}U2yJGh zfP~%izOI*`g@3tLFI1RcObi?_|1d6bk+U9=cBa?T0ZZ@qLEneqvW|L_qb0EB3sC1h zREZy<*Z4AdZ4RMF88a~d3i*2SpL2Tn*{hTIgkwOR6UNTyO9z}LqLT#PS7A@_?{Z;m zks61$D3>j2+&tQFWQ_;wqfMtBSYLPWVSO={_@Ev8x;Ps-SDzqZkEhftC&+qgB>t)F zG_f8$2|Lxtj%;1XfpZ;V0m;vW5&PI*KL0!QP;tsOHa3!yE#N^NHo0#I0WvoRMfd+R z&~yg+zM0T5#o{#1A;XBjbL(7FrV_(^r7Funrg8nsw|vYhVwzqcCFA~+dtmmxE$eN2 zV@J$yM{ENVQc?VyU})lnQ<>2pDT-Q31j!4Z$96>$dEy_3mwOI2dg7{Cfgt{y2Gj^X za9kb~A?=mp2$Z#u)pWdfg*E@a?-o~AKmY~3ztS*y^PwtTpbvEsg}ata>(AGMhVwO% zUR`45^3;WhfCbtoW;ETq=Xsd2cCy@v%`-<8mB){)UQB9<~Ye# z+~;3K(>6ruohmf^oLK0p$W*r(`tZp&MyU$@g0iOvwSkLzc8qxNK9mg$#MWJ$Uf0X& zNu!=;E`0pWx?53>39{7*je{3XaTv~8MZ8I`P;NJkJ6V%dc_<9}x7yqSN;JAdPgd6~ zQOD7(6=0T3aQfpT%g^-;cI1{l=W@NQko)>3Ce-;~*n;v@GaL1ROJT;Gq#56@&lljm z-guDckszp5J(R+Ve)y)rDjsS6rP~({VGIDNNwRa{CxQ3qG!`$9xgNsA{vgk<+qhQH z669qGUbyrGR!@HGgvpvgf`oh(ho1vaZRyw>cdBQ#>OnEE7!384qw$1RbwbE75@d_ZaDLvtIZm^Vv|K z-ecWYjqTXqfolfIgNz-2ohAyR<%?((pBrvYOidyd5rg45AfiG}5xyA4EMu7fHYdJZ zn36c90k0k+Kzoo_M#h)dG^vo4y%}OLDp}YiQ<8Sh!F4i)rU1huU0rv*hiz~GNBnKh z;z(BChTA`cJ=4Guu*$ETqu*mK@xe^=uVe(HtG^P|7Bh_b3re5D@_x;>zNGQ)T?K|W zt`d!ji3zy#KJA$)6g6KN_#0C89i8aV^!$ux4{MR3cq}YWO&YZC3<|HddT`)`%ZL%S-NU1+5@jUT zNFsoD^O#5*p=u}5WuX?|$2DUg=v-A3vPV#F@*&4Jqj5%46R-8iHp(f41=mg|oBolR z$y_*MFj|~xKXvx>i)QVe*PK(jUG=f@b0STzj9QxrnyJkQ;Y0Stlyw&qwBU;>h!^R) z{V2s(uR^2#A7yVDkmb59>^en3kWf)XQba&Sq)SRkK|-Wkq`O4Aq(lYj4n?}V8>G9t zL%O@pc){tMYoEQo^Bw+(FYv@2qwjIunWMWC))}lk36(;;k+& z61V4QTaETn0=c0~w)84dp=#?X7XHQwKF-n+o^Zt0<@&ZC)dn5|_~4orkk{Dk38B9) z$=^qR3;VPe|9j!=gt|64O@dXq)zM`+3@xmihk66$lfj)=%BBP_%w8B~i&V5cil2Ai zyz^1a?-D^~$vvYe^EYQ0Rc0{eQAU=R0c?jg>-k~;-0uy zzQ$up{gi_9cIRlnj4WlQ3&JoyX8T`0y(_S@#$q{6ZS~#-^#{Td|3eQLrQSCK`nc(% zGRqTiTIEYHMMYZNMpPWIHgMx;`;p8UrKpOs@qD)3T7>I44w0YI_|&RbYdg0;^nBBR zZZYY!OT$$uHD`y(MF0Ib#lF3Ac-@69n8w6+yy6m(-yL>evjVoLO8T|2>m^YJD|1yt zawE-JuTDmVm9sHU5}Jf9?N)^?+nTf29OCRQ8bz4mybs^HUSe)qVJJvk)uDi7n9hRq z8IQ}YoJa|)nED8}jN!+%_qMjz74sR14_IqUgmlj0*c)j)TMs>d>1EzgBfCYmw#jwO zUSiWbvX)8q_4({0Lp%%YrNU^6cUp{rvsA81H;OAn$inXKK7)MxZfn`?4fbKa8HMBW z%ORbzfhFjJUue0sw#PVG?|6j;{e>;F>`G|U>S(bYd9}DC6PNQqlx}*AsD$0*s;yox zCX0HdAK{^rgT*`trt|-^S|Mt^-X8TQKx|3tBS%y#-I&U<=Q2evwd>CkUc#G2$IabX_~_?eA2W4NxBc3)(9 zC-{UQW9{w|8#Bs|;4rb1+Ut#p>{YD_Rga<)IEH0i1%dJ0@x#ae`Gix?O0(%)HRN^u?@b2*G*!M}-Y(iNhCNq1w&PVm{K*CYzGsvW9&=ua>8(|bAhH{5SdmMd z)>F7^KyvhO4sG)!fy>`ZRmfTJvsZd-b(k_5Y`ikl#hdj*D|MkZ-}+TLPHxrtBWG*e z_G%Z~eV}@=q8W__!?-ez{LcIuyTaXpnKvuns}}8l(b(2p`z1wnAM7)m50Di%cJMQ; zKNe|>SnKm86I@GwviGFw)E{{_bi#`Qg31#PoBP%Wm5UQ@R?g#J@*S|omN%Ha>u8AS z->eO_3%9v^KBfQe5z>ZBLw1Ykk%8lC85as&2(5V!=U#o&50bCbR~WMKwsU)E4pR6F z=qGNXlqt+EY0cgmUi_u_e9mB&$PzhC;j2ldl`q%KfTcsBbBnOH%GEI&GoveMp<>3B z!^kp~BgL7)`{-#tX8AW)M5;{|9fX{B>94ZrEyKiu z+fnUo_G2g+IjXE|Yp{TETCe!iQ_aYwMPPMY*BGiZ3GaL!5m9g$t&g?g-9w%Bu zX^pcPvCHs`i-%`2n+isH;S^rI(zJeUa8&kzp4#hip7b`?0P~iNE;Ce^aFkJ5^kNKQ zCk}x$=fkUuZ=|XPWxFiVL{(%iP^5EQtV?0JghJhqbl5ul*P(EWqd*uK*dpSoz+Ro;N9<7l&I|`-gnm4W={C#51E1^3iPMxcFl=*T7+%uqn2lP1{RwW+eG;;_za#suvI#ObQ{3{R)(AduwAMlgGyylU|z z{DJ^gn{%nwl2e<=(6R>-4w2(m%%KYu)J<-qy;b2(HpJH)#(UJnuNvLPxm6im#r^B( z>*lFbnA4#W^VOCpJb~NqjcLA8n;NThB+JWl?c}~A=t7NTF~4Yv0=`~wk+Bw?C_|KE zvn-91PYw&JDKYknzHcr)<(u~vCR521HILcH@tt)0K|I9q-9Sj!NyrR(t$@i_ zinu3@1VRL#Fjz*>l=NQ|5fM?A-7*wBKvU#e<*zq0y)l!kAJzBkHJ&1g@KJLmA+&p5DAL|HN@@D&Rz*j3#~W#2jq zUh#ThWIw4fQyQxZt^Jn#F~@KYOR)kr;(adc8<6`k>A;bd zG)FIEe9vh+p7@vdKKhE&hWnh`Gom)u%?zGjBVq;BAMB(_heM3Zku0R%csU)i7#jqy zMz`cnvto7;1X~(Y&^Oz<*{r(dViHg>(W@3@KlL*c#8jNpdP&Y2{1C%$7hRT-xOmuU zjv@U9)bNt+CGw{6c&*r* zbivDOTu_q5F)HjT@G1HGas96D7ZXXy9j@(9(t?UV5?P+Zy()dxqQ`^tY$K}a|8%?M zUF^+XvZh+EBx-*$+D0OQSJIM#Y{8@|H04Ii{A`xhK5EV8tUg$cD0KH)iP`~SJ49<* zRE@aHjB@U%fpvG8Olqxo7t{SE6Va7|`MV`=>inDpR?@BI3I{avtx_vc1{v`z0<4pQFHO zNlS2s^EfP8gYgVrEd9G~$HKu=<4`5#;Lsow+aip+lG1t|vc_&!x8Sy2Nyb{{@b9QY z!M7`AG};fpaK^67mIq{H#2^oTwN0;;U4j?H2Px{IBt$wazf>o?QL7HO{xP;@@4bp| za3&0WI(2`E2~DMG#qk^kW*_m*fi!#hQV1#i%CHw7FVdWtu&|^M&qwJ$ra+GBQ{J5n zmUxB*{EPGGC~5e#A?x!isU}{c%H`UK;KfLjkn+E~%S-W>n+%YdpG$C!*|C{(Fe{j!tH7e1kCc6x!n)(0%R+@>t$)uMiC z7j|!zCjFdNc5bh;JnV0WIik%ZO*xGrdK!l1Pw!M|Cm3AxP4KGk#it?YD>|l6{Cpyi zLi&GgzcaF2{+i~hNnV}Pre}Az3t!3oy(<%h#1-72eyMD7Z{l$s@8v$cklOL5_xcF; zJ$q3I3X&?zpS1|v+}3=2OrAn{K&r?xq4Gw0@LE0i=o4vuZpDVYve(mp=5nOXnJl{} zQ-@;@kHOpSB!%>67>TLtQGG8wn00ZiwI?eX8=k3&7&X>n63_wie2_4Rr1Wep}9ma2P7G` zH9y4_p6W3P;cI0Z2QR&C?AP^el_5tM72>_rO63mqq{5?^Ux~ObhqPHv$NJF7a!!p; z+>q6)DC}s~^$!ZDfJUUM+AH>N$M7dwT@)#ZrYm~h&faR?hp5d9|=+@LKaQ z$p;UDLpU0@M5v{D^4vI&~5vT*T*DlGw*4abpO zpWK=a7I|gZ;gTCxnGCWGd3QBMoJ`e|YRsxIB9*@!+O3cY7|pJxr0O{`EqjdMsu{J> z&9W&$n{>rH5)Ey}Dt0+p;G;{R5(^bNI*f?5>g9aIVYF zNc(Nfv8M!drO)@f5TWJC;h)|iUll0E(9#cnO0D%d954>gz2T}o3h!wMpBCQp+>6rI!JdELs!Aj^8(oaoGd^}5(J)U?5Td~!d)?l%Uq34+iEind@O9v|kprdA z3R37y`zP`}#K1mxkwGiGI{y@6S1CX3zdS^o{5!aiL;0Wp<_YNJhi0TG?F$6NQj_jL8opGr={#&DIi`->-9A zcJe87Gbu<1BiCggHOyw|YmQY4#zb$Gomg;B0v8^r0CZdy0#mG;vrM&~xF}W*83eVL zL(u0kw{y4Khgn@ct|@n%1(mO8a@)UdwrU26T=dw2_6?`=qL-qTMx#@5lR}_9mNda0 zZTRu1Lq$%HGs)LBi#PHg45mK$AJgMQl2T^cwu+HG&Bu7eb-UMf`p8Wt>V}+jHOTHn z4hGGO)wA&{5wC{tU>+t9l~3-h3?VV=E8ga&7hlih$tV@wQ_Ps#Z{D!bqlp)ZLN85n zWgtp*7aKbWiEoQ%P_~T2PvIk7)E%KWqY2Kr8rTM>li)bSUam3nn>L3VmpDm>v)$Nu zX$pVtJC&PQmhWRGtt!~Pi9}LiERinA-J{38F-yfyVdz*V8t#TY8&lQ3|D~A&Wx{KT zpx&o}=nb;}s$g@9o8JUSknrR&)21raXsa*|YdHyCPUF}d@ns)uds2!LCfF_RKtM~lxn*Goi9ocb6pNwzn3Ht*r!hQjRzGu zpn456-QX--60DDLeMnERayV~iw&HBjR)lXqVWHxvvOgp9Mo1TJTCdR_QMVPwzO!?& ze|y_1Bk6=ixPb+|xHS9Xd*X038!tr^72GIfl?dOz#)2~m%UI1&mZCDSS6C!3>*0%4 zrKPvIOWZbcpUA6A9$h0~53?lk4+uaoTauC*hdv^Ue(ai@F5s>HIaH7KcZtKjyg%g- zF2}O?RjIJWz-mU=)h=u{e|{F6+y9jo&O>bC>a`$V@A=uuBH1c`WM+=a!=u3b;tpqt z2^SVB(X_u!Ztg1+T!i;*WNjtzMA@gRj0)$FDMVW4%9SIE!x*C8FjP<6N5YF2t(1*t z=uavph_p4kakNdpIRVg@jp5>h*s7@AP?T(}z-LB!usI8un#EZ6IU39eX4&quuF+fD zBQcdX6`98|EXk~LcZI^%6d2^{bu-o@AryG$Lcz)US4eFAKoC-4xkq6WYW8jEkT3Lq ziM`=uF{(_w*gwDAnz5PVcxKTQo*CF>%q(9`0;e8b?o-_N99H~1V~s0u+tyA)Gp^bo z+0Ye*&i=1Ckpq(9TyxB6<~N&CwTw#k)$BvF;L{~v(Z7|AXNF#2Eu-gDcqpj%Lg&&; znM+N|igpvd6eO+JLhF@HzN<=)e{n_}tWI@m)(Vyr+*zpjj`XdNJYREJw|=aUjV_<( z>`nPO%n5CG<_*2AJ{v1_ogTI~Ywh`U-UW->g~ts;zazrp4ukpy0+s?U0-9e`dpi~O z8K>X!A;Gk_j1w|BX`Ow%IHH6wm?Un$%qMcgXo(+J;2Cp<(uqZ8Blja^?M<7d7CYNL z{E)H5){=*QcWk+;l_y{1&3o4SViaO3Qp`qPwH0G*aH67>@gJdVnIbH(ZlPb(Z~nG6 zVp>42y7dsFN-M-eInR9+b>GmNmBfoD><(KQNao0>a@Q`ptcg9j#W$y!loN+$OqHo2 zC?sanOfr4A#in{5OZm%g2KeJ#CzHe^7F>m3{I2Dir&p$3EvhQk9EI2+@>f;Esqa=; zm8+OXPf8Z%WGbmomOdLFb+3ER4;j~igIXjgv1zh5T0*rJ??8a!*R^@qf=aG1^@6Bk z9{x^5DCS|?AhK3E>!q%p!0I0@@v1`noF!?Cvbb`b)kC8nFIyJ7vihmSJE^>e&>(bX z+2_N9+~xJ4&cAc_A9sY^XJ==x5aGxPO~oJ%g)bovH@k59MosQVb@tmO+=PS$OmR(g zpk*waG;pQix_&@(NV-g{$5W8&4WH@jqSBJNZ9mKt;WF0n*~FjYu-{OgtzdkS=Cp3~ zZ2zFKvC(lz<`f4RT#0RkUljuZW)IV~yO_*I5(e;EKdq!7OOUVlBWpRgk0qF_Fn@3k zxp8ixOW0^Pe{nsG*92JY5AG-u2A|SNZfq!&Y)v7AaI6<2(=#yOckFNnHgA0P=g&iu zsasZAT|u0sM4SV+NGsgYv=_K8GvFM{(El==Sl=4ds5edGwa-#>shG9~VE^$;f5vY` zK5C^%`>=V~vuEhN&)rJOM4tyvLON*K5U7z5*f=_(DzRELWKrEg#1d+17z(Hsuho=` zertg<`)R}S_YMv4i~Va|bg=Jsv_y?pY!k_jjm5N zaF|X*D@*dxO#$K#>hT) zaz%MaEpASExBu~87gw9x$N?GmCUrtd($D5QOUC1P{QUe+8O(6Q6!qz~BcKLO672js z(_L`E3#bJc~bAEf=+8dmdRh^}%tX7g0sME?h4| z+lRC#OMW9bd3;ybSaD`<)z#g!w<3J$vhh%DzUtsYnfIb%PINM$l!bTm(^UxK#}l^3(i4Om)II6{UU4LS zO0tUiVQFaEU%h@TPmehTYCc^1?6yZ8+>#INkq~DHn{h35Mg~4N{R*er-!`g{Svl6y z9&_(tr(RMhli!!0T^(z|OeT0uD9y5#TYb2%J87hBf9Q3nz))rI^^~3@`*!Ilq;;D6 zbMkM3B>_QatDz_L;*E7hT9H>z+=iTz%flU5@{P$S)C{aiL)DSyHVZLVS&UCzX)zUL zW|c{1PcRjAX7T8W8JnC|zp+rd4c#!3z#HwfevNnXgSIymjx*&OnCQ@!#Lsc8W%t?P zm}2=yd7V6*HY6|gwRyhcZhDwAG+{Bik9ou$ME#6&A#f$X0$P*sw66JhB324>xF7xQ zY&G#P*G0}jBa-kehO35SD#-YuqPYd6@dRGMrKp_!Hgron2k5f0uaO6cI3?B>JaId+ zR#8XM$5na{cUQlXM?1Ms-De5dd3tV5f=M~~!u@k#<706l(k-9!5HCN2p5O293J|k# zrt}K**3F=o$dr)W^RI+LI?(K;RX%#(Alcsy0>V4SW7tPkRYqxFzI@?v$K=E5s6bjb zJ~`-8rub6>O`sFY7MY?@j$BkeHl)zS6kln~Rh*d^JA-{K7^Bt6S~Oy6r3JE(I{oh(Gd0MIa~4hrk6Gmn*ICDF%l zpJH$xRmt{e9=@;~;rTJX?ST82gXx&UnuVB`n=?h4V~EYh-L{6Yi{U*3Os6)a?_r4` zGn$&2dv~T$eY;TS2MXfPcoSx7J?7^%_q0=tXs^7koKdJy}fl!2=gv1 z>ZvLzF{UJ@InMA6y?NfMC>vaUCoqV>vH7Xwo!~{E*{89EL^@;m2GmefeT6ntP{-1o z%I}woiD3z3QHug27UvMwm^76wRy5X|C0*JHy{;vPGJDpI!bT|rip_d zut)COY*MQJ@~;g{QIu7bzAQ&rN1=5iJG~!YAIZj-qc)@_9BV+0sk9?dI$}r}HXS`K z*xHBIA36S+3{{~YYWZI6oUt7?&x{b`F9vNUAOJ>gt5T;7<1eXr@enf%6IzqV*=&*^ z26@(kbbkz=HkK?wTw3})FlBOba;mDh4?iMf*7B@9V^_TX=TQ8;6~qBusMIe&-Ay;s zzmku!qenFxie~eTM04$Ec1+w#p(#!T|9jM-bMT7UD~M0@-Q5y%CZoS+qyJdfqzeiS z>V=VwP}qERzWk!UV>o&EkV&QQh#`vdW4Hph7U6LArLVLyNt5%tc$+E|1z3Nm@b6U#iJ;1yoNXF5HtgA1A{R)jdLNeX z?pS;L#NCX7N-9n1>YRiUoY1k`Z@JoncX^qJ`MI!*RP{nuhi5W+RXve*zfO%ZHwW=% zcj`y`^ACyY1n0!k;*80cMD(yaFOQVpZdGExyJvobD^!P`w7v-sXr$$iXaokzf&8d!3{b&fm8*5eN$dYr$#Ro8hd~fFO!=?G|333 zDNV@Iz6n763Z5F2ijf{H*6tot!8aG>Kj7h_qwD6_@(9xyUm(S^{CMx5QxufntNE_l z1oA?T*3MN8`d}4Pbwta{QzXTjPcFyzucwjALt~678J1c3mnDac@`Iz4qPaOt(5oz` zG$eznCz`f*upL(~0(zgVCovyYqU$aJUYmJ?1dg^*y+~Q%+Nbb zS5GfFDe2Sa&$Q$SI)seOoR{{v=+PCFaTm_a!=?hUWsR}6&CWw*REx&1~A zK3eX^UX!_jXu8H>_hS2<_Y zp>0&?v=fN?an*ciuIKOiqi6%D+y9fStqBl-HtK6(Rbz0~lqr0DN7ZH~cH21dr8#Tb92ipUN2h-@LVmjY73yRG;T+&yZHndeOh%ih%9? z<57b@Bjj?;r)#t~V`%Qr6#VM}V*f1NDazz54#^i2on%&A`M| z`yaz$`rog)`cKN;r65bkNUwi+vuM54`Y`3GvW(2{-U=V{{@u6jnhevj0YI$M*8zd z+#mD4mc9JvBLA$5Dy%J~KO*JNguxZ;+et<-{x#Bn{zUusS))1&{D1%U-#;DX99-x9 z=eB>otHSy_4EgtOp8xflGv>OXb#}w(`OaGsjIr@fZIPhObw0(G(V3p~A8Bp#VyPT;%7TrJ3 zMdCvIuPU#9{ow=(A<%TJLi{cmV88V~q*KQMME^N3=YMJt zjtTtQ*ZMZ0-xwnUWpIuA z zNyh(uC3&t&X$DNzX7gXG88wxsIA=jg#T)<8h86LaX*B2Cq~?~8J1C1s|CE`Z-RL9b z6*ImcHPEi|je9=90qcWUlh}6S$b-#p4{4qzzs?PYpEe~3t@-7DuCSV0;PV-H1RC?3 zn{WG&3Lwa}4yPxj$Tqy;+E!>g5fl^@bB@)|-Fdh_N~0^sSYiG=4JtkK`Wh`y%q5C) zJ$*Tvy!#b*`iCnIK7F`*_fd=Wt((^~92F&RUVEkUsN~tT`>0pA6TauSUqp3r*;2mZ zGCPCz>#|Ebwtbt?*H(*R5vJ@gk@w8|C8zazyUoz>TdUP@c8Q&>ZG8&pF(y0i94A zBiW%_^KE>5d_uyAz`z}VWoT+>%uG)YyEQ@g?OLGH%(N45+Ohy67c4&xj$>G&A>?%z z3hr-Xa#(LAlqf)x+i3$zqfrEEc_8X|PbKm4)!#@RS@9#fDPIvBxUFMxmrBQ8x}b~4 zqti}cOy7iAfHVcS0@MjmVOTEo#Z@r^2=p;= z9#8{}cN`pj)BK~Nh{f^Xy3f)+$!RKPH53tMxg+{aTagG=k0jpNh2=9TOoF#jF_4Lp z(E_lK8#ivy(a`}l@_p6x{R=@R+yYm-y)70yehADMg!%eb5i*8<{(=DAJX`sF#fRrt zENoo-(a41NAmBCgy%f5fPVt_ydfJfca|1ZbC+1KfnFJ z1`nbAy}fg;Q1HXi5R(S-#V7SdXmI&y08-`0ttdP+BM32xkBMQi2}D0^cn;JVzeGwW zJjX=|C%iggi*9dbBYu9j>{EPyMRj&WKlSE-gysTuKl9t_XT9OKQdBxvw4ztsEGuFj z9J<*ylpG?Mr26{$K*EMz=MfzAZpYByp1e+cHmOe7N-W$C1MeB9b-Cd68`6eF#n80vBb$ZXw zK^;qWcJ^{)wQ|wB`TTM=V45S%)z?CaZ6YQdMq!CXY9&LVrK8KzE)#z7;>DFKR}l0O z=vfc#m|1l?W2`o3mq&_aG#g!uly>h4sDe$LWd=qmL4Qgk$xH<@m5J>pS=|=wBO)My zWN9>Yc6Ki5@gADa!^Q!?2w)B-$B4q$wflD0Ym_(UYX@UXx`6ejO~gSTe;rS2SWmE+2k=Q zz;xqt*_RNC=`&LhnSEt}29f0L7 zTyQW=Y%Ty6Ei~Rn5EHH&1?gv{eO*G(9x6^@EL(HVIFGkr6q|typicMhS34>;Ip7Se z+rK@hlFvy1T3=9*4Df`YnfX*gLzX#Ulh&umVWugVU%Lxbevc%lMl+h#4ks_Bbf?F&-svc2eo?XM?9seIn^d9Sh? z;$mWIrbTv2)R<)y&zHy^F|6Z|Pn{pVyxvPf*c}zy_H+Y)p%5T>js}Fv6M&4e>SpOH zy?W(6c;I^V_L83JV2;khOGj8DbvvorHcDpwaXQwE#bc^?lb08PG%? zKNjXV0gT`XnUM38UJW!r?@`8MG5%I|1PrlTl+yki0KsVPW%B4WetL$baOAqBtEtFA zIyT4-J=)EMx5V-I{O0r4vKrnmbU#c-{~-im2DNkp z0fwXy2A{?XVh;J1*UGcksK5VQpiRY=jOR z2`z!EbQXcCJ3ktL{go5O5bV=np5oE#V8xfM)fTAs=<`M@wFAHlpQ_b<2@b|(%S-cl zUsY8FXe2slxep4|-NmK8X3R@w`H46lfr@jT3}<7vG8#HMgF<(qnO3egFa`NTJJ(u# zy8<@0x5>`H5_>8nTD3hOoovfI*?}Cg@G=%q7P_v#hj$jBr^Ljo{Zu$F&z1V=;o&U| zb9`rQKQr>yW&9K~OMiY?1k%9~5y#toD#;t52|`m%j}Nv1smg3PEPF`E2cZt&wP_6O zfwtfRv>HHGbQm=vpAfEe#ebcNpoS*=4s!=#p8y&NK)M{=p2np*d}x&4w*a^aAwfXV zNJb$9$3n3A=$p1}4!JyiIS@%b5(A$qPx|A%qZt6k+|zd%#L7^)L%GOeBhemA8KqQG z%<15Fz=Q#+q;RHZ>$bAxbE*D(qcJ#;1o+j&)YpLX1)6M|{w=#>0CZ;ovu$C1W2R}j z|3I*dY!hV1h9HjVY(E1sWKINYjP$y;@WhEO#IFL^Htsvwl{Ati4L= zVBizNY!pdw0ALKmv9i*P{GW;lyW=h>$y>VqZnzxQIgcCwd%QIRaBJwRXaw@4q_i{) z=&j#*++qDRsXYX!L`R>$DCh35S&}A@gaAU?6(fjkHJG8wvy6g!@W8XgUV;_?YpK^m zs2Xu*67?mCZ)G~1+9$rs))eWP0}YfA2m0!*KwEC)W7&*n)|9t^wl_C36Z_uB=X|Wo z4i+f48M#3p8OV#1H(_xdWxS_4vd3PY2jGh}Q2p$Vfk^`qDi)Ks02C6E^F}M|C8pQ> zQuHCM{FBjQuSVbe;!Ee#qdBfz&E+x2EK%KlMo2xvCmA) zJY{mGPyd#~mYTz0D(=Gp(OkWKCSN^&e&rBD!m#qZE71%WFJ2@V%A&-jQ~zjfPAQZ2 zc*%hFOxbVAFh~j?F_RAoi-xe|yVt+`&aYGdr3^Od>tFNpIyjZzW?NwlZDDA^#JKCX z2%s+p{r>i*rewfM0oJ-x*B&A4{aQX$q&FIIYzgLGAEK3><=KLsG) z`z^&q545RYBgMNwZ>jxCOxpb2^MH zp7dN)_*Iuv$V0_-;jnC)FEkn_A}Z7Aii6D6($dn96|au0W>M}#ht%h;TO*Bv)#7*_ z9v+X5;|IQC`9rtvq}Z(V$3a4Eu_hrijnk}sJ&gOBNCAcU`eaX@w3;gQ{Kd%1rBl=q z%WemJv!3L`^*UlHSH)F%of3CxnzM=g*lDbS8D-Z7@(C6nT{%8zj8B?tYimGUW0nlX zngLR;&R|ZWa5yacsl~-&%k@d93qRQ3#~|iZluuA9-T_S`B@#l#q}yHJ)g|$$3D>Xj z7Ujltmntrocb6M#o5-l?lY(2AkB8aT76M;h`I zXTqG6Tr4t08o|Ax3Icw2LM*Jsj6^xa=J>53S&wX@D=I2%DFN3eLf&@JoV7fd>xVgw z7podF-}~l7K8%G!=m)SLljOm@Z@xz%y5Q^l1UTp_PZ)ti)&qK47aJk`#KM?bvh17( zo`GbEYiyAY!bse3C$^Uj(DsmQ=>~{l8PV+LLIjBQ&7IiqwmNi8_Zz2Wu;+GgtYLNl zf+-dz(NrQE*AH-5g#zR6`Y)49-u^^mv>;;8e#OhnD=O-B6X47C03&++Yhr>VIU$s8 zKyqKedh1uFW(%GWd z!z4`7O;gBU`Q-5)Uv!G2Z+9B7yZ|5*H#W{_Ce}DoDYqZ_Ju~&+CA;Q;PKB@Xl6+8? zD#TggNl#j1TR5u(Wp`R*(+P8Ox!vLW+!y?=8pq(c;}|ajf>MeMj7K)`KG%bET+ihc zLJsRzF5wUgf!8E&jlh9-KOTF`s`OArMdf@hPFaD53UE;{t^0hdI05$aNH686Wt%7| zFvQSvIz2a_>j%T@*RR!$5<(GlO8btZ{Y|NT$G?!aW&p$j(n97l@Uri*#Q^qeVO}fB zE-qb%9bX&tsML44-N%4Kq*9Q6#C-4oV~T^}!`WOsgQ7Ciy`>=p+^?GMax!p@*zG`U z9UmP5I&~{RLqDMr#F?GS9E_0o4CrSG#&TdgO3?w$3dH3v{6a8&QVeN?ra-uM&ho?! zQ_^(-zq44Fs0FXP1k_}bE3jvRspv+{?8Ja${K$;oj*V%%PTF-#q)I}JL!+)n?&XWn z#npQ`Y}oaj-DtVV8+7C?3r&|4kB6hdvZljs%P&h+AZE8TPE&Eb>2f}v?UUTM#b^UL(KN!@hLT>5Z5d&H?v08LFz56;5Ugen*uBQ~@5&NloKbR#yzujza=`g#E zGmOn5O?bV#3-lw(<;x*b_MkwzJ7Msc+Y?pF%N~J=UnkW9-0}opuxext8T!~%X7OT4 zz*e!@`t^P67ckquw^c`H0tK~X?jTZsFsH$kvi49dnfrizVmW_IT4G*tRlz$5EUKhj z9BO6#!gmu@uDUu9X36EIl$rSnK0$h5qcGRlL7w69e0)?M>Fdg;79O4Bdw7}Z4L5o| z3}6M`+)t5s3G`-diEP{K>36?9tm(B?kDMdYP>?a=$?xH+07C_wRjOu7C?sEi<*8I= zTiAGo&1_cK$jFFv>~K1)ycd)2TC8d!NPNuz4T=Ol*niU5Z@2M3gHTOZEPSo92}rR= z6bVahiVDFDI=+uGAL1vhKfvJoTurkWDZ;_<@!t#8rpg6X_sJ0g9M}a4)O>l6k&^PI ziri;&%ay{pEsGdSpmQlR?!zxgiWn?JEUpskf9hm;_sje1*ET@3b{CoJf#XI^O&zkt ztlz%|5{gEz_k}-y8ApC6w!-gG1W?!OY|ZR}9COIH$>=p)&ry72^Nh+4nA*AAi!$rU zl8LVy-=$w(jwh5}7%JM177rQ$F6?(qk z33t!v!Y99n5-%i1rw0(P$#hLS3q5)u`xXv=fTkh>U^|#YOu_R^V@J8~jfLRUJGsc? z#qy0tO5MbzA_yNP)qy2Pm&^o|+1&caYxmgM6$A7v0&-yZkbW?H*=@f&i@4P@WpO-} zkRxqhebe5WOodahw#6czl5NJ3W+*{nlC|{#JiYo8{oU^EJj0z*@Mi$mTmM)GpwSD= zSlbIdU3E`BPE@-mH-eM7)8Z+dAO$CA>=k;#8TA5Z_z~KkU5FIolPoNV z4BjjjCg{Hlt~oqrQut%giRCkgdSEXl+3lleCJyxX_e0nsA>o0}*uW|Fa1SD|cIp+5 z-XA38X>%5MbTG9%;|_Xg=8o@aK-0CTuF4+Qun;43K&J9HiFJR14^!RmT*&kbjHjyh831h+vx#1PuGL~y~ z3=F+yY39*QTbs8fTzP|~HWmQdEg6ZS*YP67VAAh@B@zxZuAi|EXy;@pWy^`nO|gTQ z_l(Mqr761&E$^z;rkq6ceeRA1q91M|7~)#Z!QX{W*1tM{R>rk*+Q$Q@Sl0|<6qq`% zP-#uxID-=jTKPL3>Xj=r3Wy!|kXj<{O9_gBuEfrJAt51v1_}#*fzV9>?Y;#$1=2!F zMXxNz${FSSs9{1J54J(}22xP|sP#*!!;`~RD*ViOq9nuHu_W10+xnZEk zY7kP@X({L6oA>);bUTUT)!JxZJBzzIYWTZ78qnHM!FD&=;yT^`8vdz?b>BhwwqvEg3e6Q!hdR_iTo zDZ}?yuZ39~#!}?%)JdCOQU44vL3b?TR^tSXra&FDxhG0RynK8!@*+FU@Kil&cjQ4( z1|A?x!P5A2@?*(-vV_;MLY9^V(xU)^&Gi7svol5Gj;3x$id^>N#U@;)WSAR>;HaM_ z_bOY@lesTdeC0fN!MF$V{&oqYNP-6vely7UY;dl6xPj2PHM4;utzdgFA8%klKXM<; zdoZaRPHznN>R_95-lae`wZ4n>B})7}Onf#m;Qq4iT7Y%ip2Tr_w3!rIUS6(2%B%BW zu=Jj5GyBYC`Q8ru$@XH4)vu=X<_nV9q5N4+PicgzEwM1}op}Qf!1}lqz1~D!4%0AL zDC0J)Gyz~x9Vt4^$iV$=8J&@y%3{n zl25uEqSYY(IveyrrzpVCK@{~>OlQ^+`I-0Jlam?JPRlkAkp{p01c1jr5A%eVA@W!R z)>^>6BmzjO(gc|fsX~3q`}cW?FTO3Av)ZolI_cL1>&5kEEJ=~YYMLGW_{0RQ)y6dV zMiB0TGi>^!C04+S1AHWH@heC$XiJqtTmoWSfnI{gz}#cs8+T5!Z4RbA5YYx*3ksjI zB`!W*fS5vy-R1h02}Cw?^-I5{~|Qu6NVn31#rR5uL@_gFhKX&@PNC-@}kOWXfyCy^S> z(s*fC$dtdkxtU$;j(i>gT#0oo95Rb7kIo$ConhV|sS#J`NZ_S#(PjYz?-eX8>Msv4 zu0y5+{Mv`RYikK$@icN+Pt{`Fxle~3RD}p6B!FKf&j2AH4tod<^(-K^W|q1~Xm($c z)Jv*Vne@nRSUN?`mwG&(ZYeaI=RK(|t5i`RfoBqyG<4TyOT4yCaV!Yh*M9+KWD z5NcS1^_GHjXVprXBl8Cc!D0&|ZfdlTD8fisc)NASTom z2{chiD@c{2E+^UUZ_M;K10Gmsd$oAA%+b+NadFQ2)>v=qX9!P+l@hdoJ?FU;3sK1F zq9XFgkDGrHwei3WV78XX_CF>7WLka(kglC8ZQ>BV0a=Sb()W~Chb0^*L3K?s{?$tC zb7>}+rvg%Ut=e3oSX$dXV<{MKam~+JSy>>&H3j_LG*0B%ZoX!c>&R3SV02f6fL2)m z>Aia}Rk0fp7NdnAw-7#8>fyAXSg5(MCatbGz+zMLbtIVDYd!Ik8?%^mxCgeNR^?lx zLauIXIu}FZ}K1O>$3nejjl2UTp{onr0Th0cY;jd zG#qA0buB1hNkn8SfN5T3Q-A0J~{Ee-9|XiIMdTB9#7~JHI-3 z$g6ZIv!Vxod6V$i+S-Dwj4_&k2!0mpyobBH04T*+dk|p_wkzrB7X0+y1-)S#3VYYhU^jYE4EOFrMQ z)M8aR`v3tsPr9qdl8%W#R&-C`J6OA#+fhIQ|Mu-J>7f?*c!kJ+Y2Ukd?@NvvAI$Xw zf-&0$t+*{|;$;&sL&1WA#)8%xoMb{jXcD?9Osn2Zmqd4exO|lW|L@6u=ssrFV|~Uf zPETSq7~BHkFJJhMN7Gq8PJvV$PZ~Uw?+~x%-04@-#Vdrtwx!eydEVnf&%m$+no))f z-iNv*g_&h1up$x~W4+`g5X#XEVd@kFU7R&+ zlMyy|fBjFg|-He6u3*R`1^BL`6m2Y>nb_=!_AB zX#6qQMKB35>M*GXI5BanV^m5-TR`>J%>yQ|K(yslZDHfyA60lSo^MJ6L z_onjVL!UmwUe%DpCSkci(Ga>BnE7MK9JkoJsbEo_V5KA_emh~}4AoDdhwR^fj(dJfxb`#nM2_*T> z^`2ill$I%~cJDGK~|1{mq`<44%}O$wYhJt5v4!Db;^1mQ*p z*(W2JYIPu*)J-1=s04(AQI2pRC5%Fr(iQSs_wv-09e{O>h+J>HO+8Jy2elj!x#^^w z9|E&t=~toJp;|kvYS6Y~{`{G@RVSB}`ys)_|GD!8M7_R#wb(gzndBG6{c@kfMBN|x zpP|YJ4P|b&x$1DL(4z<`9+0L3IXV(&4sJTE_8h~J1Blx|c;9Mo!aepf78aHr1i$w+ zQs>fhrC|7$yA%DkA9zBv;YBVY6)^Sb7A26R2U0GF@IaVaT*`i?rvZ67YemQ| zgp@;`lbwX5a=`8#NxWzT+SRKsMMcSlkkUM0i0o1kbtT%9OQ)zmJ&&B(AS+xJkAq11 zk9$AxJoOF0fMsRaIuT;B3I0@yZsYzY$efsa@nGg`Lc~4+t2a7oiJ*`W%7iqUgM))}gOEn~GVuG>Cx2#1D<|Ww zs-}HBbGFytup?9_ozN7hC(!QR&1Eycz&UnzbK@5f7~-&@Vz;-qhoxf(amx2|O)%&- zR#v%2WA+=<4G47k>9>n?^Z`>3BqVn|J0ZHCU-YRQ0{2?Gm%ZxNd%DV`g+xR|UcB&* zMcf-J+P7F_5 zL~Ne3*L?o-ZkT?iz|Jf9%bQrWX8CiUp!9=W;1onIcs`)8VOq27u=}g9v9W)U_aQ_m zjcOIpXCaJt0O3@jhAP*q8vL_Mm_^_0TSUUeo|2XBm8sYvql^JhpR8xCag)jDU}%*o#)C7roKPDWN} z?vMP6`7I;TQEHAATghNG>x3@REa7c6` zZ_hxN#s#aV`q6gr@Oh0V1Dc??7W)LjWw`9WZe;oUM%d8|LUh|NQ9}k@K>Q*jHzH|? zeN@E{@kYLUnNL7q^CecrWx`&;J|8TFfW>JjGip8Idc}UrycWAv9Ip5Zy#P{LRnqKP z4LC+;)eg^0xc&K2<2NGjlan_}z60$7hmWY=kiWX=dG+=aum#?L=X(A6byQT;g&?8} zii+~`q3>^F*zZVKe&N@FjGf~H#722$vffZzag#Oth1;eqDIPRCF;r~;~Iu!S@* zK9fF+@puKeX4J|hFWjyN-E-%`{6rAi-rf!~vN}@C48{;Ar?O@oqT;1=2mLO!4tXN^ zhM|bC@bnWr*WZDb9ple%8z(4-_;P-mz>l334kBH~1Em6Ai9El2K}SOyEHs+~s{zt; zV0Gn!%j)28szLrzB=Gx-U%Pn(7g?{FvOwId7_=qC=n&fr+ED)dNe1tK#Bka1szl`- zc-hR%%#C0pKeVv($v=y;Ul3_%{_>JZPKM`f<@;aa)p;gPzwSpN)|eK!FRHDrZEU;- zF|qfj8n?rF*)Ex~fH7$?Uf~3RC4LBbzMK7XjSo&vO~Kaa>gt-I?;RlCA-i~9 zBggh1l~B*tdHj*L>}h@W_h1Eyg|nC(?X5SL6S(?myFbHpC%DPVVCvZIW4dGc*995g zT5Ony3j3dI^iKxVM=lTWAOvU0bwq6B6ZUyR^YUwbMAcev>bcAH6BiNi_{MTxSo9#_ zZQvcKG+Ft0KD4!Ga_J{jhQW0m)oz9%N~sXba{TrAPds2~{!T%_$FK;lpXKdtQowdS zIyyT0t+O9o-~;Cko+jM;tUT!Kvw|mxF-0stgpYalWvqnIp^lSKMECbc<3;~p_xe}q zUmsN1T)6N+wB8a?FL(B(c>DYnCzKIyarRYV{(DT$!$xPH{ja}PXyjje4Sq}*)@tyt zcM&}+3VT4L|1_Uu3ibS&AeAnH)Rlc>3c}Ri62ek(X88ZQe)!og8DClQ==8qzuWOx; z%h~65|GCx!>$8`#5ICFCvpb9vo&D8{*T075_eZUf4>07M{`ve>4g6|~#8k^bX@&@_ zI!nvF)$-GoC9eu(KGsfLk_SXvt9Uk0!tkFnl!7aO=vfR$EaGEh8x{(sS?rGr9=Aa8 z;*l%qO;X*GnWB2eI@$N{-#_$=eHnx^^IrU!m1j;@yjar%-%NFlk>+OMM6>x$GE)4v zF5mjw%k|Et!STb>Y8B-L2$wh2 zyTNNQoxc`17-vIO;c)t?&D*aDHcnhzTrC{!5D*Yp;JtA98%9h*c@2Cm)chsga90p& z;e>^S#l(WXKGbqhhm}+Dmk_tV8x+SSXTlMAK%3B&e9!lYqSm)s||Hq+mfO<`?c}PSANG1AXHG1m$Fm@ zkORIv37M;dCjhAffguQ=g~eQuZg?dqEbLE^#8E+-ho*6?fZD$ZPF4-w6kSI z%52(MxrmGk$u1e$8iYtTm1JD@$j&ZFc6C`Hl##tR#qW6Mxj)~}^ZkAO@pM1A;(EWv zc^>C+9Orrc0?SypJiHzk6#2;p}C&B369jADl;wW_l6&gjB%uLw}M zTKQHo8?S(0)z{aD&GN>LC{cwIGMgq}OA<~w@8F&u{k*fwHaM(EB>it`k1iN_ z5S}Sh=kR7at1v!h31=+@d!OIDf1v0BZ1HmzLf(6MQn$c{J1v{=F2ywgXIAApoeGD_ z`l39P+1pp3+GHG*;Il(!MNZv%lhXtBlQ*--W4vWm(o4TySTyiud$0H3mCc64pljZH zw?k1 z>`8Gw%b|6CqoS$lFlhGhKc@-1sz}fyHXl%EDq`~}hiB+&QeXG=^vH(`XKNSO7*qu+ z&k(*ds9ekVb}I+wl%g>B(M_pML%m@@rWFBCZ|??m2dJmyaYCbz zrQ)YdH~&DT^$j&4gz~ETrLhthP$R)58#2UMX2d4u<}AKOxv4rpSQ=>wdQ(*;9iUhU z=TYS=!uiq?MUedWBVRH8W;*eN!Yj^CC;sWj;Z-y0aNKCr9oS}YF2ceFzGq$yl*b1c zdwIz!C@3f@N|^eu?PqHG`pGyZHn!@`&!@X;Yn;YK5vow_v(2em z_I7sGqu&=^IgBbRZra8apAyx$F#cit3P}Enb=D(V+W$yc^(#RPY9!qqS*Aig+&YNz z|8j@|w5sJYT1D05E6V$;bC|?FJUp`3lfrwdTz^l}B7W4D+AGtOr;b(emA8k-se9uG4>8`3>i*=5%L(^f z{23ZUsSWSQus>&s7{3&@u4*|DkJWgiA>%=LcA^m`p7>7$_oMcHQil=Z@Ry8@-{CFC znF*$Xb0Q+g%f|XLs!&kB8i^^8EIE^L1^|5lL3cAVrySRJMs;PZ9k$$Q*B7qBZ`LY6 zvK;?$mc+`&R&hT-WL)=(dZ-`qao|{0h`VwXjy_Tn z5jlcxse?8Z>y^Jk*8x8-NFFtYI$*w}lx3U*x$B+9PL1`5OVxz#Dsx0L-0+p1B-P`s zdvAQ&y6xhJYk}ov>-7Hfj5+4bsoH>u@uVj{KBQjAIhT(~md7+{f-+mUqa2yBpL_?z zAZ~;1wleq^vPwasCap6gYj8&B*<3IhKfN*J+~2hMZGhNVg_neaTl1je$L6>K1SIcr zgg*rJXH*T+a&njL6yJseGPt&}pH^nfs4AN4;8g5QlP|Zp>O+BKa2m`JXf+Zwco_BG z*2dZh=S3MXAzwnE5A5sXWEhV371*fhe34yp*_8uzgwAc;VH4~ z!+VT^n|}!6!~{;N>pGFd1o>IAo03b~m2Njh3zUIqW7`x3j&9QLUfVSDQ?FKy41Jl@ znW;ZanMY(Fe&&0-`!9lfw?FO@0@{bt(p|K);SMU)Y%pHqQ-uJUImm9AdTV|RX0;hr zR3NDlb)G)pnyZR4&MHG=}1sHQoGv|;@4M+W3 zSr795QWmf6==TSoereVncEB0^Oz$gdgEklD zxn>=QOSJ>tH^_Z@?ci5##c?tK7F#_%fso(T)?p=U8b@}_0($w0lqF=Bu@6E z`S<>JY(DU5SJb04U@)b)N8L0DbPwW-)u!%*leCz5FWp62^3Q<*_TD2>PgNCtyk(*U zyuAI`d^9p|CVWUY{Jh^f$s5K+rwvQupS*qL5!O|Z4}T5~$r0f9%$Ara0m!Xc7M!NmJAXqX5xra0T%=gUj=acm*2)*0v8+<6RApR{xUauE+TFjE~hG}+gxJ9ostRD`>)_3$E1Z}??-H!Zng9~Kp zOLtNcw?`1rDQmhFxWz#|xsp+`T9}R&VD2TtcY$H8djDgZg$GwA*K2uR1;b z<0>mF`KgtxVXIaRf1o8&f<|CG)X`%A4gTfX#vo35?c+ER1dgQf;q3?tra9sk^XL|H znK(gz)f9XzWz>+Yae1knos)A2cSOw%Os=|{Wcg88*2|>>-JiXW_6Y;i9LAkJcDH7} zqUY|`EF_W@SiYs5?vG6*V&o+w+MmrcW4V}{jT^@;xP-jz-C0> ze&L&*Z-O!8(935BWNZPO+nvI=T1_x)4;prRhpIMZP>;trZJ5T&p_-QHzC#_ug*fY_2n7 z*6RZFgc_~f3tZKQTndo>Em~^3-Qr+UnsFl_XqL%12wDH@$?bF*5Z6R(N3(|GX86L? z6@T2`7%c$0cj3pw?NvJo4{WbWfyAe+PvzaPsPM%hj$hkON8U+Kf73Rw9CFz3k}YA1 zrxNc6af}|63oYqE(3}%qC_Z}pxUE^S8=Xd~>R`#P=~5%hAa75gI82;{*~J=6eTGG6 zF$gbphy(V3`FX(-6J@l6oSqiN3$Y#rJ*$kwZQYNjWIu?*47+{Hmam^>e3?b)_w93- z`gLiOc`x!7*kV*d8X6kgOzGX;|H!`E4ey0Pz7r4^_msznO5Wf*4u~dpjv!~(ZQAxy z)Q+;q;Vlz)_lI;Qu1=F*W$68SI)KOK=8#qJC=DIBhqXC^f6oLUNyJhCRNVL<4>15E zJoEKU4Z@KJHw+8wv%HgRPhuX}b4=OUExzA$Kov+8V2CI%YA@WJ4<3^3t*NPjn-)!c z72g$OZSrO{Zki|h0mQb&YNeo-K|4agE<47ZJJZX15CHKnKEY-gsO$%6#oaCH{JO9rbwkI{7w6ifU36P4)9ElY#!Q21dZ!19 zy|~i+wH;YT0lkMeQBhI(7pa37qL{w*Y_hyXd;*95N@ycWWPIS{PuOC)7 zWBdp~EvnF-j+vR;;^kQJk~uoO_$v&y?{oPH1GbtnBQnOxw_YBeo-p);;`{`%Uq*mG zdL-tc)7=Ig?$v|x9UP6T^)_G18q1H;>rDTWIBSNL$JuD)P**9x-}G60$yCQ00$cQ` z&vi8z;;c!jy)U-X&;-T7^sZtC{y?47-4c1ATpldy^&z znS(^Q=TS-t+YHCQs>-Pe9&E<5GQuK^>^%MASsX~}lO~bm&Dw-&EWU zM*SLsY;I2=C8(veB9oC7&^F}VLAU{)yt>!r36i<6wKB;OA%bHh)n=&{jTP{G3=LIN z|Hjo)6()&w3za_;dZO72YlN(MS}MMF-2xULrH}!lSQr3?y$z9MGt$SyRGgDN;X${3J(BO|6M=J9KSCpnw}` z2>fZ~I?^O`R^1|dQb`b8%88Oag?WhhDsce8ki{V!E|SB-!WOd;AdU%=wU&vIHmRQW z#yNAz7mWH_nBg^LMYoW$Ao(0r3UWs4MVO{qleTT%{0tvOJ#5x;V)8B2{*smDB|><} zW+{;4e$H>;btzmZ9<6ouSm$%=Asg6#Vzv$acz|%pJl@7^kDDc@u?O^Y>PYBCqgzC! zK=j-hh^U;^UHPzGqJh304S}!#shjLYfJQ@{ruMHpCr8${JWEa*>w&Z5nrHiPc;@`tWvcDxuIGKZMtVT3p=0a z2&3SjBk4d3FS?{Q(lElXUVuyp)ptTLOw`U2^-_!yeQnqYsS-MFuPB^ez;5sa`(da@ z00sqY(Z{SefuK-0UP_I}2*@-ua)BofVql;#&Nt&p(<`YX3@U-{?_cQ~8p4&NLxpmS zRX+5!O?9E&6wXd28fr36&Md_s@SqbUXcja+=aQ0Ih(ED~#l~$pBe0%uF3pldbo{HZ zC}R+$i3P?5+->)hQ!V)lENsY4B+q>7MT1!IqyaJDt6P{-zsS2Xo zT{^Qj#*G}Lvx}W*GJA8@_@if&oFM2;EB;@Vl^Y7+( zGE&;?K3!SAVMBs3Bn@Ojazq62BUEb%_D44prh%=qJ-D45m%R%e6S$Txa8z?lqTT$% zO*3Ps*~v*pwD{yGb~rDYu}?!unw=%urCL9ni)9+z$U3S1^!cSfLi3DI4$5{v;VJ%7 zh5VBi1dA{)Kfg+l+=0=;DFxE2XV0#+D8NulsGdmG&dMdue;T5QN;n9*K*Fw;BAdcs zETi$33%}~ZO{A!+aE?@uK%{7-G8=pmJ!^dSZxQvQ_&-GOe@H5zYdBqw&w}dDIC6v{Q<`->j?#4hOo)pM8C?kAhn3>hii(KmX<(SF(+ZS^+v>%`3ViD z*ZU735wFSRp(&W=^=%H)B<@6;pgai$!4wE+XW&AB-HZUVV>X_}I)0hWTSo`S*|3Y# z0+MsKv>Pn8f1;435-(~#JL`U*%?J1GxfwiOKE}$x!|)&7PrMBQc<>kfMq(r^&OmE^ zxq3}dzF9fZ2FWAPAtW`@_y8;^;mY_+^M7alt6%U|&A=K0SH5D9T=&f+`R4*M+>CHa z<1W-{I)JNbqyUW=^=e)y1@+pywJG_%qaGJf2oOvTz5}HZmtEVKXyl2d-(B$c$lzUr ziwHQUsQ4Kd-)gMG2`AC6eePU|B6{VsiMFW3Nfdj@0C3b#E9>faQl=po_(J}ZH ze!p9{y@CTAJlgXMCqmM4VgCcRvO^$%$%Zu7!wbgm|Fsf-HKuE-d>)j0y+P^?QGdGx zr0Yx@DMFo&=t?=f>!ew$ex9rjA3dmAO=!5Vdwn_c>BEQf#<2T-k*2;m{1iW$7DBeQ zkRKjD$yiZz;sQWIkUI08J@Gse=rZMSL4lIJgQk}#MxMZlr~YEm;zGJNWe4G`)?}oo zkCmm=*Bd+`Tj_@!*T^WVlKX}u0^XBbkW)1trh2XyI?nFwZ51&x0XSx=RSz`afG+;K zovy2!`#({_3kN7)`%(Tq)4W3x5)#?dn1WPKl_I6wlWhfEoa0L*Dj!7KW!Tz&)xJAxyBLslQ#~cI4>910-o6o|IJ2^QyI~y!akQndPx%JKml`Jm~-AqCUf>fYn5C^Gd zlzga1a24#*Z&gOmBGTcnRu>~RH~TIVnV@gGpEvrq_be{Azx< zSUdk-+cT?|_L)m+)xQ6!yAasbL3(80$!m#WK0)WPQ6T-@#G9(3t_A$?E$@y z93(0#3JX&kU?454!k&T5%qRhD=?=_}*uQ^2=<@+3T=L8B*Yf|G0lMVA+>4^@WeW$& z9?}z=v5s&H5xbx#0LAeap?KuY)_(dFhg6mbFP1@5ny!V~b)r`&NsS~Irx;;gPp!^^ z^wb){0Dppb%J1T((SUPCqG@y~bG6D2*$20URd@vPjSVZR;iLS0y<2*ookMEPK7w zLIVP(z|39srCiCp>7#L3*Kxv46U~*p)igy!bK?Lir|fee-1}esu3Sjs&rw3&m`?fHJ!}{a<$WDl7oSNMCA#5vJvWYa5E4+Zi$tLPG04BtjO(e z>-E0bBo=2eaYM_8MN4a!rchz+F8*<**$gaJM3GK)~gOitm>?C5+JZZr@B*{oiZcn ze^g+UFz`nDj|aa-Kc?FDtSFIZ`{vC`y~LCNgu&MA%THjTm@<>%T-mQimKMWeCXc^*SK19d(&^Yj+K{T+6X??8E zTWSrH%05w2&GBh!bUFT3)_nN|}V4XkX~`U}N>uR~0hWfJ=ny(P)7P zcWFB9#ZCoOzjlCgT__%Gt9wGhs~;Cg8F>IIBJv&Q<5N}(WR9mIcKTRiMzR9{9dT1o z=!7s}bdDHe7!@6dbT(?DF?1$%X2{AO?lGIxG4FATN$bqD(8UypKZ}~zLHLudqm;t0 zWo=`Izg^q5ojlXh+1dGYHFPWSS9(vvk+NZX0}}L`r+n^F?@{iNSH$ZH1^Ye9eAOu0 za|CtZsN)ejG8V}URCGmP-4~&`3TeAlAe8plp zs_9FxqmFI95aQI*KyW3h!4Q}-4)v&>!*>r~R8A$)aVVwOyAb%Urr&T=(`ag>4+7))@eCW$dNm}8LoKH< zgE&4k)W=9h^PE4JozRpkFh!%+OjYc-dg^!1w89%Et9gShJ?o6mgBWo*HU95~!vZyX zQV21_Q{iQiI&nZHz-Gab`!v7!_@#s=bHH*nNpPq1!&p{SSP08^uLTwe<+$ke()Dm~ zWRDV^foVM9F9nQLAkK-2imF->eI8k4M4*f=uyFVxFdCd0m~!_TlK%Duk5JE zW#-no4m&>78g|r*^!;DJ2Y_+u=N#)SDZyp2tjIEsLb#jMdE@wVQ8;fA`qj(~YUQ-5 z-u|I#q&A{~J?SFoUZcjuM%-Zo_3Cd*Zj=L8mk_Xxg$8#0MVoa|DiJYca*LDl>w8j0 z6#~SN4%na0DDU6B`$W^HJ=bVCCHxIz^4^xdeSd%AWI`C{pSiHn@QEJOU4yf?Hrf&i zjw*^5JXnPIh{>)!dqUO2f#Pw7Zv##vgCjW&qlP^=12aT&N`X;5i_;$ns;O7f&neuE zlMkB&_#&DhaC^T7Bi@*xo6PtlPAxF$D5{ju6NXPY{4OqTWk1ZuCe59H`{x6LPD^bL z!$g=26F(4Cov|Z|1EBkvi3z__Os3edX;WWYaaOlU(DJJTmRhRUQ2;WF`GqH|HP23= zoYFraD}yE@KXq=TRv}Vqk+6@cGIu_zkzRAI*U;4IR3OuyC`fu#NJUt(s)H{|N@{Az zC35Q)YdwuFq(ui3RY5>T9wD=1P67We0RF}#dC*)hzX>g^RzF%Co(rhGJt%Lo?51vp z9fY2m!REW!YwwWWR^HOjpVL5?qw4#CUR*-x0pRBm6l_f1cBEEt?`a#iW)?x8LX+Ka zzrZGt#Ecn6t_SXk=Ko4-Wu{R@K*9Xs|BEjb4!-Z(B;p3cqsK8;vTa(lwS!>EhJ z)qLE`m5 zpFFE~$sqIy?V##|d{+%{wTUjHGy{ZONHIu?qx;GCqavdO;40J8)7#E0+_MU;c1gts z^Dp=(P$@G8W2z1;x?YnWuS3(t%UvCvKy(K$C=$efn9@)ZA4Tigdp&h&GnM)u1(J8h zyou3M6puzf;D|Mkp~yjz-@J8e%}n_&w?V{sJhlkN1>rb(H++UtifL0XZ&zvBrGR;- zv%WD9|C&~8U>xo5g+A_Fpad?v#!*hV>d-ob?u#!~~K4$>y*RuR4P81atks_UU)k@zLEceyEo+WdsdyMhp z-(+_&N}xyJubIpB@ZI5We5XHpdwRB@xd?_WWgX8=le_5X=;-M|U%vH`|aSzAuzPR2OG_wj!<-@JZG>P{x$)$6tsz$`GgV*&{G#{ z;}lp9o|N^M*~;>tn@buBwglq_)&OyM#z&|l^rYGIms|SmFWiJ;iTH+%t?C95kXF2y z2x}#_I*j-FxyjD4Cd9C#ZXgk#4b(uk?gv-dF0SR%yJy{2|2f!lgL*Ib1A#YFfdP5# z=7*o7z==?|yZe(l;>qNFo|TFSuH{4LvGdmtcliHSJ@ zTVcaXaE+98yf<-Sj2SQ$CkEY#i+7Bi6Y#&ZbKMq}x>4yZQHr*f=qj_k1sV3#24%*@ zBJ?=@ssw!K??~2l)5Nq`l}hb}lY1R1qvJ<(Hxbl*&{+Btaj`+Lo$U;^fxBq~>e^cS#@y zen9S-{Kh5wp#~Xkqoz_4HGXi)FBrG0g1I@}@J0{tUVDeR4zsN$X{1C|8QIbNp;X|N z%wSTWBmwE~XAAU&~bD_CK6&~H*4{O{=N0A72{rGVO_o&QuYkpAt z<+HR{QGB6o<-0UN^k{fczKqe(4c$h`3eS?JFOmZ&YM|EmQ(H!U&tiiJvrMVW@9qN# z?wY-L(u6NQh_x@W(Oe=MW}cG{B_u_Zi;L*dOsqv-PjarhAkSS}Qv*6PP4g>IY`L;) z>5bFnYaU`^PFlxTBt|jw{BYm=ya$fk2nllXN95!E6pj-VEw4+TMv+u%p9=<*LKTt# z4Jg}jubYN0ml%>D()xGv|D(Xx;;8m8aUDNyj$n=i4hz=tD(Wd$|KMQyJ$v>b0?^V1 zJbU)eN8lQZ4C@5X;BiKdW>0z6@IOYt2uhYa$&sD=2-b(;-Y70KlD_8tx2;M0YuMcF~d>vhp=*I&qb%u5AlgP>#uNNZ&#f z!`*AE`8Mt$3g;OGg47Xp>hfgmNq01_=tkjC*^MY~6tUl1Amm1UIxQGPoGCwIhMIf< z$zR}x?u&-30qy8jx9>@~Y@(v0;e^kIQx3IgWsA15PNbBK4H~_|WI%RQ^{`_2=gE^N zk$rboD8e;KALSPq*fabYo^{tL9#l}C|9oHQ_^W);y zi%Pnk5ndiQy0<@KJaq8Vjsy;Ap2M5}p*no&j6Ge9$I;Dxj62rH$k2$rGq)deo9<{E z{pC{e_0G58mUe-FvA(d!hJAHmBDQ1Shb8-Zbo7mG%~hhO(j86Zcl9>wKyh6ZQ!_k( zwF}lGa@n0*pa?Q3x8$4OYcL}6f3dB8v_)dHqbTW)M_tq;7E~^OPHB1ChtE}o8yx{I zl<@Fy5FL^{umw~;#;~Sa&G6rDE|*SH@y@q>0NQw51Bs#7cI;K9^B-u@u&r zDT11{6I>r&OXXHtTU*Qg?w~^5v+7>7N5wTWx-k58g$Mnk!MJGe&OpBxWdTl6ogzL_ zdL)TC=lX|@;wU|?j6}aYdELk;Peo!;kz<1WC7I8Na@2_i&qJ^K6;x>V7muAs;tQdF zk-uBVv)!}V#sXUH$Vv>R+dP@JfWGl4h>;KrIE>o!vv+v=sgd_skF5t_`H=~8tw1q@ zcOtEykaxd&UA@r8=^@Cqu zgLI^TRMFDX0uXRQ#K6h^`pjXC(sK+1;Mh$C`M<{ysbP&Oa|Pu~^NE+_pTooQX?AA= zfGIbvU+fOwV3Y-`&!K}{Tjb>rDS1k=-EBe0`a$QeO(iMy}Uam zLrRQz;hNBG#1=`uBp>49w}hko(3#^Qz}@~-SF>)NO|w?ZKxrqAg?X}TOgce>5iwJ% z4_uVMwjwBKssP-!p3M&?-3|M$WuO&F^U9U+?MoQ+_h=H#`<`KDfV8~|w^Q9`FZ{*<+jY17#jP9Fj?=e&ajw+6TN~n8$PK#D`Uj5B! z5j)sLCnH_ga3k2C>%o}Kc{_p=Mm6-7mzVboB6(e%IfjTb+Qu|+(O^{5?cznGe_DEa z$zw1U*uidm+N7+pv9U&Zt-%K35vC7SN(|usMMan_zU{~{+owqP1)=ZGQAthGkv|4%}Hlaq%%OLd>CwLh-K} zD3A=blv%uYCT2QKGC`9 zgfY=*T)T%_X?A>=spi4~L^t1@oE&;*3bKXMMAtRM2`}F?uel! z%lO2^0x}>IGqXi|{xO}K8xl8*iah2&d*B8f5RtyygoK2`*X}VQsU^&%Y7Je`PmmD2 z@_#yd@Y^^TGX$n*wi+5SVY16%i%qI0um^POj?lcj61o}%{_~bNHW4o*=7sC?x8NB$ zCvDCMJ~Zr8;^U>P@pk(=xar?_|Jw4P+%NYXw>;G$YUAL^B55c`^f5%z>=iRt^J^UNm<0})}yjX+U zBmVRE#2+i>Al6VkE-Wl;I7UTg-}P1exe5|fkQ2c$zn(nwUyHSTpNnQ{^{=M+j>$b# zIPNG6~~W35S?)g^CuGyR;=Eh-g|>V$M_0t zSYLe+-~IByjM2AU6SEp+gI*Sg_Pmw)_r0tUq$1voLU1{uCG?1+ji*YMFu2Kctap9> z1I-*TEo8oV^Xw0ft#i__POcWFP+idqb8bQ zN!8%?qI)|fIHii*{^hsSw7mt?U*oB2>Y*+P<-(Rt3NLmPJ6GB93UCu zm3#frl=Z8|uP({*9m@}Yn|9J8nYIS1l)T+ zeThAOd}$%%DF1C=?5|FI59?(K$j!AGQhR+oradVAX_m?4!7|C};yDt>oQv6Q6Uhq)s@=62O{0sKZs|9#3c z9}$KYysIb#`#7sR#GhEzv*dwG!rKJPK>zvfgt%9l8Tyr|%>2j`Jp5p%^EHBlgHuye zwW3rKT64_g+%TIS=61GbVpk8IKAi_jbN3fSSIbJuYuZGUU`f&yJ)?`m`@;(VIGlA6 z8y=&ZKRuG_)o!9`b|SiI>TXwWx`c(7#G8}moj;!C`F!u}Ewyouu1@_acuwm&zpXaw z%0vUXwJmSDt<|tMadIGsqMY{q8helkOE^ca?KLkcw=IkonZ;MtjScVmiZk|Z&v3d_ zP!{7sr}~pxXI*tpFGZ$Mid?1E+vIN>Gj}7Qf7*ZUT5%+8X-Ei31b2J&i(?IrHLI8$ z?y6rz?=*lMxQOW+ut)$4U{XZxn>W%<{BlPC0x%}vH@FD*t$X>wTEVh~DFJF^6;D0F zgi=rjV3+p`O5GSetTtSoYuB$?(Zqd-uLYz0$8$<_!O?nd{DHb0L zI~&W9XRYgU&kB0b@(^(Lg#7KLoTnux*RT2F%H`wYhs--!i?QLfAzy4KFgEU(<0Qd? zX(`wS$YBN}PwM^Rkd%~^gzt5APm6uM6Ej()s9 zcCY0O@vGhGrR)n_K^`X3>{X}2ZS-`en|?-4 z8A_Q62U?!hPIj;pT`3%|o!Io)y=Lk7&0Lclp`hQv_A}S2?0jUXV|2wb4vzN4&{}=< zi=<}zQ62B|>2lgFfw2p%q(m=!Q&o=Ps+gjM11A0v z*fn)?_GoyIZP`A-%G;uX{tN}miKLCC{$3R4OX71Edlr|^X7+b}U}u<_5zUJj>3%jX zVsUoCkDQ}Hl^7uMbLq;a@Uhq`$a=n z7N_B7Qcqf0j3A?eoX>ysES2xtJJ+;}hmTbMTA^EaX2zX35YSR5YV+-9(xkLeJjS&X z9;`h_(|S-Im(TTeQr~pQ&G9bOpAvn(}I-BwwI3S+gbj^krDeR&REMy zcXIEcNz+AcovCw$)-<7^sWrQFFKQ>#2W#zY{vdbG)KlWURITyOt}Wv|ES z2c0L=YY&b5>S`Dady3 z>Cd%euV~p)&vwOg28#yAbRO}HR`?(l**STuQY9>C`dULHF6**yLAcF0;^;Z~(|@e~ zy{Koh3A`fnnbMCoD0!C5^mO>X_+FClAUDCsce$ec+Vs=;NcZtKmv-#iSN#|~gPNM& zx;4gtUcaB1wq&?j1iyH3^-iU#yeMBern;jGONIw#!qbOBi#rh@72Qzc#HF!v5G3s> zi*Cp=EDZ=+d*ckCL&d()XWpB9?=Xp+mY#K}Bf;d|g;vIbxxmUcp_%^L0{Y2qSxXsn zj|-P-qs=5q4s5;uNZchebWNVKR^Au!Q?;WkI^?aR!-Er_a_9D)UlH#+eeG67q4M=7 z^Ap^P56^CkeVG>hhV1`qzY~j;qlDm%AfHdV+nxF(S28#H=3n`vQD%JHrOkG?V6nqH z{fURyL*(7IsP_fiP9BgrV%ffUiZy>xuH)fCSz1)?ZTTzCTSEjaU2JU{VppaXU2TU7 ztp6Og6l*@?CTY#mov1UlSJ_zq!db5D$2q5!Un~rgJH$sNy_y-$XqTOjLaI*vt?_wT zYoX82WUD}EZv2CJl^5J@&s1Z?nm6PH{^Y2C9_#k|rPYIKJ?enB`f|SyIWvU+VI7Y| z#udWPch#o}c7O8(KC z>c9o689%=IGF^6-VE%W%mCO#xeQ=~?3ZM`wQ#=L>W}VArdt&f~5T}q(NL>9Dl*HwS zfcjhk&L?;>Fwd!20|jUnhUdH3i`^T)W-o>&QF}8ZBX)(!Xr2VzY~=;mcWozoWW?uS z3l>bNd(I>S<$9QNMO2-@D;e^{4D-UjnBd^MLjJ{AY&ScA>EWI^yLLikl zY~5tz25!+MmFwq)L?UzkRJzSsiXu;%oh*UE;*(9h#T@*GyevcN^Rms}=3occ55auWiRz z%oNvk$$;nt7shzeqY|2ivOcF=KdqzL6*&}WO!ry(?4PjMnub%yNMVO!ZVAlvn+-2D zKE8b5)x>c0R6owot25``8klPKbO$msvbM%K?$2}ICN|Wf+et_E6=u1^wMDi_N<}i< zeXGIAxP~wD&2z;^{hh7rYzO)!PAZZP9-phBnXIGv+1xu_|37e~amy+kIZsxB&r+5} z1#S!QTVQPE5>$;pEzQrLI{nuGPc#k4UD43^ssVROgU1Cx(oi7RmBV0GdS5`YvWBo` z{}q&q!p?bgTj?L0(!b>x_eK5Td{P{6>hz46CW5DbaTz+HE*v`|`Fl!gxq`xb@tAYh zW~05orvsPC%}wU*Whbcid=$UUDB)7%Z?~fP>jU6KZrOpsA40*@D}92}M-;o5OOzdN zUHZYv2wb{q8xwSG%d5~7mX-nwtbwJvj8oL0{o z`>~B23^&hiu4-m3-z(ZMb$x-aYqC2*-KtLeY^q_E+pn1|&#%`wG(H)i?bhXjk*z#sctv@QkDB=k&yhOtkH=va`#0M5gZJz2++K z3y-QvgC_x}QNb-=geF=oRQ>TI8O;#WH=x%##>1_Wg;DjFM>1m-BkBw>+4h2z)C(D0wRx?9R?HLHoF#f3U!sTS-DE-90Y+9?z}(O1jBKcHvxw7GccUo;gk8zUmqMJ5L3z>)5{RZ}aI9cW?Xl2Yr-L*6S|4JMS{y zzpa`Ng!DUhqll>zNd&d($^9nDb=Dc&=PgCRb$b^$F zQ?y<1?f!z$c45vy@xf4KJx`fDDe_Zcnya+i?zW}g6n{q9_~EEdQF!Mqj+g^{KrAYA z%sg=s+x`uM@d^Y!)yotJ{aZN08jYTA+j-@WnC~^O1dk@Xr*GfDs17qg2Y~qKuBN6Y z)p_6)lLmtRUfnf(@&iM$Mq1${)t|PMt3ubswq3n?mBR=s$1F;n+OAAA=E~~sWobsf zBlMyIP;ad~hhtRHjTL4CH(HiRXCN2NrcImljRGH|(97_j;kuWr_+@LWHeEq;76CiA zJP~goSw*IJO7UD>xf9{eecFFAZnpl`#itUVR-WCK)(tN`%#zyD!T311Y`E(@Ku2ph z?aZmZ*Y~f^X_1OgaV4<%d}t~kIWap}#=|ol{l&s=f_lVEv&VQ_w;Qj`vHgAR422sh zqrO|D>~eiR-)_}D5a)1z*Sh(&C(Rh|KHF1%_u97&@_bB9V%IY6$1p`Y(3q<8kL{bd zDW5GgAfP*XZ;);z+G=iL)byzY+wr+7nx+uh!%V_KzoMqRC{oM;r-IA2t^$&`<721J z=;l1SAgQe_P2(3NFUAkxb$9TX&w*LX#esjf#&vK#QC_pkefZcrvBE`2ibarFZOliz zS5_9F(M^4zwx{m8+6T8ghW&EE)s4p1SpRsUv5qm0u&1U8H7$8BZ@i@Fb45R&>i>k0yHO|7GAyj)i18;hjwS-7s}AC zo%E&OUc{V!;ij-nrsS^Uy|doMdvuuYpY-`o%`fg4maN~tzJqy>=nI?9@juc>hRt(W zn{V@Vr>3i;2siHf`Xr8Oo^86V@s0x^SV#E18yYpA9gi2qzWG*qw4*3u#akm!xb{iJN53)q z(WKo;|2)mM?j`^9#UA@n#qf}5g`c!2+Oac1eZj z2suf_M)TOa989MX-s@m(oo)~Ov|aVONuQ8rf7|5J|Gg0sazr=g@2t{KeG@CSX!6=y zl#1!BvNL77OU=#AnPS7qXBm0{&Sm}tV6y32BAlW96cp~fGq>*Y=bq_O+cMO8A15&N z1LSXTko-UR7+#&H8fbGjvTo|B!0r}Dek?C{{{T(;Vu5gpTY8sgtk+5zyY8mjt4H+_i|KYQZsqX^pV zn_rQ4E|8|_%}F-*I&eOyl$7kH1>{ zvv}hfIWhsCc8oIGsQXhJ&G&qV-i`I6W#e>NZAWf%93ZEh(Y~dc{paD$iHIKziBDq6 zY-c0ROjrfq57oIJG32=H#5Kb&@!eXXsO;D!G5dP+IoHZAUSpZNzMp)3BIX!hE1yqL zX9$+v`AX=7b^7zqDba6g)g_$AYp6dJ4lMC)O7^`Q)!ytVIX*hO-mul_y~*qQxe4_t zT(;)#p1;+NiI{O{wHAM3o^=1sI`+O(Tv2E4*0MHL{LvN`Mt+`_+thQh=1E6Y+xx&N zilV?7QgYNGS?+(a8p`|n`(27U7#Ju{eG>Ce-=rQZ87qgz4)11pbtNzTl9*?nw^mIe>XG)=3fy>W7Z{{%V6}v$C z-R!uA`FFXBjM+u0i37b;$y>5$w%OfKVP;n^@wbck{D*zQb1CE4@{W*_5fLvrcQ?^j z_SYTf`8)ocvN|;4%$W1Y_VgbEX^*F!YBX)Zw=cw+q|kpLsF}EiyF)55YK)ts_tge% z>XjPY`eWG4)Vve(7atTDRuFx^wy%C{&)eyz7Yu*yZ}hjVQ4yQylxES@ zJ|i|2HMgnjz~ld&jEpxT83nu|!wy9qwjX}hjn<5hzmD94T{Djmw_v$4hUcJv#w4w% ze1S>kqwDkXsw&ye$Nt&b)&{xTrlZnYcOH=(56^h>CLVU~lAQE( zyZQ0R9X7w`?;MXfbth`x%I)0y+zx`#`s9;G z=M?IZj_O(dlpL^}znN@}%Q)C4itQqqR;~_`)?F_>->Xjy~mI1 z=8yd{2ds}4^SX^PTY!!_X0hmnX$P)aD8MjL$uYt-tppkeQS|=$x~C zW{=cWa8ck2C%V^`jX=nauRve7X^dPzG}liSLSjb|TY)I3vjh zOTJ2co!WIrv;2?gf!3l|>~YOB?ZaCY-(7nLl1g}mO83Ce0TGD}&8)iG?-cD8*4kmU ze&^>zbr<)X=&JZu8ng1YZHwY-JBOB~^UimKN8HoZ)#;L27kub%GT&=73=N9POx7RS zc>MdTAM)1|sM$ z&Tc*?Y$$}Xu3PX@SsUofb7!9OU+yJOW{j}#lF+Z>Re`AG9}2I z%-xOWr_G+|S=Ee8JnfX2yJhw5hEKGlcDO>{IWN0f!$&@ki>}tkplwNJydRV0;fizRjCh*y#4{APtIp+&68n9UgdjhOcVO`ha?n^3_V!r zb+g_cYD(_cy83kjbug|~kIc?nLJ4fOkCHa^Nm_hiZM%Y>Yl~Ng+1cBZWK0c(|Vf8{yp zs(8IpQ%>PrTBGMH8pn#Ivdp|BUbm|bsO#=5=-ZHXpOJz5O7x|ra{qs$`b`9?=a%b+ z(smitEJ|)+Djtw+?92|cTIyGQxelrhh4$US2i40QSZ~JTGrVuj< z)?Tj7%d*hvAIRG0#pL4T`A_3jOXbBP(==Kmb!~pS_Y2CTOxnX#H+b~FPgK&7mq=>X zjDmwucb*DIr|u6vaDH8PZbMRTrsxgofT}ku{}L*%C5ePBUro7(P%O_M^+9@%V;V%}p%C4_agm0HaH&%0WaK-9;7m2+3| zSbd`}TmH-Z5g$x^`-2AK&NaOo|JCNpN=*JA;`C!Kns}}~daGW6W6Rpq|7F&BC$ai_ z&KSJk^U&+Q-^OcP6JwhH^}AeM2=H4$ajkm5w{g{;(AAkOPe_QXPx7BtQQ|)I|9;(V zD=Tnco1ez%Xza=~vF-A#GMC<n(rDb}68m`z7GdHE6z5Dgn?E2d0j!!7KawW8Q4ICe- zqT&l=B7o5BSV?uGQ%rpMkM+-A^AEEOk}Qpc1Xgwyb-b`@{bs+7tkvHok#6#?Oq6v0 z=bqLFQ_=F~w;>(y+bw}tVf3g}?sfaCO{?uoy=1RX_TZF{6_UGJTPb_JV3+TdQsmBy z=T#m15t79(ys$O**b>xPH#rZDPQCDPlkzu}&L-QT#iyO|5btaD2H&&?*PX3@rQ&y$ z@xKqZ=FG8ktH&Roi7K(`@2GXzeF3%-cpc~Qk;SmflpwDW&6y=7E@2H@NntG7sBq55FSUp-f7i8!2QE2)}{aO*!$B zWA#O21F_uWs+A~{XdaD7Tm9;B7chwZNkx`Y^CC1%I|#g-1>AJT03puZSqQybdIi=H z6giVDm#ko7t0`%8{L4b=0At(amGci1fA=I%ozm4O4R^>AK9be1Grh!u)KpSnW%Q_2 zbX|{`o5`f4|Btb^4(oF3@`qIvB}62ZQt1$oZcq@AZjkPh?gm9k5u_VL8l<}gX^=)h zO1c~Ac-Pkx^UQB%uIuHGV;xZLz3;u(`qYYA7XxVz=%N-?F#dW1U%{JZ=n8|OcR|HA zixAdj{j=^ASbx@?#LmtR2ElDYZK&Mf>9INiJ~pt-JA!Bcg2*#2u*|r7@&T&j-a0@% zn<&j}ndbc|LiN*mbPer=f{_|6w_G<|WRw-`Dv>g`wMb4&R4fbM6pG!YTNY$=zWumN za3wU-JKPAe18;ZB%F`o|1&yVgulv9y_tROTF2)+gsBM4uoB&8eJP+(e5nfVwq zEvrS~Z}2v~1i{|hVW37NMV}#I~^0 z!B_{p2~FaW6Fow<^lmjGeP(r4yiOJHeQ;3?sKd=vT~Y$zed6(42H^9!g;xS)ESI5< zHU*qD^-p0q5R+`;q;PiCQ_Y=ugJ7f6?ksJD>ybNG;^>%{cm3->d^1TZk-6{yGFyXC4+vfnXxW06`RGeb z({p{Y8)Cwy4V1~Jq_!THpH%m^W+L7<)nICLxl@;Zr%)*3G+F|t5obMagT9WC?JRlZ z=1?uP2yi<)k#mP&sGk9xTP+1(rOoJ6+MvB@$i&kP0#dZuBPr8fep`X@wnr;YQ{KB| zQkDj>H8D2PZ8Pv9>~EE}4{OvvUNqjkt@Yr!{&0N6=b%AT4(RxZNw~d$m=mJGXWi`I zZ!fb~hSC=qZ{cog{wdeWbtPe;Xy1isIl_=kt$vsM{TEnJ4$QeIj*`CWEgFJzWg)^p za;PvW>74xczqjnuGSGw}s8J9k@d<`#}#M?*tQ)1 zarDxZXa)q1y#PzDPJt6Nb&KYM_%fYugA}M1|HvAc@$sX<6%sh2`u?-HW@wI`oxl#rU)p$#y2=? zWopLXuK`#y-uD$l3cY6nWEXP%SrEShAg>)Pwk3D5bi6^o1q4lY~UCC(-s7V=w--y>>Ri zn4FgswT6KYh?t`ZI!v#Y*3EHr`j78b(8V757#}0{O;YTGx;!6aZH8E)ojOb|@_94b zm0ujnslIEmwitL+ZMU3Zbw8udmLbui$<*xT^cJ!jQr0<7nO(v4#4A!$X7=(e{{CcNv_@!GuFdpp-E;0Pw!WEK)5w8pyetq4n#kWSZ24sMg@OQz^Z$P+mmEz{ja6% zc_@BW39d=rqVGJveP6Ty(A2Qc>E9K<6hu_#`;R|>aJSQ-7`l!@vk#ANGtJPfz`f0e zG4}JPJi<=m>;#%UB7k9Z8IBLetxPMR!h;O6$92wt0FVE z652@&XnSYe>lP+0-vKA!nYEgGCy3aH6hw7vcn^#paR@4X`5EcVD;k+;gK}AG9V`%c zlKCbI!8Yq9{a)986%U5sTNUz(n|wYXlw|W6<4js zfv$|{Cwnsw3JrlJ*Hwf($houbCNBSmCF66?2Ww9$KvFn+hPB!XI=t-K*fuwULC=qh zhQ8JzVAEtzaaqWWJj8r8Or?vq!dCX-L^Wwn1=?Ovw5a9~NsoNuXlZ=o5?VG-oSjzI z+Xo#jLz>pCeUz`IqhOhYo+FA?6;yz5@W@gvn|-^3;s*tBGsRfW1SLsbX^Aemi0eGW z9cRnl8)t|`O%bCS%#ZC;`FF*K|JLcHLbb{q`vpQmV0t%lF>7sYEdZd)%OHboUH~7) zoai%n|L4LWlxKJFc9I%GrF^#34~Wj}@D#WfQZxk=2@z*B5oi}+essR0H$J@LdP7uR zva*yf8;3oKa2MIAAGgQc}LIlUO$vnP)zSl2d}!{8UewHCo{PxPN_*hDgQaFk_MuF zVyN;sW#52tac{K_R|fsG4%bFPv_>EpZ%!9WkqWVH2K#cYA$#=n^pH(W&HoPJ={fE2 z0xtmoaq=#(16FDRdeg-v5gf-VHYVm*t_U=|$C#*py_S>i9v*AMxuV1)fYul1lqe=d z$xY{(fo8=~1y*Ykz{GfEb@k~``xBXfhRJT*RD6)3?7m%v^JvS^ZO6_8ZplYU46m}6 z$>~%#pDTR{vkh?RS9j4vaVS#LmPByad1yW!_$A}k7U?3WxuuUo&Q<4R|K`CXCF+AO z-Z+}kaZ}=_zbE^hCrsLs%m0n@*|WKG2IqY;ZOzK4K9Z!Wck@}hNqa;O=~T^yjSuB7 zMe&+V?ABTp8CU`n%PuBLpuAA_4fA;u(qS+WLn zu4Y4IUoz*y327M`KH3kg`Z$eWIA z#m3Z4IRFA%3BzmTHtGUD|f)xG?@p%**X?w^#yoyZlFT-HGN z>G04D6?hn353!Qa9z|R9TVJ^|l`Ri_5RN zEaONCPZuQt+(LrEu<}*uJ3AZ6p5Q<3ImAnrg^U1LK#02zM-v=ch(QELv15pAz{N$% zW(e9tOi4)Dg>hLC68YKFEK78TNy+l9E(SLPA2Mkdt52($n{l zo=35-W+xtiE&;cP=t_SNhgZd!h6-b@w3i;Y17A54hSNin{Cv2RN)NvCbHhUwgb5vJHBRgY`f>b7+pU5AX`rU zwn#Y+;jAojlR-^-P$#7!Brb=ntta}qr1_BgSFE%NPqL@zoGhrw6{q?y1CF_nZ}i@! z-0-KDoLw*ydFQFW?m$N@leFVK@^tE)WlpQ&wOA7l4x%oucsDOPxcOO>$Av>BvOuo) zXxmWn0>D?K5qbPv5dF>(Bq%W2=vsR!g5*q5UZsBl&PA2BjmbD`X8@oKnFQ>}>)6@D zsB%IB@UnGt^l*ENcD|=liTqdd@xdEjq5JXajfgTeR+8O*xewfAiOCB48{;ZO+x?;s zcD_Bjc)x`3zKSnu;#1j~PgI8XdO)7r$~1(E-wIKImf$a6V?FXyHXUbZL19uH_XW8N zR^6>Iv8br0w>J()>M^v-c;BOF& z4*XNPers$@Auu+Du)L_#9bib5a(^?-%lV2YKJezKNVt-kQ|7oPV(dXw{qcerx7=SG zahI4S9f543G~|BhTGlp{1Xyb!)cy;u2UM5357$8ijWalu>XeNeMKIP>Qs6l2BMpmJ zY2l~95ZJ|NJh8M}GnSOD{8?AM=`R0>0HcNmi9$^KF)KnZ*wyfU?EA_!pViL@Y{UUD zq9M~(t%`v2Ixe|LNYE)D--{@z^)A6L!bfD zQNAT5EOmfxko^&>K->zZHPn=z@1Q(*UISB{nd{0cD)3bx8<~Gb#u+Xr7%kGY0K!VJ zQY(&vddTDU>sMd5?;(9e$iE;;^mE92uqO7mmG#_17$D!tc%F!3$s8kc4gQ!mVXZ)G zJ5va$1=164knMUVq!^`25F8vl%q9Wr^X9Y&A_Df+Q)A1-=EDia$3dC^xFWn3AO|yz zP9{CPmCz|_N+(O$PvTJYQ*i3dt6$f>-Nr&jEXI<4b-N(#ZxPl%FijX3^STy!cqfix z2Ma)vrKPbU9VA`Hs|snn@zGdgK(eF8+%Kyi%7IgBru@b{9}_vJOnOwUelTspkT~nM zB4(-2f&kOVt&!}y);7TIHR>6U(HXxMksC$VcV;JoIBeJP$wFxA?_tMvL6Is(oDDGNAJ&%VwAe=hhG+{*=l;F{cqupYaw zfXg*UPSm-LG&OnOK_tLZ3pi~O;luDvRc)kC1W$NduZWj{)feV1_8AL<9~T6!zkPI} zd2jXHg;cfNKQvws&lb#OeWU-EITeb+-~qV$99GcOCfo!KRE?8hMD_CsIm!f^w6w`WaEUG>T8I-eKTeBnVFHikADO z1EOCN>|yubkwXyVW-DVMO~9&Zzw${J86ccF!+Mo>AZIfJavA50>q&~JdrP} zd)ws+Q#a_RWh&RQ2z{DjnwpLIP8D?FOe5IozkxEFEeqAe$GpyAm8vIlH!bW^IpU!b z+EBSrGdPO&pSVC1)kR;Nch13ws-2V5* zl4U{Q_@Ge!?+)JLuwlt&JJXNgl$A%M-%?4%J!kxqmv?@CPL?!OW~SoFX@7cP@d3L7 zW(AUdIvD_1egNW0zJNBiILwD+-nQz^i=fgRkrOH}7#9JCk``?WKm$I9LHtBNLiPel z_pdP`pd5d+J7ORdin|U5lZl*V@9P_>S6%M9E5=;vi+*N_cE>9J zNJWg&{oZ_w!&m(AJn_35CiQ_+^6LFIAj}vs-;y-Mh{VZ4>rJ2boHQm+uBV^5C3*rt zdWQ)3Q53@p7+&t1P|0ACVbimc@r8Z)Fy7`fw726!lcP6W*6jyyk6nu9*9hBHnSb9s zuN!|UVnrZu4)o^rI*r~Cz6Ysuij?7q5H-jO2g6`gWcL~I4*5*4-56*vNlW|2=TiYq zwRLbv$Cw7E@};UZH0ot@Dct=C!bP**9T9DPwo(NDMN+ezsC;xCfujPF1_8Ofq2N4N z!qV2B#@BWa6$iiZvIaKzqC$uKh!8g^r1{CBoL_qap5PfX0#yRviCUKuhy)sv0@OA` zn@{SzW!N5!Q(FG>AQ;%t>W$b_#PrR~e)6#Pp>Hw=$DXsy%Y_+(pKJ{97)8h~z}S=6 z<>C*nL00QE2J_lLzb^)ncvGdh6d>5VD?`(W7QC!cmp;_aYG?$&q`QChno_bZVEFSs znkMle!tkHp_XjCb^Kav9TTW-Yvu7xpN7r@5QRsw?Js-eHCBc3hglWFZ#bJ0FF}Z z>1F7o-)W$Jut!xY%7M#Hm$y%9NqswGt4*VluW@X&eKu@`50q?=CZ5&Dv-*~`Vg0j= zPj4fft5DOM{P+?p83J>0hQECy6O;f57m)pzF~;H^1y&Rj>6*N*%6TX@k2DF zBk!N4?CEECva6?@1BbO$Sn6m5IWT;tHR6C%4r;)QSR0vX)j_fm+hXq-E;+>(+MfPUv6s&>yvJ@x zrYQfueImFdN1&N7@lZ>Z5)|*%!j(Kw?1Gj|I+L$Rr}IsO9pI_>#zxkn0Itr5W%pHz zLosQ5i6VIKJVv%n>%05c8mD4jDSvYN6c9e%YRs$kOOR-wce6dY|IdoOjzjrNy97l> z5#W-L8UpFazL%f&!MeHnvuq9Gt*Lma2A@FJ{6`s3 z!N3`umJh>Q(|ZufAs=QT9E9Ma`4}+}{Kx{YQ|tfX@DqN@>khTN+M4(XAcc>TioH0k zxY=sII;E8WrMan3Szh2L22{pBMk%8xb$}hT?>T%Dwfr@_5_b@4ys7Z$& z6iR3Vra>aV6zi-QBRlr}-@o(9lWTvKi>(kyj4r@*?FpjfqozZ6;}M)8_}Duo-&8-T zf3g7FbieL^H)c^me06T{EFfOM?fRiI8B{&&J)d8ik(&X!hd~a{D&AL zCM^9K)kBHYGq^)J+8(6Qt4B)IKqIsK8+fja;e%(}6ATyFE^Y!xU5un7RsjMLFC)|_ zrM~}5^hb;Nhy>6}su5QlLgS+5dVpZaX}B9!PItgiv?9|-C(^P%0EJW*wy#e&V}k^y zQc))l$2*ZZfQF$gH;-ZrdkU#e*T`4>2(B3w~csY&uh6)sux zqzSGx#f(gW%$ZUn(Q+18ux~<3m%V0~nsL?Dp{F(bQ&z?}#rR8P0VV5;*csVi$@;rm=!r2aePzMk}?h=Bn22E3!0W%$4Ei(|<2T{a!@s7y>B-b)Qyh$LY51!jtQ@dn$Wn>_mMTUOrf2<#~lY(mK8~L}$bv z`M|W{7h7MqYtTZ3dwKq6w1)S9-+?4WA!$z3XXCt8R?Jq;^+rWoA?k8Eg0v?D=stQ{ z33h4Od`PByp`yJjup`hl%yLoi)OohvA=mqvFtLT5%1*}%_NsCs7FPI7{t<5DI$!&gk>?7+;vB%F? zupMOl06Y}Z3NRio!xgjvoeAPW!kUd$*M?YbD)hX+gPZf-Q~WHCl#opL#y(b^S$#hs zPYX_w=!OSB-hFc_;WK>+mwHISk$#ihMtd*Wh&A&~ADe*cmy@l=oK!OYb_A?JceGo% zP{oAfv=0W>h=|K$P}NV-*$!^6)*uYi(nE{C&Zs{e0e)VE-E0=|!aP&XVKEntwJ9qp zbHZ)g$7Gc7RQlgn3LQd>5F(UHh-5wH*!ed+1Czw%>_9#8^m6HnY`S8ZafZ$p$Wu-S zB{IPww37@hgZsx|%L{ms>PkYF#Cy>U{gO2Bj1cf>4UTItP8X<^bpkJ0vjr&}3?V4G ztlJ*R9-eB3)3K;>Kl2amWbvL|IkAD%)? zR)0|1=ThzPUXQy~_29cZIfQr6p(sF8EsMc**!UjDg>0vf{G6TA@k9w<7L zaXC*a@a0T|yYgI~n7MKKq5MnFZaAO8>?swZ;r95qZKxU-g&u__!eqPg>vW7Cj5>RG z5f4;fg@~0hST;B^U)}3PTe?rfAEH5q11#1?3`w+N)+=8NbGEy2BpsJ}h;4j5P%TB&HC4`uMFlyT7sl|hAaP#1?V4Bb1XJkB6?g~)a~ToKzzqf zGy};HjoiJ@&=3Yv(cZ)1ZL=nuw7b?a!u_{{oC)Oo1Cgq&hYCCWLjqO4P{oP|G=wj7 z>_EiB{;hO&?4H?~-~>A)q-? z;&%BY()Wu#I^F7ik26IqZ|o18S)uI6l%Z;6I{03ZR*V3BWN(z`6Ds z2T&1y+?;&fz)Zhs=q47BiEQl-D*XK|yCfuE-)=(O@?{V%%?8{~t0=K`Glk3thD(8h zJ6TuB1RG{z37ypm_QDerNvM6SnV$twt!TJ<;)RXTQd{wzSEJQg~z3s zH_JvHVZMSo@J=#m&&Ib*M=03u{j)Srx&P|feN$7(1cH_)YYsv6veE)ka}W<^3DI@B zx}hgdQNH2fgCK*~)UZEeB57G?xQm0+Oo2!=5ocy5xs>_|k;0)MDqGBIhl45(SXc=C z>f?h9wF24Y)m7TGD+nVb+KR-RdPYEdmUC58u}%VKtguh&*>fN^Enw(%73==bBK@0( zlOTofDpdRCdQ&>OW4s{9A#LQwY7+=T46E@XKh7FO5el?vK3Gb#@n2mM!Xd-C_-IYd zDIiV+u|XD~_iExk`Y@b-391JBWw7C&5MYKq_`Z?JLD7wpqL}NPgo5Vc$C_?q_OJy% z!@2}6i702F*c*O0f;dbQgm)haQ)rbp#CelaJ6XyTBS$4js@|MwEelTA&W2@UhCPwa zFlA7R$?3)nX8*lRUohXM0U8G-N|P6&??I_n7EjA^jG3@cG^bcQ!5e z&}d!eLDrKTY2BoIA2y&sI9|QxvDN-{*ddT!(zVC#%7|pe*X~2hPtuJbxltff{%}Y8 z2LyCLA;z0#$|`P3|Ic#0F8Z70`G4b9(6aA=6peORTY_Xyj~Za;V#nJcNStrG57xb( zqKL&Y%87u*i9p1y@cl>J%J0Kw$zntrTh~g>>;E-y1v^xplnv@#NQp{X1rs3I7Sug3 zVHw?F0vLhBs3>L1;VAJJU6lwE!9HVMx#JpTlG8J8-6u?P8ByqVJE%uDX zIxQ=1Z5Ng28-|3_*5{Fx=taz^TM_@Nxs1hoc*g2gV{NeS-YDTrgZc9U|1fcFSCv&> zF9dtw=7QQi%98B*%RQn!dB-obJKt!gisJ>N3ug|J1rx}!D>Q{3tKh1-TCgN@f3%Im zm<~*6Wf7ZST2NX4$@OL-bEbXYtEZwmC9M#LBR?{|H<=Y{H}^yKfZEn2c^oZR zvbwr^b#AnwhC<9G`x3?T?O>WpM~87maz;0gTrzE<^yVG+XAAWI)>qKhy!k5e&84}H zb+hhJODyclZUmumPgdqS3`6DffytM1$`aUG&|6Jbnswut7y=P)z6t|x z+8+V%oe5AX;S?YNrGwx#=h}rkiKZEXGaYL>y1Nb9yg}P5w~!8&4xETuh;gv>1Fh1X z`^@tI&p>5?AyEP{3y9^ZVKIH>J;|JNQr^+S7^7<>y-tvX^i=!RZsF#K3G!hYEsnI$ zG7{lqY3L#C)hBy-Z_(yPyzC8kVwQbM`LKqfMdOrc;AtuCSkRAYJ({gZ8#m+V4pQRa zp0l;w&bA1+$;Q`TN%#J!33sF-u$hW!fJNM=D={l+DAv7NSRAD)AYo`cbWn+j#|I;Q zyZRzYnZlZjC%7WMszZ726Vlk#cH46dN2yQr-y@3Ve^UNDR?+D-tGY2r%I9_=#a+u$ z=x69%AT0S=!QqTY)j_W>f-(}LfuFfe;av6htE2K>jwQ3Y8r06Wwd*}}cd@hl6Wtw8 zO*^|o)DJC(x*Z?AHOve*?m29TOEpGe`sba5ABnCp-d?(UIA8*faB?w38kUSq#aw!5 zOw7wFTSM+sAn|CvrKYCB3N;?+5S52ZXY3~ z+zGlCHQv`{rxbAA=-pm6aey+x`{vRZT;*_iOWuXooV;<;xXvQxp$(;of&DXoU)!pj_O;p8YKclBhcJM8#9 zxQ(`M9IrA~vBIzll9@Srs&m#}D73uJT1lA6TE90;ooZ`z5$mL&RB4`aXfEpww>pqpOSA;zG1Gz~pCV zJP*2N{X z(^5}*y@82JHv851wek5zou4WcyXPM!-D$abrd}bt`i5kb;JT|R9$FZiy>G9ky?Fl4 zc-hZ=@SR4=afC9(nVy-smMH%u9Yxa1mI0BM1I{IMZbMk)2GxKqpf`zi_H~|_eI>mejlH=+=32?SC(%k zFf<=&LlD`{lUZtvbjMHoB7yXh?9=;1&e>!8f(T^c?_sDT>#{yu5*OiH^~cJ0rC5ZU zma1NA1;psMlxIxa6}JWlYCZI`yWp#h-$8xId~rX8H?rld(xH4ai%m-6L{vH@rtF+M zN169-6%TftOVv&l{!xo+V&S)s?ty8h?=zOBGteIJkEjeP{jeFXD2dYPJ^rU8`oHxRz8Y4^1Q>CDJeBvTJq1Nsliy6=FUOS6vEiDvmx>$pQp5K z-;XJXWZL_FoX1exCDg8Z{HnnJ;L+A#HiPar3&Yo-5RmS0T~2-z z84Dnbk*Safh;GPU+sa1u;?pb6QzaE-X8h2wOJPN5d9k>S=ba#pHp`=?`F^SXtCssg z)yGG>v&1`G9CW8Rl1Dp&N1RG$@LF}(Gp=MvsyhwEWdxd4akyYbSn85FOeAuK5`3i@ z+FjcgE&guk%DSNNL@GBemf87QB%FI(!T*6hzIObTKEtb4$9j(>#u=;+$u5icNm87F zkchYo6MOkcc4ruqjK9YT`{B|m%5vLfy^cn{@>ML*yc=hE5kk%9nDwILfLHF!H3tcs zw_qHrsh$f&7^fc5<|xB>F^9~67&Qv3A4};)uyDn{(w|Lu98QwgFleqzwd&xo=r<@~ zkUOCgi~H@({#cc;Xiw+j)gcPE)5PHYXj>iZMRjlkrK|e!P228*g3COQa!yY&KLO&2 zY36g0tCPz^za)~!I-i#8AyGGlHx?``#6Rv;yKUb-Q8}IqdciM_X6@g5Yhr$L++nO1 zW}7a@ap_cYLle8TIJhBCfXS9iCZ;Q4e0Ku z9WS1+OYc>rVMiIe`Gm}rL>F(x>>e_H&-l2@|Gn4v!b0_V@;(}oi`*G9j}wk0%eM$a z7Yk+2a`)o`u{Qe&5B1q+jscYd+c!>gF#-f?bmGdD4_sJmX{Y^%dZj)8W83%Lu4PSV zyU%!=k&*GI)kuD#;?a()h=?!I3=CZ4B`9^WYDDb{;XAmu0h8kgrQ^22c*`jx7aV(y z7>Fg&llL&fSB|1<1_E3ms5|p(PvWrMB`E1N<-sg}bGV6U)|51ZvV+C7mQ@+%M&i}7 zW)Z8x1s$ddP!zi44?JQ)zZX+d0wJeU8kJes@60Aiq76k8F#5}mW&Va9MN>>PO8YWf zVszVf>buK=PZ@_}2UD-H$gaN?JbgVo)C7x?-I7cVO|7X+F26g0afY${rw>@sm&LhC zx$iWNE(^OK!(ve^q}%HF(wI37^uzWw=gNI^tAA`~*kgPr=5T#<*fhi2*VMh|`)`gz zUaTRN1%J$h`;GUHj(0Xwlcms^E46^8kP-Fm}W9EF2{ zqnTC`9zp9{lQv$vG*eo&M|U&D^p-Hk=KNxu9cYr%6DQ7U6&0$9nD=)zl#1)VwH3R6 z;eKf%70y~~HtMe(9=y{;%3&97dRg>Ig_ANst!+|;(tN2xZg!H+&KeAEFwEEjv+fH0Okax!)%f&McOf;)6l(Azo%(d`l?A4y>uUQ9jY>aGMFc!pr3P?E9 zkp6C)yD?&;GH}<>Abf0p{g~s}oNH?_1i4NFzOM}d+%xW*3^nB~yLeW@(hBL4BeWw1 zV%-v^_xd7b(n>In(@xvE3_gHRJ!=*m27Z?~AhE&!0@a+72;c3no zB=5H>i5VC)8f?Sxfloqr?u0-*PSb4HfM zhG*MfmX-0RA{kT6(QA0ObUN?z^M(@WxQNMXtu8M!l5mdrEuDSZfIX{d!(gQ8^2j~m z)%EHmucbqV@2H)H6}Im@9LZfLvHOH#jc!jLAbrl*!@*|`FYpx3+&oyDfAXx+y;@UT zi-y1Rrq}X>gj-m!#1CG@ZXZjE;^-@rn#naG-CwirJJzC>Q(Rb-hb3DP&zBiFr;^4H zluXz@iz1mxU4G0bm(j8F4ijTglEtv}j!T2L44PqUrwfM{cje{9yh0R8;6&oP3~|}A ze%0Xxj6IqpBOMV=LwUzj+0|Ak8At{H#U8;f%<=b<0g}QB^W7jr9A;c|yB`&ji@|7^ zcupHVa2J|3!10!Iw7XQ*4MG>gGE8t8*cN^SIL59U+z)o`kmCJ8h2YB5j!e#=*lUwt zv>TH0JRp)J>sn`57h*z!%-;5TNiVH_FcDXnzU5E*Q~kxEp&>+tB?tq@WkyzC{Jo+g zZ(8KoO=uOfKJlKxATWnC)!BBArJKeg>yo^);ht~!go9>9EC)JDzd{#RC-2@=^7*z{ zf-PYpaRcLV@1&S05_8jfLA0(8|0F~DmoxL~-@(rdy2fmfrzWj!ckN`-klmg1Gp$_x zJIohU=L1OVt1S&NuslrT&?fb22Ya`q_>1^D`xcfiU{y1v}Ig zzM-qvu29p%zd0>DI{FaCl*4PQ$xs8jo9ovnR54%E9{%ZKQbYLTqM|aY5d$mSafg`^ z_g_W~^Y%ccl#!8@J0Cilv?@!UYufv9X~^4~Z~DEDXY z!0jwLAtBTOJBvb$8=|bwO|D$|#r?!cZ6HPM2(Dm6CMe`UpRnFlklp?7R4klEPOo82 zmiGoYK`lZq2AhyJ&Kn^mf2|Hi`u{ks;HQ;Hh`%d2x)pXZ{8bQ+9~uGi=vS^xAT9pq za02+9uf&Mr?WC4<8DYH=6%oOcdWLw9zS8UbH+~uM$yeclr}q98<8FA>`M^<*#2Le&f%_)9mZ;*XvJ9%wb(& zK;lLYL^dI~q9#{f23hyiW9D@ZI%?g4R{2(RZ=G(o>b)@aH5P8b<&vuMur|$?nh(}_{<{N4G`vlRxiQ}#6b(cZD5+!Y#(^aKYd z5u!q#73u{$%LxMbjEIPuT$;(KlrL8kN5of5ygpB8imvp19PrC^AX(T%|NFalx=M^B zjKq5TN*?vN+RL4^(a^-?5^7H*3)2jA?x6N+@l!J_$5n|9pKY5wM)cV{y2t|NJ&Ejo?%3Jw=i*5in=&$oyDcc2J^skb8q=LWzDTt{%n6Rn zJRm2IwwSC6dY^+f?VkX5qt>{2?0R#qL}W&>X8W%ZGD?{bs?tie!{$UXzrA*5Meii9 zsW)cc=qSvwiU;r}8Lxztm+og46>f_E8fWy+-q{1kd%~u)WD@J+ALaXy-*;6t>OH=g zv+nh~o$Ag{vY%7KBHy_tl}bGs)#xIwTorvyU8Omq`5=>`&>=t7-sHu~x8ZV(|Ks^> z4^TT2N&Qam5rWE_m!Fl#k&W2Z*E5SOo3KhOr=i=qrmNuG`^Z7Wc;fb7xR{g-D_Lty z%UOMOy^nB2Otk#I6Jz;v!?u@7Tu3SlWZj-?O??&?o?-rlRnOTPY{Lna6or0FbwPGh2mB9C2ny) z-w1vUi#6FzX+&rHCK}lqzmG|l_30p>GR1l6Z4z%8s3)}uU<@&6(k(RGK~sh> z^Pcp|Aa{j8C{{7@8S1(i_vU7;$*<(AlM8CL#GeS$vo&kbc3s|4ytKkD z-=X&u<6SQnRhet$?!i4Md09s+BG=jZ!pDP9p8KcHdZ1=wrf>$$_@qlwTl)G-1~g{v z&F^9>`5YfU+p8lBQ9oPI;x^ZmlNTIMrqx-~`1=L6Anu$LLmY(A6K!7mkHcl(*%Xwq z)%I?t_G>?+PS8429+?IXGVLR+@@_ZvBK8TjKq$c{vg~7Z&NW@V##B4_$Mi*%|gZOms)3S<8d+#W4XYNiR~3k4#SLpf6M^ zhPsRBjhSQYqv%EJ%60#$-#@Nm9M7*}b*-O=Img(Vj1J=IYv>|2q8uuM6IG9#bsqw0wn|5$QHf{HKXT#Q6)y z{~X*@F!q_-^Q^Myo1mPWTr1_RK$Zgs^ed^aGjQ!RbdOsGAICGn7}E2zGsP)1@dyk0 zFV3Hh06`D){=74AtJ8lp(LSOa+Ckb=oJvCod5y!sZ!K7=Z$4-ivR_X0)KVxe5S7d@ zgWZunpHBDfrttS!14biFInA(-@kif2@R2d}ZjrDDdV1AX_5S#oUa=b%tvSw2>w5L~ zf%b=^caH2+6Y&YrMr_QJ{$a$}b%jnxqjwm-r!Q5<*-7D2eT(@0{kKJ_uz@C9tQ7wW zK3;Qy+sbU)mS$YpW@`ZsX`4oDVWR$SIsMM3;rLA%eqm#=w3UqR?-ibzZ7XgzXRuFa zRQo$ksN|96)10s8>o4Ez+}F3wr<+5YO00^FuVvY3KcdsF$6|UzlKk9&rdNo@c)`9_ z-ksXdgCRI|B1xRbm~L#)^jR*J=M4ShwcL`1% zOGx$I18b9)Z(S++i z7hCc?j%VL3jCjebvftInbeO&MD)EzH-^p`^fsgH9?z!e)gev%ICLIpu3E1xKO*OE_ zGY?XKiYF57W2U~F&C{*+is5t}K)w&-+g-_YROZQd_vnc+g5nxk7IKVcEnIXT-eBju zWbg2E%)(K0x@<5bgb>m&Y3gs;>zwX@*ANK~q<-_gs<|TQhPFb}A0lCn2D^fJ6`V?r)(1h(gmMOXUzXhFUepdzd4p@T2h z;i~Owk1Lh#qeU&Z$WkFym5h`rsL~+XpSAv!f%jhZ=!2bAo#~I!H6|jYyo#|FD?gpJ zkt{X!03n(cYNt^5z_r?pid4>sjG|j=gn2ULFP;47P$kUpqG~fP?@y%fsil*@*crhj z=2P(79SY5OLqE)hazl#kGDxI-~(g&qO7`eq%t*UijJ3o+%o5Q&y0v9_WkQc*l_QM>~GGKt#6LLe@ zgrWldD<-p}MfwJO7wZ1W$;rDota;9}s9lUnMq@!-Fy9m?W{y`wS?mm!Wam@HiCY6^bbR8Vn~*F))zn;z|Z_AKu_Pvz*IR0ltBO!=-y8rn*g zwG7#>svBUD&H&I|%}5mVqg+VOk^f{luj#o#Z}%dRLP>IU+#RnbFjGs`5=YPj*HF@> z_La&&C`O8q;?Bs_XiI-qTNXD(0Y>U#k3`N`TLDj~bG^@5#>h(jk(vBi?5L@t*ATWiR|VYr2vvMDrZ_2b?#kDo;OSU=A5b z^;+UZ8P{`p0eRVF-|2#1JM?~zW3{s86Yti+T3TQqgTa>jE@8k&I=igAQs`{*^ya z)R6OJ3@Uvw(~xsa-hMVJn(7>msx;JTUc=al>w0wg{#2S)!+RdiPU&V1PA@lyX~)tH z$=dUPLR*T6x{eB}fl=4B*R`E7t7LgsV0Q5w3}5PKM3MA`&BiH`}v)5Q?5j+pez z>%#oLz@(NH&hpfrD;B^R$fMOKc^UK1N3K8MJtTdgmUwLvkH%%i{dnzexKd_)Ls5JU zD&XXl2&l?ujstc1pg*#u^ql1rkLc<4?qx)@RrN8CAIJ9A?yF`h?dHoT^hUx*|`$(Y?XJ z7v4f9r$?Qa3-e2z9jH@@565;@M#$5_j7Ml|DouPjp15tJg4fY6X`}#%j4f3-w^hW$ zJ45{TO3cZ(H57Y;cVo9?t6ttXp$sb?&Wzq24>xWj7_R{M$fzP>|% z^W$Y)?(yBGuQSmC$qy=mH!r?c@w=mFTK>lBAxVEssTl8XR-9ps9|3R9d$icp@R>6q@GWWt?Tz}66)Q~IcC0pCIzQx{{6{oM_ut37z~?8}x7E`^-IyR8 zeS7T~H|FkM-ufp;iqoF;YrLVYWY0XZ+YJidvzRt^8YH88O3@}f5^|_PQHioXL!!xy z%oY==nGP8F`w~&yWX9OY{3PY*Mn8v&Uh&B2LmpeJ=Y3OJr)Pw-fyN_hZA>C%jms_3 zgH>Gxd@Faxt~28h35sV0GG;lse5LoY+_oq z#;YQUBjgk|fepSU8?k}y3TAP>6^h!`M@$s7zIZT!A% zPpR^=6rI*4>F&_U^GyquN*rfX&JbnB51?ZKms~kmg~Q1CV8A>WOp=-Ok#DTV7kfe{ zKte(ip$N4a*pv&wUx%;d{6>@yqzdU|&t$y|)8Rf@=wfV8#NhfSks34i=O&5$AU168 zgNh4SBb&>vLCylG_<23goO9Z^79rdt|4UmWqMWn!L%NCau@G*XXH~R%jJNMwHueT< zN}Y}!bFGhg@D6K>%8M#^RQWw6)*Pl0PnMA*!l;UI@Yxc+|B-KJZ&&K<2J&y82n8ZL zf#efmD5DLFuin>PVrHNf$~tZ4ScL+IL=;1Y!%(`aS|f=h)@5s8!{KFC2`kHi`mr|B zYGhtzeI$b%UyDySb#TM+kFBVXEvOqegFL+SD~P7Z+;MIq8$QosNWoz7QMepqW|LT- z=40Js_Ij}QLRR~DZUqpui@ikd*r*l7ebelOpYz$jM$((^zoo}+h>U(LpvHIELt4d# zx4DX-GlxsktmJ3fmUBo6U8{aE(stR1m%N^DS?IWzE3w#g15I)8Ze}d=>WQ1$x%M}W zBS9N)Wr1fu-YHArxj5RmbdqSElV!Fm^z;O1l3hxCKCu>+-6h?fh;sfI&KX+P- zKPyDSI8Q-t-)m&<_~wUcOZ|_PLUeDu?w7ZBdT%WZW&N7p{Vl!|8tLdZ`v_ym;Qn>k zPHfS)?j*VeFu0Qye=Q6BLYMxOQO6~D(O^?vYUND8&Es-u;Rpsz=3+a>pczlf@|9It zH|buyEr7Ox>tl00KoXa360y7zI`Gbq5Y7@chXesyARTfC+B*QPpO_&gI&()1?HVf~5?&?!ppck>1faBobL zjTL|YpdN13lZ;yvW-;<@=_IK)NKuPnO0Qq#TXp)>kgmY(=9g>#A7yU=Rpr`s4cmpF zh=?E|-5}i{(v5VZq_lK{7=UzlDBX=TsN@C#r5kApiB0#vwt9S?^L_6-zVS287>D5i z_8r%?uC?Zxb1n;qjnS#E%y)InSTxdSi^iHasS7r_T{4U+qJE`d8TRV1fE_?g!*r?HSY7}TAql33rx0^IKSS<~Da<=TU#TssTc z1r94ipNOHX4(3H(xqPs<_d^^j;&$HB-7^{+o13{n?7>4gE-=xQ-ERN>M z>)UmlItKO%Nw4Jega)Wa=VGn87P!t($)sbGP+ON>?yk#{RL`A_%$nt5Gpb74^LWmp;2^D@(Yu~ISD#pJ7 z`l#J?kL?`&<-TT3x&zapltPZ}VY$=?V=nR9M-H52*INcg0x+n#=o~jtB}h z`UN$Odm9yMy5qzzy`oeqW`9Q4xY{RC$EnB{_uQ1p*A;X&mF&f)@8^?fK8YlA?u;EbSKgKWMB?DmHzk$v*Jj} z4CN$tc(mD_ouois0w(aM*wbrkh18uT_`P>@-M6t@(+IfahX=sAt>S4#0E}V}!1Fia za5gE@6jh#N=bdOwRv%Ii>f>J%c5f#LKtY0vyoL7Ai9d3zJ=$IVGGwL9G`F@8(ZN?@ z%eVcozIG=yvD*#aEg7~4Eoh&+XtvsT#lLPHjlO(!VW!ZH8r$PV+p!w<5#q|Yc0Whd zLi7pwMiDbFhd?m5$?X%9FC4NHd=v{V_Q3p;ripVeF$Xx{@-F<=V=kuD%Ov7=W zJz7+>OVUWA{WrO}8&VC&UY{d!JMu-Hfa=A4s4~lH_>OKOn`tQ*Us5NWx0B&3IR(k` z5)|kR0lKGMD-eb@Koq(x-_A9s=pY~OwoMxZ9Voe=A}Wtp z30Jx!c&6GDhh66E6_oB>HD1m?A5mf`yF-!u0{|^vwrZt4+_AU|+h$ZjPxAAdew`xa z16Pcm9#UI|`wKw|2Kxq%k$^_#TXc>x?80w}y9>&L^sk%0Dvm%AH@9zN6*82YfAoKr zh>=T$Bt#^;Z!; zPCPsUKdL5USG+c|pxn5y4h7(y75afDKz*cRq4<<%L5Z(nv=Lhb| zOX=U2mubZgp_#_z1_cfNqgdya;?~(cP;r+Ce7GRV8pVC-g$ldnnD~bj$km{2`7&Dc z?ZYvoCz$EuGsDg_yat}LSsLXuZz_N<(>e)wTL+WH2AHN>e*spLAjW^H{Rn513l}aZ zWyyOrZ9${@xi6eLPQt%i0xGm9Xm+V{eIzeZAA3bY9;bKl3Dp z5W!FEr^Q?tlDHMP%uQ5$2W`Igy`N=6^sb!K=1#`l*JvX1VCb;;F;^88y&l$Bt(_O~ ztj8eK7!DuCo0{Vl+TPSsxb(yvp{bqznz`3;BA~F@@4!xK{CE`2hvFI&AtmdgI=HUt zRSqnEtRFBb|D9S5yDjgimPWC#Z~RC-y7sK(`b&GC0jTMDi;K1f+p=m|?|RNNbibpG z=T9vy7w5w8VvCC$fE+Yr1hV%%S6qq9Tk%;mMlL2*|b8FAyrczq|mT z`E+oqaM7=`qj^$>fbFIFM-S6-MDzP7>x-hp5V%og2tY!W=#QVam73+x1iV?fB!#dU zTFGxSQJ9jiV3rqNW>pv2*!?l*kELX65lDCKe_Q_uceTTK8;Sce3N~u1aTBB)D%Ar? z)QZ>46V?68G2KYU?R|s-%FC+Bts2HUE&H7XsZCOZpZPj1t7;`pn^YI1j{umb&{nFa zihKiDvWAE-FM-wruhx-!HD5g0jGno&O=E59Zu&;-npoQ3!TO(9K8!~RBg>Xc<}WKm z_Q-!L)D_@HjbR5j9(O%r?P|M?_4Rijp);P9(ldyW2!q?N{o&5?~!^{En2%_L)j-dC!~W& zI=BCTQ?b!;6gPve{wUp_C|B0qab|~#$DWw;b=@8cbYC6q8n_c`FNV?AspepT<~erX zsyoS(g_}nwh_rB&kH?{0+*_6W=<`Nui9UWS!)pO`6`6Y-(2**BK&hjh!wsz!&H7N& z6p^aj47Y3UjtdAH6UAdvq3Z#0#MoW>)IRGzZ*%0XSX!^$I^G{b%Fh*}EgxWIw*^}J^~SQRC5%fQEjBwL8Fi(?S#?y7w8vchUI z#!02liu|;_c^wW7R65o?H%#kxoq!+rD2`?%*`z|sSr?hy{yek>t(}FP((49vT)s^K z2c4hnA`7ke8T!+gm`%^~d%XzqK}Yd>zk~`DskqY^FvssnWylpwbTz=qQTXfRm_o1^ z47LFH@d4dg?&Pl7&p_>jVt;kjJvyQuZEhcoa1M`kzzgOKhC3haf77wi(TEQbJZea) zU5%m7`ux+?ns?HyBmWq7jAYZ({QS>Rf2t3t+%e*{@J(@5pZEg)q``s~5ehMP^d`19 zZ_NOAmzvWF?}&#K_j~SsV@bYGIF0eh9o)b#KCVYhLT=T!B|{K z7Iz$y# zcQv~0LF89?G5dl&hHG9nJamPoU_Tmqu{|VR408Fuk}j!#I2L9D(qU;Jiq)-r{;58? z1rniwLS05z{Rhb&2iZ$z?q@IL#^3T zWad?7-X|1{r__zP8UveaD@WtmxkhZ+V@JG64;6IU5)Kv;x`H`&sr64n-CU8H1RX3j zc&ph1$mJ*7E68O5>n`Qo$jx)f?Q0VTkV|PL1r%2|m8!6>ucaLHJkn|YE*6wHwM&9%MtR=X{b+m8G4jLpm!g8K-G2aRJ*IXN#s! zy5^C13#cMuD&0V8{+JOg;4}S})!YKn6B~ z@|1tL#q+z}LCRT{%xzvWY6iYyXRQR}$}La)6V+h%Wkafs3N(SC8r|3%~muLLE<>@-5!_qLL&h z6z(h5-KV8to>*Cq=}F>l?{fuGB=@ii-BlVtwFO*#O+oTULagN;P%u-=43;Yi1n!H{ z*o7hx-R^4&USm0XA3ku8zqztf`OrJ4+_JHAaut1L!|7|Tk^|vHC)Mn;oWeO}(P8cl z8=UCOdP-)r!4c0Z6N$f6>&=Skah0zvOR21?g|0hBpI~kLhR0QWILxm<(;k!= z7-Km1Np625Oq`Q&$ri?+w`ktZ4?{ma@2-|#9y#<)PG&752=7zU}Z?jHg!j z5PICS8+ZKc@dmWFGp-%(gm;B0Ij7dgWJDx3)C}ia`M?%2&$qaIh{~m=_iqn6Lz}Nu zQ>vFrX28IrDOvn?AwG~Ng)|bIvy3F^b#D2nA}{gnZ!K<5-=Fwaj1$Fg-dG{%)l=cD zZNI~)eH5brNVWwKSpa+pkG3-PczOoADJ{1n?K3rbo{nKeKI(2z4MN<*wG7_;AcJRO z-6DTPB2Z!c=jy?=1Di~;$YLNq~&_wAnL-rbc68A zBFu9{0v|6W5s%GueccosfYLt~rsro|m%NOsFzy=!B>_>tU%*vupfe>+gB&O5+Y?=nNReGhab0aD{pm_fo@rLFhXG3nR$;bmv9VYyjsRc zamMyyIPne0gf8oJR<+<3aa$4Ft14A|=duAVIKz&c(Go)HP0|SCPK6SuF6-Ru^OU;B5Y>01g9+C83Ii)%?bFCB5B7dmh# zsbsD#_d_>&FC=k@F5321s~Tie?)Op}nSIqBG_n~y?6V8X)(TnvFX_NAhOg$Ojp{~3 zoK!RLgX-4`(n#T!L$f)5tca=Ji9d}~@FncE+0k(3TBuC8XVgsB8%6XJy@ogVRCBh1 z^8u;W_5!IbEn}?NkR*RT-SLgBr{(_zULEczCjSMmeih-|fQ2?&_|pDvEe>5elv}@- z(6#iMz~(;Nh=k?sA?U&y8VI7Ai*XaFjMJXqKLT`!Z$r%!^#$_{E8ppdk{3-VC`+xP zFFtChBDsM)F@!D6aZgQ#n#a?zRC5xNtWQH4qZm8?C#?H8E)Ox{D-c@$yDTqdK*SS7 z)+qZ)FLa7lyp;6wer9@ntzA(uuD~o?2T@Pau%a*}Nd%33!D2O}gMrtq*}i?qiAIk1 zmKM!cLWsHC4gG&@pm|86+rly#o`N>Z!*ul`v>$;h`Ux1kC!onR87g{jV?OH-t_yA{JxR&=y1Z|t2c#&VE2%?r58 zrd?W*3Hz4916r;gcI{@w*#(R%wRX;h%#d8Q#kBCF@dx>jbX5=#>_QEko zOb_^W;gD+o**RvAv=_?mqSGO@cj^x$4T*UboJ)5aYR1M%5bKm-&}s%8cCS4f&v_fS z-*aQWVO}iKby0Fw?ykuph$#;DSrgDXJZCfu8%&M|pl0sGRG~2MMs$% z9_rv)d!PPjtr%p+Ubs`phA#?J9OR(CW9U9Sk9N|fW@CXx#P3${J@EcvqmSI>-Dq<+ zM=IZ9jm-0h?7}{VH;bQmoB8sR2{};nFHrPffunlj=iuA(60UPGadfYqj1%kFzFoKY z%r5&=a)+&>lsGnek8b#3QfN%_2=hG0pjBpLvJm35Su;ojFA4*;^5H*Di4S`GtZFA@2<)~%bno3Z_9Ei3v*>u&YgYuXL$hagRkDc6$5vD>=S;~ zHmbZe8QeikIp`E}6GEDsXy=_mzCSYRV_86(xX-;UhBPsB`)5Z2D~HTq{gYuw#*ve1 zZF5SYH~oQ&qR(*xR*mRDh@5_Xah$T?^@<52oCX36`d7u}?5RSM7#-TY$rC9(=vLbhhQN+Tq7lHL45Ez;XGnLoJ=Y|KOy%!_;J1j!@8BC#hpG zWT4n~|8lgY59|7xUdQ+tl_sUm>NN7UCkeqC1tU}AsJ>t!=goo|RGIT^yXT3++629X z0%U5*PSaNj(|(MU(-(8tND5D}N7}0a3lXzniR z_FiK9ne<0K)*EtE5wo)kHl|UU77amBMAsQw13(afbW{)gw+$oaf?0 z6}gJSCDA)IoTW=oor~5knR2`T@<~2@RX9q?r>W1%KZz?V@++eM52ux7$3*Fr_;gGuDuf`l2B|JgyL+)~8AIi<3)qu-^F zW87M=svlGS2F-o9nK2zJ)1~bPynO~@Z<+vXHj4}ks%U&~_~66902w(s?4k#-ix>$@ z_aZX>In1066|tazDlM92i>$e4uM-||ff^GprF@%~L@wW?x-5N!&-`|)mGu;X`{viS zHqSfs0lMA9EPC~GH`5!U{r>>U>uk_61S~70N=2Kgyw;^Sa#)o37DD z)U7kd%>`+)88jmh433;^la&X3Kq7}Y6<$|quCPCCqs}qWcE%H05*v2T3gp<$Srp~Vvq$4;wSCZ`hrqe;Hqp2(1pIe|Ua&R3?lkEn&9UG$+zoWOOTR3rO&o2}px_iDR_ ztRiA4nwOMBu0>6o1OzwEPgNHSPnCVNlN=w+T_;KsM=x?gqiMon^stkAcw=8qjbQSg zNk1UZ#!Yh)EonfPhcxSlU=r7)XM6wL(c)DCidhPvllk%jfFR{(A|RKZsIS!b+C?S z!tK(5>I~Q?osO*i(Q8QH^Q-8$qg~(Z>pZ$KZc8kbCpw?rSt&d)IW~iP@VG2LHLCM|S zOA{VP*ZAqhuX@Vyo8andEnmn_`R*E#nA~U)4Bf+QOICWLHzhG;AJl{=I4cI@HC*{1 zZp>0Eh}X(8Og+up9v~){vGPfXIQ~U2qoAh7%*GT(LOXw&$zQ?*FBkE0Ky(@F>IVU~ zrLjj^1+=&10@7K0%YH$IO8-A~m5EiPte z1!n|cV4QI)=^G2lo-R&K&+ls+;@Yl%|Af&R^$^iqA9VJK4=~gCO$A{r?XcaL=jpLs zr2*nr&~+JFm1pHgtw992>ZKV7PMEfeZXaWDOpO(bErjV&Zy!<}UCecLhi^6e(3+ZO2PpYG zx9Z$_7=LK)3Sz}u9d~IHEV;y@H7LESkF`M{QoNdOMG|ak_PkBauE)*DBdM=(eSd2* z-i?Hc^fRK6DWdXmlHD@k2TNt)=k8USe-P5jLB!3*h9}b&%8$~z1sJ&Ysjm-MhGt^4 zBQ@zxR@C>0l_CtL7>!$poPf?I+LIys)AKR|H|ZvVKkCMEnVMJlZQBi{N> zf!|5)DMU>=mZE8x0eopGr1iSkK0!sCALu#?#|+*6tGpyC--dR@#r)ILYOSYt6i=pi z7#emk)myfR#?9(F3!Hhb7nW<2ksm@ey|359!iE-|F%`FxqA~i@-lvk{-U(rq$b$A| zR^gkfeepo*+&y?G~oMFG|)ZI%>gA!#o6R0q}Wp*VfIjm*KM!!K(hTE1L=UJZhV*DjWa$7#V~Aq zZ_j@krOZ&`9TtB&9@WVEU0N0ABYYS+# zakib~!)KfEP;9TtXi>;@0IGUmJ>3LCRCC}Aho!+3CKxK12bABkNifF``T#Qr2|xT8 ztyly3F9WtmCaROa$QYr6w-tjw1kf_vcId}wI`z-V7dcFNnrt3ijiC7TR9-}jN(hc2 zZ{Tx==qq%7CWcvQP?uzcfZG8Tng(wt^S$t;WoXw=D!pABuZiYk2g~<;Xkx17!_1#3 zT2)IpXlx7sP}9MCSGED7lO@v+H=HNOanF9F9?L~ob8%>BJ2?W`gtsmeVSYfrctLJv zU+pU`m=9FUUV~@!oUqTj<>iOn&wJavMaR(e12Ka7x`Xfif=%*VKHWIh;kxg9=bEEd zjuQ3~;vrS9$K{Hg+&!(iR6+dx%%4XD0~pBf@gDq0g_%b9^nig+`4G*ogJza*{o{EU z3ZHT8L+Ijr%moj`ZWm&Ljlqo@HsRGvEl z{1Fj-3P!vrfMp##7v&Y=P++1D`n`<2N?Mep6SThp%rb_WQo+$JP!fV1+m9Y~IOcLu zxV*1nIrl=bQZ?L>k|1(WB7g0)wl>TfxP==syrxdr`FaQ zo=<%S8+X2)A^oF8i+qi8ungH;%uH^dF$jo`Rs|jATyZjZ@u7@)M@MVGiQkSB)lN3J zg^ahVSI^gA<2oS?=7re{^-}N3^r5Z5*$9zt*n6FhpZRN#`)m!x80Y>E*4f_bqLs_d)Ws?pnhF|MJ2{YNYG{Mn!#G|sfb=>*v zLjlXdS*Y2-Iz)BL1mQX90r^H5D$bd7 z@RFuDBo#d~^a*vm-2TdXrYr^i(*LHa)E_L+{-p#ME^icmr-Z6*9$fn)aeM%V8UOlP zMZxFa7SgTZxC75iA|8h?|37}~?2FBZtq;GQkvad6_7@=WufKif`DJ*NpjLfR^CHMA zEF{!)Ryqk9FG%>VlO=dYmt@d+BFl6GfnS+&HVA_i!p zEIpR_#{;0{{VbFiT3mJe>*tC3X(}w#Uq)FPz?UZY2I;kaQ_@A$m;iJN{8Bs4KPtc< z|F7RW*Ah%LIdTa+?m1MZF=DZ66p)q&f8=l>7z<4W)YImu&-nXA760F#{81|P1tFh{ zIJ?>h^Vs5Bj^eFfZ;-8J09S2{8T@X5p4U4CwiYB^|9UwuO0I(V_Fq!gcSSikhw$|k)W$_!>B-?Z+CCUS1xnsIBd>ug;NfO=4`#lN zk5`=!8ioNB5Kd3_yFFFF5g&G#Vum!heKD4R=2;7gQiB(0U1dba`373o*>O9E71NRK zuI}umQEv>$scU$2|9(8`oAzs{OE9iwg>KHQ8!^Q59Z-(%tgnZhOhcmQz^{Jh;i7k| zbbbjOi;fEzoZrE22Fw%^a=wpLSS5#rDO`XDK!MP24nH?E4HKLvdwWYI!YLq1zzMqo z-s4;88TW?iXVh|t2znTQs%~1u1JR?2>tE|t-slg+vA(;T3>Lk>*ddcJ{g%=U0~)}c z^O4se}JXpW=uI(d5P1+YYu863z_HiH9W z(({xLb)7G7CvRVVp)vs&3Qdh4Hf<8Oy`K1U_2J>@ zxJTkmEGEpq|Mk){{dvCcZvW#|R_DSNEM~Vl=KT5f)iM^x)iGcTg24a+ad!T$uW5}d3v%7)4SrNDU{>Du|886Jhn}eNl*gx`&VjNC8@Hrv-@*Z zGfS37%5(9ZminlNFFya4B7!d-`5DH>mYVj{|c7zYkop)oWMqD9XV z6BE;jKudB@+i|>^kiibe9dWIP>K(kbF$u6iaZ{VftWx4EzeIG&SX6!%bfHEq9%i1J z;YELM@Ygrvx3K=KWy!OsRSfnbU5PwWVSP}d*Vgiacj(A25;?61>|@Cr0U+g4Pqcca zPzl40^Lt*ydGHV)_%QMq;7^$00am&AkL))*Pd16FGJXt~Qu-)rKe5j_mlKVmWKKan zpLrmz80SlUOA)m7fshA9F$3&Hr(GRkxC>9~ZcH9IMnlJJCkJ0s`tZND*qJZT<2eTx z2kTQcEbZj@u(!TlN~rmr;Hw`ikSs7et$%lZ18IOy<|R)6)RAB(p##bBnHQWZ>5pNW zx13xz_$q*hmi@|5F^sXWcC3GK9@uSd87E*%gwO1G;>HcLfTqCGNsI>~R3s^waD+X| zIvA?3BJu&sbcwzotfeeuPuxGIeZ^M|gAKV|ww|32FDIiSefa@|i($3#D!UdqF_^MG zpwjyG)aNU)ThxaYx9zfD#s`1z>BST(#Df_muv}>+6o7Qs)7$&g)x>mey2m|))uNknpJ=+x@i*?2k_bc@yj8H5%mHkMpKF;I?B^Yyy; zcE?D?G7jp%b^G?vJHhigNmK?P-_!c~FT=rN2|YcM4!e&p&R(K0^N%f_l$?;Mc@sF> zDEnS$@wv{}2uUT|--|_ka~~D>!5k4~0CYq2KX!dzi8nsCx3zs=WS#GfH)cDB+OyLz zJ~UX+W9%U zKLT+OS>rc=hrn7@=|iHVgE~AnG)56tU}{MTF-^QT)1>*RNlPG^=>y3wRtA)T}}c z`u@>H=JD0`yG7kVD;w$)6BC0WhOrKC)d;ib+MN+Ig(+U#9PT-Qi4|1%ppZ{p($s|r zC4sySJr|lc;ft^{I_zL<7D za{HGrZac%qTt%>Qp&ZnPnvvs;Qm#tiIWR%h&;4ax^qtJ$>rg-dA>Rn3xdSMYcVz&f z$KKNeII{C=+eQ8F&{F|(k0MGH$^pN^9MwV{bMw4aIK^{kJHbr^W$Myi%bM1(zP^qU z`nfp`YCB%MZ~+7q|61Kt?@`Y;VFN`jPdNs%#y1b}oFCI=5k?GC1ZIHpTR&X$`%+yT>eIWNfmRkTaMds0FWEK-!v zY<C$I=R|h<^Pl zUvTc|=m>^gHL}kFqq%&p<*eU%c(n^*OJCogSAGbw17=Cgnh<8KL@>d5f?&!vnhNRb zQ_^us0UD`Yeh@4|vW#2*vpo$QWWW-VWOqU$C?%fZ2l~xG5BU2pfB--PaNvA@3^eJ4 zV=g5fJOK7eBFzC2_j?q0<_vk@{^ml5H)I;Gqyzt1&Xqi`J`yVR*MnZjW<$9^D|Q!} z#I`#t>Rclb+qm4o?6keZ-Oa6X`q^ZC-AWK?44h#tN-2=PLz4?-!1v!@lH|V=0rXoP z&_X`kUf%6fl!G3XOjsJ^t)soY;U$tbiY?b~+*s?&4(ENQQSY{o;x|d4l1DpE@O?Kl z*qJJlge|F+`|!+*Fa%WOPLD2<&$UM`Pc{h1O`Z4Sg6BsBL_sJY=BCYh(%$yedFKTD z-*!j+;GERd3$~$5hX3nYh)Cdf*9c1kUi-*fp&n>`Pr?joy30dQygRo!!20<2(jHGF zeTSa&29~=Nc}z%%{1)Wi?`Dgqum1NlICJK2Z3}aIB*GxGS7N>R%Wbj(T+aXfqrYm* zV1CBHQsZvPFuT3=DH7^mR=1Uxzx*yA|Ni{%1q>prujD``4hjgM`+vG8{VMC)&;6fo z>9=Fj?|*$k55-e4DUX0LxHpdUNaNbw4YiNl86;b=bFBaS*{E+KuKuwXf$v|?KZy3m zhJ%61)tfB+6#%>7ab;R>QMzgS<2jT(++f%8ReS$mAzeNL1uOjHpY(MmT@WWik}PsFfIMcvL(a~ZQ%^x(trKgcjhSI zym&3$`s$hJe>)e=vO`-5gm1nEs7Pv;nH?PTo(TLM7h13@4WUh%yZ0fZ5i9$wNWy=4 zfx_JxV*StXfx<&cNlD1$hUjPdRduyXjRK>i2VpbnfUbc-yZVPktLc3zQa#6>$0H8p z1lcQ!-=moL=FPaZ?lvUtn2aVl`C`=dcH;;dp&sYouLxM4|F><+_w>gfVJO$Ii2ljZ zevTqVxP)$8++7{$m&IuHQ!ggVii<^t?lp438Go z(V1vCJ+_hvLusLebNi`5W^RVX?E3+PIpk{@fLCw6_i<$5c3U6wciThsldrZD|M%a2 z!3^mc3KRIK{a?Tf=mG=#b5E1&c)W5`w1_zQ>89hT{Y+dAjXCmJ6@^$u6v(3cr0JzP z?yzlFlN)8Er|HP_mc>`~VSoLyY&joi#1JEu_2T}`bJrN&R?S{|iMEh%N^2$C%k@0Q z7K5i@&6wZa2q<2BrL|pO>NX!>DTU>r3Z*%J)+h$;rH|TX6YKs&DX*PnC9d9@sR*d( z5G%VCeyBi-=34j9#iw6X#tSMfl$?P;4;VY7);3qSp}wKPQ%fu16@BWD(uvkHd@$SM0OpK3xwy33iVv3<0-G8V zKw~r8A0{G-^78UfFcLa__d?;JV6*|Seo*rMc7;U7w)D@sC_ZI8G#myx31vLU$Z!^L zC@C|k6*H(jRkIb+q4eZ>PHT2gJ?b%kN>*xbco@x^E~G;dqqtxC>fKfdz5U5`xDFC50Op%X(WMCUl&twS*V}1 z-XKiED75pBWxgM04lBN~S!4^GU@$cl#e<@f1T%c#AF^0a3uBheL~bL|r{O951h0}Z zlU{&qxF8dU{#m;iv5t<8+nIEOj_lyGE=?^h)ipI8y&{luLz-U&gmT9Ey%e*-0x$v` zk#^B|ygBYT$;HJ*t$xvY`Xjx1$?O{(oe-ZANTc`1DPmoi%Xc;0Hk!b|k*X?wY-~(V zPp@wehHDt24%VHqmL(P1oK*bT1=)ng+SxRz%IL6dQ*OTTlutH0kKx(>`F80zpp!w0;)yt<=gigFn{Ivq(g z=*15ua(ZIeE#i2`QCZeK4!l5{T{er6z96IRj_tLzxtrEAYV`%b&KlG*p~zuHzC57` zgsJRpKDPl{gVXg6seVg9EU&W-toy`-gfBU)aIH{OZ_USB0WEr}8Atc%`>Pw!nMm6l zzq{0Xvlwe@HZ`VX1+IE}RtpkP>nJCvDr{vkGO?+lWd%OWH(O;7+rwdV=90g_qL2;| zwC=wd{R(baNh|XU9sA=C)Sp+F)59nmJkAE+i&;cdvvnNQ$gL9_v^P)-kELn?H|NWl2hcK=A*Gu~hc z!Mo@b+4A`fnv_DMckLo(lPqiecFR30-^$WbTO*?k0{T${g?7)#2i-we8|Tujj-D`a z9gj^PooN3P4$Dv*7+wyji>Up2pm=4nR7roD0mY9VYJ4$BR{M+90a;v|oh5q-8J3i+ zY?lLdq?#l@I?B>A&p1V`6!lWPyu6mwNvNo@pwj~Wq`*Nu9&9+-2GBH~ytmgc0#vqH z-8F^=5Ha<70OA6N%G;!n)hUEex-8RUVnzo;Nv9$@Ju_qY)T^e(_1Uwme2cJb+itV9 z^1bP|5_UXQYZ-FMmh8EJWRje$H{KZimX|-$bVKPguK?d};N9*AV>CG56r@kW9Lmmk z{Bm7H-6J+c`(EAjZ%`YEJkC+dhUvb>b&~q4V^y#t*>YR5xYTY~@G4??>$o&)DF3y52nUJ1J1 zqp?CgV=LX#1H-$Pk?0MYQPvOWMWt8k2`O4=MfS#+<_~#z|9NF!QzRR$4{}+d6~IWe z4pnYvceh*sm?J$p4X*;+0WoM2K)}PEiC@f&^wNrDHUuN`j0Wr5Vo~nbznc8``W|UV z)dXaCm4aF$o+;E~`}w z2G7Bq*v@4oAW zJDRO}l%U*{EgIOJETG$>>-v+8O&nfbpZ+e`gM5kSkPA@CQIhbeNrTW`f^1odOCeN2 zbaY5*RsFpmk;oQp4$CoAnKSkZhUUPzROIjPpRl#LS-Q)A=g;eSG3`Tx-nv-W69Os7 zL5~z;Kd{FSzvRKI#l*xk?E-ia+Q_-HPk@>+%wK&EFly-^6LmGig9`E_bA7EZwA|M} zT}Ik%EaeuO&P?|`uCUa`v;s0OUK&KUrECv~C184@X8?t@%Be zsNkRJM(CMAC>Yxuaiz~;Jt14goOE7Dg!P)k!3u{a9CKJ4?;I-rDM=m{qn@#OeoqLy z#Yh^JBrINSQmU&d`*_4``1LFocDKd|z2fu!wAdsa11%aDe*}B=rWT zye@|Pi53L?bI$Xy09fPuOO53)aWZe0T5;Uo<0f(S$6-{N6qbiA4{ee*CmWlRnwpv` zF0QWe^dt-tekgS43t`Q&)qhQHRbZc5m|Z1M2UX)y~GGlTHn z!y*7_^m7}VFdsQB#=c6Iz`GBgykPeP@dJR~cQ)YA%vzJj<#(%e|4)4R=VhPSL;D^7 zFz?=l`t*KlxiX%Ihlk`q>+oC+D^w~J$-4kbKEr{D%(;e-Sv8S|L-LHB0mV0#(pP1#iK z0Gj$-$DN*@CTW3xrs{=5lQwx5u;3Xm?k6Gf(1YF7&Sx6gf;!%_707X+wx3r(1G{0a zw_zP6!DkO-61kO=_;ld>+S_x&1R}0DkOd5%e|mY*l!7lm3loRaIR@p_g@;GOP;NW_ zCA84_8LWKv&HRdqu-NH#5>m)2AP8Y&IyyQQ=b?f(rJ`%0NaWwV=AUN^LzdsqelKn% z^bZJ#FaZn|j>&LqW8(!eLgzFHs(ME-?I&^@AFdWPlU(tY(KbF+C@N6tLUIo~npYKq z8N@hl0g*qM{ukr8vEb!yUhdF;y1**_L2Yg#w8$e_Bi#>CYTrld^*QQWGbHEd#D?dP_ z+g!1?Z{6xCF_bDF`rO>y+|fZxN0$eXr=ATwY384>XUcTa-y5X}qf#)Lrr1n?>`=2W z4yAu0$Vc7(6e~q1 z_*aTPyAYj6UgJYAirmrhcQpCu=Uz0(r%!Pn=CDFbCyS~bs*ufHCQM1zW%uiCv|Fqn zRUpt#qHcBN74o=k{!6pi zE_hF9f7abu>!Gr-#I@=^Irh{OXG!x%U~zb2&3wY)7#bWwdz-4!vK=n8(B$Zo7`L&% z*1<4Vm5`a6Kw3Nk6_10{!Qdd;eCA_?@dpNEr;H~89cN6*7~?lr)-+p2^=u?1Lt@NvP8oUPs_hnX)I(q6ukuW@Z0?df*G&(L z*E+lWoJ+KMj^-(PwDn!FR_Z)PtmD(jl@=%gqd(|g{{30kED4@+ll}xqE&E(KhMNTT$ z9hQ%H+BrwyTs=LIP~$Ff^6YE#_Qjo4s?dYv1Ul{>A+ef>&;R<^QXLc+Cdo39{`A_F zEAn}Om3M3&TZ)YCK~=awxv&~#XaJd%|1)Ze-AvKpZ+!u_QXq9p>U+*sIddQw{hSfg z?S77X#!;Ob?dLQb_BZj_MTlmVe*r!%y$vohrc!d66)r zm`;3$3aV&`HL(ea0Q0ob1QymG&~)$C#Kvr4#TT5=c?YWQMxe4d%;!6_OkB&m=_Qp< z$0N1%m{(c~+1U=4-ri^~k$u`(U_9})<&a!1s*|w6xy3xx-?6~W)uf|Hk%gN);O43J zNh^CQB1Z90&pMPBxs_M&_T-hU2g$%r#ppt5XToy_ucH9}$m$Tqimv0`X~&du9m*C5 z0se#W-sJqM+QujC6awSVH|(^>(i2M`tEci_T)4B#%Wrh@rr)TQA^AgF+TpYLYLU+c%RbGbZ)LD%hzA>6lM^R@ z?ok!zyf^tad}3E{XzOVj!8@%-g*EYLEB(X9t3KbmT@&^1EaZ)Kd#aK?oKz>#|LO1h zF~d0_hKjpwS9N(2j{(xh7NJ%x7=!Z#D!G;tZ3hy$v9a{$U3`UDUyFFSFN^W>K1s*PkZBbK0hYIa z)kN#TLRpTGtW38bzLGw?+1z4l-L6Cvr1wflh4do~Zk0Kd$cjU+#YbZo562v5-nKncp3m zBSUL+QlHje?4g%sla_yLUY)N;PL}%(8&>#ffX8E5kM&XfV+)<`T4Ef}?Is_i()y}0 z34ZpINg{!)PgM)5h$D^11d}|QRd)#kmdJWf>`mhwHk29zjf0QX?`;I9ChE$?J%Eyt zI5R`QuFT)}$mu6eN2GOdt)~BXPZtMSvqPsZQY7z1#x#ZG+_`7Q!n`Om`EQNOoV-@U zDm5t1v58tJbZ_=F6XTnl**kO(;}2^_U-G}XWfkf{d$RZVgFI=;aR(*;-pZ~OWrFs1 z906hBmXn=ycEuIV!S#7&+m8rOfBG;U!Rx7AM^!{&mPwJJ?P5s;&MpIuV!9#WbEF#R zJZwU%SeSj5PDxgwC#l)S{?h7fM3E$JeKtOptzvIIma%ue16cRBtxn(Bt#)^N>QYqf z##UAsp44Otgkv%V@NXuMw(yvibk(PM@>v`J$?*?tL{$O@2EH=XlJ_ZKUo&$c->k0QyWG$j>JI@>B`*!H;c466kBWWIu_~h-+Z5~A>|sXYg?EN!>H1d&IlhD)CLeA3(-%y2Ql=lNx{F#qz`diTMyk>{K;I+BpSkPj zO4|5b>RzyLLr36>}A5N(#}*Pv^2i@}Ec5E_8#>;K5yF zPN!D3AuckuZm-ZyBkx$s{kWd9L5u(Oga>_}dDMYdBuhnChi@JRHO@EY#d&p$`M3@q zgL$tqD%`gn;tUWigkA7GC!h3`+xAtUk&W>h_ENOTfm2;^I-=0s;8kxIeY=VJv1VHG z&_u};eRD~pTz63tb;CPikK?|4+mXFdMEGoB$0MH&;{~(HsEuz{n{&B>0Tih*N3&W* zG3F5b_bWsWf-}14Z$TVX7Ntl!9zC6K+TAiH`9ZjtE4sBNr@UFoA>uJ8?3uVv(}7wv z+o#n8ntv9}Z9zDJgHTy+2$pLO>&urfB^@GLpxl}DX9eH@nI@QMpf0-atAdQYq^P*c zpXSN%)Ym<~F7~L{?TY(imvX5(5)H@jvu4}nO$b|zER^XnllPP0RO~ufZI{J$o@?UV zpZC^f39~CeWU-VslPCw3MK)jN;*~^lnAOmJx?BJ zRohXsu&@;3T{v?aO*FN z;fjhcf13S745>Cv-yry@cjt7biw$B&NxPsZMS}MK$Jtv)b+xtoqweiCKoJq76#?mz z4hfZ#?k?#D0i{DlkVd+@OQc&QrIC;h=>`Gmy3exSea`Rw-Eqg=|C}+-JBF<9T5~@0 zc|JAo5EZN8*{btVh6uXr6v7d4V%mE+bZ%viyw>1ujkQkfaiZtkz5RZQk=btqR!pY} z+wImSpVR3Iy$?Na9O$4F5GiU*SSY$|b*4!$5wp;7W!w~lj!opC;_U^TftCvENmmEg zv{+~Ed9t~QOQu^tHqVLL-Th{C?2zu;1V-TpZo*YQ`!7Py1}^TbN`2}1E)Z35D*9M5 zC%cwmxHz86ZG7NQsRyi-&`>K(NLe{DnG$ew+i#a$LD&E)z~fJRr&BNw{h|g=AvHT+ zy<+-F`#I6~zdNqX_k$P}L*UH?*&0Nr)Pa)#<_R|-6#&Ped=DLW?ZR3XqPx*XdDr_` zLZSxZh8s1+fQlfrln8{AVD1i|-8A7m8HGlD&1??qte%-C8X4)5XN>hGeBGE%)n~@; z&aaP~4(6ChCKtcrPgLtbAfPAGzD7kb=eO!ZOeT+NVkz{WR~T6n~#C6d{u*w}6CV7qKyLpjZVWjKMlMEUM$1v$Y{u*6E%!rY{ZO!3>y^f?=+?ds*^S1v?-cr!Y{Ts7@hew{W@%JUq$wH+C?153c z+r35*8famsAM`#s&L6JWnqmBWVbUxzFe$0!ut1grg+%o1%1REU zC`g0=u;4K4BK*Ot=wK)*4FUq$1rs^|%CM$EcA0G?$9rT1Sg>pLMWP2N??Ly{It&Rt zzT7XJcW$EJ_jw1}r2Cwl-VO2~J%!~B3@`u+YPZWl3N~jKqc>8ya4_v%VHocqv|4zy zUd2F1*SR_H-Ajaz*z*8Kkw!cICaEfsgcMfDNicc^h0IGzAp(S zR*Y0L;{k!P?qPx4G$e3Jw3G~M45GI;4XRHh*+_(+76{T?Zz=lBjX_tgcXV~sh=~_- zuas7&+_*X|j%+6GhLG}s%!%4;BHy}tNWPxY?Y(q-7^(8jRvs&AorHdP>)he)8ja*F;1y?bLK_da4S+=x@?l0^i7)$cOv~o5B&Vdz@rVM2bjz#_Zu?z# z4NcOmf6BQ;z^5!O$yYY?Vc=qXEH%-igc_HJXzS&!pLu$f242AtoYow3a_D)P7w8#(@>17hY@LSK~x(*e_l7q9K zZJm;oGbK56r*|myqXG`=qJMVS3)m<`r)*LJ)Pnn&7+H3`<9VjnL&VZFOONCffLV(q zQzReNH~;Ui7C>C-$!}{53+x6RxQrmDN$7~X530z)*4Cx1$sCp&n3#yFNxQ)l!f;RE zURtP|BS2uHUDPS^4QkS+S z$s*Q(*=Cymh~8OW(VXroyj2%gW`&D=Xzc77ClyDVJtk9L-yc6?4_d-L?HFmluRj~W z$UN(450gw`UhL({a!s}g)*iQ`lFySC2{;Wq9R$|v`FJAM7DZZ?#IbOYmj))~CQ}@b=?0EVuJzMQzjP20vtE zhZYaRyPJb7&}-;mnS$*$ujAg-N$fVwtX|FHZD7!SV$BFgg~fr;Z>yzaTH=l7Dr)g6|HKDan( z=U=fdwpoyLh&1Rt+_o%gD%pobGTD4A(4~cMLWFEv3rNL_>AY5~A!_*tP^uniW)dRK z6J@aa^WgQ)?Y(XnyS~1zV+dmH?jYOMx7y_jY{wQV&2`1Nj1@4EGIjxc+akF-{7NDGsQZ}zS_leRu(*QcCzX2*RJ|9 z76Afk!dW!w=?A_cg-T6i_TKmYjFFC*X0^h<`LP)@O{Z_Hrwx2k@2VzucajVAYBh~A zw%%z>il7Tcu_PuhQy-6TQ`75pVc9VYn#DMX^$O(Rks}ai9ebOT{qqy$Q%Kxfd7Rvx zsr7eZ7Q>R3R9vmaB=#@VOc0n?ZS=~>$cW~KJLG_OL@zO7ASLC;#zszh^=dQo9|*rML;Nv9$y!rL z#;|q5M|%33yHYt&+E0kRf*k?}z|dgyq3mA=;x(#zRTMg!>%kmMi_?c@e4-?TwGQ*p zFdLvJ

|{@NseitE{p$pW}3fcaqFjq%P3TD?+4E9&)mrSza^z;bl^kdnKtKU z#(ZSrNwuPYnHR7l*Vp#C4349DPa3x`pH{{ELjw=w(CoBmZbW0#p@%z5HA4$p@wH+! zV$0I^Zk#alAl;2d66<#=OP-78nCMNVVaN*};@pToT@6~a*pgso(uzqeLR!^BxAB1g z(W(M^-!M9=CWB>Xw+HaFa(=IJfld=#er}}bPh9$#cjUb@KXO0D#cPE7K#jH5O5a=_ z$fHqh$#MNVu@Q`%Z@HZy%oS_5@?$ipwAF8Cd3jxy8_0>A^<^B$Rp~1=S7Vd~vY%B& zeG)Dq6?_j?z3HvHBya~z$a4ZP3YDZtFg;&)p!;CIg`=gVRge)I7x(2xQtv#pg|(@- zPS)GH-mXk}vrDxH2btlCdxn(Bx>njgJqddY&Hgfu_^K`oo-VVbS$Ux_i~gMOTB4ip zGto*1MV6A?tuB|WAm}rFSi7m@Iz&G_-+hyF0ACE@?`vn_g1hfqOKZwxx4$%wuo_6J}Yb@aera)_?z=ve6-*9`W8P6JR6Q{n>( ze+F`K!ezX3qEWyaw!Yb$uGSb@`P;NP;1-S4PHStcP9cbzb*ka_>QsYNL8n?gifM#| z&nhSzZol#3+Z(e1ZX={UfPlU0!;dCk!Fh95N=IVW#c#;Ymi-rwcJ6@{WEUdzD(+QJ zkYF3NQ^A=94^;)>?chq-R`?zn8A&DI2i%}- zy*Vw&D!f_=yIHff4(e;0N$#!NI|q$o%U;U}EAO2S;EFEK!{_-ugn!WV`## z8#iQO+cP4z49*+~u-AbHSPmCM!($SlpIcvd1yN?z=-s{n9?O4m5k|i{+tl~(?+MC4 zPc@nX zL{vZRX9cM(X=!OUcPsDU0FM}KXs_~iVQnqXRUZ)@lk`IBWqX>CA1-l3Hng{*qCVq4 z8Z&knkb$>*{2#`N>;_axn^aNKyLScr;D&0|R>vJdSWl71;G>$exl@2LBG{-Mti{|~ zBFYbG%GoX8QmZ*%bN)fr^;@^-x;de%W>|gxa2@pV$zwC~^W^k}OcpHoJlxy@pS!!e z&4S>gP~n5oobl-18(?UPjl0Em<&EKvW|=?Y(+A!N#6y_h8_8-K?!1hNiAhRMAsI{A z49ub7J=|hn0Re%QV)Y!BMk^|{(%cqAwxWCMp9ih%z`;3KfE~;dIY#Zkp9J;Xp#=!c z)aEayjj&6K4@5*nK(UOm3BsR$E?sJm1t0F{AbHf`UbPQH{LkG5Ap8%4=0pJ>G}Jg% zssW}sES0uv)XmV=0;|b;xJY_XO~J@0Q?(CaaVCmsRwswgcb>1PE~^bg>OoA|t&0y( zNF_z#3>F;_CWMues8nUUHY>u*$*J;#%Y^Tn{m@F^av(SAG9m?|q7o69u%AI=T$-IN zHXmjCNoHar$l%ZazA^ylBk2}~IEg_L#tKc}=g*%ZEt|%N37lQ9y(`Phy*9ym*-{La z>y`x`xEfhPL<9q)KBcm~s~Dc)h;WwPGa`^Fw^<;RlPzx|~ zsCQ%7nBnvCa)qg@tAl=(EbJhAiH$=-#Ym*=nyr^m>& z&VSC5%YgDUM9$1?18O_?5c1u}AX)VD@sS0ZG6g*KB&S2Vfv{Jj=-?9Eg!VLGWwUD~ zn9SSc|FZ$koj&?mMvZQ9+e^!YLhq;Ais7^Yh4L~AN`dpo^st~H4A>UYs<5m;|IzeU zNe0cib3O!CH+h}+zrhpIYgQ`*LkaSx?WRBOkso|GfIkRWh$V>lO6v&WI$dc>`v{>R zn|6Dr-HkI${%B$i@p!oT4j27HdD8pAE5BBtH1#ji@){8@fUVFOU76)Lf9^$nT@_1* zcT5wc-f&F>jJrzuOV@ML2-r_DO`Lok3k|))I81-4)(0N>;4Ox!#)6!RY-6! z>ih%vcOJpNbNUQMbQniKMLF2iBq$RKxd5fceFm_p9Ln>bN5>XQqij0^oEeKyi-?4@ z{OjN^SoEAUDpFB_RRyE2@dJ?7z+eyMt}-|dxbNAu+uaKPox8jF&E(gkofD<_HxX3P z4zi~KJ-Ebj4XkW%7d#Sq2dmtxyuAGNn>Pz@gPcqUOj@{4Rga>WuMP!V#(p|r`THY2phAw=f8*bvPzH^s9xJHws+0VEoAr^M;80vpy<0GU0^eBJhq$O0f3fP+{#7?_+)zaX zw?0KhMfv%bfG@yt(>M#}NOqX3oHlgL$=jLQgo`WntLexy(*N;oUAWE^yZPqq`B8H=ezK$Quy-7b0)S-wpIP6P=|A5klf9^b-G;jb8 zzt?U68O}34u}4;j+^d2MPI35#XPbd;u+hAjdr$TzCzAzzp%;ou0|7|-F~|0bWf6;E zcH;$AJ>EFMW7FQQ-U-uTxwHZZ^9YttD}WRt6@LuEV?ee50!)D}nFJ9h|Njx+)`1`l z>bSDQvCZOV;sa^K9HH3Dd$h`IXlPipQ4P5u+-z(EhcjeYb^}MaPsTyUHa0#UwhBH# z)ff>enpayh9r?ALy|Gz4pjgXpO-f1{QTh35fwqbrlkoQTHYkFu*b5j8AVzd``z{a( z8f>zTqJLv!9JOvZzxOJL@cv)5=FUx(@znkRymz&>ip=(dMIDlF#lmTWy6?Y+SFh|) z`ufJ54A5Oot*y~@-vp;Kq^Kuxv$j^E;owAoZZszfl-lHcUB`!`w4G6^aA}Q4X)0-8 z4vXjzj+9UUA(fpR=!%AO!F$~v5>Sp-lA<3|>`eqR3|Gf>0>*fN8|ahMB9F<|j9ky? ze?PV=A{RIb2CI|;6%qpfieiYDk3N~H5H(42;8=}m%NhvPfIBx ziU0J;SMD{3OnFt6Z%8CQ5qRLZ*Zc_BB@u~O^qw|9ooxfI%V+p9hNRT#Ts3%u~>1tV<=OkS_M$uF@M;X7%&2JT~ zK}RGpku1<0=(o9*{rsRhZ_T!XfA5*KHDHd2=4QoA z>4$gs_xCev3tdkfORnpI2|v6$Tv|tYm+?Ucyz}-V0dRGdZ2nLK0-e%-2SklMZu45j zMnUCz&L9;Yc|>RoI=!t|H}LR`dXJv?i=}`aRT;YcZ5QQ4sxKH8TRqXeKgWAJo8azC1`}d_D@RLIsv@{!I*e&T3YJcu! z=vm08VWV9|L+i|^Mx3PKsnlo>^W+r@C#JSrNCTl3w7@j7487B^6V31Ef57-l&6Mu2Mp_6`dI z;h)N@uj}jYu(20^RHXagAUg?r6ET>G&ntxqp&U(nKViWK$)O6UZUq~@d{J1f0|0Qy ziRjNS*!=YiSl~_rnNSeiHa0b>Wc5mewCgc%6Zkw`OHsf_!K4jN28trh7UDB*M-Uly zb8q|MW2x&)ZbGczeQ zlYZ|GN{?T%KNz6e4LM<*Zf|d6l7da={T3ztAhkat@qP_Mh<7U-174dj-}-KX=f90l zRzgy;bPJPm00fFpLWYKvRd~`%o-N51fo~^BXC=xFwgqU%WeY!8kiL_!R_XC_F%O>? z*9NRqNA{mHNIh-6ti}ENInI?LYB+#G0A+=18`53yp%)kiT_MObzpSEog@P#u)Y^LW zU>IV8TFod6V$Ora$F8LxTU)6{!)2I|4Ot6jz%Q9H{H=}=%`lM+fLR{F6y(|pu{~3-g~Z2hAAzS@4g` zf<_r229QBt$H34IkAi1HDn>oDw_tr~YyoxVEG-cc5#!SOdi>feN<8aj-3RaBZIO$y zfKB`ZEI>RzfB!X5b#v!*L^AUKFs1Cq9RPws6Ok>O)&{>$#}2-qO>e4*P9c~$MsEFU ztbdON?MxAj?2uIwl1vE!PeuT8I)Cg-;=fcB3zIZ*o<&K+65X7H!!pZKAB<-OUJ&lW zmvQp}%99@|?06ovcpihU*ew4~O--$rz5obU{zF0z&oSwGWL^;pg68t_p>YG_E)aG` zN1FAfwwn0^GuPIH_?gM=)<(!ez@7Bp=P^P+uS-nAa3R3s#tc0@J@ov2^RudH1@@ad zbMZ|2Z7}BZH;06TjE|2CejXjY|L4iB0?rc81@@i}1IUxGAz7cFPj~(P8riT`^EeTA z1yjHkW)L{OQ>GHlOrwyUI*Z)O8Quzq@K623k8b+MF_kFrgP{GTu^vY>)@%zfVlwf9 z7t4%*^Xb+9QQyaJd+kTIhFkCe|J{Lvl!#?<}{ zgD}IwB@ZoDFe1Z6*0^@hpR+necn&#{P#XAyZBOqSoNr_a=y0@1p+Uiuz6khDbl)q= zzkWCtAmD+z`v%mJ5&LJ)p22n%L`1_1?r_ozokj66GHwFTaH9@Xz-!}GN&=3%t5oFR zoBFA&hAto+w5HU3!10w>fxPs;02APTf}2el;r2&R;e&8MRwlGHgaRFp3CfuuV(;kL z+U#yX=c6_7P7`p2{z3oMkobXfCVU%ciFDp{gZbrDJNO zC1=9@EgV{{NLzpaDO71N;+Qaj${G$#q$KOjL&}E!T{gB-H~~nep(4}Kex8JdSS;`# zaO=k|01DvWa_VB|V`k*Wy;;DevQVZ60coS10Tl?iF|4b9N}`KT%}|=+^oh=Y2LL+O zQ4zz?5AyIHEBc`z;_VCzyjIO{4xz@3W|Sz zak8chAtJ5#;rBm#_2`MV;WKTS{qeju{c-^$l|ZQylz~$=eg*SRT%(B@^xvD)O$LEV zGOrNR>utc_fV{uHko@uEM>v~Rc)R$ZNv{9hBu63QWi4*Ti12W73$9z18HsZuVM1*+ zLW&E+j=yWZ52EW|cpTOY9uz|7Yp;7d{-@6Rn2L;S4yF{h{i!!|cKU!0&2MZZgohj0 zxnJ=AV-I+siD+&?M-9&?BNGZh^8AJ_NvIKY@o$wDjbG#y@2OUc&AfwP7TY9j; zc_f~mU*x&8hmZG^f#l7V(m%c%%6R0^0pIV7nSV|2*(~DFW`$qCgK)=@{f{rt3x59c zWe2<~wk$D$>yhH_8)0&p4UwzaEJ7-&t#un49ysT19?QLP`CtDq4DnldT$$%`4~upH_OE*sVm=sFI3?$oocEX&>0 z9I+$sTg-h(H)wyVDR3>r%ycu-ss;jH2c=+>oVH_yLNM;(V&|lE(|0^K8D&a_gO{aoeavBfr6yL}jE? z__xMdK>;5ekN}lz*9Q}#QhDktr3K}Ecgp_|X~8Ha73P^|{<{eK+=LbPM;zbe}=ITIsRX%*G~>&AVQ&*6g)N6Q+Y0anhoTWw{;bbWA%+#*6>? zmAMF%_5-Mrgf0u|g&>X74?`tDexsQ~6AwTXh@oOM_ zP=KJEe~>Ivuy(#yFutra3~^*x5Yge23v->o>qg_bNugB)>~Rfzx7j@tkRI zZ*L=6;`_nBZJF@>J%)bj0Ox_MGPpOE_wf2z9=M~DDL1OO17ySl3E>tiw%E+RS8#7Y6G~<&Rzz6*ul|J2Eyt%K8%1^ z*@#>R|u|+i-F%{)y+OwD{(uEk8wdxJqteXB=S^;*}Ob0c^A(s{aZqzdi8!vsIkdt3=&%qgOkI_D*& zz->h?H|)e&B9VTy;o{MlE6Shy+}-J+VaQT}6Q@+9I6bG5{IOEe-^))w7X0T;U;5eI zlERyX_7}FaPcn<;gfl!3*sd@hw&P^1Wc5POpc1Wg+Fu{J-0MCA)n--s)YkUe<;z~+ zxC{tz-5a-??HXc4g`zW8umW-lR|q@EbBbq(AKQUm z#t)Zq8n)MT`ZoC5K(=iOv*ef^pv~Vm1CJRW$r1E$EELy}_qj)RRFd~~?=>r#^xlvl z*OG|#ZS5b?I~>=`4q@dauL^HEnP3XZ3Tu_`)3XLm`1|}nn`HALY!b6<3bjpda^)mM;B~|*X65!yhd7zc5og)xpT+{lDyQ~ANJNeG+6ZgNd;txV``j2tT~BU}ABV}bAKeTnm+0ZsKZnFY z00po|ngb z@`pqqUxHgls=p0jiTl5t&Hp!@&eeuH-cMWS=Kms!{zL!5uYeYNa{m(sL2m>{Gc)drK#9@81Oop_T2^qYmq2}7|KS4fdLl|&)m_W%I7)1 ztn2^f8FWFWvGtb}@SX?-D}+>|xkcE=cM=e&DxQAAX@kdH-NhsD%^P9uJc3-r|I97) zf6+7urO0&R;AFvU@4Ii)%>xq>SH&s}`-mm`#7Xo2@bZC50IEfX6p>&G+~Nh~pxXN< z4-E{`^?6~v}O*rPjZyzx< zfIhOqeluBx9C-x5N}OhCu!{jkKupt&TeBfj*t@7CP5|0PT%x&qHx-Jkjvj#4){2lw z)Tjq#mo5UINzY5Y4cOC;C}!Iq6>Z{QbJ7psvsBUeF=QFR>Vtt2LhH7&q+s25TElt$ zHosBjFfBqeSWp)jZm^1SfzS!Y^(H-F+)$m?-qyfO*DB`%|4XA=O-;?0d^0G=>H_#| zW=0kLUKpgavQSBZ0Qx10D1<{28IJz&2cma>_V+@MAA8|ij#rl8+F4ma;_cu(eD}mB z5YEy08GS7;Se&SB5&Qo(}EP^_!JSSu9wVVN{AQ2 zX3$h0T6wjK^df++-%f{DleGz&B0NG?lTbE3Dk>ySiev)#imzXvL@~P_+ap~5S-jGJ zTiT#^(NSuLiCzdqGEL<~?!6F67)~kB6nLwwvwbd9fvt1;OL>&6Pw>7X6#Ut-c zLBuQl>dl9?7E$6w;_2Pu>ADUPQNm!`TFSX%>ds&xO*)$I;p2r%KVA_%S$jJy{)bWz zp)(IoQYfQ)(b&&-xw1~Jz5@S-M-6>bhg%l|=F!?G(^{-upO4R2nRiMz14!wH>=di@ zt9ihim~e8sR^TUVWvEcD^n(tdjQ#~4f|vo}CqTyo7yu}@6|wXIYXpb-b1N&18NjLD ztIq;VakHpVmgEe)x5Kv^!Ktv?0dNr3K_cayI}e0hfk)?FXFMnBgY-@i9t!z(7!fa^ zNLhe11)$C?!sH^Rs~-9Q#ce$?%`gA4G*FJKwj={ZW=@B z1#|*+b1GbSwR_Ug zI9Y=&%BgJTwLb4?3w{BG?3`s*LrY)L>O}Z-ixCq!cyK+hHst+%)RWKPOc6MEaNjMm zGaB5PUS-}y%1>xgDxWSCuAXl{8wz)_Enqzv(Q=0?TT zG`SdsZeL!wmk$C$bZ$=8X-}Rnw!3jR&&q8i$Qe#_eQ)uZl&J?gZKR?%eYoYg+*f@v zuAZ-sY^$G?Jm_d(<;h!rgWBX1XHel~^oi*JCeaZ>Xmewu^Q4m=xjw&ju4xP!8B!@M z=s~udVU2eAviNXVWw}5K%$EkGQZp4!s5Y*Uc*1H9a4ORTfRk(Rp{BO#r)FkI-_5YW zLhlksAiclOpBJ+Y6N*>jo=pG{rnSKt#OmB;ipQf!j*X2t8$a1`paYA^0E#S{NjeBV&x#_+5TrVoFjDs?$&+wrr=IA939#A zCS4sm5AXa6;7Efrr(kh$U`goIz)RhZ3#slki6b_Mw7vJJfJeF*JM}( zmYx#;VbmX!hX6av8wr@eG0( zs?9kmrUf8ugSIpceWV7VTfneCqQ2+zGI&c6|47;wLz>6MX`fgB4UeiSH44tO0A=Upz|QST_139PO_T z?hzU5YK3`*pBCwOP7Qz$sPTH#?TxR2BX;(iU^}zPeLB^^d6yqQEr+op#($mvB zhC~qSGdI{!9yOZpqv8!Un0oJ|D@pqQqcwI1htqZ2Z`|}zn9$1;N(FomFKV=vVg-Eq zDNPW!nl7j+8fQvQ9%|6D&UX;5@NFKg@qKp_X{j^Yw+-tHk8LQCo7ys%qynCT6Cty|KB3wRGmx)nL;#vXaX)VH3E1Htw{l z4DrNpU;vBVpX;WlHw&&Mkk~Lm5b17`rZOZvrg?Ayp?lxr7)Bl{mVS`-h2wL$P1xT$ zswYwPBJ#kc6)+^`y`fWiy%tq_=~FMu?TpJk!k4v(c`D>z0_Xc-@+|Pjm%+ye{L0noRx&evAHBngWj2yOj~7oTrI-J zQoOt}l0?qGx1`y0TUm|t!nL$FGV9Nz*T+Uq=uh6zIZJEj<^?(CDi{kaG@jY(o>z!c zoll7@=*!RBXWg;P^FZ$%iE+w2=C-GwS3jV#k}LDoa=URq9@Vlx)v5PdU`65E=Fu`G z*Acg)a`m*Jw9YA8ug)41HXKjx)&-;HtVeWkp{0g0Of@Q`BB0>x>O3PeKjAPq&M3gl z6hg6El^xG+9rp~%y_&4C9h z3D?FsYO1*_&aM_xDC$Agee#Rn#H`N^cN0Wjc`j`IL|{Sj&u3tpRf|~m!b`>W^%?LI(wAT1LO~Hs4FBCocoxP#F3xY zlO;#t7=vUK!1My;JT0+zalDFsql9?rYO$(0w5KhLkX{4`(VL!|j$k1|4p2EOZ(xpQ z8+K&BLYdu)e1lG8a0J^27*pffYU?>sTNrPdMNJ^CdiW8b39+)HpaZRSbE~KY)+^{e ze?}i@nj2Y!HB0rNO*5E5Vw6Hfd6$+%nIoVl4bxc%j!tl8r1LQ`%ltJW;u{jN3sCOA{F#wx-#LKQ=mBo3SA7 zTwJu>G`0Qey)cq78S;v-_42}j;K9T28N76z@S{u_V>2<%Z_n)Sr@VhAURA2TUV7J< z;7dzOM#BN|mUjb=WKBEYKm=j5;BJ=FyvtId-1o~4;shqCA76;cNthDzT}xXS-4>GY z@7E0z)t#N=G!R?F-0>J5%ki{Jl|O6eJASI0*F(3VRefevnbUqmYY{C&?fX(WEhwj| zVdrFhgj%emrFUMMv(<2Yr^G{Ea%#~PXCRZMf6z+-f1DwJjoKva+qJqVLvxypwz0fh ztrzO4{qZqA%)F!TT`bX3TIb%EWRRuyPpzUN%s8m{088oqkEOJ2k;{x)erWK5%#gLa zJ3{g~ar@WuD51UZ%OCbKV_i;gJ`|Tu9%)6_-xBsu|127N^iizOIps}zN>AStVbQl^ zn16<-dl#tDjtV5w9+rV(g`B)OJ>fHgOnzlVRu3bb=72hHQe(lLJvn4yLXM7(&>mA| z!Jrwu33>Rv7b$J#xlMqvpn`6jG%F|FF~EJWSVH za;{5Qs7!TObYCJi^-6k{xEYZ>z-;B(|Pmu9;I^8tP=u-Fdqo z1xv%k^&Nv+b@xuhm~z?_)|l6$FPwcE(brZTwdEU%i26jlzc#aPqz}uHUMNjp-K#x5 zf2&t-L9K^>xc<%YrA=zn)Y~Fy7|{*^%anZf*7EOqpC~-c>(e;YIPCT_rCCZ0NVn}< zWUph?=yv~tZYi*veCHjprG4DW;C)-!H{1MU{4q6lo2Q?=DZX<49f$6la3ZfvkaflW z6J|DkoL$AYuryUdQS=b>{(8!c1}K~H&!0qkbzsXwd=n$q2@JKh>=F!zLran;vPS=^<22$+2$9@{;?J< zlV$Z2+fS1B6b;KT%#-j!STiv3oJ&U=8{KN336RA`Pjzm>^=R$U>R))dm543a*0yvE zXckxS2*{lQoECcwIaS$&r4V|jjsYq-80$swujMIL!AC)+_ElRD!awl-uX%%pUs|0 z*yCy6k(1g)tY8qWxuhqX7_WA0P8B~kSE_e|sa>$cuBl<$L*kgLDWtr6Tq>H}U!uBd zE4ih{OfAO=f@taXwdtVb(UI}$KlI-c$^r|56!2?wC{TwjryVdn#Ld}oo=c5rJDH?< zzP>LKyOXYV)WMaRALMv9%rlMuU9Ym+_TFUQDPy!?_&~x?jBO(&M-CCo;RT9W9zlNn z?L5AvSzAr=vumekUAw6^l00$G3&vW*t;3olX|7aM(S6bB+F_lQ=IUR0N1uPMP37^) zJBS7QAQUXB`}o+sb!SfG{&42|+ur=vhNy(C)Isw2&v;2(uh|t8pW_dbH8!g#NtmIP zWT_pGBs3ijk*Pq(vf8$YekIC3w=I_mm{#V7-GCbR%>mC3>a+)ssr_3~#O|gPw{->i zJ#EdLkNK_2IyHZgrp+h)10MQ#qsieDCMPPjKXlX9y|A{1nc%{L%3MHO0G6~z@qu-9 zUNvu^v(vwj0HC!tH82xBI)y`6))qpRd6k|5z+qAk5yb%~Dan597C43T(V!(C<24() z#{{)^D@`&ZN3D!L{~a;73U#*D7^=U$8Xg0|VaqkBx{8!~0CuPL8V$aSi*~$;t>QVy zV$kBpk2d12~kZ`546GIffr$jy3)*5-u=@;!JE#Kgp=h{0~>8B2p9qXeXJ#fa&hVB zA*uYgsqxt8gV!M-YFT1}BNA>Ypa-$U7(^rbyZXMZU;F1ggp4JwLWnXnP{L~|tK#_; zfI-aiL9>2=-SMUE^XK|`-o=R?Ops!G^8g?v#SCUgCH{6*UTUJY@dCPJz(2akF)KJJKG%G{+{qM0O|E9c$bSk9`%dtVe#=b;?gEvy|BU zf)0+2J`3%mT17gxTNj@?mK8plRgv?omo^^5s2AH4jl@<;RLx*YN>QEagdK1RFHWtIJ7uQzvv zx)VnQZKWvmKPZB%aupmmNyv7p-@@3m$qZcly0p!xgpKb|c$l;vwMRF{ySaSEu_tc+ zLBZ>;!asnZY*}po!NB_n^HcNj$^ilnGLVMSI6C`ZQ z-Wsswc=eYVYG<;%o^sbZ)uYk0O@pH(T|=$Q>Tg-}tZG3QC#C>d?%mhpnKAO%4WB-}IR?19 zGaW=o(XwjAM%sFA5UtXRAXOg-8Fl{AfB;u68k$MjSx~{K$AgmivJE2iU45E!C$5e3 zMf0HA#UA%1M$!2wYJuk}!Eap82a(P1UN=s%vmb8@#_|-^eOqT!5#~|g4cpS>6@lwh ztB!$CC*R{*Odf0Ko1aa)ygS?UJF0#A!FD13hSAqFWBjJ)_=_A*k6s&Nw`~W}b)hBp z4|Y3es!J{nu31$K`UTt9oKR2Q+7E_3&d_cex1Jodrv5R;@4ZpOJbqTvM^4EdR@uPR z-ty3xCv55JX%BfV1<_07t@`k+6#1kH#VYm!;smbENtUt{CbU)FQ8K=sA9wITcSyug zds;}+Wl0`~c+_JdusFp-zU$Gl-3~R{4y#U>P#Dt^;?Z_kH$ zo>1nn(ElZE^u+JwoAW~W=LSgJ2I~VTbY@$EVzG#bYC)I8&n} zuVSrYaAU(pdo7xXt=Nz_i^U6Fx7p}>)f4F~pHR`6{O{5My2iCPPze50+Y3eAjqG=I zt<$$6H71)z#(s+|T96?*vG-gcsvqOGryn1m|EM~vj3L?=b`VHYO1Cus3qtAD&Q>3Yj(%ELk;gVkc zXwkgREX4Xey0Bsl=C?N;aaJbBCklY6IfH_rS2Am)ue3f2?8)Y&IKVIX{n6!C~ zUPM!F$-ao|M_z0Fno?Ubtxh`gGVv*C;}y@*ocYPI*;_R|sxm&h3izMCSH&8AzaJm@ zZdZmQx2i%3bL_o|{igw$1mmkQG2fg=0gzZ^h$~uv!C|SFs$8a&Z7>@t;10p@&Y|wK zl4EUhog`y{A%z#TS9MQg=9^Q{0 zZV=~TMsSU^s+iI@wO83@n>{|byLUbmWyke2bTW{g&FK44zaKy-l9@56`AAi!R=`*M z0#z9x9DS_D-g%}AI{Z%9@uH;ME8H6{Mfa?9q5Eo_)12Wzr766}R!lKN8Rprxka3S2 z4lpOEMG=PV}gO`&mc^Nk#=^VKvy^{3aFnrHLzmTvpfeaW~}4- z7-xkG5XpM9GRXo42IOjBrhb488P632HC{^D^4_b!NV3Nq0ocZI3V-4zsz`;K{uAIC zsB;w0@$1uQ_A@WslS!DhNS?aPI;Ib>d4_u(v#P=OK{fT)_u|JD8(&iUuXuKJq@*rL9R$^^9Wd<6)`%jt_~A%}?pi00?Z9K6Wd(fh&W+n6 z;xT9LSTOOC4kfz2-F?c~rZK|zNY?nRJ4=~_+ndJj>PQ=pOCm9u-Ak{9o=k-my*<=O z6K%-GFA#O-eJJ$MvC&y7s3~saqT&tr2h4UZp)5N4H|cTD_LU*XLvm78%nDZtW&6w(Wj8B9OMT# zU0id>FTu`JJQ}mxT>cq)i};DAUaP2z-iOOQ%R83=S{YsUPJf2qm<#D!$G&C8|dZ{HWr)$Z~ z5L@482+5dUG`ev>Mc|N+$qNPhY@w}URjiA6&!C?-fUzj^s+E$VD?db;O+AY+R5mT5 znc5KLX~DxgX^9c(6k7;suVCk}OL6D~d_kxv2|g^+WjS!=i9Nau|fo)-lF1I&RyXhgrazCpr+-%3H)|J4%ow5xlwpfzuLDRz` z+6&n%0|c^sY`IQW!d^0kRkPIo4Bsu@G@f|h%e!9pNWQmluuOjQ(bcO*`aYnG44(&_YyEv|z@K(RZ&`g(~4PHp&r z{2lvC*j(vaYIS7^odH>RR+cm8;M>BG_Jkdpsujl5td?Kbo)q1e%ghaO><4q& zWHm$M0fzzi8R}WUI=$ruoub2+M$dLJx2SF{moTiwk9bkD!V)Ud^}cwXK+NMq>-|7y z2ZwjF4m*-MN#RBJ4mD(rS4DaDg-2xi-0wGKy zm-7pUA8xvw(bSdhVo}HY+-RdlOFBGgbwcT3TsW-p$j{`0p+p4DLL`kicVmxG!(#5+ z?lWJ@?@v?iX8uke|9CsG_dU_5JU+;8a6x_zYIQ-+hBGWR1#N3M2t@LiJP!F3&N;dG|*^*EaLFQtI;qjG?#Iyv1aIBcTBD?o1$d_Jr; zaX>*Bju1j(O;(Q3>(lxt>lDvUeXe(rQ~SqX?3R7hk1;Kx@-0D}BmpzolXgq2|Ffr< zgIHe+ojJ!C+BJHnnFlL8HToBrc}lqwHEtT=vA&>KW*Z-&z)SG9kMRd6noL)8!d#Or zA>c-xf5QEpYqz*Ng@pHfQ#zZwKO21U`WAMk6Cd!o<5J8{Z2O11oYKXBK2M=&_?sSKr%ASL_ANllo!K^`;XhSJ}mcXHY#W6=PhK0F*e~M;WP)hq84^H`Xzvy~W zr!v~d74e~T*P#eoKb(fd6xDq-7!vvy_uTI(7}JW6wKSKdyeZI!p~WnT{_PlQR;)+Q zMzCl@Z=LB4Wd#5hIWDkI*LEjt(ctxlZiK!5TTz?e3H2smisAD3ZmG9eb9(Jb3nL!s z-tOkmjrKUNfZuo!%aN70NQt>j=YKtG)7(@_=Chntd)^5Yx&61iyx*t7{LV8-ggnY} zc5Q}6rG$~?kkxFzsI{Bl|3chrv=iR#k#259(!#Ag+Y3JdML52O{vX!f11{(F{~r&> zDzXx8l#G^!673=>?VSpRN~J}GcA+Tk9ceESO{IO%($w9iL0f3=`G3BxbH1P7_xu0- z{-eivJkB|fb9BG&>wR68e`gllp!t!s? z;p$2xA8>yV7!s0w^ShQh9bs{s+F_|L8zGc~$-l%(S7byf=dx=I&9}HipacDRVLZr! zcgd23NdC!p0SY2XzQ-C>PaZspRzx_F#%BS#d?5<5V2iwO~h%>Wp= zPH-v&Z?Rz@`=pe#ZEAo67^^fEowd}q<{Hg?Lrj=Tq)sd|IESC= z^P%D!3{SsuO1={c`4Uej5p$m;#+J63U(RjCoT+5blAKEm=Xh(>lP6K#Dqn@qvF4g) zKx?2~(l z5Lki|QqFD(tu5mEo!gaVt)DJ594WCc3-CWAbCvBAKvy|+RF?tDY?1e2lKKl}w+)~= zZ)|IiF)%VRGBX<@bpVJ_`plX4Unp(fy5C><(o~{!(RI$7E@`o^1Z;I&;B|nSt_gTUCAD_XdxnU3*koF5iXdW32q~gabqa`U0Qf&fTdc0)Z#_TBneg)Pf}9P<(i;QzAdCs>d&S-K-whT8lxwS3jJAAun>sD>&-4ZZg^th$%*~IynscfD& z4>7x^Hjc`pOYht;#~u#5RZp3;v-7-WR9(u#rQDcJM4yuQ`AC#Sn0|wbYyeanN|Aa) z9-ueB*2R_;yKj4En0w6@0Rzkk#iwCm=WsnGDnx=QdJjYZ)UnRPSKD539Y4X+p+VC9 z)F}%Lwp^lg^-~@+rz)hqarsm|I`$RZct@ZoTRNMY&kni!sW&Kg-g4ctEn-mgm@HT4 zsJNEFn?PXg%7w?r#vrk#k!xl2E*jX3&!3wXuk2vH26r43zgJrT%Mck%CmuU$=Gu38 z?qTxfTnl1a{p087S5Y$%#>mP`LJLM z&88}Et`W{Mbks!Vq5D8EtALZBQ6(*8+{APSUpn;=BVz&v-zFO%u_(kven1+We{tmU z8u9yLaJb`M39*oAt`v(dy)NKB+!q&ozQ=iTOCApiEg zs;X+`fgjKMq+hkk^}w#rD5!mM_x*f+3PoAsWgwvfbMxKN1V|(-L(5@64=Q9ZMm2+q z6XxS__G9aXHRK~jZWJre%HCe*cB&q)P5|7_WsRxnBXMXF|&_L>Psh&ovy$ zdcp&C`O2U*iu;Znc@J^7#>SwvkpThqu-87SSH3w}@i)ur$K#%+M{icLKspvUT9Q|3 zZLLp@mnz8uur{F+J_nl(8=p2(>;lGj4qHNnQ!yS_6Me$-2=Up>y2j zJ^X^=K3ypWfdF#@&jgPXX~n-E43(-pD3-6{bZYMJIIEFqs08NMmpHJ3{f|m$`Mr5s zDCo2#CVJ?B<#fN+Th?`UStck6xq)6SxAwHb@&5x_>Ygj zsGg#|2u=Wur8Pwirr>!7DO{3`)-&=>VOySgXr*Z`odQ}qji;32BG!T2eHkDbw3^}q z03f^OpPkKn0TVgtnw>_cDWA>BcY%1@mj^oxVoKby=f}C*`KSK)_2DBF*b-%0L2EMX zrp>%0>f>cX(0B+ka3DPQdVn$M2GC5mADVMJ7tfGr&IaNoV4R4X-I5F%f~3>#V^CL;=4ifalK_>pg?3FVebeeL5g6PG}8&BkrP)m*3PsRoHlUuL7MpK;pU+16BfM5?6wT z1c&pxTT+9g9)NW61x!sl40gi9!&!`Nc@R?i0BPKmNa3@K*Y*8?Powv^)n*_e$ba!# zZ8Bxl>?jyHq&dJBd|iv1L%!_;Tl%KGyh9y44~`5C?oZG5Bwai;bk6L1e}0^<^}_T` zTLIs5Hb&>aV*0^8t7l}{(w|r?=c>9v@N0ziG;Qb0p+0TnjHM%2HmI2@w%uy#c;Z>1 z^gC6jY2`~?dD*0UY(C5I<*Skic zvQRj7U^izk zvn^=aa83-sSIu2gH8z5!rU zPWR5`yI*#$`sA;j|5Dzks7O?l9{zTvw9@`<0}Sty%t5-SiC7XTXV)< z+c@da{Ol~})cMqlE6$SnfN(3Hp z3qT+CtRS*C)_F7d9hiVd zA)CVw7=Tekm0L?o3vMD_*kNN~$oco;$TM)jtCla8w#O}TgXLN{2<}bqX^tlf!#D|b@7?QcW7E}0ri~ULdKn}pi?Z7?L#jbF+7JL)==h> zXsa-rr+!`C2&~gL6DM`m?V>xz8BVZgTzq{8rxlptJn)=i1Bm=KK3<9%=uT}D=VNjh zY-+J}Besk=YieqmBxaGXzGPrvz{VbgXa?#6r=?kg^fM8JLReWVxOlBw>G-alklsj1 zNdlKHGO`mn0MJcWn?ymd#SXrZYZZMsrDn-)T1yu^Gxy_szO4Gb(O^w2uS+_c&$g&q+L} z#G2aM<|l(@9d}4jdxz!l7};Ib&J~l|^Nh?F;$XDoHR-@9=eXR(O9T=iA>{`sJxwT$ zX)0W7&sl_8PdfD|0$36;^!jh*$a&QGv`l8b35B7Sy|`T4IUEJhn-74Xc!fdY~VZ z>L2PJ1%)?(zeGCZn*lXr^+ANSRsL-Z zN+gLI9>o@R4vre;B*;757-f}7F7n-^U|qeT~7u90`^Y@ZE2zHZAKO>-wL zHy_u~1I7PeA+MFa4=8`2NrwO*n0>7>27q3jr%DZjmyN4?VF+Vw?$UVw^?DYn^gzMZ zvAOWDD>4{@lx794IY&BO|!EM7RF;~h8_D@Hes7CXS zbQpH6y_;}Ss z0-X55hA&n)=Dm%_dW`K9WeXR<>`Ya4M#mf?2;uE(Htf9E#Ds3RvQZ{DbaDlh9&B$d zNJsTbqt}=gd)+Y$`ERh7XcIXq%-?613Gm!8{pkWbLQq(^`z<%=1AODjnbr5(9D8dv zHo4i;991_B-zaoFeRcb*Po)x7wE(MkTC)n|LKlMM08Tf0@Q(P3?sr%`(v)>x$mzaE^ zTx_rZjG=+^*bPfdpeyKJ`lKH%=*!K^3s`npzm2ACVD$%R6~=ZBiEF(VdkFW@2McJv zKC6db@6_~kqAI2WJw19k1&AVgP`%iqgN=Ue=nIXsH_R(NlyYwkn;oBqY!52lk%HlZ zX*#uf<$|sa@52fn+46hVi!HDft1?Ke<2vsY*l>7vX}P^4kKqA(S3cPSeSR&cf^(V- zW63P1fcG0(_c?yrYTKLKUIvqsm_^F+@ATirBC2(IW8gEMzVkY7BM?A*a)p+kRgMpg z5SaLU{&jl+9Z%5Y6OT`uZHi1d%zFf2Cf@?!sEogM418l^%YXIQ?yfwm%j^QvC6<&( zaDU`#hS01v&B>6C1zb^$Rw8<6TgsA;Wo<*>xpql%HQL{s?-g9QDn8Ru_i))IRr)PL zPFpAjUTseKm#(DI|Fh(uHWS786P~|gSL$vM+Vd;c(B2eE!;%xe@LKe5+S}Wkn{Oeo zT#4TbG5f#l2;L0Cl{077i*{?u+q~M156`kdhh(EwCl>MkZT71%f@}ZWmqz2#n9ziXcSkJDJ8il?uX&11$ zZazM|1XypoV5nSBRL$jVpf`g`(9JuzjLaxZj$NlvDBhbCWKJF0@ti*do$>UN=H?I% zAi9jL=22|5SPpA<&$hd`{MB*Lb*Es{;Dv*f;U)~EomLyFU7}WUY`&bfH1dA;;`n^$ z=F3r=w~rs@kJ#SjvtV{(;-+q$%t^lU@o8+>7TB8&EU(k5CRUy;2C1m_3 z1dj_4JQX!peOc)ldd|DAy^eH$sMugi+t_>{bN1f3qJUoa0CtlatlQPA)yxeAUtR6k zb-2KR6Fxru^pq+|93&8x#{veqVeJ|B)JS)`uaH{*K~aH7Y4UU95nJ)%dIDdA(WR?2 zD25mpU{e@zyqg*AmUBSSDj`MC5vY&-zk$2;PCa?@q(8#W`x`{ea3`o}YDvb_5A(md z-x_`?Yq!+~2@n6CbxLm@OW%!F+#9%7-_Sx#9oOgn@-InUXO=+xNx^oxgdFJ*i z$ex{N-h=y6;zEQ%i2Q4>^p`p6`kyxkm39?BKVMV+O(QlAQ)0ixc$EvutlQJj`p8ZD z35}Bq3rp4hT;H;OBBaKYShJ;&#uwqSiqn@yGg#iS$367Bpf&Q=F{l*ugg7sA*?-~9 zC@8E|{|)YM87;n9`{tguhRx>(h~}XQwsv+Z#84pcE|2Mlz&3%K-=1*SLj}Wf5?B&h z6+pzRk^t)t_-Ii5=yd7UL(;G|NsmY1)+3}r^XfdT{ zmaL@ue}pS*VrA}vlKqXheQb>r{hO$O&LntpM}@xTt7+!j<_fm=-ntYg9D$0(a_FPV zN-zHi(O$`^tp1|Zr>f#-t{g10qB80ujY$L_zwddf+hFv=&WUMoD3AA>J(oTeJw$dq zEa8v|x>>9$Nh{i6RMmj8apAm9z3RW4D0JI#5I6m-ZBqXt?y-#2x~nhq^Tja(mQ{g5 zxtJ7^SQR&4OfWxxK4}Iq(B~Dh7*{JS0WCj4GJPZfyEAEUy*32NnJq)~|J@QwTIpa+ zs{IH-Jh)hx^dP%KO$Z5G4(xrYNiB^nXI{v!kI&+7oVUDN0?z^EU{tJoKEBXtG6=Kjd zv|IS`(AWlJ)0?~-gCamfy*4Bva1ZFK^Ny3Y>)b!uJhbQBE4N=%|G@B#H)U}y%dL;| zUb1d<&0X7G7+INVT-iHyvwtl<>f{3FmnB;Ur}+D%Lnr^$kR5sQ3(tsS=zQo$q`eK9 zl|)o!5V)wR%;W7$ZoKNVSFn`4_A*M3gzQvl!WaVMd7sQXu3I29nwG`!FIbbbX-9sJ zU-&fd7ZAVob_rN98^~pY4=N!pzJXrkyuZ5aV?Mujj98S>=RN*HyI^k9Hf5FS0UF!s zA$Hff-W_YJ8)%=9HO$W}wxkY~>}8O6H{}0}r|^B=-mTM2g)hbaqEeC?o&t(-qq(u$ z5#@DBOx-R62|AzOvH|Tjvs-z`IzN32nkds$?7xkdGz{Lbys85$5z}^)s;0gnS^gGK zz&3GsbA^@MYF58aOJC}_zDCFnIpba}%lTGjyD^S~RY>Yf3M z!}qfj4GZhudiewO`{(Ds9%u}l2G%^`*;5IPwBmp-$yq&3HqDX%d}MKZP=O-|X$^C{ZG?`~J5#N1X>jFLVe>2`J_^&uB) z&7%4B;YIshGTfzO6JKZ0s42TK>A!a3cDI*zBc+#fDxKQR(aSE^otF9e8ZY0ga?e%I zrze89S534GI^TThqQ>eKnE!G4RuSx=aBfr9j16O#>G3rN5ka0!eZ(b@ zGc-^3&T-|@)qOdVjVLX}1n|zDC**xswC5kH@omwZRe$;qDH#M(B?1m`;^&5!X^A1j z%dWLMhRkO@^2t$HB|-MH)FLFIGkVb|POr{55-Mavkfc2SqD0R_8!F{>9s9SU?!gM% z0XJZiw|RTO+hL>9YG@t) zH<%RZ{cCMiAQPli{zw@<5MGqBKVT`;|9`2dBNtD5CM zs|=>q5$A?e!4^{ac3%TpMr$Rk{LR)^K1hE(J{VqnTq#m;HLt&N zq)@AEb#H0`_L+FN5Wc~W}LN;ke^^6(R0^v1edr4Qy?N2Ud z`D~#0TelJy2c*pgdwLEEZ^mJ|oXEs{H5r1(;_h1|6dcXXhoLMe>VmQB(_W8RZ>CRv zC;khL;lb5EI0n=C?dLErD@#hIlH`7KAVZh_#>s!4i9(e`{kIlj{oE2K6@Ur(^EyL- za=0)4?Tr3)K%G^i=`mKq0s`qZE=6N>^l#t3jY}~;)c!0+<`2rGJ18h7(S8=wy`za% znSx>ipZ&}cV`D<29CL6;GucCOx9u+0l=B-NIP2E~r(!Jn5$jy<-4huk z|LdJXDrhCaSC@|GLUmK$`H7pRqCDqB&>FuW{VUl6c&lSxNVzf$tSKny1kn&H9w*c< z&w;0NXG*L93pO#-9wq>2!6e#rCP+`9-N+E@;Zcc=Pk9VL1XJQ&5*hJ{+kgLk0?2=o z$HKRp78bUB4-M{Z*ySG>IPt{e4`PK_v?vN9pGL}s?&|1r{QS&}C+XM&c{tEzq2mt1 z5A1{6xu5W0YM!Z43zmIL5y~?U{ez5*thc@*%bvj`mRG>>J@ovJ++DLVX042t7Abrg zW~`JSs3s$#&iF+{Xai9*m<(}znNsvSq__UfZ`qgXmm5^b4X&89PPN2IkXUA@Tnkg4 zKUlADEJETU`$k2Lp5ER%JE2MLf(p@}sGC18S@GAz3zA5&b%x;W`(tpwXOC<@>{^H@ z7u$Gs*8Xqj6{OR6?6|h)nAGXjV*Vg-^KY!|fd*sFD6+L@OOcc4ttL$PYH8=t9G`5C zA9l;`bPW665N5IqI%h&F9XQbx^KA$BqR=P#=aUh!AYE_$znkEAtP^Q}{cMCkffbWP zEc&8r!*?g~^q92gHtGP+VGZ@}1%1NuVDLRUpM;_WSUCxKgraOwdhf10M5xnvK>Pv{O`Bt*P!UozO z8DPJIY@ywy*PAqA~+$p^DyVp$;-u zfYiS0uOet4fq{BGN!sxYOl)l5AU+tA_c%N}EoKeH@|7qub1=d85`aAR>57!SOUwm*yLFi=_2 z_u~e2MJx0N=0i!mysFBxPWPthZaYXAaCwE@>aZzGyTqObWO}X0d@?FdG?|40D*;vk zHHKc)ap5z~C$8><&7{S&57#58V;0`2Kl*eJ3EsiVyd8xHsrHs}%gdC4eaGYR?I`n* zU|F9?_Xlapj|=BJ&$sU_lW^=&^g@&El;pkR9vqx~=c6ri7*FX}{;R33xR3be!UUFY zp8%{I$O9pTi3x}K7wred1KpQ{@iJicH0#+53kSw&fS&(7eEXf)DT zzM;Lbcc=PoxPM%&`rOquhSsg{V{RdNp(R!?R1N_ps8N|M1e3V3&RG>Mcg8B35Q4{Q?b3@t zJxlQ39C-iw1H+16-_G5`!_vZ{YY9>H2dPEB8|uKlOI%!B*m+FzXwRkD&st3F!dTUm z>vK|J@1dpbf)T#w{v;(ytB!3u_Wp26zA^;auCm$6VeCNtQWuJ&tsFt_&O@)jjXvnX&+&(bzkvbnWqVZ#223< zWi|rqg0ya<%d2&;439Yy8QDVi{JXxQ@Ft=&rkMbl ztvz-uvQmwN0<|lWoAf;1FC;`#LYk1PY#qvTn9pkM&5l=V#gxNzc=HMhp)9=h^L`2H zy1KfDVgZ`i{q_xA6%Co!4id2CGq|9jwCURmJbJVY6H_8?-4DQ#Tovl2u?#BxnHaII z*QgSagc(Xg+TVZ-TF#E?XKXwx4#fM4pm9j(J2b3L+woj?XHRacrWiF3z2io|so{;44WICT_v#4@B47)^ zjXVUc4A2f(s2?L$W6}rpoA2Mg$@ZfM%T>@z$kH6l^;nQ|GLxPzggq0@5jHl@?Yl!@ zg21g$Y0jsOOb+bf9Tl%uP|#eWrW1Olvq^ZPHOXpWX4HgBt5F;Ii!x5{qxomp{}Zc! zq52~ZnZu-|&9dB=;T!CCY_sAL6N@IuGI~iGY5UuaiC{7ssPb5ibU?!M$ad|}r#u4% z_rIaz0@c3gtf*U$kbS^5hfvo+LVB<0R z>$EXR6B`=OmXWupT(b*}(LQ_)Q2%$n33~J(Tk`jz>xT)yu>Y2b*vj_sxe(ARnfo9x z@-{ujQ|P&x5hyM^%cXM|WEMG%hK-(G&O31E3{#b1m748~oY~z$DD)*G4|j zcaC+F=GNg3WtE*O@&*^;=E!M>C!@}l3u~^?mka6C(W!213$}3PxO;T?`}Kc_Be+3+ zh6URo36!zyi-DQ&CTTEC$X48=VqnBFiXBz?J%8k0F$OM_wGbg zi+}y>cF^IoW$hJ+|6+Sc3Rvv6HhKgm7%|3?p_We3&j~yR2tUMFwjb@e|{lvsu~SExMF8MdKE`rw{D#w zkkC9b2S*|5On4!0F55^EwXS<;$js<+8X#m@Hg{qqw6!~s%t1|n{e~tk&NpxAlPl$Q zh7^QG|7ryaxflKxu^&<2RNjJXl^OxI>}65H$wt)xIY&~8*rm5MQXV@v`_8GSWbtep zy4dvJ$#VHHE^}O;SU;=mlliF(7k?qor{Q7qi4K%2D{tzy6S*mX3FKJGP1>&{v?%Us z`5ZW7>}!woKEbu&>dT3BSJv9j!o}-fT3k#8jO1&2b}0VJt1ByImYDmWPgaSAS5g^m z4(=d<1ouOQEBk4ZX`GLCo}!GKqgd5@dPgz7FJ37R?5PgBtoxjtEy`Y8CRVlO@}14$ z6QxRwmz=ns?3X4r_3w+MwXJ-=5OG_~K0#E3iUwRhF4`Qz-qAnzv5)uz9S`UPj$N9S#WX#Dmw zsdWl!sBCG@4xF1oW&+10h<$7l#re##2e?Pvd*jcFlARnZ;Z(qGW69#B?6S;NNwaRMIa310-WLx7%lq40{YCkU^uL+DO1QcVuZg)0(;((-=eJR}M*ueHBftiiQmXiVfejiAFNOV|ic)d- zmp8UEQ0=|KyX{gziOMC@^4H3;Qt@2;m)!OC+^`YZ?V~587?Y%Gq$o8Al))PhpbT!^ z{E0G{nG!Xp&uCI92Pj?JF;%`QrnuTm3I!OKNCqb_p!!43mAI{mP#8~3LkDOtyF*YhsI~iXGlHUCs`Mc`RdMC?J?8l4+ zW6RJItfMUF$Qj!}!FXyzR$meHs@AL-IHVxx< z_ti)W_p>YOgf+aoe#;j5E5#F-0k%A^Tp=B^B8&cemgNO6g+(6hGJc+TX9k(^LoMVXGrE1v$jgUI^w7q~qS!)SS&qJ0mUKHAXl9 zYB-mcVuQAt0+7k-v3MkEbTatl#6??Af13nKr3=A5(VZvs+;fD*wFdTTXQv-@jVABZ z8#!;Lf9YEv)OeCzG=lO!Ca-$Nvqt;T6+O-^_U6YtTAQ8x*jUv_L{4M&Qyge*FvNk9 zCu!CMtqFQvZrRnieBD>pG%Ga%l79o*kx%B+Juwce>uqqk-=Xl&KKrDDP8&g+{ZT3| zuZbcbc?*nu>ntF;mWIYVod0O<^z3#54(0D}!G{T~V+I4&4cfet($c4F_YxDCW;fA` zw3%F;9qVPe(ZHN@@Z|fvWBuvZK8t0|%*~PY0eAH>6Se4BX9vZAvpGAFY97o6!}clL z&FBDj@l|)dqaSY8b=BBce0lM6H1AyhbP+=_G=4d+DK*S5m+cT2FW&vY$Y!oTscJ0n z=7@LC>fuL;Y$}yxWmY*a?oBqYE0vDQ&Fd#TynNNBw#rybTS?WwH(~#$)%jh=lumAT zZC^fH$nTZ+jXSThy?LC=hwfLZTW9+L(1+Va$EnuTY@i)?!P<8eNXGgAd6wpBhvHZsw_wbZe^p^qo3#%+jAa zk*)c!xjqjnwPW#ONR*o%&lvBll&|Ti8Q;$5#P2jO{XEp$!aqfDi&OIXKy$4vUKU)( zyIo2}eqE7XXN>r~1F=U_U3eoxi83wOzT1nJ%}ZD5J#3fJqMU|7$UEWnl$6{lyWq#6 zp@zZr*9#kAb{pvag2yqF@7~y{9qd|qh3b1ub8h0K4^9z2;8URLy`z12>v>*0(MxEzP7x|o(&_<9O!3f%6*Jy^_fy)R=?2BYPsR7vRGAfpPjVsP<*7m z=6MPKcTYLW?z!I_bc&|R_T+HSYq>ipME194u}JU2J@Kn>&m><%el zq{TH6C3qYMp!T!h=fsN?+gY?Hdp^v}%+qa92sSpW}37rUtpv* z!o*A54xFmz!xCCrkHW&jp4fxlSk?SMVYw#)*Ms3g{n!!JV_m23wo#V$CR-e>ye8i9 zk+nJSo>rM%P?@UNTT89;o~3%{rjFCAkai3eJnr>-JiFiDw92vTy^KIXw!(s7pYgab z5KeR2no}|r@&RhgLJb!(vjqjpo20u70wvxaaFAuy5m|CKTrPXfp?>q73*Wx`^$KoA zQ}Jq-KT`U!Z%Uxw<}tR(BO0$kI-XLI)1f**^8b8Z=k{Uwzgu^ z%-Ih>+&p-R9@XW}hVLl#X}=RDwq!Eo@#>TlWFLZ(#O!6UuqoPZdMLdY_J=t`gb@J8 z;i82xnemrs`>J-V8;5ed5teV{Hf#K-iP`E{i%N}k1w4s7rJc`&o?bm~KB;z~nawIl zhsEooM67wu2MhiKS80-lIhK!IEg5P!6QDL5LFNmvQ7Yt(n;)Hj5$W5oE@yV+=(2%v z{HV6{DcSpEpTk~fGhT#v7@Bq5mFNyA+V=6LkbpQxmXx&un>>Hfd+!&&ZaU&{)!0gR zA5^^fs#KBh6`A5(((p^`?sqyw(;RHj2X}y!k^gp1q^ToKkOU-?3lM zz+f3X0q(hd1cS+b+e0!izI{-a4BEmbOBf;9*LuXD01TEG-V6*1bJN_+OlW51bQt08 zAzg{Rf|% zQXJ3H_W2JvA8&Pj33%j?u~_#yo$KJSH{Nf_tgm%UAj+3#zOSOZ#>yjq_e@JSVbW@bIF%e?12>>C?k-#FUy0<$P|VziTSXT5keSrz$F;~euT$yd3#;8xbd zj7XaD(#WUtWg15cv8=iC{DOiWAN6lkqqp7jw76JYhTZ`!SxQz5o-HShMyICKA0TNd zej0shxRM&_H9XMVry%6V>;<()un2it--4fZz6LBO`G#+uMLGppC;|sd07Xze&yX`@ ziIOgmJ8hXnow~**fyZo@S8dzjYsX2E6*lwkp-vwR*>r=+*4^6rHnC}ibsB1ovnCl4 z-Cm`VYQmx{)Ds1#C;e#8nz`Bqd@NYGL#;b6>+Iaqn^pF6{Pf<>xk-KdD7xte=k7XH zj-~#YvYUH+J%O>LE~7WPU(!{x+m>s1%NM&cUwuhpUW^}Si8vS`O0PQYYP`Yq?%|E8 zL37&A0uy=AD9Y*&g{G)2*Yy=__Xq;ezfXGWSF( zAsXFkpvR8dWV>}is{-Be`F_#mFMWLp4Pg!CI|5<3*;paTc_CNTKn=tu{a*CI^(xW) zZ4`!Q-ifSXI9#=J)X3v^paudVtsWIG7`YDUad3Mv=vZ0cSfKr0Y4528);uopN9gc> zrS@2*{Ng;nrqP#bwyB*@rI~nA6)md_O=4LO>+)prkT`dGy|kp2e8PIyGKP*Z`o5%B zsST}dp&8FyfBsGJ3!TM=Z7-f`UOJ}4kdSSXVxs@j#H(GhUcNbl%qsbk{H0)?aZS?4 zNP)IfJk-L%)o~q%V%!ZQuU~Q}bARetPEO=ujMRyuiOh3IGJP{IKPOS~;i-~o@WSk~ zS#P7{Ko+kp23H=m`_3~mavhy%=%4Ckor*7Uqhmc?;H&>?UU=V4ZMK^NSD}pd^JVOF z@+Du}!?KZP`wK1_>K8nJs}I7`SebPBuD?GS)XtYQBG+!+3OQEcye$||p*9WXt=qQ2 zh501}wLnb=fcI|nH61>sBgNIX5T+gv!;^t8g3zAL-FM(XH?V7%JnwLt0e6^FJ3sUs zZ^^s$v7={WuZPry2A}Oc6w6g*xKLuaP;DrCgfw0ipw}DtymGz4H50x{jix|wdG#^*cp{Xo?pM$pZLAsWQ<(y-`})XfQN@C^CJDWXw?iy zuN9<2FgTQyWMX90V*Mn2xUYB@+Z&Ojsvo<%X!NhsVvir85z2lcm*?2A#?WzZe^Aua z3d!-<#lQoy4ek|ByUDybQ*?6AgCM{9*UoiK%v^ZKD zu?Mq8QshqeE@0pm1tnEg152MPifI;=jyPjjcMi4Dxn9By!sa#R%?PU_=#-Z`tsfp7 z#N58!+1VNLSpm{7GP_v#_+Ej9xk-3J5ovM>?=m>?7afNvUnsCXKl^m}3VVm3on86z_j$R>2!k5_|k$N3fH z>14+hlxMZBkK^AfY2-niBZmE;ID;pu6p;rM0eVFGPUF$iZ8U*Mbgt8L)}+4* z;v97N-I6dojrV;#o(I-9d&iyb9xj2C7|q8(vfG0PV-piJB^8$H%A|Ph7g)q==(lz` zEg@_GVlw~)0b4xbwn@Np3$#I*(Xv8-+QpMf~=|X=!PgK6LFNywAO`C)M^l>y~=@d3CMa%rt=|-BF~%7>?Gd z@=_F<(H|R_g0xr_xP$+IE>ekii-)zhx3?0wfE2p;QY)ysp*lGh@#kNHhTOZj67Tul zjns`Lpff6Tn8ULmrT`;e7}dq`(Cz7K-Whd8Qu@^T05oJ|jC!OGtD_oZtgQnkMBig( z7hoz8W4r4TPZ(u_S>V_d$h(6(fJK!n_J&$P9n7A`vG= zHa~(da}f8gN^12>ne9+05^L(JV@c-s{ z5dMI{eW3yZ?|FdNa4G4H{Uu&;G@e0#;Da#_kmEhbXQaDd6WjeN4l!8SoF16_$*Et* z21ggw*WfDNAnpvWP>gAOb!O0msPFX*xHJ~F-%-3lR2W0IU|r7EI;J3!PyU>?N1#Mn zfYB@A?l)Vx;`I|fO15R!JeXY_(!71r@%N2Ew+=Z~qHsLJBK(=4Iwy_Y+gMu_Vbk{z zQepuWLMkLki|jDQT)zl6YrJ1z>D{;FV{6XfodckiJ_b01@}{@@h)1k=r-jpkYd-WL zlCkr>U%wuv6-FkKJqSj7+f%R_2O~EC60h9tcec~mkNisE=FKULJkrzC_k1hclBaUu zhOPPZnMm2UrfGa!{2FXoa@IxbZms_rK`BN)?L(G*PK889kI+h>9lZaAs-v~#i6W2^ zIg<45XuJ}rY-w5OnjTd}q+-t|9lheILG-)g9ZpCYERt67B=CrUMyEOThKe(EL)wq0 zh8T&fkPcBzE}OzacWW|CS8p4_bL7RWdW8lHvh=R9lA+%(7JIm_Hc}^DnG|F4>F?X& z7T-Q**XEztQ;630LO1;~GfYMJG%(WavR=JrO+s8;&&LbTuWa-0|1#0>-J9Cq!*pB6 z4vzr;9W+aS#8l``;2rdzk=Dh5xD08W(#wU&+ks>me1zpkx{;gji7_WxBr1+b7qpZ~ zU0(rR*K801ZoBKNKr@Nht)JhxR`A**FUf|*>c;?oe_)GF$NKpAykqQQl3L6o>;?nM zhCcjW|368M*T~2So}Q1NpGDFD=_=!~HFsZ=O{MXVCGvgCdtazM-`3IirGP22Xm@2f zQT~{4&`u|m(u|q?dNUMsvcxyAmWF0U-I!7dXmc-KtlzXL1JN!(rM)of)Mn4>a-_C zHgQqYzb}*#=L6Vw-&wqXQ-pDrYRxkh5@P4&j*^lRJ+_V4Cwf*jfbbL9V1lH7EJ;#B zBXsJy;?{>cy3UU#154pb4J{rk{uJ}~UnkY)K1&}q)5@pz;Zx<9_j5|!(_|-)dfty-jVZKB-`y(u+>e=ggoBM`in`6TAuiHt+ff@(7SYnj=u*K#m>BGu~;WU6Z!s z`ny)P!>Elfi_~Asv)7|a+xdue!BFt`VY2@FSGc#bnt=T>rr=u0jL?z#YEin*ye_5$ zK$xI@Jw~waAPM&6`}gm?y@!@x!m=c=6o*2u_4cZ@$$`-{M~X@*q5(mG3cB z4KL$Kgn*2S0Me6t#kF72;6m2);&D3gON9F$3-+kRt3aov@yM?GEqdbsR^>D@McT&{ zD6qaHGWs({J^K$IHbzm5q~G@mz{^(wa1Otfeq8zTE<5Ge;+UC~&|5weIi{~v`2Kt& zil0003ECj?Akm%6s#0Fz%Y;fp1JC}d4C`zztW|8slDTr*8E#nDub~8R$ssVuK5W zn<2AbJs-L*{?UJYLN-P$gdIBCk3dJHfhxd^e%S^1{Kiga*d%SQSCSvQ!BjI>YLO-@ zu6v>8J?Te3ojJnRadf6Zopu#S{Vm?}={2!9s1zy6uK!c_`jJ!5q%>E` zA>LjY7fxoUPK?}K|4rr@w(svAt~rz3W{>Av7kah0^hstr2L6PEiwEQV=>MaRM&V-o zRQ);YaU*~gOnFmp?uuLpmmh8)9oU@H!uVM@jjwm%jo6Ig?~_17tg0|U_n_1BjKAdf z%7+aesx5I4W}damd~OuyWy#BeM%WhAS0nI5R-%$Hd0!U+zW{8`II=jsj9pa2QsSQ3`JhGk1n} z%9Wy?=?Do59#iYT0utIM*3}ab+xke+qZ8|H9;&Y2|`OmlFecbqYuJ*Q%v-y z&>;RW%^}>52Z*(0OJj;>IL?gj#&>RRZfbgwd`wp`u*V~xZFBDHR{Fi1QE@~9_H&4V zP7l;#;yH<5@bkwrj%Vzy1ItFG<5#Le)md>dke{QO}KB6{jbak0k94F{Q+zFH9Nab-EcV{Xtwv$C?La^(pr zS~|K809gSQshiDUVW<)jTMaG24HY!SAlk<`3_3wt@~j)ZNP{O2QqmmpAyj@ zKzRggwEQC;Df@_xttV^W5t5{#@_tIdCvqlS1ds7}B_^d!(i>&U59h+r&P=lq%^(PrA|pBb78e(7_LvKk z)6hKo`BVq&<9G?@$J`1Og@4<2>Sm*vS7pO{bqz8H|PGPEKbT6v&1 zvD?<=(25}OavrU}PNwj=I3?-$jW}MQ+WkD9Key)Tr$l^4&4qW`G++xPf_&m2>y}KI z7dkm^J&;-pmgHA90L@C?hEi{6;}$8PXkI^e$#MBCuKql*iBsqpR1cXX12|HZ6RRmI9mn0(!|FmBK!U9~b5euk} z5Tl!wINUlh97$B4z;$W@jAy@iZ0oKm!NC~mU;Pf0{9(7-j~wD4SH3XyStEY6RBo+p zjF1*O>gS>%L*$*GpQ&EXqheF_8c>+C61UH>`V@Y$aN6gcpmiJtWza-+nrA(8!kda# zUe6IG!K8QN0DFP!dGZfm~*b1}P5|M!uC+i3j?&sF_s7tY?nAKE_`w0*E45>NR z+nPOq;rki^KV#}tABj}ztJ?uI*H>Sx?b`{K)7T8(yOWJmj{I`LjM;&qi~Z5(Z{J)f<= zhP0+2^q#?fwh@QT>hgQOKTgiG?R)mwg+0$2h2P2D4u?X*r77LRQ*TvPeK~!>{EWMb zn0s25*6-SFW<3brK@=ZeZop0wr%b+AyNey90) z2Mx^y$g|Re;1hU!=AO=eGAR}SHUC$#q<--`vpET!*ot~*n5+Q7^b7;GP~i|#Fx6(3 z7h%OC{2ugETUpr8lmjmU}+eD;<1Gmr}f3AEF7hI3i9suq@C3$PCa7V)1 zvH51V6Kab5SdVVK_M6iqZqF94$S@!9PCwK$N?m=`#U!&%dtTQ_eCpV#`QVnX;}xBI zWQ2Mpgjm>k)_3oy-H#;VkYr$bl8qoW z9|49OoCM%@9~D@x7DbIj`KE$micoOp|BUfvO3`%~K0R6+dxz(KNSS2>E;Y7Eoo}U^W$D*73?s~tJ_#{X;I4t$gce2QWkZ@yr)v^i z{e)+M>WRu(zc}Fw&2>2x}}OfZ;tE+Qb}hb$qepwpo7Z%cTnvxt{sy zcOThwdY2ARy9LT;HpdNb_n8YncK2*n&zxZH$QR{kpXDM4(+qQZhwuF9EnFrMuG2T? zWDg|r)VMp$_HC1wA9Z3Ji~siaPUKQoVp4mCZ)V4cMV#`WQX{sYgSj$`PzTW+vMH~sN zebiIO1@%C-;LEURvl5`^pNT2hD|hLjyNyZDkXLM{1Rc|%f|tWTG>0-eZy6b#bL(5KAbx zK9Hlh9@;*HM3N(pMDk%}nk8mt*0g=;Ja(t19#07h@h=y)l&E()zVp4pU61mj)^TYn z2!%`O%R>iBx@B2B)+0cXQ2)r>e(p)VD}TPJ{R2nYyzfK)oxPM{#8@<wNjhb9td!_Aan2!XA`h>2PtGT}}_q$pacRN#^s+Xay(2_rk z8QpY(xrt+(-<}PF!3@epPef%58EL>!>aTzCB1&-KnK}6p_1p7h(V=!$@tQqXI?r5h3xD))-Sro6Lh_Z13^ zOxxANK6SYyEbOTM$#NXoBmHd0qciUu0f6*`Na(iWcAetJysQ)yNiHVD| z`*wvCg3}O_jx55<%PXb_+5<`gktA@eG~hw!o(1&=-hLPySccygxei0omZY4hS(E_k)> zfio0=cNTq8%@x}C>1o+$w!CrMcX6%!ta?4 zA|5bZY&f+*nr&A#c+DxCu$kRaWR9)SOFj_uJm}&J?im2*IpC$o6L&LMp3jt@B$Q|# zqBSDvyTfN$I*NXCAxq)0yYH#@m6SbmkA+9#d`87I>;ial*`3yi6!Fo@Rc z3o5p1_Wi4;Bt^27fwo8ID^0D;2_DiH0rze@C##3sYFF~4N85j8!^jAa5<^_zcn&xR zRo$R%9$H}NA_TK4i@gU8t*SR%V7!DvFQ`STp|ikb?$9t4dKkB$3mPt3`lS70qM~-A z9a@5uj&Qf&EIm#Zs0Xvvb{m+qD7w-9xo%xWFc?WyG~*v8(CzU_i|y|>4r?KX06YQ4 zKs^cP0-{oED_*OzfPX-GpJm!8wLoTQXbAGbxvdlXie?*wPz?A7p&b;$2`3FALotT) zkdhExa|h8=ZIm!sIDjIdqe%t?MGh+TDZLEKna8_|c|JUHyPZ-ynam4{&&y3drFs)N z**&k;cNOF1?7u*>Czn4#^j)lQ@-&l9*?9M3&F^b(8M<5e*LRwJz@h`_$1Be|yf|^(@v3KIPUgbnukftgW=ny@h_87mcxocTe(b zY^`RPlH!mJk@@Nd4n7%1o!EAxP&&i$S{M|;{Otq@L}+Hvh;qMF%KKHSVMUw3|% zQ;B*n6YNW5#=)N9R#NdhZq0rt)nqN5SUI<(b-&JSL$bQE(d*)+NiM68@A=3VL#bi2 zAfF0#&a=Ay?(Xj4;babwHMlWlLN{$MS?L47K=Elbzj2_Dw^J;@C^TNWuT7>9%7jDS zGg1$ijuMljv-9mcci0UvbTYu47@N}=E-oTc?o)yh8yhD~hEePUnXa_3=9Fl7f)!yI zV@2mH8d!Hf{VvI$lV^*=^qH-7AHVnS16)g;1p7=g_-vu^iffV67ueh7KO*2zu}%5O{xe>>pr}hhh0~1 zCTzLBry!)eGSY0&UV1e5W7nc`bcG`O4$Da8YsYqNx}Nd|Gr963^}%W+ zIyf^8KjdjFGo+k!yy&8Zy(nGXOKmxhI~{h3%CQV&=8R4)pD1@YaZH9|N_O)DAFjRg z61!PMw;vhIZ1WmAb6ZHJTK7w9S`R&YP2^Op?W~+Pwu7cag6tcY7=##&_#TjTtlOPJ zn#+U3TX*}F*ajp6#J&hr(i^TLK940S*ylhSg2_SKt+*Sf@L z60~&T;>`*iUM}e8xS9p#T2mY-l;uL*Q7aB?j9^U+cg`HK#?zYd>A_5Cu-AnCeKOC4ioMB{G{%21lC93s< zLw*MPi|o6UXQehx3=}E{*ep`cx>0q`T+dAnlJC*+#`Ng+U(;d^n zEm?MN@(+&qq?rfiJ0D&Qt=naFMDCb(?8T?ThTXTHzZ5>0R2C7=q#AG7d9_dH)xd7O zqO%57KZZ31GpZbv3|a#xHZ_i15d8dPw!Dhkr`$^6rua7dojy7`nhexKd)!1hMLZ=3pQy-)Pez|$aEkim zia;B_vx3iiV zyErcT62vqB^WM=OF&#`TVhexcLh~72Mx>)gPXfe;g7FeyKA+J>O&^5tTf*thIz&rEKKGR-#UC5C_S@!R%8VKpK(+%k`X;+DQOr!Mnmq&~ zX;OHpE=e&ppP_tD@aEg*U5{mjv~&x-6RNfw`B-0H(pIUM((Q66N{E!FmQ4P+!yxXa zC09x?{ndS(O$7k~VHMURG3>POo1=2EGQ zFs>eLtvs8?CL(q3sZ!Bod}v@` zZ1x|nAgXDk0#ubw0O3VF(s}P5HEq~Ff^Do7R|f{0x{!X^=JZ|kmcnP>sH`&VNw;V= z)T7Nl`!fIhjbcsq1R3G)qtQn~{38c;)QXr3GB|0ct`i&|?f+iLC*pKb{9~?VRXdD8Yh$gTrKkOb1%|`fZ32ym+AB7WgA-s z!P5AA2u4wg^_sUX05q}rpp=}KcYZ3DG&q_O@BA`a&3w1eX)Sx)8Dh3BwFG_=u$Q!8 zJ0h-K^t`vVMLR=xa5n$l*uY!&EsH!uT~d=dtZfw+50?Zw4i(i3cAtR5z9p8hqWQ-; z9}6?3)}ay!^|1R^QlE=Ibjd{%sMef<-x7FY0@{SG)ALVMUm$6BIn52^`rcuxJnjvM}>tCcd^3JG; z(x=^G;b=~2cF1>r9vy8pwszW*sftNuB0(1y%+W9B(c#BcXf3mD+-h*D!^GOJ>@(=m+%Mzbcg5{gtx?Sjs`2$6Z5ae5yKqi+18OkhX-2~PgcO+qW2hto@hT4kQ-^n5u33TX>)fA=uL#cmR^;hSoj zxbN0P|D~Vwr+Z|_{KSOM-4h-cb3~6(`^?vGZL4i`2yqXtDX1~f=GCE%wo*#fBK4)| zjZ28dXqwx0f9P%x5v}4B?tSv&MGrq$Lt5eC+7Y#DYVG^Kr)V}q>`>AzS-iiKHfH~3 z^DbH;h3`u7PpI7_Tf+>?mk^CCZNz zrJS)<9iLKhs%X(cv4bY|9Utjsgc9P!N_fA2x;ou-K~QVn-+lOtkDgU;X^-`Ig|LI) z*SnjfyY)O;1be@Ec1!NQA}WJO7dY+%=;gNfT=_+TEbZmD_hNZ_7S5`-3Yje2YInax z`@-SVUuj7Bk9i+4E@B49J@DKInI2Y5o0XTTQ>#{P*%nWqw!Kv;tb3l-_JpO0e*lp^C6B=zQ=uBZl|9%6PdvM^WY!FpYxNqKC=#m}uO{tvK7nc{0J^1LfM?+oRuB#2( z+CI5jSi#MFl5TNdb7pMKhv041L27T)H4?*1CsiM8(BoSl`7i@uO>Pd~QL$i7fNGLr zuMGyB7!_2s^K8y%g$le;eXxe-qHaKJwp_*KQi~t5o0WJNQJTBjUb-z{VM+>OKOfuH z-D-2T>?zf_CA+(5t7n32R|k)zmV`LZ4vn&HSA30C4#rqP^CX6r(1x(>J|UxVE#m;^ zT#Zd%-nELmgu3~n$sL1pT^CfIsR@L-$xuZXUp-J$d`>okCiij96ajqn0cZ6T9&evq zyhj%gWO1+8K=L_3tNwV|=CeHuUF@_UhDxJ3mKo>8?yW4^{n7uBO2x(TYHDfOQRU?4 zYn(WAE256YdVMUzv2P{76;Y4$dfn;LEp6=V4p_Q*SGg>L{2*ZJpwWRV5i=73JT;5X zmq)>cN4nPJV@dlx&M>iRHuaq#W&V$|1;tGCTHWH>!*3t|_}1lE*?lL7$5(s+xXQu_ zGiCe8N&(BoSguaVr+Y`3#f8)LYgV4{=K**D#nVR0UH_;AQi$#^A0Ho>OK|&RpMC`M zv0y_;SY~ZhH@#b!9+p9iTrbda+=-QF$oH_T0ylg4WIA4CM`A`*`bBGlkQdqDXs&t< z&TRVGnm?`hl}7mM7pXtY<5xJ|Pis-epvS@Irt9xIhl2lM>7)+INNB;#^{3LBrv#m! zC$+>o-_gkpi&Wh2a{eqR3_3ZBeL#29nC}IDi(Tjx)jRa-G@s@sYJoUjg>(N>TY=wT z@Pz8KO5*eqjGq~2e{5;CuM)T(S`gEoD|#$sA}IEPXvbZy2U={oKzX0g$#542@g8ee zqtnS)=`#vE|Me2R|8Cy(_XbrOUG~Ys;FVS>Wx}THW!ok`s`_oJ$97h19WHomxm)Ow zxrp*^jw^M1bCA{v%gGnIGRI3yC5q5GP0?Dhv5RE6GIY2jvWXV1@^562fc+Px2Kx7L zyklgQJO;#f9@j9k*v;ePtg{ll9z7yp32;lm4g?kt8f@>VK7-XOeLX!=wmhXqQyTZa z;w$kxZ6qZnMO&DIa-ov|zhmXM$;ODL2fF&{7vHW&3Xaa~ke~ZhH#(8emmc+_cZ+QB z$JCU)jSa=;V0P8-oy$wpAfYE)-g;0ycl7p#Pr#JmA^Vx_9aMj$WNMwGfJf#W?m;0> zkaPc2dXPJhmL4Rff2aJX^kDUY;&)(|{11&m9vzw}SxQ;p2*T8_|2;@-4V5Y^>ymZ! z9d%PseE|{0Pi6LN!hWt#g-{|W(Nj?+XqN`5Y$klYP~IKXnU{UY>*edqc@vV27ofqH z(*9`T-h9j$zq=MxU41#P#~jI9^DVK$A684>_boaQ;C- zA}V?mbeQ`SoOO0UcYx-t$8e+r?B79hWhmlpn2h7~&PDH6J>d!Ybz^t7*d&&>&&287vxJ$PQI?~Ckixu;V0qd zKd2RQkkJk{JSA;>;^$Z6GFr%d2~B_Qi*IgXePC$F%IXW+iF+{9;lm0|)0j4TBnTYj zo-si*%woO}J48~z@qHTi7XpdY;lPX`s)`B8RAN{y*wlsz$P!_Wv&#rxgwBXdqX z4(ummN-HV`?$Ep4=j@8B(!GxP<<>EoKq<v7`<9MZRLUdG7Pf0_=Mgkxqwvq}9hnrN;?g&(AcZaUaGk5=@Jmhtdp9Qn)` z?o*0R*R2BDmECr21H~^K6U`xLEwvVPV?ZY%)8snv@Au15_dgMAW+F87e(PrdFz=0+OBBIEK z1hgNSl~r`3j044|s#baU7hQuOd@Iwy-KO{HCU#a|L~ohHvCTsh%Uhe@|9>HOZ?|8i zw2kfiMeg1*0OhPJcqPE_p1Q@1LsuXoCid`LFMJU&NSb{Uz@c~xc`2!9%8B=k!P9U{ zrH!$DZtJ_|K~P{B++Rdppnz*+`hLHTg&a*49F+eTDMasE4wKQ}^mSz#o@k|9>mCFu zjtehYY68X3mYZ0AmMs%5JY$NGZ=w&TOa)--Qzfc_d_uP{z1g!x;#5Gbs;$9r|0Ou+p7LPNF~`7 z7aNJUf>#?{Iie*G+WgCg6pd!rB#^wSQlKg*_4D}*!uEK1(l3c$v{11~9Pj#Vdok6p z8`?adSwuvtzQ8h|{2C?2oC$1-DcDehwQkn6lARJ9f`Htu$BT`Os2caKp8UPr2?fFb zrGeN)#c_Wf!C$U;2HKgYJv_KIJL7NEy`DR|UQN;jDx7{2kNvRP&o2O|R^fy=s2`uR zE{B`roe|PEUy%O}hI>ZupA191ds-pyL5Qt>YKMNemxWWH(xd$E^z4TDbt`M{s6Zq} z-&lPK54AoT!kRVjpn!m8R!zi-Bh7LU#j|e04vizO#CBo!5sQBVIb$%Cyo&)WL`6gE$Ifl_y8O@jLgh&unvWdPLAq*alP)+0o$E~CQ>>J#I zUHx8S>82q0GjraLO%?+G63ss;eT>aU6T*0Uax(KJgVdUznki888^PJx{bf1Vf`26TWWu2nB@$w%lfWEo<)<7)?fpo4$+cP`qoiSn{BEVCRH;M*@_g4`#Z^j| zR<*8Pmoi;$dyzF;48;)kqnH{JJ1-_0yC-Z0_wj@jMl>V+##dxFjdKa^TI zXt(bE%4tBRM!wvEOb$yH1dT>w{rObQTu~n?@W9VU1%$zu=-~;QgzW5W@JL90a*eE8 zW>0Hu&_v2Oja;Q8{>VB5Lvbo4b@dRZdeC^gW2Y~&CEwY zobtYM_lKFapI0*hvY+H=Fdp0RXCYmK%8+Acixk};#+6{gh7-<;ARLw5?0mZ3Bcydx z<58p+$WVm1LDmKDfLoo+K`LxNg^neR=`Pj*5V^GypY?WtxrBGVjtyA|dMzFU?@GGb zB`Heu0L|s9$bi!5G`$+QuIUri2-wX?G*g5&tW72)SpSj zN^$@j4h`9Ez3`jUC0*#=Z2J1s+>CfXKZ78uLy$}XQRApl4(l@pnyyrZKUMSG!M8={ zr$E(Ca93_ri*@i8hj5l!#0nH;e8znREFdF0_`l0~mH$EL$48ut zuLbG>A)h)N_w{6CWY%;HuHJ_V?}=Mxk}ywlydOkL=Y@z2)N9P%HTZyN9}RcofXsi| zi$9L6{^DuHf1HL~R_qu)$_-1xcpt?j@`MoKJ6jDgnCZXr`XBr+X8tdU04p@}E1(V; z*|A@4C%-DCmBUK*#S#}d%sI%&)b#$F5d4=!0geEFZrBj;dwj~Hb1O)5j5&2H{5>t%meEeHAz;!QNYY0vyjA@6c_Wq&4Cq-1p7Znbe>v+9q5!?s#2nN{ z(A0e)>|b?IfD`}EClpu0INIUoN&yiO$yMpN5;Qk~k!!0mJ3HIzZbilt_U7$dmmmG0 zEXTR-gq?0%K{9Hu;G&Z#6wc4&ZwYrrg+;&x%+<}!(@17-ePj+gw#Vr|mDE`Yya;y~ zI?@TDc%)o&oFs+2`!byIya}veLdFEb6Pa>ZWEDNPiP+($eTdAv5NSi??q7*;sQaD% z$+)v z+c$3vr0wnPac_tcQxH&3ZF>2WlDdKkct^c9mU$m$?LMygbjfyQ1D(E3>?+7CzkJc1 zEop$KFN^~8Eg95KD0{LM?EZx_=V8PdL%CplD?2p>-kW{<*ng7x% z{BqYwGl=IEXDA9!O8Hu5b)0IY^l1?3gDT)2(|zh$&5B5`uTjZ`;2e zKRb3P&47Q8nSke_nh3E&Fi1(>r9kbxE#0`kjf|vF5(5%mLeFs-3d1Y|1A}tiGlW#e zFC^tx6%j-Ts_xvG?ynWrG?3Cf&}>Qf6|M;=02{+hP^+X)io!!=<1yN+EKsKphX6)Vqnbgxrp-9lLaj@-nkzhje{zEH5w1SnOxKkt3}TufZ3@ zcA@KFgVY5MQ$>@^C-b(7BIhL~)6Wd1YMdYBvQnkxvs2y@%y#zktrD$qyXoZvhV$7v zrqrS%mV6bnI!9Ekt=}7zg@t{(*F51bPH&VtaiTdQ!sdj{$-YP%kt!?M4+#bKKCwf~ zEZ)(%g&r{mCw?~xu4ONPUj)ck?3%E?SU-Cg?Rw%>{WRkwSi@ze*p3{ zX8AC^b%Vm);Y8j;+6654TH1nfWmf2WBD*|pOWkbxh8va$A^DTAjzYCdP1x*`S`Szj zjNjfosP3BJxs7~8Tpnmq>AP&3t4aeOgF`-@i04LO0y^L4LKoF^mlE>!9$5KNy=cq2 zJoG7tOC@}#;f-1GEiwxXX`)NU^a3HzSfY9#T6^=HQ|x7{jHZk)$c=vxvyhfRI%N~9 zsA%7lDR3^3`n_)>Wsgx}$DWn5p|BoxP~=kJ?U#77$ax!6wKQBlB;!5k7pyJ|Ym&LxjgCqZZ$BP7Hbe9t&&X4eQoR{c%$uAy(1gcye08)CUqlxV)F6N6vyd2_+7s5BO zu~+Vvoqt-;U$$NLTk+1G12+0wtkzms?2Zg+Z+S}jC4F@3%G0xiOPJ(T*9P7WjEb^2 zybH!dA2CPVsV4@9iR$RR1m3onCQRP!D#?z^OIMjU{7J^w1w{wl$8JW{0i1Pq9`)eN zF-$8GG0Pp7P(z~mO_+BC!|HS>9{zVsA<&*aoVj8J4cwm3BySs5Ule@~QYLVeKVOX! z45cZFFivTehs_b88B|)lnf0!Be^C{?#Pf%f;x_rkCn_G#x$ivi>eG^UjCqBIeMV!( z$)y#6(`c2G_K##y172Z^NxGG8}==q;B3wX7Sc5unZBRhY`po z2l4EfUw^S&8HLGYD=&r;;tW$0csi92rcjhVf*w!YXM;^YYK}Wu1>G`IOx)bHkxDf1 z1mxY%7u=|PI1bc?>tj!mS$Z?ja2h|a!RV2YOB;mo zjlI%+)A#V-5jBThi6+$s$|OL;PEWfaLZNaI&l<{g7H#~*)FLawamvc$l=S-`DRPP?mu1W-x>1Q~;?g=c z@1AdW=$sr@w#n&MNa5_}fQe>X>1&rnU*5%WKqxzGt39u=>>jCl9j zm3HbZ4GmeP0DrSgX>I;1QIuc@sb^tK?c=uYYaD>z+;Eu1HQ3MfQC zjN#t54_0KtFE`U2SI}lBbbmO`PZO2E&f&pWoD)evJ=;VEfoyWN$Hv5%+i5)58y?{J zSs*(ih9hfHfA$)K=2L$2?Yyb zn**FU7j~!%e;r4Wfuh~;D*m*2>2U=bz!GBLpF#=bz3mawnz*?APT?EUM_&fPjwmWw z6C?7>bCEaV2#H7&cXWo_56So_Db%>$$NBwV002q245-Qk(Cy*})fsEb1MH*B?G`=Q za1673i#_iAgo`-%z3;;wEbi2eo_T_^la@CR z)lj!B{GR)d55OQDj(L`w2W0s%~lPRTQIO5*EUGIukTd9xC zQLmg_gp^fXB~=p=qEf=GfIA~H5ypJfNxKEQ`kAtl zBYo9E#mtmwWJgrIkCJ#oTAjx}iHeT%Qg42)_^6PzcKLi@aBmlxji&IfPzUyVTI)FH zTO58T9_VddQ-MM$~p|+vMr0JFsHDlbwH;q0RzV?0) z!?I4$(LzSM?yRi~Uz#GFbn*GXYHw0P0;#ZoPb;L^T3(SRRO6Y?w{+!yt_lz0s>ls2 z!?edO>))c0>ZCuOoA^iXe7 z+(r6DyEjQsJO55h1K+Y^ccw^h(B=1LyGh2&%#`sFxrJ|I`8qz%t}+a+@qke}6d`RA z7azZS^KIxP^jd3B8XDxx7V-kNeBR7)=#X3j(a1Hdf*tCkVB~-z)qCJ*TwqxP8LGBZ z&(2olV`W|K4EnrYcP{y{S1ShY7d1&_Inzsfi83@`CN1W@LZG+bC019;`23jR!_*6D zv#iW9>HaZlf^HIuf*&*t5*j&9{j&sSzn0*(8oDr$<;FVNL&wfSZsEq|i}1VKd~9Mu zxoWR~BaxU^+NVpvXARhWPZg&2bbV$LWfE6RWvi64;raINM%}KJ*h&^k++a3@N_%Yo zm5IRHzxQdDQUnuW4FGDg>2-Aex+?-oHyU$)U%kA^q;|1xY5rL@XXVUN$kPP{1=(Tl z7<}7$QP_0-`BLE+_v)t@{`IeaTZqU0^>a-aU+I@$bZJ=NZMAE^uP8DLbkc^9kh!h( z-mJg5)Wd)MaVeM7Qi|WL_S1rhFii2+AH3NmJgytJ=3ZTt8MG3sfBL>3ejjX&xWi=% z0=rDE#lGyj@K1C4zy0!wfV%CQ?*9fVUU6b&pqdJ#EZgP1foaQ?z$wkDus|_AJ;r}D z?VrFgXg(2ACm}6O3$;9G6Ms)8rhG-TS3of6y^*ZH7XV^$HbJN+7#8Q!x%N z$NecyALid?P}f{>>iRUL^igH4_qd2c>m=8@){xN97Q`&%bt|*P-T6dz5l9E=W$)s=FDG z;uL#k--T-Qt2s5$r@q^UEsDAiJcJ8%?5lthOFXgB(B;CtX}+@`Zbbs1?Yxb}F{_Cd z8g=et`7rFqEs*{oAb=Ry!b{Wm#~VV3tZRs(9FMd`g_!opsUH_~cI8w3QW}Vt6TmDD zE25H6N4QfxF>n&1{$}|MtUgULWoX@Tq&3I`IQoHI9KQxTnXj<7A42m6 zB=DC(cVE^eu-sdiL&IG@{^yGjI8zdqUcl{tJez&MZWZ$iR3hGrf|Ne;w_R!xv3HM;7Jk*xNeepMR~a~E=F?!%r~I88k5X&yOy~q%9btwGxndoP7MYf%nA597un+(wpIg_r+T4{mf^dp3JLb}Kj>#m$wF}@5S~GcUx@DH z;r3yi|E)k%s%tdy?ZP{ynK(IRBVvuC(}{71J~T%uFG-7th;sB==>PA(0L#O8{M`_``V%BlM$vt4>u!n6OXzH5SP?!EqRBGQ&^LBL z7QguCwBe~TGERP0Ujms>?^2j;aoc4Q@4duS+yd$a26#125web-)QgWF6`U5e+>tLV zyl;#$LjVA8Lp@A_@aUjTR!bxz{o&YsP*ACEQxdg~nt$>koDU|!yr)hrVoSN82LJ;G z=g%C1f<^Mtf=JDmCYyCKCy^)bbOB6Yh4n@dCV)f$fH40_aiN+wdwSb3cCD%|EYAi% z5p{!q16U5&orwtvZ5R4b*&OK3g-qORwfRn_zy<(>SR9O?eXZLM&!5mN2@|!JqO1AH z99f>gs}K@QJjqFXrl;p$wNDCLvJfhq?DUB>G6bU5Wr*C)48);>nDBE!clpY;CjHGH zGXm;B&$|EO-riFWLqm^aGAk}o+rfqbdH1<`+-kat@9~Q1PLj6K@JhVg^T3#0j1GzcttFZU$qJUeGls zOM8JLbLVhBCQ)6;LY;B;({E(!0cd(ebpV$s-d&r6KpwpE^~PeLn2gNbg|krKPqs~A z9vWyDNnDNmo}(@4-{KcqUO`GoUQToBvVJ+lbKpOF96s-f=)aZW7>RZCGFwq<9q##l;rhxNFviXSF0w5nT?Z_u#Q#9X@r9g?O~tV z_|(16ssAIxcn0>M-W&ukJL}OIBq!>uu&^+D=hI=AR?Zg4I!~Mbh7}6t(7-8&ABTSS z(E)n;Xk1dyO7Ff@Dy?N&2Kv?=|CK0;YYyfnPkmMY84@_tPBmNiF-I9A;H$kf_D}8v z)%Qr>^Q~Z(FO7jA>7D%sz!_EwZ7)(`F1arv>TvPh zjAUaNK|3IFqqh)Tukq9s95 zilzThU2We>*K~%5EvbX^Tdbep4lX!=LcPu z{1&YU!wgD8qT4XWDLC`x4{nf3y?JIYo)OfktgoNIl!3M>Q0sP^e~K24c&PJ%sXArP z@^5>pc=BIa#eJ|PE*;)QP)pHUaf*yVo2Kms(5nLYvH{v^J1mZE0PD!uCRkU?XH_LV zLnJvjnt5pXY$lqnSt?`}uaLNlDx4cF?n< ziQ@pI302U~JDtFqrsW70_a(kcRmE5dZ!bjK55AIvH( zG!cLzG-!|aVe4C5w`7RE)Qp^FZJ+&MgXH_GXykFW0iHFf6+}*RcILops$vL}rRLlR zb#Q3(2(@T@7PK%_iM!}RAew%|ZJ9%e9wD7yHz6XO;|d`hkqZsJjEoe*;yO!g;5)Q#Nl zuEBODd@GtDuH?9c%tr2I^HXK<%gC46?(Llg2D5AX_I+(=1f+Hd6SPempjtm)FO|GN zdSGZM3%e>Nl`Nb{X6lwBkx0%nV~-tKtFt%v9&SS*E2$z-^Ej%&rfG)ZB9G8@Y~)yem7q2fV|26{rfeGT-6Ea zi?p>B0l8_q?xXj;d%>OEi8%beBI5#11E$?JJc1)#RSWfPq=4GqA zgVo_Fon4^&`ENq4;@4yYCJalTxALjW`7&}(oya*AXU{}IBAr&fG`RX=mEdqeVEEW3 z7XXC7F6}bT4(@amN(8_weh+3*l*Bcq$d2ydN8Gr$(JPEPj0_Bj8NVHF?VX)E$E3QN z19j$s@t!<-^t|~Pj3+CFrnYR{IJ?o}gY(@rZvf~OHkl(qCe0j1RBdIv^wbchY=Jg} zT|4|Vyn@j^$+Ya$K6VIYeu_a{(6Kqc1z=_mf`GF<;Owi321BsO8190URVP6ub!J1E z1@mhwG+)&*22~-X`r;mjddaR%4_DTE&RvFJd-hZ@2;cS$L4vrW9!*;Rq-nq?rYj zX|`my5dl;$JU^g{qr{*p(|h)NMX&+hBHh7iJTfC7nJF{w88M9K;A?!N5RDpZ#~%ar2!xLHG^pvGN;UVV*)( z9B^F0efqOQmjYq0j5XAtK3pf25_6n1gfGQUd?`Nnt_mc4QxD;hTp@AB+&tNQ7H;w! z-la}{$zi8l?7v1gwjE@mM%<^UhmFMgi9!Wb@=V%9D6kGHfhi7Le(J!1ILbi2efwcl zc;iFmAz=Im8=`%=J|FXz4SSHC8T#zvZp%E0Y{ERmi9BU^*!<`fqajwd)ri6K^D2-u zA?8CY+3kC^bzXln3&&mFSBk__7KhxP`$TPqf|sfkd-=ijjp-`4+*LFj0pC_f3vpF9 z;*RkT3OZkxw2EY3VgCisFr7Hjs(Uz@IObdwuTxs-^-p6Cz)#pI7oec))vK4|c^4KJ zGlTSGyZ;a*x=Z_wGFKjpEO6b*UpaczuHlIyP69_;mBYA9V4jni+p5H)N#$0#1F1{>P=Cjwp(zlu;yoku3WMa9hE>5G%~B z4#qQ3?fd-~uW1lC_fM-&s;Gbd&dTS&oph7@KYXCs->}`Se-OKJaeO2Jv0dW?z*MsK zAGGa?G`{>#rcg`Q&qfyL-;eoi#C9JY^pED$*jwDNyjWbhFk4yRR9WhCE1PY3<_?eD zvj<`7j;9{>bW>XYWB;$Y%msqY6z11jHjc`?VACdhif z9xJcOvGUUyj9z}vsr@2hOy$6-&kg#p2>lTpkt`y{mTAiB<|d^U3RAgCj}5o#U&Mf= zaP!u!%%k~sLn;^THm$rjd7fXd!T)E2Qt9uZc_&fwb|;W!wLaXVJE5fZc`ZxqFg*ow zrjDl6Cr_U2P`}(C5)lC}Q}rYjPB7nKK>#*I|Me=>O9bAt#5pP>r4P=GMMT|pW*>*| zpR5Y$#kKMilGXg@DR!yB-C=%e=ULURknyqSccX3lA1y$hY@5o0D~`LHT>q)U+)7<{ zjTs8BpJ|`!uFjgo`1r|z`gg{>&pKl)+g?^oTC53FbiTI{uG=^}jEqtSJ&)_Xcd~;{ z#xZpPK$x4|*UDs~lVDsWL@qB-8?cjT-vJIB#Hf{l0oJSwsMRqDEC*?#25qWDV_F(t z{P@^o9;=vvz8?c`9QA9fehf$@$|YoP+j3s)t^(B|UJyy7Bi`QG8C%Etn)_ms{iEMhuxn>w)@z3@FD|H4z@&g*0BT1uLe3;cFuHk9I#kUe zZS&ZvMDvP5x{sR}6LaM6xL_GTiOx?BF*|6+z4*1- zu^JsX6fsBG!6R)1hfAG4>Ly0nXtbyH_sg45CiM5O{qDlx4qFzZlXLnf5+}`jbn3Vp;hp(L_nvz@N3HDaX@_N;|5arGqg3!XD z0k$_7F{u|ay#v>e-Lx4GJ*@kROmTE*$ORMuMlJaqJrvz~d`>PdiM?83s06oe+(_<= zq>e8lk0%Lz6!fZpo*+ZU_{T!*G{6)40IW|Sbvl7{BE0bbdW8ZARr@-N{FsTBz_OmI zN}c7o@|wn^)Ivi&J&S!zjBjkswsLcu1!oxh4;0ae>AOkkPnsGIC?WM&>%9G_UhoV# zJM*Xf#B)z{2NP}j7HGJ68~2spSeKfdM)w^VmXDVg!|&*Di)e=+55q`{p6pNPQloyN zq(G7aXM05;Pj|(>6(@sx_(xf3>6YC*abP2$&3)I)tM!GEU(1`ehsb@si0Z53P z)E|y-a;;@@;L`yTm*}E~W#Rdfq>X(*+S1T((Brdf(hNhB^gPqGO{CQK-fNzNt$=dM zXWQ0`$eg4HM|MY6Zs~&dWvd7Jka- zo97G3N9<1`^k?%LR_b`#*>&m`@!LPqzLV$2-`-J;^A1g$fjo$za-dej3$- zA73{teX_~r3V2}6KK=c}<**~~e(n z3JBZ{hmX5^(LWFW^9>%A7(#Idm;=&rSP|bql9eOww>PG?OD`NBOLpPjUJ5id4tm3% z%#55y_~`=x8V*Ap_^xgM$x42KVop=`oki`*!v^A74UvG_fRky^~&&eID5D!ZPgxJ=8G361RpjsC%EG9kY7Qqy?!P&f>>@(*B30zGz zI5=3x`--@dQVp$H)_&>}Xtm=^-7jn)MwXNfM2V7<&Tp;E%W+(*JkjGBkodW%$Sm+U zht2S#M@>E#JuTF^j!AO7v*wYU>^JluY;NQp7?``TbsGJgs8Dbh5!4Cq+4ARpd3~vV zBmy~c1VGF1RNA8TYWvI-VYMvA;D(>A0r=AfC#)neeCj#%P`>%QT{YxV0T4Je#rbuy zCIiKytQ7pJtWQLaMIfHnl3Q6n|AE4(Q@1|cq`r$9^s^p2T`&nJAfzMSi(hwsdpDqZ zcqJ(8s zyf=Yf`6%8UEqFrDVbceQp(a6_4SI%pT;n#2M>3St*W86Tg_tWw z3zZ=j>PIw-<217Pa=}QXn=d#qF#UX%86*&(rS!V%XG76W83-3Ri>o1yUH+;v8B1N# z*#>ZpfC!9gVdo@tUpaEo4PwN-%>b~2EF>bef=5^1&KOC!( zYNR+EOB+ZD~RyAvuDo|7*C(@@9%d})T=jBJ=-B}c%i2!f^Plhqn*dD3}xF3${n>bF#U4o zaE$(!quFC4_J_UzYZ^=NI@YQylv>%(9!g?m`&yBZV2O~xq_fli8 zCrVxRxx#y>0(O0orpv%^B;vu-hzxRdT5f}V_zhGMLV5>bh)TIfVWp?!_>{Ph;@7dv?QGGQpAoCoT(xWakDvBM zg$L^yMZ=SGd6&QOdki}3)3|8Zf81FZq>}^ZKu^z9z{HGQa({n6CMge>0dF62niQC7 zwgr!{F)Q>fKJfc+E4rPf!Gf$ME?f4h8ROgPe&O*^Ut^h#QcOADYDeI>j z*N0^nG!S~Vvh8zA^2fl7h7c*dl}F9dTWwK;Eu1<4IPGaVgCV?l#2MvzIAYh?vfw8P zY$HU-Z>HJxK@F$V*4Bgavg^p@q=JgsFNoQ{@_emK8O~`_GuAUTz$o3t`o9{)c*DE;4^=(9X9864Q$(fy)3B&`lqKJN`hiP2Q zZwRFUdr;v$C^U+afYnho{-ba6m8{k96I_(HE&TMZ2W&QVKUwo^ul-RbO zVd`oHISn^c>5aRrFfDWjf34E+3p#rFj`7L3OfFWTdbq@xX`nU2EQoU@C?N91!^<({ z@klo)Eol-Jv&Sr39UwC{eS){RloRq|S8SU7k&PiYp9fs|SzAWo_q$M7LU`>uk&Wym zFtoCd;B^aS~ zPb1X)?|!4S>)6)=C&lkQHc^1Vm5jib_Xh!fC%K5>l~;P|wHPy7gB z(Nzyf_}P>dy?Ia6$vG>hZD+D+i>JqV*HDgh2ifd#7B&w~w;=7<9nEbL2Bz ztO3|MtrpucG^DL?cKs*E27qG@o&H@{5*$F4qZpxd?UH!;6X;Nk8sW$HLoH|ee&sIkG@pbe8z6AMLiEB&enGBPk6h@VOqciDmmX+EoL4(IISOfx zgrwvg$haJ+KJW?VYHPG*SCa)_XtUhU&TfLsCATh{OZ^;rCCid`P-$6peFW5nA_PW5 zM-xKV1+hh5PpR(luy-e%n!GCN(i2{^PNddqd8#|1P0gRJS$?5p1g;FJ6G7yCxKyXy z-&zL!U0X-jWX1IhsE(jt#x(}`qz1_ON%Qn?G9;28!LQ^`1w-73Ww_&N2;9(+Q07nl z`Ab0!5-`^@A0KdZa&D6VbjW1BG|g(!TrVgSX657*8y02AyA%b^0)GP$ff_ip0?xcy z27~er;GCRgK1fD3=;82ZhUSW_3OL@$4S&uRvc>;-35)vpUt%%>7yF^LQXG-(qsJpJ zDctz}hd3-~&Z|KB)U(TvLT!&$kexRyOSNrV@m!NFqhT^~yL6f8mf^?$#?}5UE_3%1 zH8k&f#AQ@st(_3l>*Ji|Om{w+Zl+|Gd|SY1sKNulP)QBHN=KxWNO2m}Qu>5yJ-4;TT;)T`Vy#C%>f(LaCw zgmsm=lsWEhZ!JftS3yr@@qgo6lW(5?Z+z?XN3HQYl$v7g03vpN&D&u5W5U>d*VA+7EE5D3H2pV4FU3>RB{`k0-+Ch|f zoF5y~p;*E}cZ0hTX7$@VD9UNT%*#s)b^@u}vJX4M2t*D9T_U>=##xC;Nc5xsKo1V6 zz7@{~8N)lL|CIkJAr^vvNbov}bMPT5+N;f~W2#XBZ<-oYdhi_SKj*2hw{DsmO>jO@ z`Q!VC2)*oV@2aXMpMGP8LwQv{J4v`2T>DJL%SqgB+E3^NJFfl!CJR1PWMv@msqHZM z@hi*Gpw&-Sd|5~k502SqH*VPi>vI%qQ21D~aKW!UF)1l@_^&7YdjRSgY7*T7dWF?n z_hD%8HzlqLu~67q>}+jS`ZE9yV*OK}4N(wEBj_cFj>_$ZZljKcozq=ar!l{vKnuhY zj2F1cy~mxgh1@$1)y4w?ir0`8TF}@nsMb?0ZZ~71H({(dV=e#JN4tj)GjBg~{adf? zTxDLYYH^rI#Ej7{4<<%A3$6 zrBtE!(i$v;a)7=1&6d_f7}zp_AM?WgfX-C=Z03!Snfx^}O#yM7E{ydUDHNCBNA;otyq~8fWG2<%=ZemH zelbD%v?*A>MM4xTxes$7LWW?9kJrkcCaw^NWVFU2&C7f!0bK-5(#dexx`#hXa|Bf# zR$fxlCz{wae!2wkK~c+ge{PQY@$MQcFqF7jYC;%18Ksr3b>t+s<4039*Tg86Tzb69ZP-|L zwt&_wN)JGmUw#vm5Gn~*2-i?7(#3|&q}BUjvn+j*>WzyE(zg({X(A21GY{(hO--1W zyRwD%5?h#w#0f&TFZY8tG9Yx@8~h}3aL}KyxMFYrHci%e6fT!yA%uiDoS!+jTkbog zx0V8sdjn1m?NKtDa?(fyDwF_$b69C;EWOG+38s8f$<5s7W8FD@@|N z;%aF6$))(sWwD!CJ&{+XE6F*|>3SX(lX@&~rW*O!a4NlpOH%z6cc8<}t5;3j1ufZ` z?3P_GwAQUz z^{2nc`)DtH_96yT=jT#k`YYfm^m`e2MnsxHe)Luimg13k!nR5uQ1O&-oWiM6{_y71 zyVkiQ>Yny~ye9s})b4qCd9F7$ZQLm5%di4IzxlK!v1K3E6 zI)Atme%^9Sum_G3RDl5)zwT|=38oO?H#1{B$6&yN-(_H2L0!Krn7#Fa@x4N-@ctw^ z-SSza5aaxk^AbfGa|l_?k;f;E4jznCKz3FZda=6mm^Um#i_Z}w zN`}Lig*KmT8~u7%@*j?`FN8%#KOjiRv0)LEovQS-j#%JAMveJ)uQvZR z)>m7We@yLsy)?MV$eWWvdNl1E&PC)R{SBQ}>Mr;FsJ3iYfO=m->}+?V^R%I9Ns(uS z?|%W3532(OY~q_#p<8LNPV9sKBDN3OgCl)Jvhs{ziI+#WZ&;h8D+3O_QyVL=fr& zgRSJ`-nFfZTIu2tXnY71>LIk!Cf#kj#v7eXy z>(a|e=^@7TwxRF=eRN>&Ow_(#A7B zeSO&hQ`cZc;o%?C9w&A`x0;4A>o=6?%(*JK{C?`X%UTmEixMfZvj$LXy=k!uki1fYl76W|Sh%Ej zTcpN`N;ytWscb##v>tOtF6I5sHyyoyUDqqx!N6MLmrgG%lpb=e)@!^^64uw^GT{LI z>|vK4Qn||YGHXI9W)X>QZ;p_6X|y_J!*tpm;;hx}|MQ}ut9KQz+X5{0uB~U%Zel2W zQYXOjhGM{tf0zUrxh4V9vv!Kwgj;oDhAGDN6_<`G#A^_@Bv5tK_PzA;hK(pd0|Ns! zz8{Feu;~^60&N!Co1R0Kk%BcKCnpC@x(H|Or%&Mkiy@>Fg}}mAHT2AVBFm5!ihD6= z5}M+mM*CfKll8Ej?jwwn0+l8zfk4FQd|wW2s*tr|iD=#p4GqIOk-hjVlL#jifSPAf z;|9kD)5xpywh(Eh4jdGH^mG9KFQD`qS^UVh^x04JbQ=^5oDWM`{qV3EQd&pOCFp*x zQFpYfm?M{Oc4BxqlYM5A_4Mfom~1Vt-WW8tSB>-E$!ZI+7B2Q(BghlD@$=hdP{VB$ zx$o_9c@xKGDNi}<18#0f2EI@BAx;un;jMOU9gSfUn~ndhNU`Fb-W3o8=fJRq3T{F8 z80UU1w*nn;L!eb6POZ4jtDy_$Ohli%n&Trm-ANUtU_SGYE&2ScI(i*zPvF2thkGK0 zt#}V){{}ds{zjYO+rt@0T$Ns&Scj*6`vl6xl?6MJxDY0W|sE? zazqlB6n1iofKOAyX=cny{`{JCFGhBc1lf;U{2ow_{mg#t+xxNBH*aRU1Ma!_s|9AC zEkX_%8gxt%i=Q(lW}p%ohnoEcV!DBYg%LUPNW&ou3)A`ie zt{X6Zda8fN)O(smN+1bxVpz%{Nn#UH`tuqOkS<$%f4^#cTX+l#EGX=ZuXix-x}Ps4 z)*fJH@o6hnS?SDVF6GuIXCw{#Th07UTU)pXdZK410(8xCfftkW0xzzLEb8cBs(u|n zbMSzMYT2A>;9)8%4NjR zUkv}ebnYyZk7>$n>i<`B%rEl)*BsB76Oz87X{dM&7oO4#7T4@ra|qrIs8@?! ziEOW&xe4`p+xb~L^RcJy@VcH~6yo=WIw78O#H_i!t*s*#MYpRj&b}IP#3`OSqKFm> zP%A&G&ZT|pLqp?(acuw?D9&KkVX8`A4Bw%U0`42I%{D z_Psu7uc{5O?)Y|Dq)$(cTFwaClqRO<+ADI>SkgvHbG)>ewe7PB=DDU6>$fXsx>kAc z@DCtNRLOb!o+U%S)o&w*4wjG@O6A$5rAgd8@*f9XP&Z2bxp$gjr`WCMHoDGvxg4S| zZ^3mzB!P0=M#&z_&S&11*Jzfzch{~ca!Y8{8mBM+RRN35foll<)0>-Yffj#Bze)t< zC4-rLZITPyL)I*Im2T>@XG$@In0fFWs(SYYOlg(#=)_i*NZ~fF`)1EPE+yWk+X>wu z!Nx4^&}F-<6Ek@=$c|1A80!0~q7PE-hWDbfJE^p%rI|wa@6BJFFSz!GKe0Hwh3fXb zWgq{JJqdz^MX=Ov*qG;Angoz$Y)lI>_~YpScS?-b7T)MEwEZjywgtTK17SF+`Z zc8)P8K-Locb4iN5e(2RXIU1aU$7npl^dQeQ^4MkD+n)0Wv|)0Rz90tm9xYDV5o?b?v>Bm@dId5p)&1 ze)dQ)>&SG_^tYYZl|)J`&)e>Q$)oQ&g65@icYSi`E>YgH3!VS7ABmUt;>FJbW^zRf zizJP6#v13PFKdn!F=k$Lahj8O_Rx9u)7ZfwtwdAJ!Pr%6y!vV-*%zjsaGC4OSBeBm z7@|=5Yd!1mu$WN{@^*R+$`9yO9I8x~%EN7%skbG2&YvgK12Y_j7h$`gu{1NWmf#9@$1R1YCH7u8Vo;O1qaEr&| zjy-pJLj*UG!;`xn=t6p&{b`}M&C@ZxD0VvNIeAT+eq)1L+usUE6fPjcCWdsqSQY(W z>Wk`VdB(dZj$3yYQ`QrTc_^n2EO2SKK7Op!2v_lb+6Qo%#|b0A2UM_uSxeRwDs#QB z$P4b)Sg{ZU+seJc*7!WL8~62`dUnw{^F?s|xbW0I=Z<5Xd2c^=hq}^l-Aa-@SlNC) zZ_Ki+a~%s_@?R?ed$I6>pL*A~#)WQSvDTc-e9`c>c29x5yP{r^R1@y-eH|Yje)jI7 z1Vx5Fpex_C=*_oo*gzyV(io^@*_z*WSNZG1q2a9sVG>BY+8n&m4w64Tc6Zfyyb)>=f$wy>r*3oC*m*=8uOYG zUd9cPLMiv|WzS`hx_D8RmZ;{Z7>Gor%F41vpOXRKJ9jT64BVzeX=eRX71$M$)a;2ivhO0&^!^y~5b-iNB-9cgiP?W#-q1WeO} zL_?lETEMvP7#&ARLo;!r4bk>9K*!0A6nHVuG4A5?=Q=p>RWvZji1t3JQmrg6?Y(7q^&Z|(Kg}+B5pRWj z`4N|@4=n3x;RAqu#Z;W)H8AX8%(A;Gw8YyAS?g`#)+ACX5KMTsY71&rkKeaE-x2vl zsOQ_l3H|j*g8@7FrklO@kA4A7Dn3b&Sy5n0#h^ zesnj6L-#8nrci$KFyZHZH6=NstGi~7C<)jbiQ25IsSyt>NR2C6}>rVg{a`{jyNp_>KV=x zCsf(hlde28hOMsZ2%r<|6Bl4r7ExaGn=Sck;l;U^^n7ul!^@Arv4JM+7-F(=^=?yU zo7oIzQlgnQXYRnYgzUq|=OHL}pNbO?fCuv1rJQHYAc)2OpzSBkgP+2yE?piP=j!r; zVWZoAk~xerQ={Ej8QCC|adI4o5fPv)LX{BA6|2SQN!W9Z*p66N#VE1@A5@>1>JDjq z%XNTo>k5BxTvXf-4=3J`h>{7tqvqr1H-)P32Ymv} zz-fRb-VOm6B8b}5ec(J>jC=g92AAubzQQ4%S+$=2=1W3Iqij@`+H{f>sx2i|3SImLTvAAOsKf*vsqc*iD`e?#@w1S>g! z=$cqejDD{3tb+`<@F{$+M{sbs>dKxu^ASvbEJyc3-UZhDyzVo|6 z$%ny#UM?EdxIQ#;oitm{oRx6(rOK7!jN}HZe|3R>+ocC{oa-p4L}|V=4P;VHR*;Fw z!NZTT|FuGNm_84_qo7e0lijryc{U0X1+lY?Xjsuw9Aado-Rwf_#R0to89e{in-7v! zd2NKocl*KtXdl)8SnR)FJdfm6IT~zl7&HK-Tq@T(d`BH{_^pp0m$cq(|DvG?z+sRt zf1Gt6Ac|Xk|6-K>w18y$h(A=yPy8W_tT_3vKl5+a2}s(fOufB&!UVV$e5FZzMdeP` zLGbOwU-zEsDukS?{`s3T7#3-sMQ-dNBNNNjQl@ZG!I5M=_t)ObZ}?UivNo5s-zSIv z649$XoPWu-<7yM1GVAj3Kqle=>B3@3rylI;B??mz-&9FyX-%f=ImaI+KNvB`pxBKv zQ~37ni6rXj|MjmyRN}f8V#ju1A;{x$Mr}IN z6{v>j%%%UdKQChmk0nGsVa5nWgGcs$Dl1&xu+bw6Ls4b#YFmq@Txac@e|e3my}u|j z-2XS^F;gn0GkKm>RSI`MeDCWMKzz+GErbp5<%9y*;c_a6L}y@)41~?b+%zH%00)Qw z2pqK_fQm4~?n01ymW*rbz9Ukg<$GE*ck{_Sd?-87FALM`?48tW-Xw9T&Niwj^$*|s ztFnpS>3Xa*0!h4hu6Y%CdTV8S&ChxFRCd%ECmM_U>x(A_+~&HA{t-GR z-N7p&QcxlzvBY5yp`QE>Z5U3w(JFaqJ9~S#Ed+fA0t;OoqyA$b@O4GpaZ15OXI%6z*|cfXo8+~d%0J$BOHXGGzchTz&cf+y zUqXP|>eUo|%`8%lSLS@R`D-GLN~lQsUstfxQ+Lz}V;N0k>dPZt-n z9wv4!s_GFW%KDCjk+HBQy8vNmhn2o~mqJqybO;*kXU?fdkSbpEwjaQ!VvItfkbR0# zb#Fw_rrS(=-P-E6BVM8+lzk`-K0&>n3X;V;B8MpriJXMKIgEtQX{3tBc*~4{j$d`A znl^3s+IkE(GF8P!Z{GFNb#nH%F0`BS?RgKU^aO0WA`awC7biXVa947`$*DL<;PE)~ z!!3GcoyDCkC>gS5lcM#$yqHbee^!E>oR;O23-j=BL`bk8p~SCy^-!m8%aQ+BwCL^; zu?*VO9(eZVb!QC>ej;`fR(B?vpbWiil{^qLlhEs-5Qn}CZ7r8&mqdAFR!2D=BrJnm z=vD0?9KX_($Idw}>WYA*r4eMKCEtZ_X) zxiQork8O8TP$&@ANT{kghzIo8?MZ88+n@Vv_b~}Q(eUSfeythyHwN3*Z{e$qq)ArE ztnl-u?sh=KJ3PFW`ad=$1m{)4M?73zUx15w@dC{*Cbr?)zQRqjpyyq&jrQn~Bc`99 zE`($|+;$IZSrE=C**g;Tbe~qm$-|^qhCY6-Bp;=x!W^ppFQ(287^vEwod1?3s}yBO ziYQzkrlfW17za~}+y{YmJN#$m?Dj|qKAAS`4vgiVp+34W^sCwBhS`@vi|+B8{htc^ zZG=ag>-{cUUun5{)k;Bu2n~$HR2vK*NpvY`j>RQ6;sM{G45z@xTWUIRS+>0&0hPp} zFT1(V%O&d!OGkHiK)U=yp`NT+>T3J)mcw03U6~e4bs5K*r_|g~Xl<&8jvgWnrM+BZz@Uj?- z8krfgX64Y7J9)CIxIVdr#$i%Py&=^yv5ZrZ^Uj03xnZ@GYD2{Z5B2*1Viz1XhE5bM9~k> zZ^+{n5T1Fq785fSW3usEcfAULFLsCnI^;H^K7Rv-!9_4ofrdDgz{NYLXP zI(?I)M7#qTA|fQ}M@wNbo8n(_V>24*ik*#phLXwc#*g-P%jq%0I6+jE@!^|Dy7G$? zqwOJQ)v-sn;8HPsG3NVWliGM@c6I+Vlx^F$y@Ubk;zhTwv#;y?!@5cZByH%nhp2DS z1ha-7HOH-ORwvXuKl02;4LCve2y%8oc}cjATX!ZRBXM|KmS0+}|1a z)+P@M{sW*i!l@c|s%xy?`XSuo|pEFaOTAp#MaI8c~Jez75n|8d=>eW>RMV=;Q7goqHGNVm$G=oU9 zLz33fU7JszJ`L;g^}t1GX;vUU(B+mRN`}_fX*cFTbwPAR-0J0-fr+@#L1RU`I{tOa zgw*|*v2B}43lR}hGJ&WG;(LFHb~x`kWOE|rG(yR3NXk$^OzGK4-UM}b1UY=AJZAAZ zO~a4{%f`P%U$3(HQE2v|QU@aNEDw+0DGq?(n3s!7{|)usZtmWdk=5Tt8q*?9o*V7* zIeuVj&W3?o!IAl}sH1(YX0KJxFZv@B80BN68^GJi*670?QIDVnp9yVep5}XhP;jy; z>!l^0c;`&={zR1LC3ftlOH%&?JIU;f_99eT z9SuDg2xF#XGozAf@5i$PgLs)e=H#?6~!ee^^#H8O1S0A7C0wYQ(>HylnS zB>q8aqjJq?lm#V%ZxY-Ys-&8=z!@6m8Kq=WIBz0J>(1S~BDxT4k1uRih`slh{Pd4m zyAt1x8!5ueDXO+D@LtnD(c&WS7(w!*VU&2oi7O}KVXbz05RKhnriC1htZeV=)2H3y zqMo|{DbtIgm~#YBRRI7GRBSa8qerjl1F)E%pBYN^M+!kIpkfCe#9%^pz|34UT_zvb z+1XhntT;Y4cI6!TOCigr72A-<#PmSrDD|E_O|O+XIL#3ysWi9x?AF@By_`f$rm~F8 zHyq!Z2#md2n`0ktYSO6Z1A7v1OeuD_ zy}HqN&j}qdMha@y6DPzjxYDQ^)P1wQ@}vB`Wc}a6pn22F3h9pBU=SMgpp7VSr}n-Y zEPGgrTTt>MXSJ(oXB2H)lUcD>QvMs?iWdU43P+7!yxj~3g%I1ij_YYVmOB=i-7r3s z)gyY=(^L28E@YHKlE9WAgUG9IS>Lh33B$UxPK;sm+i|=soZ(59KLDHExVAth6L3Px z@b5MzLG1F~G!QF5jAelMb4be2t|9@^&6kQl(|YLd0Z}z5m8WWYT{aQ*U_DPlhED~8 z|3%_17y?20jA#N#?cN+UKVvvLDo&J@uccd#iuyxTZv3UB#PX<_$ZpP$UQ)j%mGcTV zZf0{An%$m}m}07_`n6X3U1N8Bve;{-2xY;OUe*I%-!E0lvy+DV5+VeifA)Vjq%`Do zAfho{`J~`p5_h~dN1uj6N7q}$6@g;ZW^D3qDKXrx&{6l-SIY2@6nrw+Oh0#fdf{2+rGDJ&e^v*(_#vks1#Eh}6(fPR+`$E8{D->~k zG(6HIpo4#<>vryWI=j3!hjR$n;!o{jfDjDv0zAT`XCWa8b;P)Y&DOUn0)l*OIL>Jv)3}uU{p< zcG73swAl+&ii|dH^lHg2(HbA4xawBCFc(shM4GlLrg$grFdoBY@d}|z^;r`Y9RD3qr0jd^ckDhQl+z`A4qdY5MmTXGT%FKvZ!OIwzyIFTlj$T zRqhJ=aHF(EAPml4fIVSzp%3VY(ND2{LXK~*L7?OO^;&QLUTMN$f88Ld{>|35zlMR# zJR8svEUu(D+jCS1Ar5#xhD>`!2%OT4iRP^|XlJ*?W4B{m68l{!Sy^JGL!&ORLu^5Q zRU^ybT^Id=jP;;G-ov)>zRt0gVDu= z+{p?v2X|IK0&Ti?-CK{hyetk`Umc>>M9~LI8fqZC@Ai7SZR4S#p$#84@;rrN$<@p{ zQxXY4Dk>^WYCt}j6P^RpYD`_U8^)JLa6>sf#7E-nthr3@=H`Y|&8E>L-Eb{Z<8Pe$hbF;?($(%-9b-JIF2JA zX?Ugh7-ECNhr3Wia@~_fNCj*r`o78yDOX%xq+>aTeagx@=~tI=b7}-OHTbB`ksdrK z#0mXc|NLqh%RMJ;uRAlFb3au+L!>UMgByJ1jnNU7Kv;3Ll74R_<^*9wLkGarm;b0b zW0uJm&z%JhD2a=KK_qP!S+!sacd}zxrj8c%BCeXrA5d}KgU{wmX(@OXu%ScE{V^l1 zA_6zW?8X%fAF%DgA0BbiIHXV^M!G{tswf?WHWG`fdmD<+gtyND9WrXiK)%Q8QpZ_E{B&t z1DOKFQXRAHHIWAgD=9oZ;d=%T96kC5`E@$7`S!;WB&4Kj=A$$#LF)j2A5B8Q3f|W> z<%iseZG)OrymOGB%!q}Ez}*X5$+u$M6!@n4^G47P0>gi8UKFaGIr(^-_8^hpGywP7 zB)~CteP>(~9U5lY<35D#JVx%USop;7sQk&3UNv!$7kHcH#sM!|{;5@P9uj5teWTCiebIu<6?DpU7AuD6&Tk1e4XTA7dOGf^K=xSr0yK-qLTb;rUnRd{WI(xcj}XL0I#{(Q%9h#x8(7N?czuH%>= zH@T=~pU8M#vF+H?im2-Wyv*x9u|KKRdL1EBR3zIfbTC}UUR+$>Ws9Q!apl#ky)?^BpN@DARU-OYbj&HcT*QUw55LL$OOZXIv|5WY7 znt`;x`o804#k+#!*L|ikl{9nOA8yj!>bj-y(eBZ6(J0jvJ5=M2!G#v#C8`zt{OQZF z!MGg9bkpLxL}-7F!mk}?Jz=lFNmCuKmZqQ-uV6hO=R$sLEsx8VxSt~FaU*gxSaE@J zEYh(d#lGI&3_@^gwIU`xU)oqyv;f@*F^f}J6X5YR+rO7@+f(esu>f3Fv#wBvr>>Ih zs$a;pYE&P|x?2%i45eh90k@GJXr-9gV@)Fp(qadXlon)Aj|_(_WK{fc=ZG1#cVLAB z#-~k(;iQJi*oa)bM)Z5V^ho?1#n_iGF9CmSTM+5;5D^hU)$C1w6qe1mKFe$G)ZV2v z_J98K2n^eHtZLTFMP7GnUVgsngA+y;V&N}Osb;CzP3h@eMG7M71rw0B35%(L0NlHv*^4bJis=1|--lOeZYtC^0nq?txOaps>*0 zAEGbpJMWqMSIPMcJkqGg7cJsJ(X$4nl+n_kI*|iTMz`j;~}^qr}@w6v**c zX+u7qiBq^q4M5PBg8>A798LoxA$QTlEo#RZ7Nz<%&3RwK-1Wca@yl6QRP{h#D1QHb z`k|v%Idk)K5ff`SNwDWG#G{Q?TBwqbXYTh327$OE)Bgq{Pr;9dDJ|09QjCxK$7LmTcWcOi7x z42;BeY-{35FRJSI{CV40%*y$pzH}N9u4SB`PmolS>HAP7@#*YP$Vn#1^-3;g}ib`CTQ9p?BhtZu1?l_Lp1Pxjo~8xhO~{2I7G{}U*X@C);HoT$I*DQ;-)0jY~c((b-hN3V#rqrO76+Q`JjV1078 zJ4a4kuCp^%H^Q?F^k639@TP(GI+K|^8Y~ntZ?^?~jzporLtm(e!!Swn3l{|MiN-{c zlhwJD*sYftZn}JC+=BSCsatIk+=G`N@nGGgeL(=bCFjFKtq>G#F-X2=IhvF5y6;OtD zH|98B(c2rY|`s=SKOl?-M8|`eVJ(%C3{N10>Y&q{i9jBNW6dqxo^(2%I zvrPg%Jce9+udq{7*gV2^$AA5L6V(rv&B-apZnuLP`76stMLFPjv(e9pBDtU0rvJ8o zNW~j?KP1{!4;_9x=Cg_F#Tm-|`^BtM_|3oHQa7WgH|zcNaqW1|PU)!1HS3}(@2-ok zY-u_2Xol0g?Of&!@PJ{FE%&_&K>d!5#WIr0k=Q zE7|!TSr-kf>?S5StJJX{DTQaCZ$}~J2s0xx@_}uAf*AL+?ngx18aS=-B8D;=aMOsb z*#qMW5#3A#II8ZZq||F+cTkfIcWzV^#p_tW>!{r;J@!aYMqQ2u3>#SEtZ|Ys1iv-gWKL{QHk)}WCypTSQiD5*!Z#f|0i%02muJ?@!~N(=g~JDgRIv}JIW)iZwy0#|o(slB zl;;kxVBpgDLe&MpoOuWIxV^|hD^2! z%uPIe7~%6p0%%u|%G;_jxm>5-%EOWxD({ye4FN&&zyEfH_D@V~N91qbz931%&7jY^ zpA(W$+T*2Rn!#6diLpvOn>e!e1w+hK7bekP_gJ0=_f*m)SXCHGw_aSS^0yErBgjHf zVI4yb2M-+3Lh3pvr(UtwaqKU|p7GLnz~F(mjva_d-B7glyP?B^IRRUNv1O1}y@M2v zt*7SotRjjrc9QdbgiVXxc{-}R+p=ju!`J`ym#Yt`zBpsFc507|5p}30;Gl-t2901f z#f+!%ZPc92iD>g6IhaVK5VkEZ%p)gABYw8KZVk^#lKE&v1<9Rx;n%NEu(2Tz18GdX z2lt&a2|QUpfZ4OM0&WjwN1>%%x5a9DKsk;Jiu}lV+U>H|&Yq^tRX)G2*ZK@D!62KU zaDTCkgT%Sx&1FT*@E!vbjZdE>@(yz-g6SW)xTtvkY{ z^{IGKsIZ~LaIH>)N`o`|@~3rE71&*0htBQ8kdsD24yZT#d$?5v8InP^J!y@mCB?-D zNV3i3?Ls7f2)Nw@xFI?@?<1;;hGUOt1zF6Nq=K)kRGsp_!P&W>&GY#^~`Jt zw6(6>*hG0%p2qV=Le9pEhO^@d&Xm%`oma@oApq-ae-kEy2)XtX5lP56tj$bWIeuU^ zC4hDAYc*dk9fi2>)FQCnUzhj^R(A?+!-^|_^Krou>G?zoJq`Pjv<*;cyc;bQ5E+J) zJx^YaX8ggp(7L)YOyDj0^bxZ%^h!{Br1Z%r5o5dB43Qw7^|%I64U2CpKiUMgWu|f+ zZW@gJ-C2JihwI9leb~)2{@)O>BQ;lbfvC}?JOpKS%F*oQkK%M$wZ=G$+aYcGc}R#w zpPnPb@mep}sPA3{ch*KYl?9p)4sd8GJQ9`HJ#)vS_@ZZQ>lA`6f>n*+l$!QcHde|k z+PHBeo7N72hc~gJZafx<#;CRl%K%m-_ucII`p<|N0FlHfwjnsve{ZPBj9Pv>-*a zVFyn9R?kd)Vsz1vNa5KtbPgkhW0mAJG}xqzQClIn?YVF@1gUMp7x;ZwhFt_AdWirX zFv`d`cN;X*jf9i`Fj`<^t$Pj#ztQVN;k8#YcBU%;>fVx8j|3!yr=bNDCeEHae5Z=s z$Kao9yB?bQgkD&Sj)_oc1`CaRKBzN|tCPBNL^=X~DeyW;F9hR6&5as2Ai>ZW8SVx{ zh@<~)`g~Na>7+OT^o`3OtR5B!&Nw=8O7eo?m!NB6T$^blL=Pj(+wpTbtDKw!M}8g? z+%0L4rE}?t)i;Z15JN7RzWOs`?6i{4^Jk&fDOVms=G4-6PGT#~6&B0hf!bJ39-$Fe zTy88PBE={#SMk2JO3b7{L6?U>shtNWEbJQn(eOewi0NOP;?Reiw3KwkzZ=|Vfh`vn@>+9+jf_tgNh*0wOm>3@i$&niBOj{_d`> zPj{k<269#t@-cA!<_gTr2Vu}6;{b@rW%KSGG&Dx@?yZ33I=Z@yc!l6aJe9zy72+n_N{H6H{^w{7=$Vs}@CB88GaO! za_~G0GEna`qzK%jpkT^&@J)rXRnUQDVbWQw#HY8CSzg0F*Lgu*HCt^rzd}!)?1sN( z1U7C~FjF0wpE0WY7$|S1t?=p*ZDfPg*#&BJ5x zN>2E!N)2jv+&ta{D77>6CA@q!?Zb<6D60{N zl>K=dc%%}Yo$qJQ4duSRd-YW$Ype6n%U)z~d$Kkm`w+il3roVk@jzEG^8)R8uBvsY z!S4nFWN_7>1O^=4BC=ez$!tYRLI{NEfF)gfppTT9|2PY$IF%mYI_OsC`?MD@)#ch$ zNe8YKBVTbEZiDZ?r(KWY_;4t>)lfPtl)BzqOvJvG$ekDHfiJv=D{fUoQbDrMu(D|FW#6k+<*Guj~C)n_2 z%-q~u%>f=}<3}DI)im{$Mih~0LDzr(x@@QHhGb2Q{?V=>aPs)&VlybaeS?N?P+SBz z7gx-Ppu3wJ*x)NnG=3_U$P+g~OD{T)#;2doljj;bli93;Bh!KEgPl7a&E(jpmtg%7AVMM|I~ z%a?}`9#h>jFa>(r+31iQ%^Tu+sf=J&r1jn=a29|0nl)<(w|mUZR-?$~rgML9*ib&0 zMF8V)>?=)HEMwJCPnOEtcJ$wH3_N`Dq!p_O;;s*uY}-AKBl&HS-GE6vL9rk&Z!?w^ zpz4v}Nh~{TPU_?mR+FT1x~1C9#eNV|d23DYaXZh?@+jfVilTJC`zrS4&(H^XKhErl zC*q$UZ2Wuc*5gAyOFa_=)(osf47WO3cD#&(Y8X`gCHF94Q<)E;dFZuHCMeHt^wz31 znAwsVD+-uCuP14b+KTiuhHk0wM9dOxe+HT$4B1I3#%Wt@4VVQT+KE%C+}KHGdzLHl zsBU5!gTwmWhIq|tiG0?A&`TyY1Hp9G1YFgQDs0pg>xU+&(G+&ZBu8{WUC9`{@~N>= zJ;esnZL3abd5BU0ASC!uNJ?Jn0JfBzJxBQNtF!klFY;c2CBEWwNs0QKOQ)eN5}a&m z9~y$@6KkyscQ9rj8rBxQf*1WEjeE&!2n(boBxWN{CS;Ac#V)kDa}=|S#_4B22@O`y zvQ50}>hcTfE5BOzvtxM8^xL+*q(tiP04T07b<}@RO(wn|oYpH%jfO0+<+!rzhhRKN zV4s=uOaMrWDs4lj_&@O$WJ@4DMr>GORMD|ro8e4ISKfz<4GK0MW@cjW2Jk7Wi(B3g z3$6Qk=rKDeM64XD!aV_NfeR^RHpa`%yh>`qHxl?~8W`6yFU!D?KYU}~`&ETAyJTdS zA!AOT74DC0kv51YaaUASK)#1b*PU92&jfYZ(yw9J=s@$GZPb$ezu5^qIXfC z{ui_lCc}GC1f0=1+;DABX{B>!p(QXDsYMPXR_hT-7A%d_yc=eQYwn$9m5pDa0`{G+ z-!%7+Y=I~umMD@XVBi4hSwMh*VZ|#nco?-!yLlaE3_((Z-V!8%O6=M>@9WUs{2#fm zH{`xEi~3^+0(nBt_vJV3j|B!nB_?h1zK%f)%Zn}wDP$RoXkVZE<3dc6w3+4b`NX4rqas4P8}nMsCDj{h=~K>ndO*8| zCzOtDqT(lKpg-3qZBS(p#zeJuJ?WG-QnkOi;3Mf z+8uCa$L`(fDeh%nL7EdO87hX=V(fj*Gd@XuwchK$WaP}*G2ALHRE6GT)yVwat(2M=%rq0}J$PG!qu)owx1eLhaA zC@Y4E`LrCd=~qb_Hzpo4P7t$M`}1L-AzqI}put9dOk9!bB+^Gj!*!g3Cx#0n>M;m` zB+ag$XrIcuX`zH8;s=tIP5!0vTzv813X#XOYqQH{_uMS2me%=+^alfVVBi9R)~*NLckWrP<%s74zMLS z&a%)zZ%MPbPuvkaLt2e~^w-W~2U*CQ<^`z)R$Xes*j{t|9^m?iI1;rW5f4cr8n zy!;hkrV-*R$o`OZaNTl~&{T)#IsqsE+Vs20j9?&>suS1;R&OtibZJp#rKO0&cvEgr zRg4-_fn9vdRe)c`$o9}-JrCX+b21dap{k6VvbQSSX|6@!wuz!((2*yux!;@eX9EvO zPh39g%tlUz{2^PMa?+NUCYFi|i>q*k)N0%GOnSBe6^?yXp=iC$*)9wWNhq zYa;V?izyl{|6?DZnC|H8WH@wa3{kn4j>3Xug8Ppky*=W|RXOBopnkObgfyeawC~p4 zYG7n#72mTB;xf@EbnK7M;3j~s;%7_tyR4^8$ycREX^oviQB!Dx<-|9s4dm*e$XH(tc&gGaI3jZQZ%)4&~jZi)|v-ngbWq*YS< zH9#_9ur)!*aa8g~Ly34G|GT=YwiyjAua!@T+b)8E(Vh?Jl_I2-eb{7uIF#vEtulg< zBJC;?YnQ*yqO|jbcLgRtCC}4pT(s)^Nae-s0W9xb`a!ZN2%R=YApGQU?;_1OB3*MI z4Rf3z#DOW8PhkL%lHPG_JF|yc2MT@)+LFdb0lb4WbeR}x7YVNlM!5Okb!jdh zCz`}mYgxMUqK|g{;IoU#O?nQ|7v?d4a8EOlv~%tH%5HWJs$DUIsA)NgN7G~R@2YxM ztp6cu? zMbA+!(|QyvsF1kxHUA&5`eDQEB4L3E}d# zF$kC4dZ2E{cVl-#^hKlTJ4xeMC;|;V5FVuV*L9fnl4OmQ5_>Q*aX6s*D>X(<`}*!^ zttCL(V6jc(I&9>L?=9F}kL~E&Tbf!Nv%mD^6_&7 z`>|Q>4ep`-dLzG(5FOsJXDr8St0J?#L?3MF?6{y2wLw(yZHtX%PTd(^79xNh)6ZdNR6}xLhI#mxm}M~| zZ|$9AZ^gs=KX^IuIk$|E?yN?T_gbF3+^_zskJEoBgcuqUxemr(EC&1y+s126B1MB8 z;sd=z6}+a6WS+_m{yg-LRBQ3-2L6H`+3@Htm#y?iB7lWap@}7&nHw<{g=Xi@WW0G) zgCgh8yNkNJn{bh~|3Av!JD%%){~uRfjjJu8G$fTxWG1OZMP=_*M#u`;t1Tpz8HLCu z*<>|rmFzOhERqP>zW1lmba>iv4Xp5yVjKkftcL=1RP7U1jP zu-<{gglCk7B3%KHTCRuFx9Yv~PmWf+%qtyszMpu&B^l)9|9QSk}&V0QOA0(O0G&X$joEV?^F?VHu9x>c>bin^mT-HPzq%`CH;U|sJF<4-iy_Hx{BAycNBS+AE;!eVhRe0dK!4~ zc&25oSDTA`VRmT7mQgtviwCW~wN5_ZOU^*8ThW#6@BgW%md)4hIMH!WgoTBn{1H5B z^cKCE)@q1__M6kj^9l<$<%&n~vLnC|96gLBxu`RvDPj`m5Bu#J$COSQ)v|(}6Vj}b zot-WDP3E-axjPs(4p*I^r>5diOZ(ta^gHL{k8tiidyYGaxcigz1(!3(7OS|q@D{p+ zL;ju7`0ZRtowlmI#GU<-R8!M1li9ofIs3%(%d!2c^@(ip;}gcVNU>2esw*Fkb92K@ zvT3`CLtG@U%XHo46Pr}6ez)|tNEcq79QBBfj_@rW>C=B~>|O0#H}XG-yvx_nl&%5e zgrTacN~axY1%GA*hTi%lUTnMhD~ys;@Wr6B3j%8h8m^rfzVXs^<>pn+{TX@+PhUroa}5+L+vNw5X^5XoTXH7lxwlIw>(ZvP$(;tEV=9d?S@jK@6$aw zsW3yevyatBQrhWI(V3V#JL1PCTIJdjgNI5eIO47?jkFy|Q5F&L3lZ^~ofO3#HWGV1 zH78z~kfiDpE4trRSY`g5jEeyRLRQMYbnB=ggEz`k<(FDZ!)#!K=<9Y3toe^q zRIclAEgf%405$?5fR4^LdI6=c4N0MPjmQM-%O>vZJ-FUppcklsgZ1|y<=#t@x)?Ow zO{HxN)0$v24*I|I8VSlKBqRj-z`lJ^P<9QiF2X8&w+4f09vJ9CZTu^K3nrfM_%P27 zR%CyWKse$pjiMB_YRI_1S8^3sY{0gkXs5OjaA%;*yT^2MV0$U-lKDqKrV>imRnVFgP5>a;c)7x9E-y`kQ2C1Un&0081KF|@4&o+XrNDRK40k`Bf zp^D*R_V6d3s?ib=7I4oc&{~M3)t`EKz7vP=1kjTaNTL9@m6Vi#g}pkbf&>DbR0seL z+{tTrPf5sSY7Dem=&4H`TWM)&dpbLBf9mq%|HKR<801O*fXnwMY@SXDasgnBv5Sk= z`df98)}3WX9{Yxda;>KvTJ?OMlRa3TOO&*eQY0GfJs*^RpDcml^iFJMZb0AHIqJ}P z|3o3JjI3-Gblsi%H?)_UYmJw)C6$(=ocILluV^L-Qv{;qX-~#xB7WU}dMb)51mOU+ zlM=Il=N$(m|Yu^Qt3Wk9GBYy^3#+qDl1#lxRC(_VMB(e+JPgf_TA;K6m=_7WJ(7~TFaiAM zrN{WppQ&OAgzvopo}L(jz`Y3@{6C1YG<-C)XW*{o=j*Gd_6&7-iv1^PFz1uy4qj{7SK>;$Fp-UY$Q&VF~@dG378h%ymZ+k&$r|gQWia+qF z03@luoxz(a%SHw|2l3Qbh`jX&2AIcwFz+LQn4nOP4zvw~bpwh<0ZP6ytU>UhzqjNc z&&^?$0{zvGpqO}>nr#de6zOhbTJQ>^DVfDNn%|3it0xH3q{U4cwwB<^{?$OAxz?_D z0~j#wf*6dRFTPUwPL#T3L39vL~u?iJKt%cB4KhWbuKDTp@APP&#~ zTV9?sz-myb)Eo}eLmu5*mS2t)ji|&ueR@s!PyM=d9&lo~02@d(j5q5{4B-RKu|+B( z>Lc+lHnYziRU5aOo@|S12k>Af3){4F?w*gns)QSke|t?`HH1b&1O=N@o)m zc7$QJL`2n3H{#IuYH|VeI+FMgd}Td;mkA{n9I-C8<>!GX_Uhbxpa^N)&-3}e0o_R0 z?&oK~Qe^6{fMitQlVFO^{(Q4RF^i)-%_u^EkUMzvJtj&k;%^JkIWX1 z1f)GR8ak(L5+U>x>Im6Hqfc_G*>a!KrMB|Fe#eVo)gdV<_Lgwr zuzFWqe^ps&jzPZ8)dM^{(2RaS*q41eJUK%e*MVp#iP-k6?wh*RtG0EdsynOM(!=ej3Cr1@i$@{j3&xvxHp7vl-v zu8O)7I^nU0`Ri+U(<>(hu`UolY* z96MF1&?u3r{EU&)&#PUAB%$iJKLTj-c#Zef-+$l}an|5MkQOVu!pzCEnBPZ|g+AUI z6|^i=$aXD=)qk~AzG9$&?eRfB#ls`Mj#A(M`eWJEzRNR3 zg8@68N_^6;<&%6}U394I^t*5gJv!`WEuGEcb!Tl?WI%06>3wS7YQs-*{rY27V8@&rN8$46`hlLUx@d^wPl(AY8en>HS ze=TQ0BO_^K2vOfK(gYeg?FK~TkD5K3NP8}W9S#;iKkUPZ3CNtF zrN6d@wl-S&(37(pwuGJCK=<^lqa)kiy+1h8wEkQhM?`Uk{dY<+2auzl9wdq)-|kt4 zS!OaI1`wFnZ{^tovW-@)c})~jr5&3^Lanv5l{O9Jl7*&E( z3qnInlAd(@mqMC^;={3NWm6jQJ6i^iKcYniS_jm7Z0r$?ZC{*&ea(ska7H>vaz`Ik z9!#Q>BO=1`7}?&ulW#ld9t&eWYp$wl20*7u;oig88@J-9qymDpdK;vjQDcjc+|FMSgX#R^DX}E|PW?6D{{1S1nqK#F+v!j`{a)6G zJjw>}liy9q?1F0lJ52aE6giEF8mi#0j(L-!_7A%Uh?-U| zfkA_0TGkb35dMflX$0wCilX5XUMG$8F2upyHm)Wl1ZJbna`N~LB6%jf>*?vcTxT0< zIh9;4J#YM&X72KHn5m^OpKfDLsX8?BR}9je({Gvn}r zp>3mSK|Qd0g2~^l>XTlA-;q320+1oC*#=;h-<(PKyUO(5q8-wOODm|W!1A`>jQPdO zi|_h=1ZmVSEb01?MO3@x&6Oox%1RDotJZ7-O-kyWZQH}fhQ!pCvLa7JSI3m-BBv=gT$?Ix`jBH13I>bBx zI(lnQHfE^{ckJ8=4AcymKa=bA9h8*Bc*5NsQ7I&BoqX;p!?d$T+eI8Mql_kEu$t&f zl>JPn1D_-AX3$3Ms!ATZ?v2yU*otjoGs&Pb1-IJw?6Chyxl)3b6(e3>Ai(wy>zrOb zMSpvd@+EW^rNscx+}A-)tMQ9#y%C^;0pD_nS>wR$RdA6{DqiIHjZJ}czG>iVtx=4i z_wdMwALFGg@`12Nce~CuKWcw8K(ax6@|&ngZX=^uzXhC$4{PN$ zxTEAy=g?i>?8BWiaoSH?w{~=osnN>gNup|dQ#e8DcNKeFW7kf;NpW{ z`lo)V*Yzu~UYiH#7hYE{7y$oh`~fHFq?^Foe$MSWAD6{>&nH~4gqmvxJBzVx)9Ly* z&{pIPc^oWrpkHQEy<11hpWWgKn9LHY1ul8b5`>P&(Qf=T#PLT}{yqnU-Zjn6{6?T7 zBAd%{-EawvPfmJ{V!xO54G;iHx=Y|k^qjwgxFj*Kw=}>3O~vFpdRBm#T5eL;RU-Jn zvz)OpJKM7cnwO?uRLA+TdR0)4Y%l1|DR98d_JXE`OPvfFLSSGC zt(C^<%illBvhC!%=xfML=S5uCO@wTJJX%WwCrEvLeW4~ey*A`R>jg&2DKuFGt&&t- zz~A)sF%bgB0ozM--+DTvrbW=?{f>inc6M0%Y1NhXi5huvceckuSncon;m5`YK^FyN z80fijKTl1f<0$W~EC28W2%hATD~*Vebf|dnyG{8G4ZojnjIQqR*C+c9b*|cq@p$BL zF>^<8Y#F8Lm)CFnH&1?~&(tC#2Y z^TI?E2&E0IC18g?MNOQJ>?fi3gw1{Ucb5%tl>i-Y4Y$3hrw}n6?kr5$Ww%-aRJZ8IlLfY9#{>-iL-imi@EkWMJnep3yB-1Y7OdMWN2BBO%C*a-umqu zA>zk45RvD>-^0U)u>WuV6JE4+zUSw5p13@oGf2iT0F88ZqYmsA&!W|Kl2rmzzWP=A z3&Qc?iKzOBFhX==xOAYnDX*YMH{UhWa_Ax-dDva+WrlX=fprB?UNau`i!1@~yr*C| zMJY{h-$}_m4H}8(HzH+V`%K`MUP$bi^LHJ0gpi}i*5^^fRJSUb$G~7?zAz4NSymiE zQl!ck^sc`fKctzrxd3AHbIDL&<~(>ah=>zC+D4(l^*7dfWT-T_*iBOK&Oe>3ii#l6 z;$Ib1__-nu!*+d%ne5N5z(Aw)8hGMPP&c)6m}td&B8p5OU=~2Nx<*`+tW8iy*b4`Y z%g_>{`*MyUYDl6j$#)FjF%CxV3^WCFlIHBY1~fPA*kQ{2u3yzD+E9CSHBp(&8%jXb zO5|G*D%YdauY4qw3M37E=nfGFg-2IjG%F_lCsk`tC0!AVkzzjI!U6aadD4V{eiB^3 zt;mlxG>jSNOZ{J|nvnkWxaz&KsuVG3g~j|$!UZJOCYD@dPexNRy_Y<&@wqzB+x%=Y z_c*2rU{4TS$X&>)yYvrzvoCv*x0$i?!YS~Gdo_MRA^Mc}TvzCVCpUR2Jg32VhENzBd4x6GQ+9@xR;SpETgcbM13>KwoZc=SoKl2nEvepx-pr= zR}aC17Jp^UGYPv~$osPV{*gPPih0A#zw!zBl0==xF5zGncLa``P8q0W-$mU3aeYsa zfM^3W1`BJDVh&ouB1}M73XyL$9-4Dn`h&s3G}L|vGk%n@Z$tt>#%GW*8bg;4e|^e+ zpnB^M9yc`r=^q1%;a|TF__q2oFfm0RBee?M!#7lz@P_ljpGS$GgbEw8Gc%J2yeGpe zR1nlL)9roVACU@}7`3Zm3C8k@Hthb>R?UmRq56R`07(ikEhxa?aL&i?C$eSk(2KUt zMG_9QX~!e@dMnO(w3nSC18df-LFP3laWT+~7_L`0FY^?u1dk0E-SG-c(ty`Vf66lX zlwvMptBUv9L-Yz3x9^G0V4Z5~cA+F&C^c_$31;_$Px=HL$U(&%+?GOb#RzuTg%CHr zt_ORi^rUeALHT!FN=D{hU;{bL<^L;Z%?ncrNT}PKZMC#Ql!PvQKJw{DPY*2(O?`|k zW1=PW8r{w=(<2Rdr9*oW4*=V~^0#gqQADom<;591(hLIaxq)4b@Tac-RBjF#>CVAC z;tE*CL8fb;PaOk+l3ZK3c>K#oQECNM=A-8wbY_^7Q#JsHYD^Epm%a-+k{Vb@eS94j>YjWp za_s(MbX8ILh?^w+8cKX2jq=dhDT6_A6YfdWh+P`4lTB@NOiEJ8|Fdfr*J&V1i(sJf zDERW0G6)EO-Ixe*5suKZ($eQAEmgDy8dD9tnOk89hs$h-Liqbjx!y~tZ;B)qZ)#h! zzzA%kRmA>W&x-yhtK-xD5{^-Z(N%gy;V&O)$}c+g}10pWrwfC+D*?`Famo8JXwbHUL#08a-Q=C$sQbu1wrS z2?$P-p1%H>!2MrnqEQZR{{ zjSEawGq~-&ucZ3q*|X0kY!|9cidqBmuxgUaF40eJiCZzxXC#*!eDQC*BBVW1#;rel zgpg{fJ@+P*TB$pC23~lL#Fe<2#e6!75s>=+8w&Qo&rg5m^M4V>3 z%okrP=)}Rey^$E0uN|z%l7g^)eR<*5ejoPdHrr4|fH+7&q}WjR>Z2?d@we*DJuAn;I1g|h?usv=78 zi#6gwL`a?b{JYJyz+NAWe+&l9t+uY%urt9AS4`bI>BZJC=5I_m<|&R~)7=Vu(jCqF zT{Yh+`!qES?TRPrNJW;5tbpf6HBpMXlEi~M*BWjtc`z7la6oER5YjI{egtv6q+Im| z#_QQKEblY&^78a^qN96sy+!zu3J-E-1r7IkD+O=ifn0ehBaIiAW0y88?((n~xVQmR z&cV)k9quA92jWq@2YcYbYOv`$KTzt##&Jh7D0w>d;h_D*E{K{RL?ULyKT)wA<@c!R z`tU&}dx}$`yR*~K-jn#H7`s9zs^0;92Rn^e3-!zgI8&@1|Gv0_FA`{mz))927O8rT z+b6%ELAGtN4loQwQm~zx7mF8$SmX5wK*?0yf8p(x!8jN^AaC(qQ`eDQ*Jw&VXg@?` zy}FiTKi@ABtXeTjWM@UCrKKO_=uksv3DYk)QoNis7&sdi5i^wUZEL`EsKzrN=gkA30C4gi$bzdF!peOKDc>xlvMf9yvn!dS43Nk-s+G+xuW6)h@0k z`%PEv16NwFIHB5IwP&RDeShrcmTzZA9!yUPIUlOaoUzV%5tqQ3sBN~S3kv3jIo4W+ zLL%JFmHgon{puXZ;+cVab+<|%8oZIEqEOBoNeRW&;Y_7OHMAu4RGqA!wv6+OU z=+wiAEv-ZAYtrVKpKhYJGbJLpF+x*kDWRnVD5ziMp2b@*O5fht=$EvZHpeWAlZYGR3K&`-svr)QmAqrfBKuo^1%lvsx8^Pm2-08=G%0u#<&C-X* zk3UmEU~o|aK@e17nQRdwXNVDrT@xk%p5-VSOC>!y76-L43IF;R63(CaX`|B!NL#TU z@oHhFm2fYG+QpC_6;z{&5{nlc#=w#s%+^`%!EOhscBcnQuSy+Ub`i%NG3MWqxLNsa zU7R8n2h8kjybBz;q6H*xw{)DOmmCRbO2HDGdEK3>szFe*OAos#qT@f8tSn`A_vD-gxJZ zBu6p_9LpKVpCUmYU2i&GK3nKRp4qD!#~Dn*RcQbE>#r>yjQJ6X9ACA11L;X(c*-vD z9BJ^i;=JI2hFGU};<}J=)E)I0Rwjzelp**O%dr(Tljtj6;MXn)ilT{6b{e;|?m((t zR@Y*PDMdNVN1j>nMxwT&#H4=fR*>4@pCbw|1>_Y^38q^Kq)h?9uBqOGTt zkQe@9&(82Awn7+x!-v^14wn;372!*tUjk_A%HM{D?-aN-O^Q3%oHla5Xg8NaW!hW5YoN+8qdqi1KMu^X|o^T@78U z_4-I&3?KET1+uC|O`97py#@^4`B*JPgF=A?Iy7L2af0#2+pMgty3U9(fN*MBU^@_E zy#ans;R)>NZgA-x`jH6NXdEvlWfc`D$Em#VmO!jwtpaoDa)mI-_Eos;TMWH)SB)PK z5{|PXMPL;M9csDFciHeLEwm}`98A1%HpMJ&PXWWan8;mj-MCR|BrRQueXr8w_r4qj z1>i9c8)%LB;u%8u_TKj7=Q|Qz2Q`rO2(Er@x!^_3jZ7~Nu(Pg`V$*$O@^copI;V4g zw>qMEgi8T0o!4v{BJS1+LUw}+3Y&+j7q9Y%gg0D){_;YS2Xj+r<^sC0T6mOP$roD=hOM&=6B2Z zj3NwbS0%AcSS-XH43)06vI8qp8+P~X+q37+7VcvrI`Di$a}Tog9w*FrSAdQ-*4Z(eV}H&@JL6-i61@~8qoOepE9_;#?$xKO_JCm zI^YEA4Cv0u*sx%$j-XrQRckg$-FKqY3?#Sv9R|x{u7yWLbaA}K4bT)<_U_#@r0zvR zCw%LkX$JS~^;7@*@4qe0G1fbt!LE_@LFT8yc>7zfeaIrKYlmlJjm%Hx6?`^Uvop?H z*<%nu=wPKm@Ra5uHNR1JCio3yz;4C0j0Z@Zs?D$NGs60QFXvhwKqCpD8Ee<~E%qGD zj`NY@IQu~}fqD#HfX1Sc$!I+7dv>$1oND6aHv&ZYc!xvx+pXE3(J*NWa#ASl#3&yh zIm3)$00K9VPC_-|Vw}O9w_nuy)J|jKTU+ zV|QsQa-sDiC2!BEG%~N?$+ST98Ob^6@o$JrlRk9yY$X)UPtJW0O3_*jeLs>-BEj+A zfyCbzu!Bk%XA{>x@axq&T^_{&kf{xg27erSzkMSGE3JjUzrZ(VCnubk z2AcbUoc4$2iw=JfBhcLR$PE|N1eOL}AXH_Nyq&^_2* zHumH9yv4twD}`o5Wi|S_iOk%%>cfM79Tb`R`KEo$Zn8hK!a;m-)puc5-Tq=YVQL3%nIJq%gdLgj+9!Tu_m@As@K*?UVRXgQbK}0>#s~*Gdfna)+y>cw!6& zgNX}AKZ2t;HQ8O}q~>DkS|A}ty7!rQFP$^0Nm|TW57Qt~2hh5G!*u~JZ5+PRXoxDH z2ErIj?}nMlMc4rxY-npM04jr}L>b)hzV!~2+amaiCX5&+Lj-lv57)?nmEi+XM%7VwB1c3gne@)awP%lGEn+>!lH ztTx3JAny%ALDl!>lyGlwJK&sT9GD=&L%CJa()5!{xH#-DZUXNrag(Nqpec$&OZu{tXV7oay)r^YYWVk> zHK|&XI}{@!p2c|L@(XF!9W6s1RxIlVx%U5=zEQA}*bFNeK0SKGPQrt9n8!Ls&?c(- z!cZ5d<_|8{@d|WG`^?vaWtLRBqz(#Ot~FYa#M|!JNx>RJN|KTQ%s#5BJ}Tk&6DJW6F126ZKZG{4y0Hp)WRF_b6nl`r8WHAliJi4#7x! z-^;G&V0+&SZ2Q(VNTLHg*w0u7}}J)Q~M z@LSi;e{8vIND*@n-a=Z5YJ3*_tJbZfj2W8~p_Y}LKlL6=UEKytHk zm>O=IBVK|{gyCsLYlf+M6>Q?~5oZr#Z`w-dRg5d+;CvX?pV2tQm17Gh-}deBq-_Cm zgCsRXd85LHN*P2F2V`G-Bjh+gEKYiE9gwD&I!$YdH$ob3a#n851!r?>?7<c*v>CCrIsH1Lnyp_!^9hvB0vN}fPQysdUH|bM z(KBrq53`$M6&9X@O zGkemq1#o7YE6&W=>KCsSKd{HsaWmiXMXq~TF3FR}8I-I_rsgn!g9*Ld%qs_M4#(lI z3`&Y70;Xh86_cEm*ND~*H=2qq+hNTah5_6z>T;w~I%DY?FBOZp$Twv=1a5Kh8Xm8_}HC z7ZS1q!5Ns!SH-?01?0q{H6aBxNg%<+VCW2X_KNFYV1;XQF(0n8P&%Rzbw))8eVo#4 z`&NqPc8s`)RDmfRP|zcI@K0^`9ie;0-EIpjJ3emiLBg?`CK`>d_(CdaC_ajcwDA>_ zgCo{z7CcMPHg{RR!XL)%RS{-iC7rfz*ibQcs^J+Tesi8!ofroF=p83_#-UkoB=>y(mb)*yW-GxA9g5@ej=Ucd0vnbZtaapvx>wMF4FVE2CU`kYxVaFEBt(>(YpJRnPOFHiOGAhP6iOIRxcg6SSRs+5)afXdMu zcXXM4%fYUfOZ30WSjp&?ZnRB!rbV-%`5#;!N~}wdtysL~kobF@&yt^kikhu}8us3s zYt*sxz2FD4o4}MBS+!uk9XqgjB}VB`?k$JCy`)ND zY*mF+wsnP9pIHe$&X&!a_t@ye(EijSfAL5%IYqO9b?D)?`Sj^iWNHNd+J`Y|x<=^I zwya$N={z>UZSz21-!zbnMh-jUnoZkqJAy&N2g!@niLN?=p=JrlgsPBW*w z@kDV+@UpFlslL^{k!m0>Izi<;o$?z)_R~ftAHx!~68A9CR$Y&wOf8g3c=WS^OLC+) z%EjR~XTqsdENic^YxXwM_3=3j8zx)1t>3}QY5G5YF7T6>)vTtH9XRWWbhzPuqj_*} z@V1>hInC<~^z~!=c_MKMhE_NIw4n~JwwraXsN&=|yWG#{ILbRBm-+0Y1Xi_?nwO5A z0o%oJ@xyDol1n_kp6`)Q~F!`Pjobs2PETmFbLO!^8oq2619jgZ9sHg0wt!s=sPYOixqJ|#fF$pvR z8SzQv`fQ8tJ6#Eg^X`8a9Ne~VPavE3cJ54e4MT@*o5Fc7>IJRZU~B5-X^R$?(ECKl zm}JoZA9@y9!*duQho24mI`#IBj;_QBXfI>DsNo1UQ6k#xi=2o3Bb&CwH0K#}MOsW| zwD%bYO_qor{@^nG;@d0caOWQyRuw7w8`dV?f1Efr8QkhJ`mj1L$0*#zxuHJGj>mrx zd+j!i;{RMIR}SMondiHqDE30rAf@%HWT(9ouwk@%$i2e4FL>`yk}K1dC%9$U}MyMiBFA{k)(eTPn;j!A4=gJF%t_!qa=L2&S~ z|H>dgNk4PWEZgZ5#J2k9KTVBy7kBFI-P3tBJI^t=wLZ4vE+1zjhFiNvAA_H5QwZa+ zg||iwA_1=+QT?(-?AvD&)0S5scZ|ggSATi%Fe>rqflyTJweX5D6#~Z)wYqpRAkVSQ z%A5v|WiEhD$nmbW<2GfK)2;8*H)(e=+l?q%y@de(w0WCz!*G2?-_`vk6C>UF!F&g% z59|*AIliyIDOm0G>*ma2fAlg%`2aQFFwyniW`Ri*wRzE5u59Xs-*&|VEhf^;!V)gs zd2;#E(-Q?$v0*RP%S5^;QC?!~eEufJ48 zUl(5!G?Ox0oM?o#&u?mGwtrbw@1OEwld&N{i4@tzP5=toi{CyMO9;{EP0Vby?B=Oo z&Y>2lvv%2v&DyH%EM9x1-qOSD==@Qwknvb#&9St(7;~OHX&2^W+<@?lLu{PSo{NaI zG;g8Ooh}34r1kAmFnTPywq5?wJbsD|wW6gPQHcW2F8&T^`I`qP!VT-(bq&VUvQ zW*fK&2AZ=YW_gD3kA|XVa6!+#O9fw+;*DEkHVgNaELPL48o zd>chIM_(NScc<~#&)pN7`}XwM^hHhen@gHKGs;~j^s-o9nmQX9OpAN+E!fVF!D+w= z{*iSfDig+8?cbp8S?Rn}{T)uC2MNJxQk=6mfoP|H}1}aDnCgnG3eu8&=0m>tFG^g z%F<#_$%DU<&(_0}pcclEXsFRLj= zv19C_(#|5|Pg&OLB-;Oa9W*`Z$vifqtpD?z)zzw)v5BDQWy}0(75avdasU`H`(4qE zjM?d%H5*&l zq9_&RU_}5|h39*a&1?`#WvOcMAceYvS+>nJ37oq_6NcwE9&SJr%n0#lA29EYv@xw=l$x1Pmj4)j5@KjkEVsgSDt6s_( zm<~^4WH(sVzE)@?X>v|InuVkRfwZZqVq#+Y9bk7zr4j1u#iVq+PXt&Zumghwajg$A zg%Sz_K|im^EwG7r-oGo!&qKkpPChgRad0+#q`GaH~K z7L?yIq!(x9f@J;!ns06JX5e&51v4)K1EJT4kf;et$%v|$C|(Q`xRZ`R^_~FnNkESg z20|6(I2+2YkvZ?=QJ~8H{bIM)j<5F%7~x*A`P3tUPNAcZwj}nHZ>uC!6?g{W0bNlW zkmgi?s98*#^BglBIvfEzrC0zY(P`j9kjl0W9}LL%xym3EVtX%H9&L*ElX>~gTTMhG z8J=0{b%9?L3=S!`vPl-!l48|ST0q>9ymxnUba^Y5xNHR*nZnbN1t5sUJE0-$Ie6D0w_XEeRZ99+`+b1#r>Ls3J}j8dGT*=c(+lybQE%I;Xo zWHjw6ZzWHj+}IDSMf%h!hDce47~5i$x}55Tl^>`e?U<23m`3?186#&9ca+ARq(KJG zP}K^L75iCDf}+Mw5$FWS5x(LZS)DV5W$^taMq`*3!yz!gMpMx)mLv19dszR=;JMVYgy8> z(D2>5b~PSP7-ja0@EMmQt4Fs*lyzO7?po$*wEgUrf8#OgGqf?jCwVl^Xh_;QSiDxB zhj)dgfGKoR89>bybJwk29fuUoKtrrwwL|cI6??_Fk0d^S+HLa!WZD%g;)K=aq2l>H zO(i&h$Y_x7Bn4O**5AVU4hVV@S2lPmi#NW?JS^yiuZ}0dAJNRUtAcg8H2`6IF+*Sq z85bWsc;NCor)}%%73*Q^S|i1kw|UDJL*}uHG4PKX&i2IomEg_&vJsb8S6`p8#TwNI z$#+V2I-WjEiDL66!DF+70eKa$ZSgp@{!TJZ6oTKm!{;-73@5!#foCz=TtRrZrxAZT zp3LO)Z&oZI#ahOSjZA;cwJ4ZKvj`3sI@_*wA^3k~VdkKt1D2}Vo zm-+&SQSig?U$y2X_lKYIqS8RzmI?C~sSX&j0?f6B6^F(}Kt}CUrX05=UruaU#*X>D zTF6Eng4DEkf_=8Fsx?VlH2pr_;zoq=+15ah40l8^ZYc8BWmj}|JVV-Ek-5hhW!21Z z8Wg*CL)wqe%?cWg|CQ@RMy`Yy3M6Vf2;KVdHG)?_FkMkg!(fI(Qry2W1@yCoD`bRK!8s z#4$e~0ZY4u?QzNJzk)!W{erlJ1l{TfkV$H#r>~FsN`6}k{F|si02O;>co4=4;`KM& z+(gYHf&32nRs+i%R1*1h>8T$ZRNKG^Ev51DPMLzJ=j(;mw{Zej)xll6UdrshjNBDZ zy?1cY{-&|G`u-)fdNp}<*t2+{WUv^W&fSnrdm28?$~Q?{0g?(HKX z`6d@6Bj68vj-vmk$==1f5=Z4n&xqx6ZEPKtEYYfz~lWL zd$El#c3`rp-vMN|wiu{<1}2BRV2N}95vSoGBV{{dm}TS8?3qi38ddZ3m9W46F!prd z&zHtM?|Ibx3T8DQa*LIfSy*L`kDL8?e%gJOM>R#S43yrY5epXA%UW8k3rvyn(1r0z zn8(5~O3pF-ho9dDpg163zAbBsb{|wmVj)frmi$!mYPqG@_x6ImVaP3jls+Kzn-!9@ zG@Zgt4nep@uA*dj*#8>E|9Zyr^!0wmbbzNDrKvUqtMn|fI$Qujn` zWpXO8Tr?3~`!_&)-B^y}p{yKNW~o1_I->mb$ExbTTaQ)bz>0eKDQ~$atm&?Qx!TZV z9#9qcH1gr$!z^VYdHpT%Yqy5i#z&7$vQbi&3lA`ZLlbSV1Gr=A8bo2Y<%xLCKDsxX z@2<`Dd(@-??*w&e5k#ovQdaczp&)cwd~pGfxmtB`N}!0`B69cu*xqVl2gw+q{QOj6 z)YI3FuNFrv@D!WitXl`->bR)?y5+8?xcKv}Lt}Vxt0-6yk$a-g9n?T7!k#|WG0cSn zXJLWcNnmSG9D9>qq9UnFfo7>}lthZq64OY%*GbT@gtNKfBO65i+0W=<8AV04HKs7Z z`IbxZ?%g};_@1|s@oS!GE7vCp6f9j?C-1MiO8Y_48gtmOhUXMYM z?<^ezMJ;(E0hW?t+uvlk2!rdxIHSmVb8&1eBR+pUNq~`v@eE=paa_6MzQfpov~wU~ zVB^aT_^5(_1iGF*BrM?hP8n;yxz4gq_9samK+f)@s83#QF2)-VLqfFl7GDI&=T{RQ zPgi3ln;8sZQY`QP7#8DA@6q?Thc>i9nuOx6_x-wc>qP8+hN<0yodJw6lV&Hl`U+bK z7go-7q9$~n3yYRm|E$q%DKA(~fIe68uYfOqv1JzC9{udt35#!xeW0rVtwH0kLO{E< z7mU2EkuZ$){r`peCOK3|azVWsd>}i?**Fqy@hZ`p5W+k&q2oKYPjxHwT^B!yC`JP3x|`M7scrNrEa0GRyW2y0G|b-?ne> zUfe2)@TeH_x0wf-$HCJei_2gg9Q05tfLe)r%>l)~Bf^$alyk&c_#-Vw;)Y(WEZ=6% z%#|B9HS@bX*S?%qoB2iPS2^D?JF8t02GX$osws3FU|~}VEUw#6$01MWaCjy_+qbvw z%=GXN&5wZ(r zrrNaW_#_q~V^q=6bTF4K_S}Atsm6@w80V}7Uh0_*FKqgQM(DtE(HyoxDLfd;jdLLw z!b-S{aMjn;zubn|zPFbbH6I9hgbg;vspEXS2bl_)1uW=kS%GQfTAPV1tb*t8!zNMo z-&=AT&h0nB<$Zi$(-X^7*E>soyuQCeP|`6`5i^4qncKot^FR=#k*DNbwM=VAI3r(u+j&%4x= zRQi+WzD|uXo_O0Y2%+yDO+-^y%zXJ|^{Ylnpyejq= ziKx*HLlFTg7{cM9jTnpc@6*5AG6Qo^llJ+UfTIxzW?xoJ46|u6h^d6Q?$;hT^#-VB zRS(E3orTzt{pMZ}c*-c@^MCkqW?@xPh?DtQj~pEwnW^@j9zzQyHOf!P$~RfEQ4L4 z`>xJBF*$U_%ew8h+&5{7j#bm6q;R=I1!Y3~OoDYGjj;ugUHaYyQ zqo+pzwp{7#EG*wfmcsakoj;NRtO3%JhEt7?!k()}!WEKDj;5mB=(wr+Xf|yM=QKw2 z&0TeiNY0Gw%<-BzD6BIde_ZSk&UwwR5{hRX|AO&avUovpX+P_TJ|U$Gmf<#LnE`)K zVi4J`?PBz>cno>RPgXIxDPV5Ev7O8f)B*DMlyIqEFhfRh-n;ioQ`2Y6MJG>xYi;3` zEy$;e&n8mGRCGpZ{W=ZNQBi6QZMpXH^$^9ixK#2)G9d2b`1VZ~iBedUs?S7xs^qSP_UZfgi%sDYd@8=iTmBV{=YN8@8VRq8E8$+z*eyZ>;X%}Zw*Op zgdb~32-5J;lu8fhx zONtse$VVBOn==(p2ZDmrjBJ;dYWacVk{yr`I5^b%RNE0dA=lyxf>L1g#?{K$qA_Al({ujGszl?OT>#u~4tOLPg~Lg1>r^sa-SP)Lv^ z-T~6u+6{3iXuv}Id*IscucwSOvcfP;d;2*4bdX-$W-faA8o8P%p^^Lc_E9B|OEqpf zjgPa4I55X6v1DhzcXB#?Cp#1lvWe0V-K;9ZwX0Skd3qFB0%&$1ARLcCp?k1bA~rd+ zdShFPdE3gR)Qt_kESye1pNPS+ASL27Z?x# zI(&A)R2P@G$Y@}*Ga-eiJKXSyVDTz@ArPuAN9Q`#LEm+9OM9PT1!<`dL^9Mq(^OX& z?X8pQ30k0>UV-poVFD=!W|Gd$E|CFfQl~DC7~UZUnA!CKCzh>Tzqub;m%SytCMgmdn?=NYDllSXsYgkXWbLBxjB6TDO;# zwS2SgsaHFCR*Ne?4#Z zwv5GO^YRpK#y1WeQ`6IR(Uo7de}i_ET?^+(_6zPidSLf^fqL5{vyX2v3{wbLBFIUc z9-1=J1^2na1H!2<;??lEha)LGh<5pJ?;6uy#>0p6!-3$yrK`W+bpMvQIZ1NqnM)v# z+J`!#S*1yZ2MI$X;1!MCO|2Qr*StM->xtNz`|bs^EyE8Ylu-nR@af#J{1p}3)u8Ri zBELG6vt3V;QBWmTU71pS*Iw~cl04RFIyX0MGj^zlCxYMyjqVR6=FNs?-|NqeH?{dT zYwTrsb?D_SFSpXo!PQ$3K?9x~+`||c*Wh{35d&VeEcMxKwH9o9YAaOG!M?tip=naz z7Is>W?lq~oCgIJ6wz{FPU^CA)z5CU-y}X>iCzlj51l_;SK!qRQOul=wPIwcTOTGWT zWl(tCP62(o({OgUN+0W)Qn<=%$I_TA!bph~tkfxWQjidD<>x@sG`o_%OM4l(*zt<- z@EQOeyJ&gjQ?~=nTAR1WT!IKi8=@( z+YeURr&#DnDmgEKvn#BRPI3ETCp-Kryd)yLy`{nA1|LA7^L7UXYaYbu3munmGpZnyM1Bc7b_-O223 zT$)^&7~_^Ye#8eC))RMnp_Y5Gj#YIlz(Ou#7c|=>g_Yk0oGzP;bAOM|IO=zv?5aAk z=FO&Fs$5`hw00!Qza|#>M-#bl^Bf=3)i2!$@6w{Swp!W##;6ni zh(e2ce$!u!J~TKUSvdeKROo4<^e)h3uLkQdkxqCE_^bE%z*fYdBdwGdp3*0``()%l z%sO}GqC29oXDqbxQ8Z7^y~Tt=@pm-gU<-&@p#8d`05R}D3=wK{%} z*+zRbJw?61p3Ur$n^t(0LwNJ-;fkPn@)uuG_$JX9aKBPg-!Gg!pP9*oZEvK-@K;%3 zzPYyD)efCU#zoLQBd6I;DB_Qk9)@Yd8ZcVX!szxDE6q2APdI+SfH|=p(1~xI@|Clo z-3GXFKdZy0Ayc%?$XI>Cp=}gumts`2@49BAEEO8qCamL6KRP;U0PCPE9?t+GospsW zX<}ejX>>sOfe-a>Chda7i4gs4lX=vu1296NcX=+KZt{r-Gs5BmOt2nHT2LYcHU-!z zf>-1eJlJO18=mY&E1m)IVf&5d&BO?S1}Kbn8pH&8C=LOerHLL}RB;Kqk@46WBSebA zAdcXDYj2@CCc_nUE8$fRpNDni>B#Zdn8v;N5W6F^Hvm-+A*e*%Ja2D+1J1)1`veN+ z->^O^ESLlXoCFjZJOLpJC#E$_JVK}-Tn~4yy>i+J1#YJ%8%`emF%FpooEYT=%H|)! zUZIRxzg=)Yrg+%_Zfm#7Rm1%7tA7#*-=EO9Py5~~iut>pZV=kbc&)q_YM;Bh_%N!A z8%xVLU~rmcU5;wYgP$b*jzbh@s@%-iq3%l8nbbo|HR-RfTKL4<|6Nw{;A?q!7NBCx z!Wh;Z&uk>tT;;RBociiHGPg5Pu-?EOhHqcjF6|1D3DDTC?A=6W62Rhx3lw(MuN4tQ zLNocksY#e5$b--g=3usEH$h$Rnh~Lt&efsN>PvS8_dXVNb-}a^2l6tJ0}py5*gKW8PkC|FC%C0<;%kt*Nw>T1M@d6 z{}*daWn+dNtH71GxuM^A96J{oF+A!8P5=INO5o_~rl(Jxl4ZQo`*0Rk2OD!-z~X3+ z{uJ&57lHBXyIqoY%+2{|SV3^O)Y>)^bvii8*G%Eq_O(FxM( zkU=Asp>oLf*c4}lDB~P2Rm2j!)DZ=>OZy^|Ve>9WcSRkc3y5C~12EKE5RV2dbpQEl z|HS1;LbFN$N#f&zoJLUhNls(hzc;NK2(wY539g2ihy7~AiX+RZaHLjJ1DsIarcdOw zF<|=R^oGPP1UrGOxJx2WPC!-F987*Zhowd&6t;-=ad-du^HTcQJAt;%91;r>5neg4LB3TA=;}Gz*aT89+FL z$&(9ooq$YHr5&v#FdL|Ga4kae1alOG%FqVZISevVZ``=6 zG1R{MA+Y3feM~BP$q1rti1mLCAS3|XKR^FwEgcRvn7gQ?UsN)n zrEGb!vg-uepyp^S5y&^=16QqDb+3}s{##_g+T|U3DpOIn=_%*68ikdaRyY(t_y_!< z7yjX^gZ6gW5U&HPwxRWaF?mB?qn* z>OSPE5DCQUf5u8-~%$57|nz&bp@2y=Kzu@qr_nmS7 z{!69z7+@I(*K$g8vXYcHP~u`mrP=lO@ki_CsA7*kbjq3MjVQT4+xaL1{(RZq7{TvD zpGWyb;u55jTp-E4P6&`Yp$G$jyJHp>|BsrC-BB2;47B7#CssYImLZM=DCOi=huo+R zJGw0SvvE>h_xC4D!j@7ot=q6+gTis5t!OHZpAfPmbg>nK5`4kHqg`4 zk9^_<1ab7}(ePy4;xwMn$smy4SY)VEE5-D5uip)req_RuONM)~t zcqcoXkYp5+nJpngC?k9Po@e{MzxU^lU;o^X$93QL)%iZo<2;Vn>-l<)@^^lt;Bajk zZN}PHttPamYw!L`M*R+C0Bqy(ZP6HA^ltC3%K!@?`0QPX2-9w;Q*aaTX}wus0UI~B zf+gyT5Z?m_4z$n16cYW1*EHsWkRd2E%SDNH<>T8d?pHf9{tvUs%T48HuDt&`-I4k$ zV?c)f`++wC($M*Hhf|0~s#{;q@#I(@4?2gqCiOa<>B0sx=$$ zRTGS=9K-Fb6o`{%olzzD0TfDBR#rIWw-1Pq2wV1J=DNt2cscyP@^{$U)O?xuYZjy!7`$P&ZR^0f(7eoIFWLRFy3P@X`{oSk)m zSwYsAvBda9aj}VD>vlQg8El32@81uy1=j*1PmLEs4;W?nM2R>y!$BbHGMGWWeS;&I z)CXyU_}L5`Vp)a$+t}oy{EsSG9g~7aby5>FOF9u0Lce2nynj%c%1q}ldqMTrkYOSV z-M)K?5{mAfA`YHv)Ez0WcEGMY>fXINup__$;lB|hZ;mGFZHkVDV|*;KK5(A#BrvTx zdN}9mr0K%tW1s~ya^QaASgTq$@#PC0W@K=Tsh)-+ytA_ti>QX#X_qrG`n=*hYZJ06 z=?LT{Xj0QPoseH=n}EM)nD~0x)q(^_e*XT9&x(P(&P#du4 z7oq-_ZlqG|s0hMGJ_#QX6I;Q=0$1KiIXSARF^5}ZiT~P+VeGvn{tlQ$s79A@jF=Qj zUAR3*!|^DSD+2_<`}8KJ*9W zRwr^bpXrWI3z$%G>Z!!jG;gFgJ_nE911W3GMkRK>HcJyB*V>zzopy z@A2U_6*QC)`o6!Y`Knak`{F*}z0kb?<^Mxf(VF4#^<3CD56#F7%=sW(k`IJ%^0l*0 z$AiqX)QXBKM|)uVxP>W@Bpala8MwS8@4K???5hvY|GLQD+o;IpNGH~ZcTjt%nS8r@QH96JzDA&fiov(kv_uz!zubcMh z@gEu(c)XCWkwB-DFme`FCMIq8{@p(mn}BVqATp>a}P)iBd} zj$}gLm*&dv3eV`{u1G}uQ9&u4BBsTV9j3oR_&4~5vS&{~j>CJZzoG#at-<3ygo2pO z0;8_S=BNo^^t6zZBZ80__f5H0)GBjh1&?@RPr=IKeCLyr!r64AH&Y1}oTAIEVL`>0 zYmeLqZT$EjnPlI-P5?QuPf3hEN8-al2*o@2(10@|dFS2>8lWMx>S~|Hp!qP%7HSf* z;gIoMQ}wBZnu0epJ2MJ#e{|G^h!$L@L=%b>-GE!!M^G%Pi_9&O9q5Ore`d5e9F0w=lu{FkXfc>1L z5uvxd{HUTbz(?=ie|{2w>PC8$>4|b}i!VXuul|emyeAa4K~UIAuRH&xx4)n5wR1RVt<7GWlh9%aHL3w>cGdd-p*#ANiSpt zHJH^u4BhTU8T4acyM4RA{(C^RLaCTz-96UR10^~UYKLEoc9yqxoNxwq*!F3Yjm^MR zX%I(nCUB|SQWupZ7Bj6*SC0#9AHMim?_%GLb;mg;8Wjh;F27?FLL5nTc^u!vhjJHJ zt)edI3q7R%voB#?_Z=rU@i5v*a%UpH5IC)!M9thuxJS^ zaS!sMtg+5Lf1t(Fs{b)V@p7VEm~tL{jxzlQn$Qh5H}R*GcCT1DXl;DeW5)brd7}5? zv;Qxh4A*y<+^^w~%iAPt22n-s2hzg?5PCes!d!RANiVZq`?e>hk7`3*7@O{;$Gc?h+JP zl9Us)PQBvLE^Cg&tJeH^8O6&9Kh>E^Tfcv$RqJ2U$*es=|DJU;JNO_mIh_Ug0Y3?7 zB85-fB&di#QcxwsnF`O>plG`H%0SavS2u2yYMk9C}1 zY$*;*H=@?>UW>0(1FGHRdPWMO66Xe;$Yyw z!Q_pD%~K?(aoWf^(N0gWiWhY+1g~qh52wB z-_%b+Z8YzXAw4ihWXj@r;j$eAi}CHPoKN|usL*6kQ-4Lsjw*ZtfT~%;8v!0vUpYP3 z*FfNq$c8FkNa!g%TF|BTZin!OlAd13ykW1-#~U~-i{Q?SOFZLUOUumV;)TdVtIaJA zHB`m>ADSc_Fc!e(C-xHL`)n(0u~Lv&3(!b}*k*H%@r+iR(s5jq=jN91i@%7tv< zDSDQi$BHsC=NjU?F4;h}m}LT1qmuOfxvw+QCh0%L1u`SIb_Kqc>rcsjy~^D4=ch{6 z2}e2tvD|*>!WjrzfQazYZN#*1Cn`KN;>Tm{-`jy@T`RVtyKHa{^*X|ne<~Qb84v^J zs-f z7*!vrWiFs3p)^e#4Q5IyWb-;$Or!*>BtRDiz%rq%u<-TV#&L(gzzDtAsWq2kfLU+C#IObn52fA{7M%;CmuR z4E3*r<)VJ(dd&|4BkxagXiP=T5rul&1o$u(j)%|{;RKa*#P`>~beRY_ zoD|e>OzD@CF@mD+*A4mINC6f;vDn5CV?o+tNTg+y2$8lhFmUK#_HwDein=WiCP;00 zXhhn0QAm#q0Q!S4$M7Lu-|^|YRVBodO+mh2eX$(uY?Er>9&dwjW`Y<4wk9JUox*jt z6z`pj<|7_p_23WHolXm-0g7p7jmYabgR&wUCT2eDk ziyboNa^T`Va~{k8n6;_}X2B{sEc^DkXzbs8YjJWAfC2&5%OOpfs!i-0efG&THrm|$ zymsfY2N?1dUPX+Oaj84>1Rx6AT2kPn^_DohjC@beC2o4S|M!4ITn{pS+N;yWf3oob zRQ}v`N}l+7(V-Jc9qTUy`x=k{6(vAiwuycB;kFFZeUKHoBwiz&5n!zo zp)@Sy^@E>2CEa;Cz5_>l-(c7ZXupQEKGSI8JiGVhCl8hps7QW4cz?h}em?Tg9SIZ# zq76DeCue7j+z6{{AK~5SWH1KUY5Ncyp607Q2o5_ugKdblhzTV~+uKW?xvnfvEGHeW zeJn=^9$uiq>DOY!;J738fkdEB=Mhq{hhcmkQ(jv&3su4 z9U!$eGVZ^2PD-pc`9!yB?^mjEF!wdf3YQl@idAc2j+BveI*=b+q^w&W9tpn0Yrc4P z8GG{;3E)0y2WvSjw7xGBK?;5?hwP`ddcv1!iknuJqB4xVGOncC4*4&r_kVWPFnz6L zYunjkbZyZ|@bb@L4C`OSwP7YB0T>Tng>*Q|@s8k30$Og;#cW`!+$==!ppOC!TshBH z79`>~s9BeR;isK-}Xw|=Ok7udeyXc*>qs!wXyxqkJa0jLS!y4VZO5_m;eTs zH~iX`WMpgD$d`r!8d{58#UkNs%n>OdC5-(hl63;;saE|JN(%^Phzmx;bQG%Tz>;3xp`L21qO-Q3)FB84z) zWtpi5Y0F$V^7L36xzuMqGpSn##g?S~J&}eiKbBK4P%TNisiWtVf|wd60fFgJ8S}v4 z;0B*!fB&uWsiH&Wg!TNTLc6!6OAE%I)R&n9-ns==)QT~jIW<)s8dmvIv9Rz}b%+oT zLURL_x1J;^_jp3lcki#K>wkWOWMn4y8tS5!*?bZF`NVaVqJn~H)Ot2wT$K&Ez$hRH z-{fpzX^9vQHiJEcImYrjkwb?x&7eFm_Qjx4{q0}-{~Eq~;Bht@3M&Hx@mey}4C+qG zTLZ$wtKMt{4rIH_dA8?PR##1pyjh8#daRk_KzuP1+@7+E(C)mTV<*&sZCf2^fU2-FFTVDR!`l9t}P z+l}Jf?82vJafb`CRJ(uSKQK}~deVGQaWYU!PVO~e>ej47q@KP$iFi1?P`zw8!$v*~ zsq#pU1wODF83nFgiX;f?S@_nhUY%ZnA#K5#r&M4i^N`g zpbVadM+MFi4i1j?G0q^NCG@B6Df5PF#8VUxsP-7ZoyoG9)OB+=;c>7u0LP z6##Wm;gwV4_M(jdDb`Z#^vrz$Ae&y`{Nt=E7|=--HmQkE2HxDWdM(Gcnd?Q%3;L&N z4%sjYrjeK{U%l6nk?|}LZZR_u-zm~y;0L1iBjNIr5`&%>9>9RkF8uooB*6AqbxcOW zH9Q`E5oYg4Mz+(?*nfEChXI%LUVmJA@HmQGk{@#WYt;>z^1wA;`$P0Ih&~F%jBVQfXsMy zaOESu!F|!)ofQ@P_V2&vQrgti1OhDhfsvL>4gy=m$UK;p+2A1pSr3vNIkmIE_?K3m zew7O`EyK)p4RI2iukhtpsi&#+X2ynct6DWh@AdSRlGli{1amRf*LUX8E1O@Hf#G(> z)q~QqDjEN1ONc$>X+J#tmP0vyQ||rcrD_Xa-YWOqNgAK(YEZv%>g0@Ine65<*!cx_ zVO8n2E7Q+69wC)jNwGqRm4r?yM%kPYLUfmwx@RL3=o)`RHU&MOC%+6ydHTc`Rj5Hh z?;g7@t#YIyI(T7u;j>z#aVUW8m{}BOaGy!;f>&2fjW2TrD^U1xLz}p_eDn|IFiCpV zzO=Mdo{7|u`(rlk3a%=H53{uQvGXTW>01o>H+Xt^CCst;g5(Ixp88KG$8)*3xj~LQ z*ujl2KRNyJV^8vbo1Yj+IE=DV@n~h-P(uc5LtRK-&|^N*_|nWo?CFutgl=|cr#w>L z(*{e)jEp?Gs%iZ>)TIMP*t>aO+TCb^SFMrM948{1;XW7cPGRvnvmR5>0oyXPVz z^(*;}jO;lpaSS0M6txG)*f6}o`IxyjPxuf@$evpSiKjK$!|g% zEOT>ni*5V8pZLAJz0K(CS(Z*u%eIXE$^e$n*Au?27@Chrf3uqes#o@P>NO=sl%kGs z2EnXGgoj5__a;-yn#8HsQ9(iFYt*lzL?5C^?;Jr}cm5mmV-kC8^2Z)i1!>}72cPgt z6+d>Tdp4mo)0L)u(@tFbg%97VV;eQ8@>t- z6zza0$+P7i_cZM?BBOuEGK`J|znmHLZ5%`1s}D7t<21TI$FIMS{jI8ZTDBbY7KyOh z%FtPWPQru>AFv4*66XE#{2$n9E&%=c@e9aHtc$m?l6r`|N|^Wf`^ zbtNr}Zg!)`RY4{J04$noJX<}5LDmSSkR#2&&1XC+Kv`&@!MWbf+^nptONeUKua5T~ zU*b8Jt&GUw$E+^mZ{8SxIb+rPF)B!7)2=sXIb~$48H$&JqeR4_tk-Sm(^BCIcDMhWI zqTy9dO?G;EOvs@~4pFNuX7Msa2kPs2}`30T=s6Mcp6eHFJ$b7!^ zz#6qxC+OXB%38FuSxE;hnmO%NT#@@~y9>T-`A?r_r1PQ7{@BxVs@TO@eG%6~a9|+a zzzcPwx4{i(a8NMcVR+epu(jdVrWB?9=394V&Q2TK{?Z*V6?XYQ&_*8Am8 zLt4vDloS-HlttMG54X0=yNcTsSBRfPRcnlzL%HRzpH+iu>JXj z&9tmQ#29GmF~zUPajew`_K_KL1harZvrr)L$d+B@%CJ({>4NacdW zfzFQRl>8xacXrOb2hyGRHgRxFq`8id+Vu$^wt>#g!JhK}*-^s;b)HNq;;nZ&pV_Up z(M7a0br$0Jiio%@5%u-)q0B`d#M8XIx@nAg7%V1}>TCy<^}pw~ z4RNrXNT;>8w?D6sZK&36^1G!XBfAZ+@1^g9wZza_N=2}z49v~h{7wql4IXWIY)6R! z)vc}(!d;^C1iVcjj)Ji?#oNRhq3=P>F}qjdC>d?oY^`fvNJF$|3B)jO%H9S^O8MX3 z=pSCibwDWmhKEh9P)uX#&B~Sy5{6G&TGM5Mr5DOXN4p{x=Q-H82P!(wtU7Nu9G68K z9!Jc8RjROhH>hEMpBW6aXYkoixDZPhy%86*#J8JC^*}$%14te!nw!-b{os!0ucwsQ z{?Cg^B?xSotS|(>ipMo`gc$60_MF<4rEoqA^*yV__1oGO<8N)UkJri9zTS^J>>caj82WHpD3#TGl958}B`+l3o}WSJvJh zKDt3DCNUlf)my32A!n@&;OTz+QG)-@uZL_4lmc_6s7R#X>MmN^kYu;iNHv%&M0Cxj zL0=4gvW3&spkG=VPlPiZp45tsc8cxmdl>-ap5oZQivPCdDw;%JFA`mlTll&(&Cr(P zJG%h3zgOgrT&fc%#{8JOonLxz1!_MH)Q`$MI5(--&tG1yYZ|8zIq@dHARtL2s97)H z_V_Cu*r7fiPVn{hO$?L*I+(rO`}1+JA-DW~;Cg0~_}RxOJaAkkJPoNuajn6Me+8+I zJD~EN^UP`70Mj|geQ2PaNxgGg#hF|0LoXE@+ZvtsQLeV`XZP;&XHjfYg&WIF%ZpFP z0T5U|$^Hd%k+}(=lGycat{3gi&E|{hJ3OkP6>7!#Kq=t(O1j$GPw{_3@#M3Clatf* zb?7*XwBc5sx5Ew0+@$fzTD8JefGB*>z+N}Ko(>3N{1C@fSftm=NA3S z#ksh1v0JCk5O%_`v3-DplWdFrctm)45gqfaR=H-2HWaA`55fh7J4nW3OBsQ~RM(S{ zS?BxE@+3M;9EErc<`277F?LLHRlWvNHowc3$4#jBz>oMa9geUWl;ufh!X7uBtBx4? z?dyQQ@90{hC+i55QczHUhb)ReNyhOnUqEQnh7G1A6~+D3t6=gU(tJq9M()C|Q z;IH%N&jZpM(qiT3zXXIC80*=yH&JV>r#LFDsHmTO8{Y=UJNP(#b)i-2LnXu+_Hkf< z2Hz5*D>iR_Zhs%vW$7VaGM2eV{vfj9ar%j(6@dtF=`SxXF&TV#gSSS7p6IJgHf`Dj z_6OC#F_~4-_TWLGYuPE1F=c{3%G&p!KX;%@n$fPzz2m`#?BB- z3+O{pt0*T-6~pLC;lYvod^tV6ofcsSjMkifg?BMH1ss&1iyWVdD^b{lr&3kH0dY`A zM@O58ab^F+V1^1u1BBq1f&`##$BbaCy7@2NA_snpII;D1EyzKri!#mkh$E5G^(p5a zQ{H0lNRzhT{-cZju}A}K`JI6@qJ)@#HXID zS)zS&SE8f@4=WY#^X|!LB;RLlv!bqtR9IlAb8f=ngA}(mYxxCzC zOjxKM@e?t#VE0CIVe#RYl}th>wR!`(^pmcIS*Ou}bxs)m!QI5m43zlZY#$L3VczN` zw~vF9vx)tiHTbl^?%i%y7u6hzl0zvlBErJRD0YB`_aeOU?EhXzL6Mg6?Aj(=NTt)N z_Vxm74BlSkw{0+t1DIRq1-pTN%co`{$1b-Ij*Q5;J}|4sZL4L)1iNvfdoJbTx%r2o z3qG*J-xr06o!z_Zy^Xy+G_U(3XQ6-&8l&Aq5*IvUX2u$^Y2!xKm9=A7PPgq2!KQ*k zDar7VEO_+D7INQ_0TGc=Rjrw$Yk~cYsOl>z9qk4Q{hk6Mo9l;QQ&evbvssOW-SmVSeD`?0Bs zw-*~1XN-vRtr!zTpqPi2H$5*;QLCM5`Mh=KK3ucIl10ZtPiyp)*Y`2N9YB=(bXu^{mgq=R>EuRtekpFAI` zasE7KQXESZ`=_0QQmrZA`*U-1+ZlohHWG^#sxcQ(qw0N&`Kh7v++lLl?@Lxiem}Mn znAyl3yklrxhi@%zEp%B5*RwmPyiWVf&|2!)Ec3IU)D2B5xa1cnCK!42>Cg0pPfhkn zxRBOvQq>Xref!-ea8dIJqI}Ol>xAG2PzPR(&2QWxq&lm5zae_`ydm7kheN#3H2e$s zWa~O&kTdl<`B@&WzN`$4YKS{MeC*mA0M1p@=K-A!x&kZp`1j7OTWc+W^J(0drBfqe zlRyU8bQM>CeKjRIjw#poxu7XVM()_UwEYh4y_NQa zzyHajWV_tH%%nYZIp^Q7U+>Q!z480ic2ZV07OBwFagC@>t=|c(6Qa<@4a zK!d_xEs1Rw3dE0qdo#rel3dYRho$*T_QRnt$bD-^F$HofYuPM}KnCw}TJUgjT|ys8 zIA_>qg6$+LNyOUDoY_=^x0aG~1g92Y-yFnAaqAVbo{9k=YHO4Of@Dd3{i$?9n}Re; z^`|{YnP6yI5pX80YK?)4Rj;I#o~*3DUrBCY;O5JhQ|n(`KjNTDz0|aqWDxh~Hbjv$ z-v}-{HFdd_8UbiHv&ZoPnjGpt3;7Jx*Qpd=-x~ZzH7?@tiT+-=ODnDh5oV=E+r5RB*=DN)5yyfrjk}nTNuYm{Kq%k;Q=ZmQFLpXtIW# z7+=|yZUbUqJZWk>O7C@hZ|O}xX4zMLFF%y5ny3*p9#qOO+j8}*j7zXiZeIG%ee#U4 zQhr}9fWx;KO(AT5p_;QGIN(HW(G=7C(~I%P7JO)pYjrx)2C(|3zGho*K`?9hl_0Y+EOIM=)@@OusXc7 z5F%)59JrQKJx#z+tTwVQm%d9n*=54`nMcu$qj&x0e~sG7l$d|OU7+LE$=5t8XY&Oi zx6vGND&^^Xn()@^S|77Ii-h6Av9Rsvrie6%k;+w|&c?;h$b1@-mfja2UA41UX?52s z_rZ=_9^npJDyn~d!Adak1xf!H(~`;O2GR!0tlZqQVyrOMink^y4*?guq=O46f$AXI z22k(;{e4j2D=#kx!fmRvS5Pp7e?2WLkrdGjh|&J9saLa1y9#G^0!!^Ibo%GkPLY!Z z_WjmtDN>b+O*W*b`|X-CcuvN+bJks?z@1yG{wDb%XIoppFD>`IftvmhX&vs<4PSNU zW-s_$xJ2rR)YGmeq_dHg z)C}iA)p0|3>Hp~YV5;=3=cD`l{x7%ieS(55@7_I#Tni5^f9kr)piWM(DGa`%{!9xN zb>9SJrE*#u9>PNuN;Wp**x_yaDL{bezY-`B~PyDB^LJ7qmBoE8sszW@lnEjQ|Sjj4ukjV1F34Hs-| z{2tfgqVK-pxQbnwo|%~$Aqs}0Yb9%kaxyZMvo%q8#qJa%Q;HoyAn4mRw=m($shylC zL;W-2H+x#QN|2v$JqJln<#d87dv;EaX(MkK=fQ(2tio5m?6#nQWn`@jSl`p7Jw)~P zGW$DP-N;O2tW8co;=|ar&3?-Zae$pBTnG{Dm2p^1k2`nt=uwi-TS{4TfL!uoS6_7Z z?&9}$)X{wPk;UIXFPB7$Gddyqa2yy;W=P<@TG76bLeAmVPSdwFbuMzjS;ym2S8?sf zUBTS_I)l6gm-@7aTUa#Gf;IH=U!*e$g>5<{fD&W>Mp4>jJ3Fl#8wTQc4$`k`RY(|0M)PySK0JO-_cm?^F4;soVo za%KjNXi;Qjq$Hz_ogHM@JfrMgR=rgib6@LvbFYBG79v)F+_*KDG33&UCAw?j3=B~C z-<7N)Do}Ihla01Cn|bM=T5pES~=SCB6zp4rD9~Sj-lZP zVFBZRTNHR&KWwF<_ww!y`84ucB*Q~taWRu7v-oQ1OKC=?x!@=JU9OG9h)(5RLvd&W z1jnW^IQXfZYKMz@c*B0>|MBJTu?HaRCF#$2yAevuXy$p2`!Guj4V^`uod~QOrFAxZ zx6xd2inMD@y;|4f#j>)s;t}gbg!AkfRvxl%!ZtbI`Ks6?{r2Z)ecd@$HAP*8VG*KN z?op8G=It)Nw^Jk!Xmz!Y%c38Tf+COg;`>#p{iPJu@KhhD#+UWwWr)5l&-7+URF-2q zaluB zP&Qy6HO+})|3eazmpfnXO47~UfBqz}V*l@0vG4LyJ_^s_cG;TwN>#kKbAPDru&RvQ7~4{{>H!f=>+a!(>~8aC8h zb~5yV`|+XZc|2c^^~dggX0dmm+Nw6 z(doiaM+<{fdmNwl?oe0P4LbvptT=)p<5fA8tImxv5`_oxQhskMq|-aT?Cu z_4T@v9?wQb@+$H#&Q$??&YRTbt1vo1V zO4Mgxp4;N(?LVGv!s&~mohBbe`}u?m)r&ksLqiyM`+H-!1t!yR7C_@?KNLaMWi)9j zN_JuL(c-Z+lth{w5SmcO@y0^#83B?{(cHSvk$|qA2+Vp~5nJj{qRtoP5 zd*1C7eSP`Vm9hhFLv8$=+zLa=t2p-H{pMG9{gya`k*1aU=r0KHf z^Rwz~*Qrw;75-b7_w1zHcaKA3_Uh%&sY;ZTrMdb==VsF?DC2kCh|lTFD=9jiGR@RCGuY2|lH|_E8pk8m))f;ly>i)!7dOXQ&^wk!tBO9ge zyAYW7$a;p@-cM3RnCq<`s{W-NYO^dmNCrRm{X)y zcZ4L4R>C#Sb_W)>FF zRZ3|)qiWBjSwB1VY*E0n%0l73lJb|4ZFBEeJ$wH8HlOvIqQQSG#%EfFbn^35J#TsH zkG2zKQT5;e>R_j?sp^i_3yoQgoGg13%ooSkZaeJH6D*UjxjrJe@}%h_Ls}Ub8T5o& zGVTh4Zxs(bwWjjn(XGq>qmbcWi~3Oot`Zy0@cl70sy2?g;tyoS+Pc=N_GNiFGe@)q zt+(R+LzrtNgN0Y;^9GK@jfx;i)DE0}IFLYdv+?2T%WGF|?V8QyRNX>ZT0Ghr<_LM} z)urN<8WCl8@|x#*ckURsa0gZEKMmx$Xs@X3o7Yk#r+B6DNXs=1>3j#= zeXe9#D~^oJ>NU8y&g`Hy++1DF^zL211-~GRh@=0?f>`fBMG-l9ijuCb+#`klot=zL z1>cZ&`)gw=mHH6SO^wD!X9Y4a^p#TN-?;UJp^S~3YvGS}<03J+i{p!a`ku}`@fs8P zQ5}L!0^T)>xxMFVsD10608?&eLy;--&uf0 zInTHv+v3Ali&D;D-NIMpRLaUtZ$TNiUF94{GS6xjTluht6kX&rAu0bBqSDq>J}{@? znP4!VKwa}^|MR~eNZuUC@(E`dCRM&;639PFN=lk-1cn7KY5EzVT=jfAFIh2MRn%$I z@P#rEw(BkPqOVbs|Fgn6{2l&RI(^}`_}k~-2hG9UY~(@`HAn$U%F3Fm@MVBish5|R zU8NAu+Q9199~vldG3CGM?KNDxcCDABp}9Gt`_k%rrr%#&bdvWTx;lb8Fi{jv`la>GOX|s8?}LW#>KLV+b|%eg6I=E-qC`d}^rKdQ zjmkd1lbA5Z_oVD5J5CU@BH{K-Sh~&>uXI&Z+1eolp~%_hN&CznTDW z0mw#HYe`8AC=*~+1#^`8sUL*XFydDQ&NaPiZq9)X2)=mLry<#wfHAqbxxF(1C;qE` zK?w){5v!!!DD0}!%Jd+eivPjwAbY-d54NAPGCfcrH8t4xetk*TbPV*)pvUirhv#9t z?TIfnZ+AdtAWbc%LAs#*teKzguqFlq_K2xIfdSW>YPpjqL1>ItWv8ae1Kc9gV)aF) z=5ELEX#A}9hCh%m@?mVi>wg7=ex)nQT-PORvCL zq@$@>M+3H@qT#V|__=8HqnW=UwcVV*2E?=>(T9#-@Sn-d;634rO&*6Otq^D9%a^y_ z=z+xwDou)Bk+!^y#AG%@p>k4R4*kMajg|j%ynr6oze{RjYU;j#C4D3m$gn22!NSHV zCm6~Yf!>Y&n*naJx@5E;Hrb0CiWe^tX&HXgmk*#%rF>o zWTe5v*CL$;z5KF)hh_#tisi!rc1lhAP?)4y8Tf)91_s*O+UlKQ1;WfnM0I+SL1%K0 zpKu8sn}b1rgk~*_%Lk~!N`w=+UZjHtgBukq4 zgG~sr?OB9@RZY8~WqX-6)Gzz=Uf@MrT3VVHU@qOcbXn^AtBF;f?8|ZZ_G$MVvj*n~`8e%=yp04&6dUg}KSz&b7*N=KEm^Fb8rxAT-7x znV}9C1!GREGc-}c$GX#qOvV`$yb;blJH6t8EM}E=c48V4nO%1fII_D|Kk}43WU#ra zP`tK@!u^%PA`CMvXIa;M^nzA$EQE0Y72xb^Id!`{hz2&hu6s2|#FfUFX31#8>?6g@ zwfmmBs?pb%)4ss=toa3{;&aVZJ?4X^s#nTie$x4UU6EA~BTvk-|jpYne9N~I$eWK;y#5Z|?J?!5#M z0x3ji-H7($>tMYR7|gt3x1Xh`#J?eoq=P_~3WPC;{Y|i13v~pjC>iLC;N5~rVLT-e z_G9DdwFX_{eWzYBf=Qd>1Pdq5T-c0kQcF?_`2KYlCXL(Zj4pv$hmP|M=dDd!yy9M1 zyxH#j%Z&gex7Hu1AF&M>jv&q!dG0Zk#Hm;)k^@DqI$_6P;|Lex#K4>1u~&}@+y(+x zFL8RMc$h=zC;#nPCOV(C%W+^ zMkvgDYPqXGLAT#c0S5*ZK*FzRM*txN1&T1=SQbdXI&`cl`Ph%$=3oCIFJ+oIrOrY3 zfPxCaE*RJ)_pCNEH&5VhNl~i*$u&->)-%)lA2030$B&rwcztHq($R4RcaKO{>i#S2 z;Mq+JQ+SQNZOB}RWhVjQVZO{{3De!IF2LE9OM?5Ui63_#RdU9pl%{5A=LxtfU9`6k z4)m3-!|6KH?b;2rj#@ENDCj}jjc=O~ZGW59Jm^KuY5IyR!_6tx4^F?>xwt1trhhCE zY)bLP#>Q+5jrnSlDK$1wcv=@-&}Dv6tE3|0u2NAjcS7a}Xrb2aSqb_1hr9kkKm844 zjR368Ry2k?=L=98OqGDr#K(VZ(RV$qscH7!qN6?W>P7iX+Hj5E*YJ0O6#5P#xE2)g zp59)@XJ?yH-;a!(S3fF7;zAc_dyrUCHJMWQ52eDLT+_U1m7G_i(MC5!p&EU>1`8hV zTa3{-+{&#k37&8L0%1e)0RXe=8Crx7Csp6cq*FJ#p5mZlVPO$UH?G_|?H3Y4>&y{Q zN%aw*;`WFn$o7$M>oc$RSo%K>z-IqIDZEC>)lY8?CiZg+4P8TO%RUY1+%DXFzLz3( zBK{;mf5{<=iuOl*p*ROYJ-}2Z8!%#5{9t#n*aGAc;!`OB0Rf$yXzLS|>0yFEwKdD}%yH z2T7K8&-F=S${LK*j{Obu?L@|aN+2L{F2CMi_^#Zy9km7X@qCyuz4JyGw8wFHxeqQw zy&E4wqi84`r`EB* zSD_l;CidWUl%hh^KG(%ldQZ+$v=xT!-Nzml;t~@|H`aLYY?jWW*2Z|_j|#ljJGTi1 z-rgb0$avB!)k$7&r&jKr*Y_*m-rgn=%!6Q~0ROF*x*-9>)&BjDTkdKCwPqou#32xd1mG=LSc8GOLFPS^cQs%*W2QjIgtEJvwQ-a z_mIV){5r0Q%t>i&?eI=mK2G_?jg+i@!S*jc{0t-`8qody|4I@1O=HBQdrLOJ3~Jxy zj?;I-18)vk2uhI#URr*go=gS#|2s)08smW2D>jEA+R6zVH1Vx_b^VxKDVW?(f}uFL zeED*_nSsIhU_H~S({1X8quPe%l_pdl^d<*_TA+u>Ztb8fzm*>MXyR*xfO)Q*xYH5y zrjyd+87BSwd-lkzAFO_P>xfJ8MAC1Q1v0Yldkn9VhEwyo)mc;kjk%Z#8d_6QQkG|e zMJ=Z$6N~Q8wtCn8Gh~*RFI`gmFTuecHU^FNVByGEpiwA$!vZ^I+g(>39W!rh5OfDZ zaDa)~HFD{rtJkjGFgnHJ&JQw#maZ#|laWKxPPkx#i=G~iJc462>`ifeeEJ0k*!jhX zdiJtKC8eTjTdwu44#p|=TiJyUUlZY8=AU6xdL!fZ?TtNuL291Xyz($dIfRGu;zjQ0 zy2o3#3Eru@Fdw>nv?-{jggUD@hj;|b%f+bW9|7d=(0_;V~}C07Niy8S`JeBSW`jt74m-NU8` zns`1ZC#TjZe~>OAC~R|%Cd6!^f++kDON1{I7TobShyVr*M(ZnZICQOUBwe}v7eNlJ zsE|^gR=Sy-%&BGqW*dfW<)c7VF5B6~jk>NZbbEX&DB)xA${vBOA8%9;=pte`_wo(& z_osK?!JfikJUHix!KT5q*YHP*J~xMhRyzgliuR7&x2?4W*+)p}xYu%yW8EvY@d;|m zs;W|1#Aw`mVM5Li(`k3zmc-n1)Vuso%BR#R6swq;&UN^21u0r%VDxm%$X5&HT<(_T zwzJwi0S@EW^YShP`7&H=+mt?gWo&NW^&-d01eFPM0?pN!YLN=M^}p5%r`5I0%!`_u zt#CPQ-4%|3>J_vw=y>cLFrz+Z0x4yyr4N|<*erWQL{8I*>SE_%W5ARzjthkc!L%O- z6X0@*-G3+?t@Q;QVH*8J6SWih(lw)k z1RQ)QiKgOFA!l>G`#3hnoqB)2!QV-@iTZI$@FCdvS;zMU)L$(xpJFjm48yW#-t1b%r4kk)!HTuKaI zhSg!`F&iyGs@2dc4CM%rkro%f+IMHi;>gg@Q%)66t@9m^WIuKn_S|apysfJDKR4b5 zTU-Cf#ElH~!niLHqnsa(BN~^D5(v^DFBmBb-8-|}SNrHVoxAoJN*9ew{s!o6Z}j4~ ztsBKiIsPm~FkL{PZ>hLq*D>ZhS>ZRA$2LOsFv^*rTD3;eaNz)wnOQsXY?v%&W@cz> ziGC~i(w8q^qJ6fuYsD((vRP){>d&X zhsFi+c&(ri`utDml( zQlWn_URLK5f!*r00fu0$CsvynsbIwPUql||d=@9VlF5%{HNF&Tbs>>E#wQqca_)&O zD=8l_+pIB}TRg6~Gp0L&+$7!5<4*O+TOW?Wx|j)}((bjhmi&@?3DLKogYhYJzM zY0gkGfD3N>Kw|)+aJ2R~6#M``R0|_rX|XI)u5RG{qab@kr}X%gh2Ry^KH?5kaY`|n zM`~FMA2jKB3t*=5A3PY_!i;LrZsy^C{Wu{d@hvm59sG0Cb?}A+RJlbmcO{JC-f;#4pfXHjWihtO;t&uOTDxW zv1_%SxJjM}sENqOsYQ?;KHq6umD()y=iHzW8SeAHc;xe(W1t}lQ&caTi zQA-7%*|S!bg;zHJ4voa4zOyG9(cC~tQ?5%g;<}7#Py~F65}$gw3JY5^i6xlKa3 z;_fbWfMFBS(&y z`4kish^JayLJ1J%{i-@(g_ZNRE8I{R^#krjFYtbR(|@Ax%B)gXp%0CXiGYCahyhI*&)HfLWd96OM&4Ct;!|JEhX{0#yb60Sb6TA zBsnR$FSoo^b15WG2@bpWT<}e3jB)VC+BvVZV)X~~b5o5n%Jk2kb;k29=(VR zf-L4|&54)5__}0fX(^v}dd)_<=h{E$IjiNtn^g*njI5ytPk5^MaZ1zFP-ma)o5;S# zb0!dk#l{%nHX5%P3z7nfO9yeXNfFxr`JP?ig^Ne@ibM ztjN;Zj;geGP;;jrSyhsgGcRMTi#+o+Ef0jL={c!NpL@iWm8&c!COmU5&&3Z6#GO@~a9ue8 zQb%q~47=mP>;ZHr2xp!vOl;u!kDjxHz*fKMEsjzHd$qd>qTHS>=}(?)E?ydlurAZN zrnegyr@!-Fkh7Rhv4+V7hlObcE%c2W`TL1)+O;oU!LK8?GRifPLqWb@V&j1WYjpOh z>AnuyAyVaWaI8y3Z?bqrR^k3`bMwwR+MjEL%_;L8XvaT^_O28i-+SOdJ)*N->HG`w z`Ni2U2Ib@^PL6;*3?b6LL13Xnjga!fIVQWrJ>L@wfe&w!hR6O8B8RRAqw{<5_Si5( z@rZ%9w>RjVaEP=l)Id_e3@Q<(D zE#~{g15bC9no`j`bnk`@qEX`dDLNM^_^~F&s+BHl+_dAfvH~A?%gV^`v7!3r zUs|`{KIHky!Dp!z*@X22(nYZ4^)&}@$*XB;6)iPw{vSMZD>h$L>EJ$uhifx0Y$H48 z0}K_mwkQ%XgKG%5ot>RsP~a#jDQRF3V>k{_1MtazGa`}d(4y9DStpK@8WUcCT%#i+ z!1;<{yhL);qJ#muNK?4brNoA9!~s4y$hrka_1Zyd=TaS7QvXjDwW3Vl$0*m-@Ezo2 z*(DHKF|+eowoif5*4qmf{HrcsQ#fc6TG-+F_0cg9h=+%TRgMbt@TB2)4?oEQ_@;JZ zQ(s?S>>-U)r(lE0u+;S8#Y4crB_=L#B2P?c(*2qm`QGa{J%Wtvn=m3v-W&iYe)JVb zN7=nwDJbs3k`)%=4c{<+GP$EtQfoxg(ci+RpdnM~+__;~RO-k^VnT!mi7Os_Z1ks+t-w7@_T810#D>7?v$NXhI)6 zc&51x*}^%k@O@-^6NGbBvm{K?r9@Jh{X;(19I6rS`ho1oF!K~(tr3nr7>N<7Vx zZx$;XckH64mx0__hi1o)(a}+^Aeoh!Iii{zhY^fyv*^AQ37akuf$elS>x>uM^o%+yW?X_p}=*k>LZq@a_OS#f-m)vr2 z)cIp89SyL8@qF>yk0XbqxIH;xzLCl#_InL%#Vd-fMVl4pG?Fbu;amC%UUJa^J8l^Jd#I zb(-9=Ce=&)B+`_BfTP-xuN;2&jxH`q_f?d=xpT$AKdpG5hevjN)YOwGWF$Z5ow^;n z^7rqq&+heNnPR;H(N*m-XT z-rM<#9z!H!x7dHk-kGBG7Z4Dz75wbM@}N#7E06F9Nbc(DDyni^Pfy6_J5In7Kkhv1 zK7sueIG>UHCBXv94 z89JD=Q4MELJDiy3%~8r>n#i7|pKt4NTo5`d-}YKSCQzEyUB{puIm%j#r<|M?ffEJ3 zA-p~2FxQ1RjL=){5pIN*^~sYbIByf$tE@k>u3jT&PDSlkr!*E6VC4Cro+K%`+1lDF zXy?wote(lItNGbQ|B(!sDxI5@WbZ%M*?CfTd(2sHH!>G)SJ%d|vllKrk%Tnz=VFqb zx$ymal>*+hFr#<&>_>uS?G>fA$lQZLrDi{r^-Z6}gBzY?XFD%1{(p>pcU;bUAGdQH z93w=OP!ihFBwCcBp+%FTy;Eq{Wu~3Dni`6$K|@y@@q6bY;iUjivWuA2+H|V?F1&13{D+dJBaZ<)2t_yXjD2AE1TSWT z6}bJufQfI>ddFnQ0m9#OMOMakOAN3Ml-mBe%!Y$yBnw7hwnxNvX8nuB7nOjaxi~V2 zKlOKg`J#EwZRO~K&IYrK|JK=L9{ab>=JBLf3{Dr^mc+E1@*Hf0jLzT?KzBAR2)ATG z!5l*b+1Z5$k5)9D%2+j6DgN%!r! zgHqwp=Is@!WhJ+_hu=8Gts@irFn5^qQSHc&*SVLVMi|_(t*jARv7DzN7mEs=kAJQI zw5CV{V!rM_eBM1#d=`ypMNMQ-{#$#uv{hM;V$=e+l~5Ej$fO_w>s+H_F?Yd;kJda& zf;9N~^FCK|?BMS1EC@57J9P&at1@~Uyx0%AWECcRrZ1PTT-LT5g!q2Z1F-a@{;?8L z=cbKo^??iErj6YUxbaD_xD@4PYj|*QaZ~{j>^(+2!h4M#GO$+*VQwfKqOcE6j@PEn z5OTr}SPeemcrry^xpvp%_(Lax{+KSC8$vODW2(E9uL-s<5Z6=pixTsKok-E%E7)*T zWzDf;9K9}TmiE-LH3eON2xqclFGHvWt1zXLQudKWy?v_b! zcTY%(()H_P(xp#V26yO%-Tfy=`gjmY%DHH5(2bR0b`r>PU0SMT%Q}h0BIZ&H^)Nr0 z1khg6Nq-@WEaBNl465;}LRhn@-PIW>27tt=Mj$f7q^^{Q1S*2%*0EI$%aqZ=7d^cP z@OL@ga@}=e@&OEd*KZa77xPh*x^pFs6^I4{O|Sub3reW-8rmJg-q(Ogbe#PsffK-r z>%_O1sWU{%wW_dw5&HCZS!mj^A&XX*aU((zr?@SfnBKKK^zp zQBfaM4f$0y6L@ELIZoWs8@{%@d~HM0r?rH4&EzEN9hcwie)2TO^?wZ+7&Z`P^iLtx z+|CKSdgjI#NFPrj*LbxbH>D=cKsL7n1xO7Mq5aj_blJ-mA{-l&g1hNG{BJLj)xYoC zv*+peO5l>dbw}Pdg4p^b6VZAo5juLxP zz=k_=ql{-RMpO%ng!-5g4fXKp!%SYG91_FQr)+Kc#H<;G%%uA3Dx-?Z%=L)KvX8Gm-28`?#F-#CyK!qV5a){^ z1$V{G&OC#h^BL5flMy}~qiT<2c2a&F()0R@0QfJ36U|tXJ@ieK00(-sYfnkM1($mK z-q4mG&P-#VQ;d-mqNE%lNF zEMzkGr~Qreb!#^&FG`+Frt90<#3dx6v*FDXMI#$?Idu8+b|e0(LG};f(t+Rr zezaC(vHc3Y{c^Vh_|Hq+VyN@oCO{`anP0^bNg&^7oY$^d!^o%q*AeGEMxA~Uu=;=J zkNkHz|*tIOKMv3-c z4cbe`#RA&I#6&}*v9z?**Vh+LkY3W8KrP(5wRlhjw~smzosebYir)Y**`dWc_Gg}xc&S?T(}+OMAdx;2R{VNA5Y@8 z+{(rluh%4|NXruU)OB)1E>C5YgT|XFliP?N+yE1=&Y_>CiOL|37>RaUk%MR(m zv#t3A z5^2!twMSh08~%UIZ>|m#@DZ3CX!tj6K}RQhFkD##uWEy}g#{!vg8)WOTW_4(^4u3a zGRk?vw_@zka&x4w$VgmW_F!LAmPN?pd+P+?W*I{yo+YVh%Ou3~Ft2;0^13Fv8Q*J7 zUI0y$beUl~{8?i9!LdpbJ8(c*bQ;YCQn7RP)GSld_->gwwT)c)<&uiL{)CAhzdA&?i@8*7&grO{OOF-8^XDM>z!ILPIX5rcg)rQC3r$A z_}det3Qb*;k1wx<|G0q9VaWoJDY;y3sxRAdxC818uK-FY?*3z(}+R1v{#tcEpl;x(+3?3i^;ub zpYQp3ozki?8ojAy6?3tJf>?S`%Q+~H`OEyVf7t2O)w}fOJ6h!Ba|PE}{TNQ%J4@(@ zm;PCJmqAn9n1{Didbi3I+1pMSh9cA+oDoo=t{^;zlDIaw_V2Z8S&cg1&x7@5QXRoT zb`d{}`~pBJXc13<$)%w1s3+y?;CfTPIbK8KInvnRUj<%==jR=r|6C!$nbW}=yX(RA z!eg{@-J3Y$?M`3Y@(1n4qc^GA)}NGUyR`$Qg12tWr4>oOYFB>YnyNN??LW)gduTOp2C%hnP^uZC!Z2hPkkHD35(BO$ig^ zh(Fh=z$&ZFD+nNZwbo^tkTcQ^_kL~D9@v8)n#Mu#-@(VQ4zQs8#SP{QA;?cTbl=q8 z=~A`=`J`&J6`w@R-g0bUI>ThhjMTm5JGrL3ygLoQZTv`S#$1#>zZEiaBt>&Se%H4{l3!T3CD`PUCTSxX|cA_4UE?RVqLv- zI$?IGx`14aB9><5Ym{L-KHMOl1oler)(pX-cQHFQpjOzkur&G3SMY%}^o0E4Sw7@H zxp}MG_kK*A;7u7n1s;k#SG&7KcvYof_knsjE8_F17f=K_HPj!UQA1{mzCN9;B8PLt z5e-`UTe`Y&y(#A|X?3NQDA*vn$1UZJu3Ge8wXwJ0@gMiHs641?LyF_)u(ORFbG^4? z)1$nRgIsVdD$Lu<=1ogqI)ghEs?S7f+Ks8@WT&Q8|xg%?!s1lQ*2n z+T9<9$46Ad4{Eqi9(S6!P-m(6UW__D?qiV@(E;DQG=DqiDn=Jh>Sf)dD<+)>gjxz~ zN%K#*&pk$Dijo**VlvD*QTdf1U1{N*(Hg$4a#KOEOR-u4YIeuOo&MpTePBp)h~M-M zhe&M7+1V+%W5-fvcS^XQt>XKEX}M`?d@Pw;i$9et6UJ{eqP^7WTOz95cEx?7k-8Pu z;_tYLi76%jHn860$VfzqH+it{QR0{wmhJ9K4a#>3+J10Q&vh&*-R#~-^n8~IC1Z!U zr8h@^bCAu>xykt67&=6|bXTZ9CLF^ZVnaeSFqWTAS_0?~!F6uIU1ph+ZHtMSw5)87 z`8&Ct$B&&s0p_>;ptkkjQK}!$%KC@aZpd@Un=pX?47YE_5DWc)6nq0c~4M&Sr6A?35`TT9hFdYv|{ype7az$GET%KGqZuHDKT zuS!bT5F**gf80oH5tn>vMw~Ebxy~IQ1$P)+r12MgsSca|oSnme-KtQM;4mm=Px0I3 zxjYF4%NEYl4C1cO+Kjx*ofr4^)jI6$9UL@tbpCwLR?0G-=Rz(6)^cgm zln)huWZYqPH950U`*%$zxn7mgpOtd$`agUt8$X^{Zb`{+NM~DclwZP4uj=f^outah z&i=2D=R(xtD!>1ni}H^IcbMqObpmupw$|9S<*R;t==bvRfz1#mmHFfL!F%O{583to zZT)(R&qE)8ayO1g!n&vGuwRL`ZKZP_lgfhA2LweJy| z_v$>7ZL8dD{zO!PNq2dWfGLq+*+aAE*}=XN2Jd(<=L)|4vlc$4u#?*)K@UI%Oq(72 zhNa-}+9d~gdw&IB7Q}f*E{O9T+*ANxgZtW?1k25fwHZSZjch^AbLM;S<-l9`?d|t- z1!PqjF({~hA}M$3REm0Xc*2jdF(Nxx?@ju}PsjS?V?v+0`^GTHDJlwe!N-b(x7>IX z2+Ox0KLnqwTel9AM{>3=Yx2h0+@XkiwxGlF=6hsBmsWY12(b+s$i=8lWPBNF0Q%i{ z1xD))z)tz|bJMYWjjQ5iWo0XlNTLf19*PaE=wpyd+v_f47;6E}a)*W~cuoYHW+F0B zf)%LUySZvt#EvmBF~#PC5C%DfUbdwc;AkTwv=dpac8|6QPmMYlW zlDRO`5qpK?t`l6AKZcH&{5VOOD`YG~h@d7$p9Rw`?Q}PeZWBp=(D?eKzJa2i%^M~Q zA(@AF@9H~K;@jhMcy!2bl_}0wsBe^3uTd@bKV#iinJTn}B#fLs#SEM}YQZURv2E=4 z&Q>28>S|9cogQyL{9mhc>z0R<+mt#snTHNp<{=I-q%l`5It5IEir$Cd&J|; z0Z4T=%%y`jm^A{4%~sHuEJf_PO2K7>zHk!&0d1HzRKIG~Vlt3S0m{urI$3nnlE{-r zo|)UA4@br+zE{4mTjWe z3FN7|!ECuPrMn^=VfP6&YiwPc8_Qr17d(To=IEtLpHYTQI5 zQ|ji~C%akz1HxR<+z5NBia9H$2AF5o$g5S%bUaWVxPOVcohhV02F94ksvKh{-#>Dv zNb^JUWqKY&7)N%Jc9vYW@AiYIvOS~IiI9d_8nbtggPtQKA|{s9PRKgajo=8?%tSba zK0eJ+!^FVAK2%6#l-&Py{x~;r_<{Zi>+xjJO2Bs1-#jrjg(W&7Dmnx9j(@CU3;2^EM z5d%iIels`a0{A+7%QFz%4hQO}5j0q(AJBlt(@XRA`=&>honRuUT} zH?PLh>DLwXA%NPHmTiU51J>%b{F)z!hA^Nsu!uh0{6m8^cfgZP=3&j9Rl^Ti#kG?O z6jV1z$h`g;>hw#g_VXQ49DcN{c20x0TxW+!pQ+BOjI&c=Yg}E)p`rc3l?oJhH?@a3 zIU{|k{z?7Vaz)I(?}y%qPjmkw6i~q}C?Udep}sqRfV^LC4iN|qYK)KJPAH8fcp-B@ zMU4@mH6G0jw6rYSK{qkaC()VVJMZGreen#03m~}k5{JG%7}E5>&hLGeG2gOxT!0+`aV`JgZN4sO(Ssk#%?0@ylir<h}NANkP+2^XyGZP=2%ZpI*@+w<>y)wMJbZQJy#{I*n zwEedU6vKxHwZ!U`v(^#SWEm_70R2SaxJQpld+dqOW9NDH zTJ8WoNk$YJW6Vz^zP((I%e-Ohx^4W@1y?Ze=Hp^+smr#~;e++nZX#WpsPJeQH0zBWl6cLfMYc%eHb-Ge^BFj9%v#wI>@T95XS(rAVV?d#`YIz@}M_ z?|x|Lmx+UmugAx>pBDn_-o1#W;ccD|)`^w&0@e7qv~+0eA1b{HW`C_(bw3LuVVz8k z1Z9>+%10(*S-mmRrJ;c~>Jp@T7^+fJVK^BuA*Qkxq_|xq9c0f>14k~~Zelm%1KRes z^r)-{bG){AE`$e;n9a>hHmfNSfOl9$fp}tOZ>o%44_PLKFo4ae2QHMX0V$%gIxm>s zpDi?7%E%tBNEcBE(|3;Wa6KVCITF`%A;C~iDtU*5Zf~2IOSU@C@#&8Np$y35svqoo z@?!2ezuWVX&-djLRpWx~y2&n=I8J^0_Bu}K>$?g;tKizVQzP^}|BKB0+^N}P-kazQrx-}2?$3%A95iRN0gh_s@Qz<^%E(yT(V>vR!QmYpZ8qk! zpB&7ixOsz^VS9MFX7OevQI@&X>mC1)J!(vm6CX1(O}8q;5*Q!(hQw-gDVbTSHID@6 zCf|@A`w=NAeu%YA?9^K<(ynet*54r=HO5+q6p?9(b{8g^Z1XJ1 zwckbw5C8albsjaB$ipH${1g?6x81b;sm?HgrawG{EYfvg>1XZbD>$D-uP2xl!eJn1 zcc=#E*g`osy*BZlnf|!7#pt-A+0EM~^pE1gjrAN~3AR#v%${3l)MW+)?9}6ctt@ze z{a=X8-|T1H3+y5Zc5ZHk7GCkjiVFEm?5CCnxo)(liK-O$`B{f7J^_IY6dfK(tG0^F zEzJ;~@u63`w1<+05D7jEqYb1QBrZXc$j?<5R{Nzs@t)Q+k@!0;=#nJ%!E4lD-CItL zl!&7Ohieh=Mnghb*OYrH-cm_XaTE#yKh&pR(-$}2ruaQKWI+9|w9fli5rhuwI6H+U z#cbvJ9q;p99CBFlz@y$FdcsxCj$LgTSyw9QBo6D#j(@3< zvbwA#*NHOc4)f&`Zhw{Q5oyarl$@3hS5ToUBSyRePj(2J-Z7=u=<#>oyI4PEs(Ddx&PocmU+~g^tB3dD(}nSVm>9Xbv#ihVOs-aOq81FsM^b zj*g|#A;>982tx6YZi|_zIWRS%LfT9~_vmwKh%k3B!z#jY(KMm4BaZW+L`NACqt-Fv zW(WB(-Te>FlwHgJ{8Kt@!s@VX$IXY08B?X?Vu`s6ZF8S)H$@Bh zXs3O*HD$y~ZogAHYBbxlb+Yrm4Y|Ng(IC)N;@JhM?h&K!<`T<&#dKQMv`ankb$Hoc^TQn#LGab4pX$7Ix1IrJHXKOAERDb`a-2rbg zQI;P!06>Ek+^Pc$&$hMK`7eDSgRk^7#$_E?Lmnv?gBY(dd_)=0$es(H5C1jl-=m%W_ez=A!mY>H{ zr$1JZ#?+VeNRXiKOPrXA-kg!sC8kzDx)&PVJovhnmqSTazSZ`8>Gp7#J?Lv4`L)nA$q0!nVJ3a})+lM6 z+n{h?SkbzK1csH2&|e>2`6)G7Pn@QwhfLTu*OxGVQ82rC%P8QFyHSIcqYWqH5N;u) zc+kc=d~8G&(wz9u1sr}~zC^^^PE2u1%-m_^wO6Ca#T5%%S)SWJd4RdEh}PO7M_V1S zr1ZRGX4|PBW*Ir~!r#?Q{bX)I8PSmI4ARi$d*TWhr|Z@JIf=Wh?Th(dS6zt51tEU8 z;=4!n;`{0oH#0Mns;>hTW9i%4&l(`w0qUlS(;bhN4AElcN*Yv=)30Oa7*8O`$v<0j z#=dO3l1CC7SLv#6f1@R6PdD<(zRQ~Yv?g~53d~(CQc_Y`KBUoi8o+X5iFwpzBekFH zPSd?wPhVf|SPmL0d8=noixI3|gNM9R_qEetI<4Wi{#e2%yaHJ$ggobxd1Mdem}4uX)X&x@x#1w#ogkawh6k-+{khl8r{V;Q`rfBS5Q(?7 z6h%K477;%z^U0HOC1*-6$k=uN=;6Q=;zL2CShAwo<*$a}V`IXkU^sUts=P4TJN5SY zl`&&E#VWHMLY#Sg;%#=TM~99^xL;1009N0%+sSX8zFKrgbMu|itGg;>Gl;k;@r-Kj z*J(EG;!X4H!|yDUh_(Hj5LIob>Yer4w3pjuabcGU0F{iFpu}Whq;uodQ-s>RSaTV# zC5x0tM1`~etoIf!R7DQh@vHKV2cDk=q80?Zo2rBDp3xx?t^R-&jIW|pTT*G2=+p#1DLJJcmfr5FOeVrB#i`xs$hP(cZwN^f zR>g(V$4So{s%`y28KL3UGh;0ga*-S~p9XR=R*BJ4Ni%`1p;0N8ZAqCH@tW&A1Ka;K z7$J+fC_LeJNZG^`wUa4r$A{yN{d{#g`Wz~$>xW~rT%E?Yn$;Ye>}6AXYS3NYzsged zyF!YE%0~`AsQQ$*5~T8o?_Du)n&4=68^~(x%40@^wrw7)2Nj(arug!IIcwX;L8CMM0^A_nLHf@$;PLLNF|#G%#(Hsd zwES0C6eA9)v;@q_2~jSTGTMHtk!22Az2_4+S@pTJi`$1z=O?I5WZohJ6j<2$wpF_+ zDiK=$^`-xY>p8MFaqK{6Hqu91;6t<+N=JeHiaLIKkOb`aG#v1|#fS_plEKlW__|c5 zEo$!noL7b-&*=RDY6;sBmyg|)b&Q5``%K>@8aVe2P*b8`lQW zi%R`5(yJ*3vU?9V=eC!UUu!XoXDat$xp^&gf7p6`0%?zWeOrE$)mSt8~07C0LxUZR%xZD*s0dr3uzI0^4K=o@f) zBdO8*Hm<84fyxg(d-LnpQf@iNZmj{7^|W8sghISIw`dp+NFM3{_F@U{EYpSK)uJO6FYi)MJ|6)HX&_X z!_v6JVA;}aB~B3DzB*av6n}-7$2vKKxCgWDp9gLSAPhv$Q!ko%_F;NU5wst&A1)yf z&cPyxY_@zB{~jW|py49^wrmVlo?TZodvK_e;f4irp0aCoEeHfp6^uT8{SGi>fLfEj zeLQNb)!SvE(e>$zikh6k8zv2RW5ieI+I6p6c>_@uKvH4`1loV;G(Y}Le1#}trbZ2G z5tm>7dx#|T?;(=og~9*+uULr>H=?g3C&eu(x12o_@vnne0~D9nb(fCB@lC&{Xy0jn znUP&pL~-R$V9TXzS)&$L6JGo{KMV2pUALBSXZ#yS7Y0($LFx9NH1k~Xo8|H`_}8D} zBZdf~1oq$5>1TU?{xmG*sQIG9!@u60UH9O9S!Lx5yKXrw$kEilBdSdWx1Nta-A4ak z9|3bI=%O0VAHXcK1WF}ITLc68qB6n^=rtO^nbQ9NmYsNZyHna7JN@X>ci^%PvREri zY#>3wOpIVLoJHe?CpzEhdt3!kuLbA8NdvF!e|=V>QH1EOvEOtV^;(UoU{{Pj)w`pl zJZTOiGQ6VSzkO4#z)!5V%VNC_k5+mSJfSW3!|qKl&%SB}0c{4G6x}=4<3Bu@q^IuL z=vS$uKUUHr;nhnGIY|G*dGV|*^M;v+e})i+mYG??to9Mc!Q0k(ynG2`fK}CD0y?GJa`qYl0f)P8a%J73G; z$*MokF7%yeX#l1B_KI7uc#xH?XUO}WXmbHgrI+T?L;4hYfsvM%5 z`TmSIC(P(rzzf@aqyhueefwmx4ULV7wGwo8ahad$OFe}wWSyKBVi@U527*%0Y*6N3 z6B=P+*SuTG^5u6EkJ6@wG8^wE&rE8H-qPq+l27_)7lpohMBEe&6jbCzMHt{UOo^IDGtVQPZ})_ zD&!k_8$B{<T+`4 zMk%q_%dy7ro$AO7ZLN32pwNhLX}gr)$0FXB)9!xh>#OAt5(vf6jM4i7HZ8o5>Gl|@RZYBOah7lksvFx?U4au4OC+-TABibc;v794p0;p4 zVs{7Dz7;9HtN4&l;C@bWPkp0sA!(PI{)R}laNqhd9yCLI6+87u(1a^I}yS99oOuwn>RrxU8TLD3E`{7OGG z4wt>WM9c4YVfNi{|B?UkJ#dA94A9Ifl{#e#vp!de1I?BEXb7Oq$^Xb6bm1 z%56+Wcix)ms!I!6a-3>_@x1OA>!WFhbpkbTOYSy=-G-eB9P>G}0`%{0DBjp}3xg1E zuiH${Cy**We)a0I8qHigBU|T9JitzZa>3!wZmPimI_Cw!6u295wnWqJX{~J?NvnZ7 zvCuI{qOEr0c4fW-zlc#!t3AdRk*+G$1>?~wY?Ldvs+G8v>hQa6@PpVxDtR6Dd#x<30b1cDi91>i+$j zPj|7hzE0PT6O3{lDVrWdeozsS+%7Hc%fQzW$qki>eiPn`uGcDmK1e{=<|ULem@UD) z)&L%i!xp>TH{-$$`uRH`j@HiP+_Q^E#l0TjgWL7r1rpG7XEb76#-(!nc$x80__=-R za@-bf_YiLtIjJ57dEqmk_X`E5%}?3#am{HcOjB313e7pS8!cV%rSv~fGmG2zs)HaI zyAjjZ@k*rkI6}OZAqVUc2vU$3yRaQ-oit9M-Bm;{Um+Q|g_(IC^^XtpUy!xFh)Osr z_wa9MXTv{e;dJ0qcpMrkK`L;4fZbhAF+^h_8_b2x{YO4YrUAI7f;b!w|5UT*rl#9aD9T>aT`f{$?X+<5g3 z@H(6xl-uGRdnqB6=7w^ekq&KTPwqi?ZxZDs!;7(29jf&z%$F~0MW<{=9;S#v*d>>w^S*%Dx>VzE}#5eXxA~>{yFkA{x3l3Z)6~eYUj|wO|2= zrj=WGAR1~yM_TbjNm)wX@9Ni-X%VpTA|8n5a5klUZpP$7&K)*qZgMUy`>i#obVTmf zBF{NB)Vl3OE=yl@Zu|2q@;a<)jOur{!YqKCBT^LdF571(XUQQj<|H30$6TLKG=-6V z%FtcxZ`6tJTs~kEb?o74@slwCJ|%5{XQy&&6ZZ31wIf(2l`B8LZ3jAOCZK6%WhFO{eTV&oPJF3A{zP~{&l zXbigL(A1uN`^+r{@7FqFqH+8vCVg>gBF6u3F z!@r?P+_eh zq)W)Us;P}vV&?1n^eGLF!30#PL{T4>Gh;toteO6>_NZ5mJ6-BzVX{EcO0vI&dq;y7 zUyH<*^iZonQRM;o$@GOonwHfa(ws2Yss- zsEK*u?|_h}!6DJfq4S-Fo}#?`W*+_N+qZ6Qn}c->yL!>kNu02v00mdq7YddDEo|Ye zhL^Ep)vLO??;x6>gT`qs-)-I%Pz*@gu!4YGl-vIt&vKo#%PrK-G-Wv@2|6mwaLEBq z;5wRQ2I1|w7u4a(qJsvVH(F0QQFU)x5~kpZ@v2YWQv}J=erbk!l+JyW*_MslH`*D8 zMpy-jYF3`g%dB6)yyO{h6*3=!_wiUg*}U{MT#Gq`!?k7sz3P`>xTQ4qvA0(Xb9)d| zynAtJm3M)E^*|GH%f`8yWbdaH#%DHn(K-$ET^)NMtjGl98KbS z6V{38X=XU(@Jx63>^F45m6_#(^izewDa6v*GUX&Hab8h3Uxe?TbNEgBry0r`6_^H! zzTLR}+b7DKqRY;oBk$TOQv1gbo_hB2;nI;*{yEL|c+ZWuoQ8(R?Q3FumxNU+&?%5a zsE@q|jJ4*0K>LJ0eR_b)C7S6jf^n|oD5v-$J~i&nZ+_Bzc|F=mp}d>vXX5!@H~szv7FiUgJH=h! zqbX_5;?f&?_`Vu>hodO_cxf>8`2NRQuzSEnkByP z6~TQ=|LzBbEE6ofjXu8H1orLSOBFhTLq+#DQMW!K`R7mhGv!;aM>Vt>9Y#dAPap!6mh|&P%h!Ws^7l z<3C!H3y0W0`!f<;pZhebEquUR)jK;|wF;9qrfv_*De4c%A#JMj*>97bnp15eD=gMZ z`sVbVj#^du!}#PZRY$Eh)^b2C$(Kb~a(b$edG3N6?XWFJ4r%NDhx(bLolC0@@1uXS zLvN|!1;T{!38oo^%GfBp=?sI)A11LQ-MEOd)qk0JB3?d|x8wdT-~uk497t z^x-2KDajes#2!D+MF|WGbHWV@rbGO>^w04_DJ9$Vm(O%uA7mKvZbH4FpM7QIzWwDZ z-EZ2BygRb$y^L+#NS!xbEBwjDO)c~d^EM%_lT0q^m-WN`uZT{`K;s+iA*v81+EgH()wocozu$o_{E5m# z59nInZ^SE&c5OWzHy~FVUHg_yCd0QQP7A%@T?vh>=ZdFv3_WQa=PEafpv6_>+a8$x z-an;aw)1JF%uHWnkg-kGyj7$t*VOoO`_!+LJPkuOm5_s4sjjmh3{}QTz5MM-TNfAo zrO;29V{s29KP5bnF=_)153NR=Qgi{TM-Wpgo=M`Th-{5_i=G=UVYV=!G3KB#aeY3A zk`Lt7;uCA3JjzN{`bqfJ7i9eIopU-#Q^hqiXzklOcQ$5eCS7pXZTb3^-{}sE@cFNM z)wo&3zMm?47N9EQF|o#5g|G{^6ZCXDi6 zO``IxQs7NjcS(5h56?wJAk$q|tT>C@Q?OpmfX8{asPGJ5-#mf|epcZs)D z95^HFoX-O%M$Ht4s3Uk39FvkdE)Nx(?!lYDT6qhg#F7F*XS`N^zaP4b7MsHSA*(%f zn?a8IxDGska!hMyW@fMp;2O|O7|LH^@W-&Hq8KHwr&=W}FWIZrj3blI<&E9oULc7k z7OKj7Y(MNUIMbkGqZKC@G2APh9A8cLP;@g-%_6Spf8e;ny`MJ^)7+dBs;YOp^T5?f zJe_#galFYS`iha9!N=Uc!-}8IeRUk$dH;EG<}-m{uIytF9v#MO+E;3{TU<+eCy zqFb5wJYDII?j`u(O=&j3yqT{4j_^|+J#vzLA4pLzw;ifo6NEW(*`-&gE)9JS(TEKf zZT>0}i~lbjQ6~~XJOHH{#-2h#3RPgGKOXaWl7PE{MO}E?3IHqTyyH_l_!1Yovt-cNb5V))OKBNL&)KH9; zzd0`IobJOt-9v54b-zh+Ncfs5dWLBbela+_GjV5oI`nlO`vVZk{C(b0M}b6e2SkNX^|am6$;{dk0h;nSD! zd-jw2GE^6*6v8}?FotuP0ow#*DnBnA(w599ehQ253GnUB8&h`f*f9gxEmbF{I*=zd zzhR;O=0`eeE+yNh-FmD6*VSK*`0yQX?RkXeMCH33ZFvolb3<{?RwF<6F9uEXBx^l# zWYWx#aG%A<=gAGyTBA4V`zMyJ(Pi`m1_8wWKlrAPh4<~-S6*^WBT=>cNoql@{ch(d zum?o0y7XM!0+E3c=9?o)v^#h57=y^LpV>F<{Hs4ju1f>If7gL531YEgRU)qXGl_9( z>$(gGg)MSTn}787%y}EtKRzSx|NO3n-0N-8)^*P1WRGoWUYMeUk;#VbV5eH-e)nWE z23xzxp0<%!|I+CM|x(q#T=%BG$YUljH74AAmHU?E==9>Vv6W{W4HJf#7mR?Lr3bE@Rm9 zu*9Kb<%)y*9ziH^K+K7DA>>qW(D5820E=xWWO^3HnU=o}8+h=& zLqqL=K|#nt^lBzLwh=xJ50_OwX^F(+G#%hbB*X!b_U2*Fe)vHKNAUvzZiV?8iQ=4h z=&Nu%Aba>4k-aVKOH8$Iqbb)Pn-q}h?;AjIz<<1^vt+zdcdx(DmVvq9*5D;kRvXrvmfA;htM# zZc9^)t6W4Uk-U(TouOlmj~+b#<+N3AQ%1Zg2gc`3k+hN(BMi$UuCXR7Z#!`Q>Wi#d zumURr_o9nqm4cY=%H0ju4jgfSIzVrl?1>Rj1)I+Mn)tbL)D4I+@D7HLP69J|ahs?& z0~l*ssa5Ene`rO;M=DOs!21!Xe8!c`5(2gb0uw{tn4$W;J9l;o9BFr-PPXK@LoWUe zR(R|P*|Xa!%`IQd>8nwiW7WOLs%C+p%39m1su5<1NAWLaI!)iaFU}RWGx#={7(9DW ziSYrOv>lq=rn0yvE(6oMm-dSWA?CqFLl7o&J^+?y8B&8@TRR(eWaT95@M*EbyL`I& zRvuaoJ{1I6yh_{9l?NFZC_-3Puw};%ZsXb6S)LGQP%^qz!Po#_b!cEvjnoaUOOYi= zFqzCDKfiXndhOl5{jMM#+y6+!oiR@?#&!b#x*bY?ESZb5v$xf!zP>#3cbr+fz_+sjZRojcSq~oX5+Dj-XEv8 z-Tyo9kZg8DzT2l)6AiJHqO`dE^M{kGBcrS)9S(nr}M;gXt{OCT|h& zsxGc1?hz<~N60D}#)`v*3*5-21#Tp*$;Ju_S~j+jk@0Z}M7*}TeN83DzrdcTt~G5+ zr<`?#Ri?f@8n07Rm#4GuHh>3YxB*U5IS+}m0zenC`rYK(x84B(BpA(6KYtq;QH@R@ zVq83dixq;SlMs}mVAeG?v8F=fgW`ADtD3ZzIv<@aA5q!CHJ_(gkTq4<^twRwyOa%| zjz~eWrku`XuT7s(ci{H;%EKq0U6Cos`t;Sh&w_vEpLHtgfO+SFRxPdo-L9X*Bm$_( z&^ZcTUfhew7;#$ygQe$zmf)%ThSk)FrTqaugz9TOczxFoAA$@T8{@bEvLc#xcaRO= zn$|w@YO->e#R71v#rb}6-*+nF%Oj;61FkR;aP7{%K=rz?F`zd?eORk9`venv z`<7JTzf;qvmv5~pWIo_xQBNh6<=m}%^^r|$N04Go2Wsy=KO#aZNhDQ zeTI{yhux|@gO9ZI?tOf8P+AMHV6UFj;(AE_v3Oj-?-9t`LI=isGk~GEY}gJfX95n< zne#D`G+Me>iE$wykhWGPxIH&B;gQ+CY8}IaWHe4BjQ?r00!@8{d z`|kvU7zq2)`-e#Ld7+U4CjR%tTN{!M%|P)^=jZ0G^j~OKBs#n(YqoHD^3;NtA;Puc zXkQWT9*dS2igE%p`#1du)Kk#5GRo%;hj(UPNLAZiF^P+!?-LlP{nZw?jk@CtlN*Dp z=8PzoHWwd<7|o-+$TP8y&MDZ3<0jp7_0s&Cg8fUK`bC28ipe5N|NAs4DY)60@;`L(b?Af92->9=GS!a-$sT#(2Z_awoTW$rW*Jexy;v> z1xHGsYunR4aM`}-AgO5P^0>zE^I+S$bX9Pj!aaxV1O!?OQkSrZi`$50Vx_16H6vz* z!I)(d-11_)d1i$EF=ejh1JrjF#hAWafmxAWp?hwd>M>h`#clK6b902~Q4}cvY-J+M zVW7gw{9U=w-Ob7fMVnDKv;syxhlc8twA3;Ityw(WG&NnXXU36A_7D(1XVQ&%GT^W6 zw6tlUWuk#poqSgPw7J2KDT#9gIfug!H~BU{nF^l@0z|K(V`8%IX1olB zce+AS3~OWhVG72Hwv}`hhg?}zDhG47a>Z2?t(Z5feEKjauUNv!X5dYM)mQ_Nhr7Mr z4!o6Qo-n!30un!h{i4$R`u5m=7aL0ob^lt=m2NwjYQZDXF%v0o6d=L2w!U#9nqE_V zj@9UeR@emHeHoi2NbecJCuj<+-H7&`*{O@x#>PhEiQ65IOpGW{Ha(%DRyaLh!@)sw zVyRE^J2Z6p%iE(-v@l}sS{`;2F;X^7>Bo6=o}RyExq*h}9q$|v*~y6sPohl_y8a+T z={_eX%mn?fur4nV1!)pq9Pz%--X=!OdJZT3AisB*K`#j3racN){u`3!B|DMCo$tfp(R=T3DE(5@8FjwMy9f6@l)V{SA z8ZDOzhgN&kLYY2Za7}~?Ulc47zrS-Uv5pEpxm0gS4ihjF9#+th?RfjbzJo-hnPVM! zIQ*n;k?;p}`-X;HP<^3jGR$Y7dM~{%drwKCy3~4p*$nipzG-gG=#+T=efx|dc#ky( z)^xffkWD*xw&G90H4%F2ETVS^|IumP8~z}fH^fsY-EYqPDw~i0@e{9H zw!`G-Df~rXyYmxyMbcUp=1Ta%y({F{^zxQ2MdeJCjthG0pOwI(TH7u77yS2hIsRw@ zKukmClgXl{+U~o_l9mwCeZr0aQwH>B*!Hl~{QA5_`l7|+2LE3Q?YijNWh3;zAxEFz z)SCqb_afnE+ne8}WQ3mhCnE=38k)3azeIe?KK$nJT=<>*cIPJm30U^UfsUm)@4v}9 zzj-?U;`LB}VxJ!0Kk&6hj#jK0ejex~UpePE&Bm8MG4lDk?*65hH0`GjeUbJ9Fmy{Y z`M0+9>x<9MsX z{m+mH&>YTjbPtQjF2x7}b4@z}qSO~g&F!PHbkQq|{+vG)gUexkfWc$^PV+kPP2214 z7{SABG806}<87F{1NXu7ez2zpzEpxBPvHf4o&+LRHEgZxw2rI9+}!f33g}+1G;8c!RFe#bNWsHCX1&hUbQ$YF$jj zE$-;-9NyZG46*|7F4q!bJD#Ect%vl&xMJNp@^%VthvwUUP}qTVz^3DgR46Pou|^JgQ}X74zAr{EHvsYKJeNuZYOn6G}=O zDqvO`O{~1~&7Go-Z&3k7C^NZFd;-_e29FS4rIBh!xWr~GjFg9}S))EYzfv)>)}gOK z(VA{R*@~Y+iy>sAv0e7Hp52Cwucw}LHaV;@r&+r7sDI%|i5$U~zN!w5Z&4GN(*GZ{ zpe}QKMg9f7PFC7WHOBuJ!6D+hw$##g}%!o@{J39m0!5{w>qG)bt}F;b$7H_kYP^s~GJK8N&L zp#FE}ta|o6L(mR$Dbd{H08vtew>rv6z1!#H39MF5n;cqT@Ir?(B`j3zVq{Oy(n_2q zRNKV;&nTDx652{X%;~I~Gs}`N=$8=wV1b>;E5Z&x4amImx=vnzZ7R?nA1K1iMh$BS zs!jnE>`j}tZjBo_8WA!(Gb8PSKuWN&6^S`wtuGo71X6CHl06PZ5mFk8+?F&E&eI7O z;bAn&1K=5x0aP3K%I)|Y(D5TA{*9bX^@C#mF+B-hW9q!OS>dw>C>PGXSeg!9)%W@N zsZZci-7ewoiteY|5~Dc~GP0=PQ*4W%;1MHcIJIbnyH8%gr%&&G7$CkW18|mGSD zw4~%!ZBaOx)^tFZFJ8Y3kvJ>Ya;hh>pVG}9J4vbLNhQY7mMAS@rPL8#40Ox-AtGOq zdMHJV?2U_JCX2V*VCQi)()6t34_4t!Y1;X)ceMbm86IzN#!M~1ArVqyyx89O&e!5N zrPk>F(%9_5f(b=nZK$YIdNae;t^2lb*m;=Thtp43_eh3AM=8otM5THW1L?{0zHlCk z#l%6sBL+4xKGaFEUArU+UYS-zlyn6$L%OSm|3$(9K*Epb*J>;l3^*jg8VjN8N!3i# zNBP%Inx6%fj(bF9$F20X{tNq03GL-6-?;tlK9M8AHDh1}61P=MJASU*=5$XVry_TdmEbF$addnp%JC>J&btZz3`Z3lkZ-6!gp4Xo zPfS2mouwP`s63d5s~MI0B)r{9jnJN3>4ELkKEgT9JZuQVZS<}~V8o$e5rdpH8%@Hw zEc2jjxRstf%WAFzlnI3E4W9Za?S?tj*E^S-k^ehzzsLtK6H5b;zAH&-u9+SYVnK5c z+2_90rk^yM&z{qEb-G0Rc*#AVj+2;KTF5=bhHsWh8}2?A4XXN{MmNHh>H(vO-6K&B z*vJz6Z2j2(Al~5MtsB^l?OG?^`v9uijvvHlZ_e!A3*f~RUaS=rJNNJ3U%_S`N*f|> zcOTN(x6YIsgVU9(C%yBWqPHwP>M!A-LVN@RIC2;djI>8qhw`y5egG)m!bLgyVG{{? zfxbQzx+BS8k9jqLi=xdd1YJ=sxv+2^m*K$R0&7wAgX+&tR~79-jxL9~k_qL;CYxDy z-R~qF6HglUosBa^$r<|Dq_2j2ExVl_xN5w>=&Y@9=`CHhLu#>{!V}bRidcm@+yh-F zk4CA%&Y@mK7`Dg9p`989PDALjRDroG$jfKsDREl0j(EE*fDEzsYd7Lx@5(*__%AsG z>dZ6Nb)Y$Z>1{ZAuXfJLljd&`0fp*pIVNu7_;cD{uLmrxh9sdzBTg&t=AApOCVb#p zbf~P{{$Y(K7zs7+!8*)1jv>zZx283*x0+t=m?CtcJo|}>zqdE%a}FBYE1IC5q#cK^ zUXlg`m*aLfFj^liXg!<)2oDU-+acnc>w3c+ z+1+L)dV03)|4+sW-o~?e_KI#1p21V~fpGb6+MPmwsrCOf_SFGZZQs_|fhed59u!1H zI;0zs4nabYmXZbu=`!es14t`)=n#-DFC~p2h_p1)-NHAw0{7nEd+&RX{}j$Xd#^p$ zTraMA1!1LXySm z&E$|E>?f!VIlr8&te`|3uTyophsS3qpUwhwh9^|nG^+h?(m*xap>`AC_@#}{o10q# z@LOpUNC_Zc@Z_(TCt#55Qv5O~=BO201rg0f=*8Ak*9HZg?-ykNTno>xs{38JCaJQI zkDJP$jhpDX&>h_A)BP~;Q)u{^*@ zW=ZQcM`H3pYrFPIr%_hfc;BsKSPwlxe*igAf!;G^_YT7T9+8WlArA2Rgi6>~`wGpg zj2FShHDYewytx7(=m()x1rH2FL9`O2V}VAk3_%Idv1)?CyWDY&V7xW#i!w+)KsV6) zM20cT!7cUSLmUJ88CY0>0o6(ykhlXD1qM!7JN?FwnsWUec<*Ns@JT!K|I8gA{eUYF z#3Fe8KR*_KM4f$De4CSa=m(TeR0Y z5aTK$b9;9WpfZJ{7jf}$ubjZE(Z$HyL4c&b(oTh#HL zxj{woQnle|gTd&zn+-ua_f3x$UAjjn#<&+LZ_H_lNt&39sNY^)sbWgW%>0@1Q!=uH z{E=(dGrzys3fr+1Q|9v?FIH>pY^7~>DyA7zE6BTaY%Y%n@G?qAJ(ZGN=}WoBXG+ZV zEjnx*iWul{heG~&iVK0u2PXLuvQ zItnU5_4!)dcqDW>^5HB1pfRALY(eo^YJ2Sf(DF$dUKI%?4xyXTx$?h4PZo+hWjz9K zqN}S5BZWX55oLBy=z+Pty{TG%V1$AChqo3+JslwE8^z}EmU2*oXzTqwWai(@?I#Vv@q2`TGLA~VFnbRpNEXAJ`5yAXFHt9=dee|>Wj z(!bdqdvYkyH_m^xA`8{xYB`mDt4PU2c#a;0IcV#In_9+viEUqa-=$H&H1F28(oD0| zXBnn#)WtgA^GHcn;ptp^jVvLtX-o6!kD^|C7!zF6+XZ1i#9q!ojFtkeQ=awc@6ZgG zRdb2e13_B|`2{s?ZEZ8dTMuyRJD@SQZXj&{1Mr+hAH_$`yZho=8E4)7L{!+mqY4vJ zUzo^#rCTji_>yTW3&TK(iJc+;L^LxY7lca3Ch!R0sqctPn-vbZTXgQ=LVeZvTY5y_ zD#Z7#Fzf{0fd(WZpbIuvwyr)uFxw+%B3`A#=&~pjN_(rSEXmg|c-ck5}Vpi zTS!{~X=o*gK-E=33GQ(xJvFuEys1I}C}J|{DYsfLZcfbc z=*|y>DbQ8)sVW-|lyogU_R<0wh)}6OpLbT1Pm5{-ms@&q&jYd=Dx0bcTMLJKhXnRc+#0$Tx^_vwQoyah zXZ~@g*XZ2B$xLRx+s^(JE}of_-!giE590Xc4og}_N_p4W9(?I*n}B)Nsi09SmJdUR zg{j+(g~pG<-dXt0lkSlEz`(d$%XtjHkyXdjLl%{xqM~fKB-W^%hIWr+9IK7x`BpMl z+$cLxWH}b@L!kJr?_)}iPQ&HKa9Wkf-uyi7jcJX3E^PvKF#{U)(#LZ>D-(8z_M;;l zT8O@__bHdvp~G#%2k-*kSxTHdfV932Yu%!U7W5^&w#%kTm&XEM7iBM|qv z8^AP$nrAQ>d>97npxyZPc5)Sa-zSDePC3v*0nGf~jYMW~35k*6VY-qT#w;>X6j@#7VQZx{U>HU<=kj>)1(oaDP7`dk_JAj#+cUfS*4 zeB>Av)0MF?0_>y*Y24f_c{#VKQgd$8HZMWJKI}8_P3`(BD#pwqk5XQ^L;jn|Rz#gK z%ng+uYGOWF^H|842m+uQuQr!ps<1x!SO5(dYF=A8Qs%7(f_AfUy&vrq-|X?)`36#T&UR;tcI zf@YKQz|b%yLjCJF2$=SoX*h3=eI|BD2cCEieNTZ|1x#MRR7P`H3To=>PXZqKeyZV@ zw|@cNyUZeF8V%_19L7cq@*LVCpr)2j5HI%?jkmW`u~cj(=0J~!0Nbp*TeiJyyC#@z zAUQoO!eDoby784ePP0gWvNg}*>#@c!52wS*YQ@B1eFq*ga$2xN!)g;}uuGctIe zyY_=BWx31U3Uam5j@?Zj69<*oU4WKtgM1gRN27*5fQ~l+t_67E z4cdafn-cI)!upU`DJA>$0$ z2xbR*N?~Kr!&;reG0i(CVIYb`Mvhws61)QWyXzH-DImQWde;Y=fChT*PVPV$5TyOBK1=xVDCd^C&WrI5|jb*lrS|ZKy!sgC?M`9~O#}&~A*ocN= zxv!5;$R+Kj7_N0Nc7hAa`Nk>otPzsPYEYB{XAcIu3Dq;Ta^JEf0uDxd+Z`5`wbCth z>J3G1nO;D-Uh}m8ZOx<63SHqNw7Y_j5Lecd2UIfda`Rlv2ssu<c+;#=H@7svkvQP zeODE$#KZ+0t6uL=-n==y5H~?N@CyOkR1WZRA0Dfx;8>klMArfzkJ=^Fghk{RoK8Sm z6x@W`*i^Do2gTuj0HYmk^@KW~m?LB=(u*6Q=Y$_HU$N)B$PSG%TG|IG6;N_IiG%W7 z;+ij&i%1q@j?V(8Fk=VqeId(M3(nE9oFoJhA~D_?M=ycyy(I+LeIYw2j`tVEbPfSt z<*vI3aY}h&mmJ~~H;<<(2{-BLhNi`HZ>MjDYfw?ssHyKrw50pGEH`CA^ zA~O5Vy9`LA&yU_PXuh0K56DFWVOJOm!hPGZQ{3ybz2w+~A8Zb2!?@L=28FF7lzxaf z%AhjT!72^AK#_rFZ@5DyH(BQ3=n6$Ja=X6Y;m_b;ssTAQR9&6~;xYrh?HJRAJE4(! zDc)i_qrf{(b*txnVr{JApiyTb+WpIWu}cw}K^jz>a;uCK?SRV^w1cP_PKW1%EmI3$ z1i}afz9te7oH4J~ru2K1)-=)bh*u$rnb^6|g5}bxDyp(#&xF$K`xnL$58HG!rZoJ4;|id zXtU2P+lp&LBX+6a8}zh3^sFKkvn3c=z<#Y<;KA??>KL~hb#oSUP3q(Dpa&P)ctY|G z1OFTn=2wTqq`y3#DUh286Jas!vjsM5F`Rsdk6Xxv6rg!5pw7}53~bwO8&I0cNu~Kb z-1>RKDxgIrI%8vv7=<4;-7GMaU38JK^gv6M&a zW?WhfVJ_Z zP#f}(6!JS<21E^K*H<6Z;x^X9R7_VTI(@tl$SZ(R^DVuk!!lqG+z+~t&8B7 zxV`00p$_5n1(Ir{1)R^R*4;fcXs&T~tR@+Ew{LBtXN#w4G;vj8xHQsXENOSj!e^54 z&m>vtxF|z^-*iWa+BkRz@{1=OyN6!d{@615a0Q`V--G!_@=2Q>rEWMWZ!Cp5PA%G9 zHk&_)IByg}avk>>HktFryPf`3aM$V95E4oFU*Isj!g3J$R3N&;x`ZF0*octP#$e_j zJT|@?_|gxYf;*zJ7h(sjdrcIo=6Vjy;is4Z%u(8M5x+%6l?tvL450_yousjjuI>Xc zsFrUJA&P?PGj(X_SRc~z0PKc$FccM`Fj!X!C2hM^=dR3B?&Uzzaq6s6!q*QK1~#Us zJzktG;#u~cZdH7&uU`0t``O#gt?A~~DJ5lt_G%zoy6kG7QTe|5*QwCfE-$cbo#^$%x#==0sxESH}~A(1Etb*C)+kJPagMxR6> z#+;%D@!a^4Ch%X$R4I_6l$4T!)7?n4CN2-2%PSZu z5Xh%U`cyR-`S~10@Q|G(`$PkRvh*y-`x;0ULl%PtlWu>Ldvw&Us|D z;Xx)}Z|-McLY8)amhJ`EaW~XO)}sUB4!ApSk?g{W5@+nfLx5JVgRDlaBB*+YIv{}8 zA{!u?=RAY<0HpO{F0RFpJ^u5|HmknCzR5J4-)nk_53f3)2PQ5)mRkOTksx^93^GFs z-=bNJ!e|gB4v3zG#Gv)x$@k!6f!G6D7KmKSk&pau2B4FbXy4D)N?4T9{-4{z$shZhN_>f3GR2l_!AaFd`| z7P1zge*S#V-@)9euI(xU2_EU<$STl)MZn>pI+(F zRfvlY_^sk>U=|YDV+1zxkA}Yw9Ldr);sQQ^hX83&ZWzc1gJ@`Jo14Gk>Tp~59qAkv zUsf4D^#vaL3UpCGIA{=F5&7>|RQ`8W^Vu21!LwkFu>Zw!a`1=vF;z@?mlhq)$j@n> zgIZ?k3wTgbgHIHy+6y?D%%2`jy~eUMAe7daKPY$pz=4ed3@+gz)GM=Rm?N38HHHrw9X`3Pz1KGLT4)4ki`lz3J;WY#x zN-EE8zft4{E_V+Mivyt2r7KsiKq(#%uMNso$;rvX!&=Ytpg%o0)B(-LvMu7t>9S82 zW1+gJT!J2>11QV>Lc?8&fIEV+YajF4f0dg87gGO?$A2m2^eK)A;pkQI*Fc^y{5-9ABhDeug5hrht zc4%qcekB99?L^QnzLR**1wtWE%wLig9tuhJzQiNm?AQY>cb zPgU$@zB7r(XjZlKDB!BJ#u7;0nU<6NZx@okWZ3JLhSO@Oqyc2?9b$Q)B#$JnhS2AH zKVjd?+fAgBAAK4-xB7Y{8@GH4+XdixEm0# zJoBt0LnSs=eMUAaSpQ-EH9XBQCLK@C{t^vbG64_uCSZl2$CNm&C_whna^!OyUhlCB zJ9sEVjt_E4?Unbp|K|mRv$c@Y4{3*>YXRvTBOe70I(-fB@eY{rqata2%UYDdB$(76dT4ZU24vC&Op8Y9lV`2ReWq|Yr^YJ4y z9o<|`BOUgcGa!WHwftPtv~cL8Oa_DjP+gCmvoy+Kb3e~R{_4D#*!eD;AmM;~9s2tM z&D-nY6mFIi3N5b}`)vr0UG3?W*SeCcry||3eJQucFY6!ofF>RmDI-ImNLw_WiH(mPgibLsG_$P3m55E?f3>vZ_+Y-@|2p}2 z%P*&0er1Am4Y3AF1n^@J5IJPsjhy9d6IZ3 zST>8XAPpDVAUUPdZPU;cnvVtDWhG>zZ5RoIy!lAmOkStmhdm#A+%J$HwxV8uvMYG+ z-%iDa&JVhBP>EZHW21can~G6qD*kUjm90~MQG~|=rfy5n6$#2uLxrAzOI_$^;QRN( zdT~5m=}JYM>(G&a9(q!G@f_@^`ZACi{l;duZPJLM7#bR{uD+NSiRvvKkCc@eDBC_U zVb;AkQlnN9)*x(Jwh9TFlXV07E7Q}*`Nhv^r5AgQ{x+Ns5M4tD1`Nj&Bp0l#tgtZ5 z9e{N~R3<%MzXn+|jz}30j8bSRUWCZX{$17VhpDiaFXQ8!ITzP@^?C}jqE9C1HZrEU zi7qX9D}Vej1I4=K;l>K+AZ@%jwfn52vopWDAWPTYmpY5avg75-=0xbvKrrL9Mi49w z_W7qf1!bFkcM4S)FPL+)-hf-{iFa#8p%4@;Y6f6|MnMsF-O8+Y;=RvYQIds8P?IS(duBbZ*r#kX3Ke9Ee+l57cZvAiPBOv$kH3DTPy#uv-###i1_V%PY2Vrj5RbVx&H&>A)2+R@S|CO9R)fK# zc{UDZRNX`ncS?x>AbgXh3(ab(nkh(<_<+l#;8;R}i{jMG0N~QW(zVo?+Udab?>(f>lP)42m6l5 zmF;gI_yu(7;^RTKl>wX|)R!Bpg%uROK`9psdjuCR%KpjgR90)Ca;oDx0`gufV-7bQ zMk@ArKot_B@bmSzjK-%eA4%FMa{4F;JUmoV`z;zzl;EqHFx^Vnh|p^h5>`lYS#Bm! z$ly%r=q@Q3%`Bm78N$2rwEWi^wwM@MhO$yTew9bBw87~+a*J>ICwe~?z4-+5o3^{L zXAeUq;=c|4Pf~s)sQt7IGS1tD!+FQHw;WM-I`_;ocWFrFiNd;9z5Gtq1#)mA9c+Wq z-T{0;;S=e{y3#o)1r+6rH?|b@nAO+U zn46PB6DT@bRDki@c=8 z3`rRseD2=h{7&8tjOI!*E*2Jp`iBhL;Db{StMBc+&8~7_JA=JE$o~@3e;;pWKOheM zx4%4$#{Zj7GHeYTh+DgUO8k!t%c5KkZU~EQayzEt3Oq0|J_N3{*!lRp>ey&LmjNBdt32Y^SXZE90J%BS)ZV`6 znydAe^F$WJ1qmWM*C9FbGM+&ciJID5H_HR%B-M=4MA5qK| z6TVP))RiTqUZ>9`bKhhito&-xz?MY`2b_if8G!E&u&=EAJ5v%wODKCpT!Y*tKW&viEOy;@`J>V#1qTIN;M!R{_w{4awg7 zyuZ59U;nkbpS{Vke#imcqIQ>LzZUpR>R*-^3pjK3PiAr`0xHk25v))2UKf)0Vd1VM z|GpT3v86#BSKTFYDZ>Btk){8%om=HlFY9#}?J2HcrT>3l6RZ#(qA3beEuE7`{txf< zy8`#7z!(TC-3j?Ag0*n$kKJtV@2Lfcf3NFHlbTDtCH290i9@#r8;_wKdTQF#I(m9UPINCsy!5X%Qtvvp&a<^r&NF{acPKHCD$*BZ z#vDI*_D{KoA18>Wjs?=x?MHR3tv1f*p^%aD^qtEEaT4}tPky#zK&xN?B3a;lf~?{F z#md=lP0h^@QvK_7ELM+Kf6ai(DX?e(1WN++3>dmb01ZLleZ72n)JDAvI(%1T5t-7t zkC!}IP5anEb#l?&dp8=;_t2^xZy&NK_9;EuNP~)--z@toX(>{`*5;`%&P)-h%XzR? zNU-t8P)dJD8X)~)|6f_4th>oULHS~1!`3OQvKOumdZ<;nM9^)92{IpW#2f+elOJm0 zHSpE;X#53e$V?{3fLx~EH4fSJw6q8S6J+;Ww(=o17$LX#={_kG%e;i8-80H;TvJZ%!m^(mG zC!xFykq69bN;o$Q@+v*4yOnO(JfZD%EiKMtp^88;>5TA&UZD3SQ2UcRi-@=PXSKjY z?Gf-D$_1vh8qWb#1QYAbL2QpIh68Z(w0;zq40I;&1A3pWrS84qDfClvkz$^1w?aW| zWoc!#0wT`%`1mX?yE}!2?7i4DxP#O8qC-VECi?nRMV^m#Pwi%I@Gp_Y zD+1XK8Nwn1Nkc?Ph@8nSH#eaZZed=`jF#RG^c1rURq5IeR@s{_V&lg+#_#gUQ X zr|2s%tG@*as-Pg!OzP)`BET2>CI1ZRR6i8Oo_1tu>;cfh%hwmJfmGP08eVLupBhf*Zs726ci#mDajuFURIuXj zLmk-B(bRuKdcS0f6b=d5h#`>Kar=|#Y^*+PcCK>{=((XxS3Ko9Wi_I$q0?GT3K%XQMl$zX!?&}F#!=lKNTtgE zYh!CGLhetBbEe{?<>eh{W8y@`;G1D4<3YxxS3vSk$ahR>SMcmMS*9N->p@3A`8r*( z6JVBx;|uzA3$9Phm-yS{LZ4}P6{?#nww)~e>du?Go9@bG%q1YL@KfKsB%p~izn)KF z^MmJP9(;GhYcuBq5h=z&3%VAeEj|DGcchsAW1=z)-9HB1^ro6l7GJ zx_@#=Ow6yzgn(4(bl}J+1f5nKw7EW?nB(6B!o{62)}Y+$@jXhrDzmaOzVv1MOzH6Z zgc_5i2(|t>U)J#GvJJ{W87(z_W@=Px8XX4YHl*via)2da*vf$`GJMQjf%v(&03jsX zCf5(*1O~{GMrvO{99xn6lRB0ibzQz8At3>pjMubAKn`B9OIkvr1eRzt6PoTHjk=_+ z_PYRxu#=@?Yl>QF7p&#yG@B*}9_8RIs_UoBPlZ4o^-=xZLMtseBY>hKXP*Le3?OXC zmCv*#cyvZz^55EXCo&BjQ<&uf?Z#Q4Po+U~O2O>gSh$8MV+1sHiF?=0!BJcHwr!M8 z+p-B^FX5WIl|qfg&dzRFhzC$lr1;sfFqTu_Kv2x!_S4Xh5r)>!eI&A$C>KU$r_cU2 zl(Dki@hG!Y9=+am<&hoc&X&fTJ%EV;5!yVe*82J&rkL+7Y_Q_A4QanhmnW3$;7=*J zx%<}QEzs=@hUbZTjkLjo#V-LuXu>h`t)WBx)VZ1pU=BbNDxX4T%2V2`tq#yPNPoG9 zD|3o^bkXL>dctE!TkM=GUZHlfSb_XVwF!p_B z#@Ox-93t$dW}C-U_I4)t#u~43S|zWATvm7a_1x|WBrZ@%C&R9Zu$k%*3j=?YGVQ>P zA>{#%{&2<~K<~$pND82?crI_nmPvbL^t_ro9MV)zSf43o#?F6C2=x=TbbE#3_ZUt z0&&;)2N?~bVHFrdW-YGUrZz0l$r_oE9p0hGuG?^V@fTeMu&8upg!Ba*Z`NNpl?V6` z!x@u5ub>dbFZo~bL+Bg85H7q*>6a91FHQ;89P%n1#6D%tf;b7u)vJ_e_qMt!Qi%YH z>Tj&MG+Mt^Ec!0??R|d{)9)YnN3xMYb0SVK!4q`>KkI26EKZo1Z<>meKlg;bQ>0 zMZ1BltZc!JsXB{Absrm5%GTy)laAyd3Kyu~MX&EKCCq)P07?4I2jr#8EnHKaP!V)* z)m$F(AOU65-fsl>E33inFt2U|YQ&)@brFz&IAsyQrI2^9IdhOEl!7F%`3SOz8c;-m zLE2r>XklPLjP?<9JCol&U`EmL3-q zQ)V&N03|%d-qt^6`vq2f-)uh&i?L{@DU7|mhAkiPjr!Fb=p{Qp7P{&=3x-%umjjZl z6`*@=Ghioj=cG=fltLtK3A+0k_?Wgp`k*!Em(locPc`cdMLGBL?*T89){&!+m?r4=zt zdr2(kP`vQ%H7$9N!U_!7Ri%{ho~w*HqM|~H)l{C^)X0&Y#Jse$RNYG&P&O8(g2wWL zeMB5ll?W<9h(gj9CE7|6tj=mBc>dKiY7DgqWDuenmfRCs1M;UC2rA zBMDKg8$hG#FPcYq1p}l+!@66SG!@Ik){x^>B_P#OoeW;EQwMNjNR4~*A1Db38hjg} z#F1{+Oo&|)lr47yNEf+;>J%Af3~;U8Yn}Lts-)|nKd4@IXP+5`Y~T6MFObt+v*yx; z_spZV9~ho|a;0!!mJ0lFu`S)P9pbkhQ#Y6}2|Klp6BMKASa^W|VE49DBaLevOt>)t zvFP411XJ2ay`?~zZa@iKj#CY58S#X}MDHMGvIq}baplCctsKkEDa+1pC|KXs900ZVs{w@6y2bg@JW7e*PEH z6hfu17{`ZY={XFPKtsIHqDcLD=c8duX`ZV6(k0Rbrx$UB<#2&Q?2GJ2WDIvrdYGNm z-c>@ zqFoaeAL%j50%X?`e<^O78i-v4O7{a8&ahWT7UjVtfs$rOE1*qzR9@x6@sx6Bo8Zye zz1)7|=jcxB@2hC{B`O^eu|daWznsQD%K^57&Cls)7nC2#WHteyz%%YzPD_B1A3 z{cOr8$Y5X<$V-8Yg!uP4EnUv*9BNN797mSsC0DJ5lnr@F;}1uO|8y$@*E zQP0NN?)#Sic>c~4*jMTrp!n3$h0jUx^~`twS7)Iu15LzW{Ae1lVNqx2-RE6u2JbAd@M zA*_>5_eLpbE_CK6NEtA1@#Wf=Sfr9gyvtvhpdvF63gc7|Q8Fn8IVdfy_=_E1a*7`W zl_7R<#oVMWdk4Wx(}U*}THJt`o z9Y9kx*bnBaF@2Clg{xYKiPos1EMzW*+t=GQ3gIjI7eYsIi zR_f3Q{|(3vJdi4wmX@eN@@IwIoSe+U;j>D7oSe!UW`$5!od8|L@K$yR!g|jR1Lg_F zMUaJ`dDe*lfHWHs5zLj`enZ$p;%9G@k|N~7cxN4*_qIkIod9Yl0EIPcHH&((r3=Gk zBEQnljhTX$4W+ySCxgz%@3$!Z5H7|VjCV85lZdDojdhqy$-f=}b%5OW%yf2x#(8-W zT6DbA3l6(Mtr^q3rtwN`h2?1BAVXrHClyn;(XD3q+bNAU+^K~0hN6N5PE2Z>=Rizw z>;}0}(6?^ngyiqr(tX5uNB9~YWYCtEZvXTIMpnuaO|nv8B$Hjfg= z&DN)8jEdUB&FvSkKss6X-O6=QgNFoI=>bc7J_bx>;fw8E119i>(hw+;buH0Y^DM!XU6)owH6;Na-)?&gCcEwC9( zD}GsLPro=t{P6r$KIhVOkSyR@6c@VSxdlz0QUKHGZbE~sLwYDVZcO!bC73lEB)>qO zfLx6B{mnhi4*l%@_5My9OTet=0RL&aHHuA|l0eO>TQ|I34G^VZ!ta?c=88{M=;im% z?d;8*VoBW7Ny?eM6ZstYbp+S&09%)SxwxZ%b6i&@@uSQdqDL?%%SeJyK=xSmzua@Q z*S8}@{8$3)-TY9wA_^b~?C6~*A}f1OGdn6v4}`MQkmDdHaGnd%AN0<>Q?e*tl9TWc zXYx8lZu9rhYsyJXl0^yOy9`|ibq8)C2+K!j@a_X~FVqmhme4o?6#C;zaB||glmV-+ z58(HyFv)j`1{oJTOA0T8R{7&IS^isXRUoWVyd@-N@$0l395m=R4SOW4@T9R!s@v2T6IYO8#y5wEmYXx5g4o`uy?M*5r4NU_*1!tII zwAIJ=0}fA1dcSI@xD+H^iBNfvuhDIIsoA@BIZeV~XXUH3wPKZwEFR39PnVsP9h`a- zPR7FW$SEUL`z*9CWi>=$lFOI&dZ8B_$Z8482=OIX*n#*uM6udjpM4pBH9@6a*Gr;o z6j~EptzYLopl|O|yR}h)-B|HPmwoAq?hk|DNdGjgIXb5JBvmHL8lmqi| zR*N{O2u24Jz!I9)@PEN!hXDRBwwz9R!#D(JW5keT^`K&55pJJ-lKhV3ne*GnM-YB);&ZP#S zTxEoARselNKU;wMnuTu?EvivwK8^^(o$mk}4{gZ2^F%c!T&Qq1zZbm=2r9);3v&ga zt30x%G+L2wjME!4cW$u;t{zY*5>{RT195@F__YXd0vvm=6*D1HqY{0V$m~ldWei%l zuupfO0>FH}m@7Oe2!X6Z$OWVOkZ}sogn16*6}Fp;Z?m!4fM)}#m1vMr1HCk;4gP{c z1sC6KO3I(WU zY;;tgPc@O*e*zzKjk~d%AX>*GZw=cylN{={^JL?oyB` znPP5*I$cucjG_8aq0e&8X_zr4L-n}X8K!fRD5=8?J;==ce$BMzF0u3JK_a_Ino_N5 z{M&jHj^|dVDM-DAvy^XV;Z?c1Mf)BM`cXJf@R>^N@{q>fR(RF!pPr|y!@mlzmo5p2 zA7gl^sVT#+DI?`9v$Z&^Sk9s75Z(Q%SE8}i3u=hNl+j7dTkG@mU1Zr7z`sJ2m=!`- zo23&Vy}9{_{Rbd^^OT#khO50Skcc{UR^9DS?#*R2`6Ia!aM_f-A8|Y{UuE|2Ym)7N zDG@slam@mkDyu3hA{-jDv$+=D!@*L_juqUF>D{-Yepm4E{d|&=71Y&X3}r7O9SH1C$vEC$ z@I`$PhP?>8rS>j1ye5}+8yS{LnY(0LDiH&<@i5EpFeq$m{A$fM5eW%BIY}@%RQBBw zAwG^H>keX4#<`$1YJMI{%$&-9j(JyW1ALf8^M(!zQ=lHvwmxGaSe2Vqhi4uKS%C@&qTAr(V^5?Qx zt|*AB_S;BJm?0ZS%U7N^0{14YP+k#amt5G@_WGBUwVR6B%r`uUqap#SymQZ5yK8JafCp=GM%A83xzzCFc zmn<%vX%l@a6{H_{2ps^Vjh_W z)1m4Pdz`cRtUj@dd^BGskJ3%$B2A~DuDK`s!)viZf`8s4mMa_5$RdPuKZ}X;C?1xm zfo+VL>Bd9t`nSST>O;!IuWqn5?X9HEj$@ zBD14q+$mAJO_!%E7S#Er@d-uXCO)^^vGIB!AF`^;D`32T=^s3Z!7(1g`qbqsCAg9B zDOTbZh|(|*n^EEn_6;4$U&5xUx{_(S*%SvkBJ%TTTSxpBL=Z4Bex1_qDn9qN_cc;P zb!yvJO3v2jcMn<`@V#`Obr|h#V}_!75A?9G4AVb;{0K7}fL{|*j|kIX>1$dgRxTfP zs0jgNi8&Lw>CZk%gN^anbAP@Io{ol=mKMfRLKwlrQ}yyj!?TzEK0W%(XS!mF!~j_-JrpDkMPx?#F1*hm>`eEzTHUX@|EEKLK@JsMSbwR2ci43P!^E{eK@fahri7>{LxdzKam-CH{cbq7o_&vY z*T}FPP9fsjzaOk06Z^jc(XzdCTdfYY8wbBy;6d)~6VVP21Ud_l8p{;8I+Gm`l3Y=@ zU}zEtN6|fki1sfw>1ows-g1xPiX;utN3d|Md|MQ4eKnGpcQom6629W8>vUw$9$eV@ zxA@+Xzb32Q$Tx+4v)jLeN8mUs%{V1|pkeOn8WIsfG0jvSuswzA3|l`^21-aqADll-LDHLGM`gjte#AFYxFIa{&PCOn3N4<@!lrh z<&2VX(*!@FzS9`n?Cgp{|56 zU!Soh#?P_$C zgLiQh7y0E&N!-sYM`EA2yd6O~quVKHXe7nN>KhswT3Xh0X{y5Ua9+*H99~a~5fhZ;BWWZwz7{&Vc#<`eGNnl`#pH7bMQIb5AE&kfJ|BiTZnE4T|LD(4!G@m zAI`3%yPFN8YFja+awtf}hly*zJAHcal<@hXG@|PeXsLnL5QK*CdBobEq@#$t7%b@g zD*1r*0j}l&x6vmurqenHi}FJot_8Y6!!OE5t3~j+qiUbszI(Tg3UjyMWm|$aBy!=A zu&s^h{O*T#bjTMmMD0!d-x zP^%IS8HQBvClY=STGN*Q=s zDpUX(8q4+VgoioKUXx+69170&fk=reQ(ghm*vEb8br|WsCc_N@-dFDrb`I7YOoW7W zhlyu*BP4-MXL<+Om7=1eFx(Bx_2%he)X5~~>LWdJsFvlc3KDUrhn*$*(h2dk>YfP{ ziyT6rAHITdWyGMJDo;vH-S}(tHQ#&YmSb2yEW!L|m~Lkdk@Ms9qJ@Dp2Bd^p>R5eW zeTr%^z)`%1!=vQ$XzcCv+xGkikB7w~2Co%bR8OBi_4lYlyC*4I(!GEl=8eJ)6Vs~C zN;vZv=IB!_s-TF|iFW5bLDyg-9qZs2(!ScPcIR-NwUkc`aw~%17ARHO-=E{a_a)YX zlW}?H_Q#>zboX^xl+;U?lBUd|GPHZb zTVYT3PYY=G)}^cE0lFLGClAzKT~Re41T_J+puJ1dzmV3=Qi^W>K-6*C%g--^6*I)Q zd9EK1hvYVsqa}TEmHFZKz?RtGw@MEWhu)2; zPV?aFp3rC{G9!Ysq3v(fhQJ_s^{gxsf)y_jy$J36AdW!hxV**WbBDdwm#*@9>f7Fm z#yg(v3;W{F&vBm=e8*)~@(>t{qr)BzeZ4_~6J6}T7EJl$Vxp4*eu-Wc~k z(1*ct8Q(?=M?!lQ>)yj9C#@i1h+1jGgh$` z1RQ?dRgxqmP|}KOX9rgle)W29a%GwqPCzxwxif}MQEaUkwEK?|i0wGyWGuC=b{~F_ zH==!^*%E{~1Anh7VQBB^$#nN+wEGQ@k-Xo-tepVZzz{zamt1QEF20{7r+R_=>k3+4({A(S{I5EXlDo@;ey@>D(evL6z1RPYYZ6LUifYz()G zgXK!X#9B6#Tj)N-j{^`)GoWgS3RcB9x)+389Vl1gK0I|kz%>WC!zB%18z4v6@vDQQ ztbGAS8`285uwX%*1O;q(t0d~rXbQ- z8NF*feeydgBq;LUJ`N&~y#D&^^?K|9`nC)a{5ywRj08@QTM&kklJdv2Z_tA+urBJl(`874+XkUiL7j34rI6uwc*m~-&h$yas6tJqpYgM*PcwrCPq zZdmtRms-CFe>u(qU0P&vDY(kTw_Cr@7wBNc`sr^l#tn7roLoFmx5X;ev^WvR(+>n# zpahN;lUGtg$nHDjlTlc7+xv6ZJ#)R)OV=ghpi;&R2PMq;fB{u-L5RhGY`u%ywqOg( z6LBuCpZDOwa3ztGL`;mscHxPvEXe+1-D6mkHLZ%Nc0ZMiDnDoFS>gsUD-2yWs+U() zT@-X2au%<8g@-dZ%G7s8kC3pg?jSyUdlFuc1}|V;g83m#AH4-HDCIH%#xO)7#_BWU zHOr+}z4iJId9Sv6!n*>qk6dlTy}(>cMJ0z?!e*00)k?h^`peOMyZ+oo!h=<_4VeF3 z;~j>K@jMA{G)%x?j0ipgZo^%Wt(2haOHk*6^+SP^$Eu6Gy2yz2r4?*xFhj3?@2l2Y zMs5rG$x#HH`}^lc;e*s4+Y>w%qjfLNf~2xIxb5RuHyFr%YPCz+ycE>ft;)^5kkYeg z-&W|j23cd#<;>H7#x&={40+(;1dK9qUu?YLac~&B>RkxYWS{B+HBrR=@OCBZy82d-D~tc$*F*!%{B2d zq2#ED_O$h>>FYQvMiIvMM@sR*L;EXmQ+6dv=E{DiV_)##nV99!YgHoByT)!3;19Am zgx<>x-dFsOQXhOv2d;(hRMpv9{}2dS@0Fr@o{?t{Vbb0CPO;nvq68D*>Y!^7^%u5{ z1)+aCp>+Zw>MNnOC1FnjVX+(m=N$cP3*IolbrR9R+T6HN$1<)fUR8f{1yTAI~uJqm^N+9UDbFQcG`q>_5|$+(hpFjH^2?U*K~SrVG*4F;v9Wt@50dcE;!lK z^H=J`;pECV*JaXyPc)Xm(xBq!|4vvetxX~sv_xY{+(L!V5;*%+x^OvOC-bV6Zc|vP zCz}(=xae2fN^$L78>Th6kumH;6~#{*h^u`*XmRlJ?vh{PJ?Bwd&Ixgr8;`#%W|0u< zTVrteH8pQP{{)t^Dt_+-{G6%$GMZ;AuS-)=`7JLm=Z6Zb#mjW*jhff?@g}S@3Oqf6 z^~oMvA_$t7+-;(j?<(66)O*ni?zE47-zfvE49*Ss&H5Tv=X;U(2DnAjd5B_1SSyb>n5)^+vsfHJpTd8MVKlwC~y!!z9Rwg@q4A?Wf6oo%|q&N)->tl1E{E zF`l$ai2t5!$};6{c#gmj&HdFZm3hE^Xfw=FOEMTAiN z!cJ-3VBVq?FB4i99BIHq@vL1n8Syn=_Hj3P4vTK{^`}*Z47=ux5o~vb&Z^1fNm8uz z@G%;WR3E>Z0@U-8I92PeHnI-=RAzOT*=A>IKkl~VWaeUWl*zU)ow=}D1ZfexDzy|i ztzC!SdpxGX!O~~YFP4!pKG$XFi*JZ-(l7kjZaX%n;^5$5hY1Syv1%I>jEcyLKh;K4 zw_z6Bp}s?msT?VxAiJy=F@7gu@J7Pz8hs*7t1~o98lL4%F_W;YW!TuSD~Q}K)s{o_ z^yCqy0{cN|?HRaJvL<%8Q(_S#D>IfQF7L{R361Q2jraZhh`P)MN^B~!#I;T1gQ)y+ zb90MA5!pz031-fPj!!ftyADhED578_6ZKzGHr(G z`pI`I+HKb&2nI@i@l#z~5+C`jPu)Q6r^99_%KS8!S@z7L1iE}WpDRk99M$Y3T)*Hu zr(63H+t)D=&LA4$$48MdU(das>CgS(hjqI6Stga)|HzYmzgTnm%S29jC=(CEbaB`< zeR)v@>P{moE6mrx7~gjj@(GfK*3#6}HQ)5Td~fF(Ab&~g^gDGvMn<%;)=|tb^e7PE z7sPIwK|)27^g*WwCZ99?V+UbG6ySwWv^kWurG8KDJ%cexYvY#JUU{f3g<0Im3pZ7D zS$wkf#1wP)D+Bq+@JHWq@dQVekeb^lMXAO@#uf^CrYqkxci<@+NofKri%q{7z9twl zDK~_7qCZ9Oh`&F^Zt{U F{||rmRC53T literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips1-image-0094.Dk2ikz7r.png b/docs/.vitepress/dist/assets/mips1-image-0094.Dk2ikz7r.png new file mode 100644 index 0000000000000000000000000000000000000000..314a9c89a223c3c60ddebb5bc7b92618acf327cf GIT binary patch literal 31432 zcmeFZXINC-wl#>EOeq4Q1WP0*1rY%eEs`Kfaz-VCfaDxh5EKQ;If)XABsqg3NKTR@ zBa(B@q31@=d++IczptO}`~B>C&UuxhYVWnzT64}Z#vIGnax&sX_!seUaBzqu?u*Fd z;NY_1;2g)nJr3_Qb#B?iA9&C1t6AgV5LYArKNiADd^N{=vD(%Z1`Z#i!W9x#Le{llqfhAkIct zf2|s*!#x!ji^eq&CbY-pSCXsq^HwDp_1b$8f6J@cz|t+fib6dOZE&4zkIzqaLS<&Q z;wt0w)He5+8bj8#>s_PiTUULUQ5xs*&e5Tjy?$n2X5`R(%$;=0myA!3F0@+mccI6v zP)F|? zJ+<5-UhT^(x0Xkr%Bbeurg5S4!C^z;PTf83%wKn=_ikHzPurQSF}klFC#o-#EZP+)x>QW3-7(c?PJLTFu9xT{cXB)HTl(G<4wqh{XbvUc2wt`0Wva0%)7MW! zpZ(Oh;y_6GRjADR(PWi__2V$VLuWUi{g!KJ(u7A>g=wZughQ(@Ke>E8_<~ek4bd~5 zflK}#;_g3VEKe`+<@qgccrtp*R0&tvVt9XbU%mHwE19YGg?S2XdY$NwfAN=$_@qlT z9=msKQ{K*woc?mm-peh#h<0u6v|8vDb7SQxA>%`{Q$hnoUevv>-v-*&ALvEJ8DjfV zONi3$Tkq?-jb$g1Oh)nCUdeUuCh490YQ5DO`V%vEx%*X2Rf}%z=hJsY7+Sa{r=u zE^A0o>_<1vrKczALa+UacH_gA=G_xGaT8y^eoY)M{%nA=;rylcu+F~a z)iELJ2ApVtst_OFF)9v0)QAR#=#KHumkZ%1E)~%jju4kU7s5UM_%eR=OTBAn#o|tF z7GID$#{ET84!_|m*XN7rXQI9+e57=Z;({BFua#@N9F_ptz zlW4S}=c_3?1|Rw-{SKg~$rIZlnI*Yz?&*1Xvdn>(DIW)a5Ixp`A(A6=I z-Tv`<07G-Ryv}rt^i2Xw3b~1=dP1Vy=?0N+?G84_BVWsi`L=IQowH$Af43ku+paJr zy2ju4uHx>QYaYzH{NcYb|$}8kCnJSO6H_z8y7qZ#!JD>e;olX8_ zGjMESMDF&n$@BY;pRK{zXB{eAq1JYzt3Q}Iw<~iAO0q{j%5s(}Z z7FZY%RTow#IM#Ze=nYSe_c_`6q^3{lpE@75$SueZF|o2nF*~!=G7$t%GG!?ZCA-LM zKVK0ilO_`&3zfva5z&9$KpYapQm8m2m#WB$72@$yzpsVKNQg}uN_La`l**6IQF6rQ zDzPffDrKcqDAJ{=VXt>FV9C;yQm7S6KXxa}C>P2brdoFzrmA3RA1=z%w#6I2?e_Um z*P)u8{or@mcW&vxq!5Gm46@c06UxmUk^V*(nxnseuryvZ>Nhep5>yvqH)StSE>JG+ zrpX}Aa5IxOQ<)c;PwflotIaR9y++MST_BU$A|G>tpV#Kj^u=i|+wBrxn^~KzsfwOU z36oj1J**x5Y4%!+L3gx-?hA>#7rVVY5IiyPrRDPXM{mB0z2rXaN|z_*;6LKWbjk8j zwV7~dTg$cXXC=RszuA2|MyH#Iq6=u}PwWtL{m%dW;Ct`)w70SsHY3}lT%=Djq)A0e z$9CjTOF414vX_SpS(q4IG-0_u_@wXgPH$5m+t>8!r&+y%)^GT}-Tvkh;^^yG>Girs z)t8bypS+O0K9sPLt&u0xEOap=JtHukT$M*vFtL~KsvxzX*x*5) z{?Cb@=AX--diNFg>h~)3Y2}E|56++3xHKmqeooBE@4R0+P49U8=ocF%s}x&@k(A#T zeyNWB9NDr8u1N`g*eIU=Zf9a_B6v2hdAh}@x&3kC12NurZu3tkn`|>}DkdF9IbCFSxMfSw2;~T0 z|7!T8IH!FUChLT@yQ6@^q{HHh+s*GzgzilR*Zp0ZTyEXba4B(}t}w2MaxLAL+P~%2 zzaPhC7SY=@*c5h5=Gdsmw_}TH+UXJLLC;km-G8L^Nc_El9Hwn2e)p@v z*NCtAU-!}G=ni3-SLZO_gu}e;vfusGv?D4NYNC5;plVtq>7co_v*dl&?(C>fQufr( z&)KZCL+|q5o)^0*@|?-8vmwQ~y}Laq(f>P2_k%8rZfv!mU(DHla&h0N^M$MnS}u3@ zeryTg-NXy@3>C?*?yQci-jsMQ!5Uan`|cvI^+1i@OTBwmy7Oy$QWhIm448<8yVCP0|g6 zQOUt!q4pvv%uQztCN53NHZPv<^_3JI3@T^HiXGr2rm*0=i_dWW2D!;s+HJen@5U(V zUtSsh(C~po;aoCRH1@jEt$f$W!93B9Sn@Lzhc~I?T4IK{zS(>qpd>NiGBl6yYLblW z;_7$V*n{1QnV5@y5D~?_xp^)*kc{49lD^qA(&C1D%bs|p=bpRux73zJe|yK9L&H;Jf;FG2&Hzc{dz+sqf4<*wkL{&<&~0 z_vaM6$9Mg(`jNHe>KBRj`U-`JWSV>_lVWS9foao>>lxIBRjttims~b%F6CxyN4FO1 zPL{XO7Jn&fd)B`H#I;mo_t)^Co6x|op@;7EzvX}Hw>C=0=<>^IE|*%(n2dDg_WbPO z(DpAIa-{q9y*aZpb0MlMs?~ga$jP>JYGYEsdg0tc=%7NSiskT<+d6H5``ool?W66oz`C#SyA>OD zlapkB{7}XDu;?IGWmM&57DZ*dd+zUy+5XVyQ_pu7SB6~ObT;lCerxf6>u(kpct>#m z_Bg?GV_#N*PE>`Ld#OM;?=7ZLAHE!&V}y1$=uUX_2;jssoVfdPol?K7>>!9oL_4nP1g`9fMdIKD40ZYFlck^v&AL0%J>R(7MylPoKeAJGoxGs-X=V1TFho5s%M@O z??lFi5g$kg^+XF*@2^tcSJL|0I_Z9l)WGFIin%%=4vzU%36Xn>4$*Ui_AckU4r&iS z(cciwZgVEPq#}1uvBZaoN$dPcMYi}CXU}sA=FOgR{}6BF!76(9Ze;KSJQQxir}wca z()(AgS2wDdT=TqI*=o^Bx2jx_hO~be!bX7VfHT|gY__Ex#D@BYd0(c zpTwp4cymtk+~VE*rHBZ90`F$?$YN^`_z=#(RF95(J}u_{sl;7mYQ9|aL?Q1-i{m2W z`QmpRgKJBWWRt6JP{6COGr-m|7pldQBA4J*lF-4Qd&yv<@DzMbuA#p2qWoMSzS!nj z_|fArE*k#8xwW`5-nb!*oBGIx7P;Joq&C!(v|cIheiUZAPpZ{CGjg6=z+$519`aiz zbZ>8O<^G1oV?59F|F{&aM5hHsLHINtI>)x?NkPw?3b&xf(HHg)_EuZ-^78a9$up(B zU7$wpNk?`OgZ$|s!)q~AW-~3Xt{%B_%=165me|p=As@mScyY9{M}IF8J%+BPKl&^D z$Kwg>WzT>8fWvg>KkXdyKXZiq@(cT)Cq@45p@BNOGxB$w!vFMT^Lu|LlTNc~v(lyV zn_1q=bZWcAVR!i4g_lPIXsN5KyJf$i>Ehy|si`R?CB-K9rY2l8N)%pW1g-WLInJ5i zBhIH*2uTH=Igsi`yc zNnXExEn#5zTwWq3&MLD(f;HLP!C@yhfJUpD+uq9>g7nNK|Dheahv$eGak6ct#G~1JP zNsw>x_1Ndy@84f}dPd)IRUbNQYs!!))Cx}~hdG+y_{OZtgNvl3LGRzc4-a?Pm{T*0 zz!{>e0aCjb=i3`YbN{(8}%9 zzm5zJK2Jfxn4H;ey|{H)Q(X-YTfbW+9Rc^beG&~*i1X%sKtO_d^~cx~_l`fW^vX4C zjpehRSex!}KiE!gCxx%o_gjuuzyAFBGpylJPWeLm=T^A&_4Uik%awa8zIJpBiOFHl zKhV?D*L?pDSNJ}qX0Ph|`ZXRNo`jUtSUnP;u^va;M%0J5Blh&;wE?bp-{|bKXU|e_ zXkK$_pzel6l8xafqo!`AJ{sV$KLbQjP}G)(FBKwra%5!WXzs^}O;?hr1nk&u-`?9C zc4tJk0n_Tytjl@Ay6L!Wf4YVC%tJ+TUccTh(_B<^D`La3aww1nl%c|dsD4{Re1?}6T9xt7y6R3?<~3>vc};Q z7Z=yeeOzN_Vq#)rdy72KKhu$&oegu**qdt@LyV4f+c8$CcumUKm%81=-D>qFJMIPEuo}DHRoK=hx69M< zRU=5L5Hl5vVB&yUk`4 zj(z(&?RNU)$)io-fct0ne&zCfX3qc9YE*l!FF);z34AXnU74S8q49@mLjeR*eEj8P z!}^Tdt4-Yb%|mXx^V1#4?rZJhiDK`ZwtjifcBS=vln7GJP&XSWYFFB2@mm-yO>Mh>?*|nsUZP9+RstSC*F_(rK02 z&A%eL)Hw@V;K3<1R`ztw;vdCsH2gM9SFd{h+g{-yK`)eVY8y9WTb`ddBjv6yU+gM5+)1I5;pRbB+_am3VQWckJ&Nt_j1qqA9+ zLpl15%fD;ahYcE(bS16}6|0wIKl*xn`WvJ@{Qks)p_#1I$@?Z_@688_9!3u48v0*M zf65`g1tET_+kU$!nr`s@w}pZ8#q8{!?|+8|1fb`|#l$2JVSi>dl-kZ3s2w(hupaIX zA0A}IUn18kb9ksMajLNj;xMgN#MIyQ;J3ffn8)t!ZvTP&SK=77XL^QCrQ2YMt^M53 z$7&qTw6~r;g1!CG>)46YY#Iep98}X$>QNyfA@AQW?L+V`eLW+8@216Yh3j)&wjW<# zo_YE5B_0~~^6kF~U<8Z$0BZ?zqZG6vw72w9DaL--Riy!@Xed#fr2q9U8LRT`^-hIQ zSv6G7*4Hz%s`;yb%OqI=fr zwl~owtb(lXYwTRzlT6X@%U%)N&1Su-fHLND3=c zDClo6e4!}~cdk@49Vl9c2T#tm2tCSlx6k}ZkRw&7Cy*OWN*up<91A7;IL>c7bAS6i zT3FlR*D07CxBVUCj`8)`p2FyfgN=R*_NX5wZY%YS0LVJ9si-Gao_L|F(?l0<$aO5s zc%o$d=~-ALOVt$CXSy!ke45g{A{%?VH-~w@yh}&nFyOZ9j?HX$Mt*+2N!QB8Mkqz7 zcozhFZPA;=lNj9Pc5&KNE5}my#_?NeXGApegF-?+HJl-#d8&5DZO=jJV6|09XlE)h zLp?vRN4mK)H{RFf`^yiEo^{R5X2TWbos@!3d26*+T_i$#a#`AfYF$vOf7kj`bYlP} zEdBcSDKdKI&Ye3>{03e}0cHKS7I6@wF~Y)K>Gm*cc8ktjyLojU`trx$UL9v#fT`4H z4$GI2kjS|}PR>A&Rd0xjd;5>W(uI--4WCH*3oTUE zfB*iizj*K7J^GuW*LAiH&Q7cfOGnl*~Y(VkS~e79!4izo}>!p?Y!wdj&DFi2tv zBdyPIX^X#yEfe)6|6wrM5(A}nURG#dQ9Ff}>Mf0+x~$N;C+gR)UqqK~2J^Ejr+-#o zuV;))X@>2WGU!#WY;K;p!|pZJl@=E3r-nwV;S$vm;A470AdKrc_~`)!k5akA@{qJ|#2IkB^JnmQ=^y$S&-|S-JPaN=Z-eBa1~BHpKb_^MUG%7ca`n%07Z!ZAm~Fu$XCc&w?XDn zF#WWTRZ5e5?Zo!H1%*lB?1bp=&ygcQfA^=gD1Cj?`2d-|xZ}Y~suL|SX_63-2EB8T zMwPZ%v&u$AEPVMm`st=;XVWfHaq0P(?Vq7>_`>4(tfT17H)*uU>O`}~xM_E~TGUOm zB&moTm+h6q-PzR$sQY7@I}l<3o(%cIe*E~cG1r?&^W)L3!|UU~1!7${)Yb>4p^B?z z1Zt-?Q?RK%au*l(qk6~#1JY3e{dEgk&226OG`_7zEIvF2jN}n zFS+D-;;Q!ZeO<4I_^)&9idzBjz~;N}&*~KP_q{@yUsSOyV)iup@lm29N%|76xn`xC zs~Q!*%@ov^vB5&@q7CkKASWIkI2pHDS<`H1yIJ|1qI5iXJfiU z-0Y6?#?xJazEb-vtnuyuf#Cmrp)h4zqrN7``+9m*$m1MF@f-&;wFL4DzMwE0cMMyi zcNZ&%^}Z#bFt4sTEIn#$hE0VTECHMT0EdC}?*D2TY8OO-)D9AHkW{BZ%d3rRcV&YO&R)NGG?k z0C7LSQLwcg1>Fx^jt0N`#0}O-Kdo@c$+SL@zHrbks{ShqbCr?NeRI&BvdKKir4JC+0`RY^s0D*x0zhRA_%qA>R>#e16fWC7KVL zq-psdxw44f^S-RCthBVWq~s35f!*C9yFt?>AtB-MIpQpZXA*Fj`rt3jQU$J#EO9YQ;D2wAqLXy{k~9 zdl$Rh4_4zs*)^~Y&B-S7Xd29y?_5` zjgbnT{0-~%>kkiJMMp+P#>Osez}Bqosl*HS!0t_;<*a}?jW7Q2j8yG!YFDOYR9-viUy-`y0r zE~I^#-LuWS;yem<&G^Sv=2QUTP^89Pa?`WCP!SOk>W|IM7ph;A5)q<5euj+x;}^An z-T9(o&EHn9()y*OWBBty*ze1e5LEpDoEHYv)p4EGs_6KM6Rj}73IPDQBpj>QHv)NvX-Vei!fdyk^OCED!D`}C(AYj2)$(0FxuTwGj% z2q+3gzp*G$W!EH{hVtGFd z-$nhU^;2P=^z?Lq1XxDC#_gr4ME{1G4_%8uF|ddLK-Ll?pt6tpnsNlIa@n=36=g{tK8>4Ce?HQf1OiCSZzE?rLga(kzI;0?`sK-rq>B|X{ zt?ljkpV>gPgp~cS%en0>iFqR_uEtGSQI?{Z8aRIM*>=$zW}w6)Uth{J`BT*0^hBkmrvBIl8YSTfY26zr-r#Q| zz#dpPsJpyoeR)pnCd>hm^35rzE4;jgz+iGrpfCV<^~(Qj+LH;}DpgOE|F&TZm1C6o zUt#}hTfA$1j@ z{`!GY7#}D~w%4L=JLc#Y&sXpF<(mSqw%B2{uvlb_b-UtT|6fIlX|wP~CCa3X;7Ouma#X&!~O0-=b1!9KtD0qcF4N@xwHi42{C> z*gee^Pz~57Gt$+wpLoTPb7+@MO-^nCu30NHfn&a-VrYqS#jP~?Ib zWkVBpCZa6d4)-@9v&pOG8c3(A0mSY{mp;?1xvE8ki~G#{&46;2c6sMmg;Eqqk(!0( zF>mh+ivI#A7Upg$vE801j_4MX5&*KsTglngZRY!I5ytH1hK+&X(9hk}xb^tAbIUapIuLT=>b?N!hJ9?bcbLofYlRNlq4=_k2Ry7({pf{9%5eV_ zA1~DD^|gj>NdAz$We_wZr<}UW1=>44cB2Tip+=p}gm8 z08Wv8@IZm5&|=uUw+?8$;x>@?^gbP!wcy6*KRO`G+GFiN*2+*8+?~sXv_*66k;gp8 zQ!j7t2Zx`iDXRbm4D$epjJ)V`%Vq1Con1jJ2bmF6?<4T8ALaDoW!bZPxB-EIfzi=T z!_-LT!9sxmi3=nxU18kdb6MpZk@)EJ1wo}g5iv0uKC9}MZzNPX&YR{u+puhv{h36B zgo>tNoI07(%MiT4yd+tnDA#%sP*_=6Db}|N9X{=?0v4pS4dpuHB9Qm;VAupeItU0J zj18NHYk@&Q z3i9%rC`{dOh5{&@G>E`HK!5x8ZGQepR8WnwzAy!8>fiL(V)Hx?i6hWP3V95AnFT9_?lkbd!#t*28KmP zBitSoIs_kjY&LRM7G3sy|A&?|dHl$b!n77!GqYooI==E~=^W(11C_Uh!36w+|*2!~Du zMn+yFC;v_zal1QJA-NlJ*3;gca_7zO-@jiRq%I$`AtE6Wa@ksft@bw7mN!Nzr^>Yq!fAee^}u*-gqH({L{PW_f~EVl$Xw(vl{tw%+YL(uq@fv z*LN4BR_Cpy$J>Oz0y^Q_e}!W|iTn54+(;5)Nsa|u0Wt&Wm{B%%ZF7@^kPwqH?E0H z8nA{0VQ;sC?MWb~uqxUWE_{rPwNv%mIa0(~RC;`!&!0a>_FbWc&fU8nWfVtsE%t9G zX=vOo zG7UnT1cVRJ%s{1~Jb(WDg$oW-ZD^>2``a5|Me~GNymr(CoeDWK#b_1 z$ww*y&d5DCo(I4OKpT;fxdY7{`3jLDAG`bGOAYrzh1t(&Qak?X`2^oM+AA;+2%!Iu z&7`9*|6BL!)RBU9^!_t~|5G=pdWZJ3o{vwzh;%KH*U|+~6w^boxTQZo;&=oK<32yP zo}otb_lmXO7Y?^5zI0F8UG9KO500A^Uq)%pu>3KPd5YkFd|eE-bDiB z=!I<8)Bn**lZD@$2$sSv);lO5IvpGl!Dl z^W+^ePVKT37AP@&uVeU|-^*S*nzj)um}3up++PSJj`;qTQsE~b?DVXO$}vxysWBM| z3AKPMgXTz@3z(0eKBWp5g1C3Cf4Y{|J>AY}VSq19>BMQm_bYG6SVL6}Z_u2>yuHXH zNyN>~-JxU_`U+L0WVnI|!F|jiNI5tZb@d@YQCTTNU5V9kZ@E_5OTcmEV<)fy`?=2S zvFa#CJ-d6Q*g0tW%;Wr)4I*y4o>U2|s{0{HZy z7kVeY#R}d>QYe(*n<&f|oKUn#rQ5y~g95+{d;xK!{!b)~m09hGFnTg&$DG4l@Ih7G zX+(X%c;Q2rF4WXa7#C!LH+?41?c1;qh>guOAwTS3U}Cz@1KPT*R)tHiRTqGUH|`I^ z4rE3D#zwQt23&G*4{tb^Jy_cI%y zVi<>3j`fGxXmg~gPze1u**n`~fDc{9LsSf!!p}lE(qQM{Fo%8TXD2j8BpSIs02EZupPurW!zCotG+}U7 zQE&j>wj)pQgHl}lV_YIy1cRvx({W=K4yEIM`tviyz{1aLI!4CiFW=p_ew~><1du&u z3wm=P{e#m$aRHf4mvx~Bq0o-i2LTx0oKBA0+1=H6h0ySsThW5f-7R-NCUx?;?G63@LA}FZE(XXQ8YFq7dmg@tchveN<>qVL9`i)f7C^5GqkQ)otOm;K0 z$l_4Bl7bV>nfbQu)brb^4j}3CxQ9eXKTZC6MMwxK^iOK=ZM9SZ--iSPD^C#(t6V&a zoG+?>BWH3lIVs|!Ci(vUet9#DJcT92u?tXwsiB#i-22EoxmW3D$mdV}AjLc#Dk}z7 z$Z!d|s@drqgZ9HpnVP*=83;J4(`vT)GBNxhOtq{l zOb$^C2KmDK&{~s;;HuH{yE*Qz)Ym6ZhS>vvi;aO#lBxB!uPQLFC@g~p{Mn?fg&V!* z;#OePj*p)2cb^)4X6R) z2iC{P*$~F*zC2np`nJw5&TXf@Rw}A-cCfV2|5RfZ_bgR_n>y~EwVjGA_D|ZmDx?fbii#JEVj&4f*J`yDtQx#Kx0OB5hpH0PQ1-&At}#+Xmp3JwNl zW7w=^2SEA9Y(en?T_w{%=-an%`Kz~J)tV>$fS-IJ9Xzn<2mXQ-F|wKN48W96icLVM zNBU?^?9LYb1sRc9JquL8O`rvV+w}_+sWc23rfj3n)RBDHNLlAO7a$gaA zQW@;9H zSjbzgqw}vb&A-XQf`v3~B20#nioLB?KIrxC3HS-*6CixSGPE$295rOWkIXLUoJE$u z^Dy%g>~?O_qk-kDnXU{XK(5Bux0Zi*X|SoJsB2&`K9KlR?VvHOuBR{VpZwu40tNb! zqBL}uruO!lI;b&54h(?o;H7^8@W>-dj1Pz$gL+)9&|7ib&Mh>tdkUl&3=E(ht@O3F z%62dG=8884V6Io1OL2j`M$p@3bFGhP{gszO=|<58ez@obyu z?Brc`b#?7}0`KTsf(}qa89*Z{^J|(mz>U}ob*ceyh|Xv%uSIMZiPFDUwgD21&8$#iANdC_>2;^GaX_v!Q98BtL?(@C4<;Uq~M&qP=}msVDG zAY={I)MCbfwOTz9-`UJ8qVs$oqeO|V(9MW^nMnS)MuFFP#2AB%4S|?APQp=bDojllns_h;-cDomfi#j{D z^BxCN{?8IyQ-uSH=op%R=0qJg8$|K@Nzz3I&pxfRSNmJ+C5|oq- z6Kp-wVv7Gm*~3$1mHP}86T7EfS`LY;f*J6aeF=7oCNAir3-KInMX3POWx6#^GA zQq86B5Fn%dgkjcRJWdJB+Iq-S96eG@-Q?(d4hz)pU~PQg~`EN`TB znnLfY_-+j9^$P^1iF5RT$it3BVRp5jfxIS7Ua0iY{;qt4X9wMn#5(5N`*c5A6V50+ zh=I-^#m#5^cse}AS%S)6yTOhkJz>bA8UpSE>`f(HG>yyBJ%t0a3UW^E^lnJyS6WBO zAA^W(d-U+LB!L`MChQ zuCNL?-6m5x$~=FpLck0Zk;A%n6?IYrxY);C}C4X$uKS;v6*Bmr77p6 z5N#u^Q)VI0XHFtc=lQ&n-9>h$Nzj1C6NtT#@+x$=-3rYOlhlZ^b@{2^zjeD_L=F^k zoAm*#PJIUM71fY9L1*Rp`vhoEs;LzYz7^^~TiOJtS`oVYUDLoyc3pX1Bx4gjjv%r-k11 zk549IY&UOqn{-*X3K}*X(zqgpZ=$w38EC6bBn~^ zD-~}9U1awJf%09XWlK{Nte_KYdPK<&+GbFn$?jUYS)3JT?lcSqg!mn70?zWaKqWe_ z!ENk)87kRLFQhv*^Ig-If|ElDEduQgliHR=@VTIn4L* zblv((?DRo3B78)K0aIW~1Ow>Ok|8Xq+Z|BJTlEeYD(Xj<>ky&S0N8^tqZ8n2y)+Oh z$&0#cYsIDg`VSYjp>}i)0aSaEj|DI)kJwZ4Q~oTzQ6bsRFK^m6d{_3@yiq3PrB>q& z;6MxQ0`{&%AS1P4${U{3S88W{34A#CeVrM5e6UNZt3_-B!Ee#5x9oxoHCcMGv;|d_ zfRyCS%V}cTh_j(`HnWs!{v&B^ZXLH8BiK}L16q@3`UV)!5t`0G|GK{ELK`2HUwZ+b zm|L-L_GLvMfW-nKxLJE&y3l2nK($({^pIhm-sXE-s#~=je+*#Cf zXpag=W2^HROKy7n;Q5xDp3l1e4KsS7J^rh%#L+P};lf zQ=l)Ox}Y$&HdbMTcxY0UGx}g->ODNo1I>}+t@P`+ae}tb@Xi$62h}M-c@-Re=JUw{ zL-y>RKjDKzFH(4G5)dE)&I(2q{n+gTz8P~Z47Hy3Ez8@hHqrG?=PzJO-OTOYIJtzHEO#{ zcKXa2Q!n?uW$%(?Zzi1z7gBE9S?lXYZSlut*8uYIJpI|WrUE@%buYx~`693TgD3%y zj&V*AyZ?J@4c5x}g8ojuaxSyJ8yS(pAP>>~kgzk!IeY&6*woQ%?m<&-=G8Tm5vyPp zmCUDHvUX`Yc(-_qD=miatSg*;2)qaYe|Qsb+C%zKboFSBuhK8bZOM{*`}<0-$B7mO zJ7w+57S(YdURZx;@sn8%+!8?X7hu{>_8o9@xv3cr^SFV z4wl$NMmb7a0}``-3^af4Z-dCw`N3%<1KPprime0Mc}5Sfi+>aclnx#3C2&x7f>=MB z+jTxR7NE3iubQjr(2w|gFQq1&2TbWfc9=09lk~zTi*;G4Ut6=WO}yH#F??Rxd>qgK z%W1jD8^%_k1Ft)Q4O5+m@0j8*VC0JRz^b}RFDJjze`!9G+&H`m#{mZQ!tBCW_UYvP zIS)WBseN}zB~_k2;{b}_*fYL%3GWT?Kr>K4WT@vT0SY!#5d;?+wt1#2&D0Cp=6TlF z*uOn|=hBjrPs$+mEXBgB7<38Kta4>&lQn8VN{4hEqD~DZq`SZB4jH?Jk4pSmIx*Fo zpH^3`foAmKaW3kD-|%A`ON5+||L4)be@mo9=;-(0K97zrgQW)?CMF`HS!&lzA65;- z0!+DuX8jY;x0t6;o`<;ysTurdvXYW4D}FQl#l|B1#kwN=^b8COefhJ{D$b;~0to{! z@A&UJC8CnlxYHGjet!3{^TU-wmoI;z=lyQkvCNj%5-Z3W_rPk8K;YAZZ_Ayl+suOk zc5^?$VgY?k)vCci`{mVT2sq7ih&X_inD~FmjQo~X$sa$a*Qvl35$KrRF)e9>#e_nur=$D* zEpxhzi%S_lm(}%>)Jru~0{@7|0>E@V0`bsnJjOpnCf7<1B5T0axmjNU?y%k}mN`%n zsUx5fA9B|{`oh0|4Fu^=(4Nc5x@p#TUimSI8Z&iuJW9ubNB$k~h&l}T?y|5CG%T~= zB4FnNe+q2y^tiZT=uXZ>Pk}*vbaWKL_Xf0rpzQ~qdoXil0523RcxnpzMNSq(1%>is z5EXzd%CTexjAdfY$B;9%xVUI`6U=`~ zk2_Ny<`lpvTjt9FJLDjMD^ovB`ZNj6+|*AH-DK52_RF zAebF_dRf8s;Fl^o+R*vwyZPTsMY97#O1R5F*cy+e|97&BpP!ap@m2t%wi>qW z(Ne$o=a3B&D&zn?jrj>I;F6H^sN)h4DAZS{JWRyD-L7>GQ#S>vhLt`tU;u%C7Ie~OnPtR5l z<)bi${7($$SXD9=rOkeR{+ijAf&eu+eBIx7* z35j6N5H`k}r^5|En)SY+ge&|C}nx#^-!tNbGnbp9c%g%A$~6Q9I1~Jeh!@!|c@x%GFj0B#ZMpm5LC#F9^FlU@kn;xH zzfzA!6_Ss^JV?qY6I=rY4M^m-grnyIaY9K5TuIBgXCxuR)`4A<8VP)i zIA#j$MgbY%UeSn-EVW-$b{>Y~E?rn?dP+LN=@_b+5L`UGhh|RB&MH_%W^Qf;{9)%s z!S%+|K{daVVt$q;qCI1>O?hADIQfG~Mf#smhvR?OJUY0gVyl|q#q3%d1+N(~sRl4!MGyVC6XN2Y*9SxvIu2dA ztN+Tda&U6-!k1~(70yGIZxlKp|bSlw*1JqgT?w4rgMGu!*Y>u>@!~#!c!$WEA_^U@p;C z1}Oo|@vb>c;~>{Fe~$aRapIBXtieMcK-LkbaTMtS?g7ZyF+uV)(M83P*KW{@f{=_n zV7YOlvpv$vd>9}rb_wJxg<~EF7M|p&LSQyIwDjW1ZvKO_;jB$Ns_Nk9=6wI;{CoyJ zc#~BU#fTgq7F+56(-QSUL#a>4_<(@}DkI3WP;kM+F24ZnJGJnb7(p0nzj?xCU zsA{Lz|L$}gO{5Ghfpr!dk6f8Ff=($lfVJvJq4wwF!x0?b-hVs|a;K5ZLqmqKnf@XA z9Yh8yM^{K^Kr$Og!6KNC51z8JU;u5p0HC1FB=}DS;sV3WX(l(^vlJACM(rQq!(hmB zfH48NY;JD0Etvr11x*eocnc0mLlT%657P-fxGy0l_R6|P3FPxu1K>uRaPr8qK2zWF z>x)w+;owyatr;14l)49A+?ELLN#IZ`PGHCUCr%p({~*w6e^L)=OUNXOs{WqV5cPaV+5 zLlUG7ZZ^P4B{&vaXQBiX|EUZLpI>)VBMCazae^`}5|C8D3Y@KKOz@RVl=lXuTu)#B zXmjajLyzC?=06nXpMesPv&nS<*2+*Ox^V4o0~`bSZPZ-0hr;YUQnChef(?LbAYh}# z3`bpZ%z%7!J%MT0w*>R>e|I^gVblf0*C^ivVAzb|nLon=AEBX=^};|g_AFnVt@cB! zf}Z;1g&M^Q7svb*^Aq%5CfE7-`|Pa$>jT3%eI@pPsoad??CN>X@(i@yaIb>}HZ3xU zK{{{+=ms1_m@WGNzALF6&sVSd%#RGM$b!Tl?WSmAH1y6hux7nEH6}_BknC|q@s-GB z+6&Ay(jpOQI~b9`4#`4-f=KlX^y<&`7Qn88N|uvy`X`tdQe0;4o&94wM6Mf5{}&HI zx2q)eA>an~#uLYncTRw3=g%XHz!@)Prv0^zjRLcK$&}m%bp^n_fK#6pj0P*;-L{=TH$4=pb9+VZ!aX60B+!AWE7W#)B6{B&DrRorx;3N(Waw7fI#c# zP}CpP18nHB{s{jTh6ibwGJI9PA6oy7vxw@Ksi{Ve97KMk-|JNw;S`!5&TorlLCQF( z^B)}$$Kwa$qxwvWIsY9F3UvkN&H|u)=!G1s!6yp_VNI=Dt16QcimYkXP_&WMRy3e|Z8qKXdxD`EZ3GGcz*; z`Yj%yjvz5iMp#tm!9;@=hq!RV1yBC@CJqVw(-tR+u6jO0H~wp7a7I|*a{qfznp_{o z2W-!woH_@fuJe@8{k@zVbb`L%d}8Ig)P^ zF+Olyx}gXZkp$$^48GuARrUoRY*-Ca)y}@xwOShaO6$It4S8+G==Szl&_E2{pKE*> zra)5QL$nAOoAfjR_XhNU-y;PMDzHHrRm_mSe}8K%NEY}fJ8!Y=tTL4J&SS6r{BFi~ z{2j#zKCC4o+rU3A0cSwp!Pnq+AalV!GzB@mgXjNh@5;le+}m|~w|7YclBh(|s7R5a z3~fZEXduay1`RSL$=qPfRP7=mr6kL^k<6=1MTQEMxwV8W!XjCwwa)!p+TV4)?>gT( z=eo`x=b!q^)s=Ux_kEw=^W4w<-1pN5^suP5_^Y_MI1h-y;MPq4rn3t>G@|lSVq;@H z6`Be?@-Q_B(!C%ZNOEU!bG}Q)dNAk0@2~Wl)nf_FQ%8>&{sZB2cU0BWIuZJNGbOpZ*3)!i^OaC zH#aw<>7tlQtXic_%2trbMh%-nFOH{$@wdsj2zZ z6%~~Iao5(*m@%WVO^R&VxbzSSP_KXSk9(R!&Kw%p-)7ECJcEuobA9+wG6t>t)lk`S z`3^sR2!V1SJU$2(ruP0H)7O#(2GM!BnRPVq*Xe7#DtZuun8MXoAB5(Ekx+*QA9=g_ z>))TTYx-2RKMM3jISh8Jo&t7umkWqw(d3A5aSgH`;o#<%L5n0ioLij2HHB|$$IZjX zmRD5VEQQ4S^-*-ry>`P8QY74i-nuPk@&_c`wie_P`Tpwfz?0K~0|StA6^Z>AQwFad5~dMxVh`jiY4-=?sKkHl$Qr=GD$xkaMlFc6L=Dg4W{>^ zbvIBOzRz<4JtVNwOC`2zf4K>Z!Py(ey0!gbU#en_s?s$%RJpqW=VgMhwQ-V*vh9LpIRFkM?o@UQ-#!@xJ2>k*@jK3sx1K?Q&Q7u+q&Tj z{<{p1aBTWpA0{R7mWA>O=OnC&a4DTFvp(>eOxQ%7(e~ekgdc@1UK_AL$Xv?h@(Qis z$OkDxVMXt37bl#!O!Ju&ysgAQzV^nBuo=1bF2{aZK2K^owZLja^_KocR!WX{9QeN0 zbn$&q8SfqH?NW9W<6JDPRBnRw@S2k2tLqXDotRZwTU!^u0%OFd{Q0`D2 zSxIPoW7OkJ8(JR6yoTxa=qhB(2KJ|y!l*{e`C#g}hm`~V1u4vO2x|hQBfi5w>4X8i z%(p;`iCA`kUt+?QdhQ(`o-T0Zx5WYcb0cK2yme8lnOlE>vuHEMlgAAF;o-K(uNgeK zaJiOY%gBzAFK;D1mG;YG7|5HF2*U@~W2(h7pMQ!rJhx2a`lYGHw`3M))P+6rLcm-1mzBkI%sAM1ugPTgE zP#A9>6hjrE2H7uUl8?5YA(y>mg_|R9=lqv9lr8-j_)`KO_<#nMjWR-sJ!(Os;v)tn zcJAj}TW#S&a=O&NeNn{0oALIIVW zi9n3ThXU;tYg(|1gz1UQZhfyUyLUMEa&K;{79L*G@)7_u@oH<7B3c)s3l+_$3T)dW zSyduj$UFi~rV(|l-d@G>Gb&YTZ!RSzr7#}<#u03QNcFn)OBGVlIbFPqH8;GG zm{y%W#pm4Wax!W(uWd3V{TTPl?jXUkG>BiZ&E0Xr?aEQi15(@OR78#52z6f(6yw&H z0|%)+vog)t%*^bC({PoYXT}3N*EI@9o?r6)K9CVJxxRXID28MDVk+fi&OosA?(oKI zIT$tifY0L#MhaqpH8{CTbwX6Xf2^=7;}M8v zL$~#U4`x65lKo;{t6mVL>=3j*5&2$*3%HW^CD?fS{K@8 zf}Dm|TR4;omB!R%z7%s~?czrBk&~+t&7}f#h;6UcLd|A6xHm@4qlmRnXK8(1gh`gR zKkPuaE8$SRDAI5g3`)>C(*bb2;IL z&zo(>z?-K=m02R#-ifUkq6(PjWVftVgSrhkV9uWqCU^C7Gsvar@Ts@s&|X%08Zvts zmoHal%lLa;cr$e$7&>JiCl#VyuV_4{a-CFu(@2w)=fVR>OYK$@B2Q#R6iFy*e?P!d zO3>XGHs!w8`%eWVcHF1)KvL7JWCKcICEqYiP^=}w z6)`y{ti8Vg=#*E=RVzsb!ERMBv5u0Jm6cl!f40cIJP4}P0K6>a?tOB2xzwn@a3a>< zHy_(vFi4%F-wiLTN@<4A z3;U>z({J@Y*llFyWayxwMDrFb>O|Ju^|%0jckov!oNpHF!EokvVtje=<1moS9IWM! zl`9h`Ob#X;5;!?;(WVvEQ7SIyY;0^qj4>aR@DrV{jXZH^qGN7H&3Y|=w7LK+Qb9=; zR5j1g^Jzz3T;Y{TZV%ZiqcU>WI%Ssnhq}7+Fdm&%g8*V>Ek4fiqov=Th)o?ZHH7>@ z2i=ATTtBMopy5K14qhsqAPqIpQ24cg$O4^BDuBAdMM3 z>cIW66RD46vMw^|X?}oyUhHC;|)y!%xih3!fLO zSTaICs(Kb1xxW z0`;8x4ezh?=U=gGs{VfllK5o`8!VgozpUkhOi-fc=p8*&b%ZE)ZJ07P3{$G^Y$Pmbv7Susm)OoNX}l2FKeQkP%y&&yWqpnb|iT0TnqLyp*tmZy} zOnE#REq`}-eS#=M7`S>p*Hwo(iOC+^qu7$!QZDTfRrOR~Ahu(LyBCS4;~M0NUEzC< z?wKw<96j02-p+l<7tC=JnOQs=(XE33Q$hA4`{Q6-LM2XxAzVI)eBY)hPE#9OL1m1@ zZVZICK6rS{*Ep%ri>YIti6uP3HKti-jYaYYie{5Gc3ny~9giG+(~!c53*K%hu8+YO zI=t~73(go0jgMi`<$`bTbZ;jYVsp?E+iY5Fpv>62@Iv{E1D`NY!`QO``?X5*##;J4 zg)aO3u(qAjhA=d>c^Lf8TG;lE&V|`+<-i~ejnW7^q^_U zKs1ZGFPs1CZRtt4tvt1(XUk+SYu(RbhI*+SOGL;U+DAFW0L#Kj){fb)9K0d}k-RT+ zs=UCEI2L;rwZddGj=H*8*LzLZ zq%vB3lFJ&h_PVzD)Q79SI_e%sIZEQl9fAF(ae0Z3+TaZeg@V!K z2$rkKu;;gPF^U%(DhYA1rOM}yXKPc~si4pEWWQp80dXdldy^SPW-xGs$9PklOoRf| z^uD$;!!yQ4sGLcPjWS+nppklST5-`4&@H@zfTy*nSVf)4a^?-XV}Hg>JUZU(tLEqh zl$O_$q~%>#>!W(uF5Iy+ zFsxTLNCk0PTH6ZXJ+S}Q?j=Y^6P7y5wUqm7eA!tK!QWd>V0T3Fr)CqnvjJNz(p89* zjnA3{l`oQrJ)p1Z1i=kK8t6JcHUlW0Idf)L=gX!fiwU|g050{lOrEG?9mN)XJ}z>n?@q8oVJj&^bJ zHU8jKHQ;I4F397=G4Q>$1=T9(dOa_sjsWUTWNR>wsQ> zu3xc8jv=eSz&@(f@*y})+OtLGjO<0`#W$%NPJegY;5Cfb)$b64RV>*!K*hSuuXbK} zU=A#gqldc)dFyPsVm-Q8(YVBfI}A#FSQ`|a-&0{!J)V&u5F6!a7tpqEbo?yC5WAYE zUFRd2)5bfyH~ls5RmGO`2Sl-&)wO)$V&qdwpZFbh-~%5fy8Le7BVKi4fYlY>1-1)r@&bGQ3zALQ%HZVw@Ljn5Bb zcsZLU$wmm#00BCVw<~KD5W+vS%qyi3G}pzgZkR0W@%$qYBKF)Hk@r`8p zeT{&vK?%Uoo*;gx5M|h3CV;J>TK8k3j-?;>q$yZGSb6JE`thAndk6I!i=71QVB1nr z3TbxjNp|Rrc5XFRaYR%#1@q5MZoQ5+WHoyuY5(Xug7|&h3Yqu1y=Mv1JO7xubb&%Y z9@DDi{jto*{BYBAJKewG8cYYUlw&j zLXwrYS8_46+^u-7Vu{YoIU)n_gc10-HT__KRVY7gS>Q}`QX(<)t7ETtgn9Lyz@DAq z4=-=P5ezdM!>gx+@4*%V$Te}()MpDkF6=GOOS!%geWg5`efA8p>}?YG5#vdpq*_xx zbBstWJtc#hc1z12=;xqK4QHZ;BY)cJ&k~+}thBpVeEaIlnv#6@vQzu9Va*Gq? znoPNl5tzjA-{IUpjnG;jkp+&~*Ve+;d05&>yxWnH5U=oUz7ShYQrq8Rl40a9gzS+j zMVZGGjN{!CyD7NsGdR<)cxi`}($E8my7m5r4s-|w?3rYsc9oa}w#u4sGUq&Y8J&8; zstOrplK}X0GVc z5E(alHo`KW_^b;G7VCGFt8nDdl*%lM0Uplx5pJz1#jEXcrjEDxUO2>h-!|695bft& zfH&OMbM&>vyhxG@%QK5~3gs6_EH*B9{J2vtW^}i^E7~znRXC@+|MDkaSK(D+x09$-90a2hZeM4r=tWf(PVVE>dr>VR`-byRJP&Zo73^p z3(HV+7b$K)CCk=AZ@|pd5%P*)l-GeJcgiAvDpciqosC) zna~~Ko$^vB+(m0ILUXiFMJ#0KY5+gUgNck2RCLLYUMH75sp6Bh?9fC$(r(M_4W05D6=Htaa^}MF*$h>Z_L8MTLj#i zf*n1^v08cScJFKkC6RvM9+ucFHFiB2#LxX=7Qlk;ACMy|4DxIq0Kk|~a6yVZI>sRl&Tlb0_Uel$tWHI4fz~*8rr3MfX z>pU&Xt3@QTVnY`ZliZh{9^YT=FW?XEzmsLaq$Wra} zI|V>dDo54>(RChTBSJ2n$P3CyJK$40`b9^*5gM6+Apspx0ZyE|u8zO@1dT7xRw#U4 zBi6Hs5GEyR^l7Sxv>LV*cIms*1<5=&|5vQSJa*uN^j|GJ``8X)UUIoBs|zOTFAI+krUe5w z4C$p3hv_4&b%`Rl!)4;6tElGLh)s5={z84hzT(k^TJy`J8Q$tKVHOgI4;0F~eL8)sNGK1~bo8`)@5%r-^5@`|y^^_i@*&@}3D0kjT z+g0w^uKJ#UYg;jg5&_GhFcaH=NG@dS%sgGYSiNctHmPcgHk?!|swZ$t*yZ}3>7UFDBuwG{EUeLk z<~_T=aQxayHPCh&MYKrwi(hPJ-KHQ-rXW#TccL-n~j=ff+|T0*Tc!!=JPxZvzh z^U+mi|8xXlwmh3KzU(ogN7^*o9%rm7eTeWk*k+0wCVQiDdTwqm zJ&rVAXiYhGUgJ$DS!PTauXFdz4`G1IL=ty{7HINCYU{bXBiuOs*bsp1dsk9x-A2$&lw4}r zsg#Akx<8*vBqmxsH~A&5O}2}3zCh`Y;Rf@)iJeasT9FoDB&Mz_^bt2cU%#}fchzm0ZBOQ8N=@}azCZdPA_@nihjGd(<4Ix*MXQBT^ug=^ zm*>CC1i`VK{pXqc!;b&BDk1g{2jJBDTAxIGC-D9D!b8 X438FGj%{=!SZL>VJ*^atBUkqsh^fA6==eEH4T|31%+__L+^9|41KxaU8VpGjIj zQ=bL>OL*}8|4bOFigiy;PL2Z{hzb|`x0%llQCC-vN5{t%nyO}}zodTsdbsa;1IEQ+ z-~qKS{#U20%4%vDL_{DN&b8UCT2<_OA|j&Mg@w4ZG+MRu|J5_uTB{2w3(It=Nd?21 z3(sh-cwWrFz(AuI#eZWk$5K(LsjI8A)JV}I{r8b5Hc5ZSzifZe3B&q3`B$ za0=kxKFgm^G_$o|w5e_YlYyHEV*h=%en$nh_bX~QQQj#9+FXh_+?&(m7MXH#1xDw^ z0f7a@u{ayO&JET7=xWIBG_A;qJiCqaF7aD2U!s(x7N_#LOjQr`=j&M|wd#pOuqh zbnLIL?iUz1nqgqKO^Tps*u}UB+8p63U286p$bSx#7mckxqlT0I_<@4Clcf8+Sj2_K z_AOZ8H3~;=C#ID$!AMi^nQf4&oU16oP_9YW8y@v$Kum4o(jN(hh$egbL?$|$=PHU9 zV|qMh&#vp2NXCbbj#Kxl1vPd+Abq|pP)rDZ-N3{4gYp`_%t*B=*Gj6Q}Z z^hB&HB4_SqjvI%I&f@N&mi+|FtE4jJP>>Riavli2{u{t5iL)mRnpolXNST=~6>#oE zdK?endwoj7G4_r0=5=)_uKutfFuclQg@+NFr{HQwR#BS(6NSKY{>kUo-# ziM}LWnO(i(&Dq$CP^5>N31`0)VHEC*%r~Vyz6l%nUYwou-tY`DLV5X;VjfP|wJQmt z4wvs?Mn>>lkBC}|QpFbL<1t6+i@c#AUOzZJuRr*4TbF#T@fGfBy;Jq<*6^~;0bucj z8Y45b+x=&iOX7*V=ze?07lv@gdJ7aZ>8xwAI0BSvQzM$e+nmS_6ONf!*p1|tlz>4w zLPX~Wlt*M#fmcskJmZNPZ{9_h;y|v){jdDe<_ff+OJS)p%i^p^tAD5L{}rh#>O@@G`zUEv3Af%NhGaA zfvl5JicZ=9(0kY76O+zA?OiFm5>aI{Iq`a41J%f2Z5EZ!QqaVE!mE~Ibwm};bXCWF z(;lCYxRwq;G@$$&W$H)}7#9}c*E^lp?G0LwpVqmpaxzy1G8H=d6d8Qo2b zTmGtBO4G1?+{jjqNlN|UU?NgB@2Go1_*dG+he&K5)xRt<*cKl3QdF3H^y~Tdj(b{8 zk(=X3w6)+kwpHNu1g7TS!u8(B>W`{k9YH6#-yQEO4PRHTm(1+{%DR;XMRo5C0yd{g zM6KK|s?^rE+UP9^sCj9WxC;#sN?mEiU(tE%Ze+y;w> zH+fH>ENG0i7=2?<8$6WOHhZ_tY9C-0a<*{~^Tf6%v+|igs10_POPO8bJ3VZ#$~_i5 z|4OIB;`6-!D_2D6wfOVdMz+KD+x>1 z$UQg^XlboMew$7t*S`Arkt9P-<^A zf>!R5(x)0jY*2>Fb7|5^8a+lzaCbuk`%8lWbjqJaVn!_{;+3A(?HFmvLpe?vYR^Qk zo-J!sbW>>q<7C7JZmUN~gv(A=sRBVO2B*u%m-I1xrT)w}dPAun&<9?)Tnv7%>y7#h z#>c)*c~YIg!+@KUmo2GU&pzfwFd9%(>}VolQP+^$c?B-oaO9!0nHR8CL9aiG`eq`d zicF(_Of0fB#FU=#d^k7bx9yo!bMcfBpWMx%PqjTLx@iktNUEiwggZWVIR(IVRDYRE zDxVPSDlV-m=`Xglk7$W#VZ7ZM40Py6TH*=ZJ{ufglm+#lYM``U_W1&e0O_s zjC7IlRnq<}mp%~aBdfe%UR|*~5l)UjRLL`^8X(anxymJg?(M+9ZGnv4iRf5!>CDle z@qtsCl4DZQn@c?3-bxW#uW}^!Qqe)%Qkvm`QqWY>No6hZ_q&Q?>_#C|L|N;InA}JY zNO&3yX^gAsRZ@cO!ye@A;pL2%1AVwu7P4z94Bx`Zt%oXwZ8kf+p0JA=&x;yg`^cim z#+KmUbom{)I+IKgyUfhO;QJd;S&1P0Gf&Mq7N1Ek?|2V=Z?IK~;lI093r683l+I>p z)OUQslGpw#na<(oBRe;1Ze6n6xZED~?h zyVzP7dyujncE|Fk4no_hofSV+Loy_9{rHedR(o~bvnF~v*KLQ@wx2oMVTmk5E z5Qe7kgsnq_zh>~SU5w3d5DVfIqJczN7~`FmJe0*moD4bM_Xr8<0! zH+|Kri}L*-9a0*$Mjuabn3#j)M|%RGpBSHWCga;OcLy5fvRQUdC@UO4I?O1kw{B}W znsC$|h%St~2sqqMO908q&Yc7_osz||>ep-Xkz6cX8+w%;|9rnkBa6l#Y{oy=7yrrq zMz?acG z{B2M|?|jg-8{l(4*oL$BIouZ^y6b_e_h_N+A`Vr<>3iT}O7um@A^#Ka zI!uPRt9ri(PRRo0Lxnokg-l()T-*FfXmVY{6R;`sF>1 zT!hIPtTtbcC3{2r^=l|M2QqzobX!fBMc&Q5^s{*Yj=4i*gK;^S?AFb!nIbpV_cKir z$-AXGlWf-IuzVGXd}Aa+=IVSlDZQHaK9SV0y6>RQ9F}~Dw?rXkkWdj~kB@D+R&zDQ zREkdwUgnK)*p9`W8(ni0u0!4*;FfdRXM)Dx8{e)&h816ZBA5V$|IKVX2}v5NXFqP$ zKOx7N#9+CF&uruMCyfx;iesNca^K)4!rKm-{>#-o>u`WXzX>l1_?qvqN*&*WalU_M zOnbd%AMb4<&{q^`wEBQM)=Bbbs~kaF81~O|TiZ{|U$I7AEADqCE0Q-L!_BU@-UVEu zz5+6qp@L9v4HNqk8$Qkylowp)NvwYLUkbx8GzQ+7Mj}@a&w123;J?63*yzv0?Aia2v3xJz#{H zm^uZ6Ldj7tvTq3QKtz4kpHR@u!Usy}IKJYlwMBk9R-WWy{>J>89#@_M@fal)8k3l> z(cjeMuw9j_!DVx8B+z(-8Re`pb<0FkncBq0`Hcc|AH$k5y^=W{>HKR>JPH#tuNCPV z_q$ix(4(JHRCfA9sQ+W8&mN;!yZr}5&V=mwIrgsB{AMP@J+|+sa(@zZBWsKW+nkP> z@_yvaZ7jQeRseAXB1Mx6%?PQe@Ru5j2;G0Xfl4+vXUhG!w?*%D{{pLe&h3v2lVJzt zgWVdfT9-8O1`(jGqh&7nojX`|E=uHeNPdR97v?`Q+>R8Unz>_GKD58#7qCObsh0@A zoB$M(!{%~g$EN7|S<)D9H_}CpGoZFkyZyujagZQIBMZ&Qi>Y*k8)CfoEG1Wrj6)Yz zC?N!cnCTPT<<Rbf`w z9_lfIGUW51es&)IJxR`{UAAAlN(6dSb%#eYwz{N5G-gGHwZG5W=nWfJT%i(e=$|=A zTN1jAyD7GdgNORQc+MJy)Z}v|3D*(!P_yD5=S>#ATx^BeI_O|WteptNrYLzB>81Yg zDEYM=^D$fn8ibUlb2{;Sm4Vv3PSo@WF1C7U160{UjT9dWQgI>nwA4%l!`!Ljk2Hmaj6z4Z9KWNghd!TR z!W6AjuX=dWg{Kjk^cPS?WeW9Z9P^1Ey&6hm4XSr=5kmDprTe&`iQc;kiNJ`H>Vr%! zBkr&A1_Gx(jU)znZDocy&2u1iVBnzb{r30Ioyp+r5UD6B`~@>--8cqrsA)L>nbR$g zP)-!pTAV1`rt>8F2)I(Y{)p_b`U;2AIogP*tF2hljC6S*O!|3>R=+Z@u#sA&&(kSzk4YYoJb~}w_F6EJx3lx5LeQ`Q;iZdT(3kL^5^hBU4-}Y`+$chwGI?ggFK6eu z5)NC(DS>LW-(B7dc}vD z-0UOYd55*@uNn_Qmx&gqA-06A7DBv)~`O)vOlU?6*pSrn2rWJSbk>b&_p0E}W9Yn{4`6zGAC98^jYX8&Ep>51hx zAg0Yr;Jy+kv+EI1ns5b`m=~rkyJnUB7z$3XxB%pMAym^a*jW%Dk(R>DmI_5*Nt7w1 zA>sVJ4@S~~vGSW1gzTmtG?0*HcW&n{NuR%Z5Y+@qQlMt0lLd>*lr5A&As}d;5L!<` z6_TbsIKFmD@yX-`mnIS=6}xn=%YNv`l9MAeP7$)<$@ysMLXpPl!D4iW!Dpd9y!@;= zd*)h1YDv9!oiw!}=z&C}wP4>Z|6?!pvAxp*M#RqJk0)i|_71@hWmLiy6m0-7iIk`! zgWm&Le?GhAz*`{UXl&g2CcWo`GPAGRk^ZrPCb1H9UQ$?EyOiL13^4~KXUNKm@CBS; z)px!=STygXtK#$WI{#A2v9JvvWfA<4p&JcEIidfN-D3Ww0$0u^Qe;!w!EQX+8gUo> zyc3wQ8}_{vH;%k}hE!?laEcT4qW!)$!7p8-O;cuX4+sDyk7LN;skd-sX*Td;;|eF~ z2V`WRz+lx4i(X?MrwT}Gm&UeD`Yfs@=_bvuf6yA$H=IejUUCEJ^Ef#Qm=V<56IfDH zWUoCoBIUr|(Y+9ty!c3+6LlO|w4sVrebF8tT)OQ_$jAQyez!OHsj#4@zcjyXvOYnU zmo_}EPt`$~G(o%Ks~s*6QNKt`9e7qOp@4#UgMez6@efE?Ddj0|JZY*(yM=J)Cc@1a zAT))W4c=)dyij7}=-k`>uJ<`_Qx{OSsArKBc4okJ*a}xzmH4t>9fK;6Ir01`^Y*e2 zrsJOd9S)oHL$dFweqY&Yr!VZ+n7KXj@!SbVwPVT0{oFFzyytubJUEa0b0coFOfhV} zFv@*_F*0z+n5QomOz<7HDNhwiB;JAZ9*hXuN*5qR%mP-qA!?b272DHea5r=iaP=v{ z6v|9nhcS_ruqQ6l_^dbXVvRTYUJYw9wX*y;kGsJTpSCgHQ1QnxIO!llI$o6^!(KVN zvp5vPRA@>+r6pUWN=cqcNkLOsW?NEL-M@S3_C5Y){sypQj-q{ukAr* zERV^g7T@?1(yp0{XgVF|%XkN!)pZ zWLHVuCw<&FrLFNJ0U;a&n^yN$+|2qN1}~c=Y>zj zm>Lg;DfV)dVMLmyHCxmt%`nBP5;f9ngsQ6$%Uo6`iSMoSvcw0of4Ye|Kt>CZsT6Nj zVocwX5l*P5MU5_?_3$%(oT!}{%q;*-3|RR9;LssoFqb_yFNzQX`tOzd(uO;3B9?~J z_S$s<4Rp=m%>GWA7YbNmq&bZXk-`S--ZcYtqvy%fL!n+w!UmH&GuC!ZCm|U8Oi&eD zznru5>qj)0t$K0dX7SC$b^!`#z)AtYa>mHvVJ6qhT}GUF8H7uY@Tn8>C(F@N!6k=m zf*@*;d(@&M*nUN4_`#|>xzi8m4m;Vrb(ZscE4ZxA-;&OFG*|=&cxVmQiNd9Soq~p+ zq-hqvCs{-nRnjfTksAlMvu-O-vDsK5I;f*NUoyK_)~%23xew&qsP?Cg!bqsUx5>eX65k%7WyhAUmoE%Q?!gym{xvRhYig=i(^+0e3R zvl*2gRyQX+WZ2PrS?imF28KY1PucvQZESd$k@WU}fb-9LgtAAlfVe5Sa ztrNj}Zpq~u3qgiztUd;6Dex-TqFZ)KbWUrxetmMdL0Q2O(E(CKA*pA<=?G!0=RxG6 z$JgF_FEjeM!e&t+MNLzTDH24ueVdPVSFaMpms3R%i^(o~YIQ(*o9(5vge)nafN;a} zz-&{Fy0Hus77a2!{3$e#2z_yw)9+8&O7Zw1B$!1im4y>6;{>4}Gq3i;%y zIt%m%UBrVO-XhJtPIC%#({s0IB7b-2VM46Hxw4aNPZ}IY^~x^gsXD4t~8IS_K^`;lZ8_%@wsE9}q8gTVQ z>FhR@eGrLBOhaLR@(C7>JJ-CP?Q21;VAoZ-`#M5pj0ill>gHDrIXz!90-fiBxEuU| z=I4>3bH?u-U%RETUXg%@QJ(sG!LC)N6Oe%T7VThwW8F{2dQwY$M&T!9v)SsO^>aXH z)GMeue@*Y?`t)tC+X%DlEW-611FobZ#f>jA@m2J;>nH>(9mGae@X`c?;ccotsw>?w zH%Q@DsZpeb=(QCG+wBtj?1_&0$3(yCbpugkNOG$1HGzb-J~=nU%&E=m2rFG$hQl!) z{^gN0tzyyozq?{Iqt#8)F+{v;Wb}dBG8|#EDt9#A}1w^uraa z*t6F}A&0@mCYBp#@Lt{o3x@5~yj-}+PaaDXOiYk>mH!!&>d$HGOSbOB*d*c!M1wcN z#ohA*e)NE&cfm?fPE_3#Fh<7+b{iDS%Vz!RRLu3K1_Ksjr#O3xLoq%ztY&}ldYiUt z7oZBOvX+a>+)Zp$0ar6DQqA4`+im}C1U~LP$cDiP(Y5q8YBo?jzMpyir3UFo?VA9l zO){B{C?VR1M*UrwN45(wI$8IQKccxH#uIV77lNRi%HC!oV?I%^QZu6yYr%9h#`uer zVD1#fDa-*6(4a3k=eN+(#=fKl&;i^8x-yvz@VRnbo^rNi5B{K$MG{IThae+(5Y)RU zE2}D6E=-iV;DRE&`QaiEhKClutaoAit-&#wo=^qfxO~4ZA}1)nes@2ZocOK{2Xkn= zBv!loHrE{He}j~TH65Ug22n|dBm;77@((ryu4vX6GR~EQUgId3+S?HE#6oHwzV!PU zr7&@QmJkbQtO=cck(i85?msaw2<%5P8Va2vBa0&O5k-o5#rx%WRZ`5@@xY;);Z&7< zNycFS-}eb`c&#}z5)UTn0@KIs4JntN5@94FyrlNsF~{Tj?Faq$a@uN=3vQ?Ag41uQS8 zpzu?)zO%Mn{3*DGtmA7xe>@2(Iu0)j66$DFaAT!EO@CPCi$vDFhlvTb2|LB)-8WZF zz8qlJ1sH~xs&(FnN?qGU^BS=sd^ucC!2NE?jN5VNGZ094b&5g46ImhQCj3mu9KREz zq&O4h{uhcz8(IY3nceBTVA|hOrGzBpv$fs}cI;S}{EwuKfS{l)72o(Ve}rX6>!#bA zzC8f*YjrkgyNxQ&=Pe~@&@hgmsC+}pN}_xY}e3TNw42H zm+u|agtO{-)j1S-CNu8+rHfL=>6wqHmS;SQHdT~2(2=9RbD+kP@bZVgbZ8Kr>YX4gv_<&1djC$Y_3dnFCHcg}|#Fw=nGbJw;@3b-n{ zkfSVD6N41vPo z@C|#nzzJ;Or`Hi)JNksby4q-tyZ@%}E6ldV&e2E@z-!PQOTTlbLTey6a7}iU6=Rc* zPZ3o(=R-dp;?FlWf_y6OEKP~Wx_vwxU5ynS#@F4ysO8OTw-!-!yMR$yB+F02W1-W z#%Qn<%zq*^6L+<&6zSAtsIzD2%BV3A%>VRrrs!f>Y1_O-1OEwKIJ7KY`=5Dq5W|@k zG;!TJ*VyDrpL$&ZIPM`@7k`}GAmWa-J-l|e(<1BysaDEw%kB)>=1=%W+qiV9FO&nl z;Ys>be*KHK$fz=V*42|T6=(jDhY?l_A*v(t zwxPi7{}Cx0SqqtWUV;3>M%=$B2cDF$8(+ckwnunAfEr^xRIgMO@Xtxgznj+lcJvG&Ik0$qR|7CpO_mfpKO zTw?zLlZY0vSU)8aI}+feut3JzA0TYgPUG)~O{fNI-OiZhFZ5}zH#AbLh>INO%(lrl zd|G%6HCxN$bYOLyatx-O~n&LUJp?sJF4ZomcBNe%e z!AvI%|0R}ad&cv7bwRkX6IWBYjz}YQA|i*C(LdZb{Kq||B^fI#YU_k)HMk^gkOIYS zQ8a2?pNMGpw*%O5{;RkXc#Aizx`8go&8`2(abR8Dw3kc6qqSBCqRZqb>%^)8CWydS zFs@%g3Xz){T)iwP-O`j{Y4qsyahA4HG%}o$tq#jOWpo%6G$}t3B?%+oR{c8@__4Im%|WwT!=M z8aq8$B|7ur;^;6O(du(sc}c!qqw}ZQI8}jz|K$}Y zPy~`65TSeEiR%jyB-Mn|FSMU}k$w!}e0$hr?DI5d+V>tQ4fsLUxfH@W{`JDe^gF8W zR~z@!oe8>i;=dIWe8P&M(8<^32Z-H2K@-GRAVUn1&ck_J$#+HOh@<@nuEP_P`5_?B zVb-!n+M~o^R8TPIA<_3jF_(33I-z`ZSL|`EAmWweGV zwj?XPGMivQiNr1F!rHexOz#Ue*NJ=Zs_33{c)cYlZ!`MxFw#~=?>7w44&BK&yy#{U zxFSIyWqLn371?KdQk^|kSQce>RmDdWlPcx=iw^u5uCZciLC zZ7l#jydnd>i(ib=1Ip`bK9Pd$d_V!kMMRQH$A3{;&B2Sz9`p8zK5x)(T5YlCtsNk( zCP>2t3=8y1Chmv~{u2v-BOF>>=7!%l+D^wk0-^I_C}~_l2d)EH-Q)e}8I$FA<9% z-WDE;x}M<C!flZlycZ+u}e;cbmHGBj&%m zVy;VTcY-JKSq$l6qCnPt7J8DXRV(^`46?V1I_TBd za%;t_qvzFW-0anjxo`5T?&4}%zHby-@`e~;Al<&S3D9QHz3P-=9mlOoP1LRyrXfb& zurVY&a9T&y+|8KG&x=lRT53VR@>jpN%j_!O7VZ$uW-2x{6_16LmA66e zKU|^fJHRbIKK=_4(fU^Hf|rBcYEybc(9~+vgY0)}`l`b<9fwJt&69FA`Z=NX)~ZKp z%HIxMGT&!=hB|kxs3SY0``yI#EeZ$M<~~%!u_l_D%AP#M*l}i(8BW{b&h*Z=cH%|V z*v*)_Cc?4o4r~Ha?`ynlNT%-T_du*bdzZgoM(_Zbnf&T`4Bt(gWXII}1gr#SUsPTWk zEGW?(UGmti6FGZqTSlGj47z3Q+EJeG3Py{||L=|IMtdY!`So`8yGQ#gMH3u*!?fiT z)LytY4IGF}tf7)I(o>_Zj5o|EqepvWB%$r%S8{hs^WZf{+o?)VIe1CJx1X{U< zm6)FXh{h;KQqAhQ3H7}v5|QLZ=bYEG2`&l@?^3eCgbvv2Z)Bl3a#y8+r#)X4z_L3T z1cGJ=O=C7m9rJL5%o@01LA)6Gmn>~&d77hi6hW@Vl*Slg^VSV35nYF#n*9ymYGLOsN? z-BWH!q1G7r!Z-G28Muae*5%_PMCFKr$b=9JSJ|ztaYjd{7H(F)|7$TT|1ijl9bHBd zND3PxM$8cwQJ8b}rdAf`-BUOkf5f&`?eQa*;ubj3Ep#`nd9$~J+)ipvslgo26Pi8b z8H}Gn9dwZ5y2prXQewBlJ>>L?4*X5vT7CLTYOS^S^l_Av4ppWukg*_dwL5)8VD zttb{2fgM4CH`-A|%cIY6b?*DoXwG9!j{li`wW4=mie}pd?|FN#P19O%NQi{QfU^c} z)PAf=E+rKo)P7lmWhFgDk*I_as@zWQf5>RA6>L4Pp2-}Ms%YXG%dqu4N(HqiGc-6o zVGr%YZ#>Z@&Xj^)O)+^OX6X**s0~%0TnoD=E0w3Fw#?^SsUf6PS;L_@h-6b;GS%86 zG}wkO+-XeNHWJacAI=J+z%%LM@%6L*@RmeAu+NHV%ZM`VeVg`F-wm#aOayNcakb7RCh8a~^6+7o0qA@^TQlP?#P8`!u`_*%eYdaXrcir_ zNkgE)#_xfjSt}uA-dBN?VPF1VS@G(#k9Em6SEld4N@%$Cw2^MKI`_SXq!cHxbMQ^p zP#UilFV|k#56>&py&*SL=9jmZIC876m-D1?xajv>v~te&Lm9dJ?%Zi9)5QBsPp=E= z@$-ux9CWRgv(%K%B;HS>>1d?lOon}*#m6C>xP)Kr%vz+kEB3x!`wC`SI5lnH_#!sx zMF)A0qr3{Zv-t8ahs3KVJl0WM);3VihZDOSTGREW4a^_DiLdqevWyYpH?hyE0-jEr z=B}=;=GoA;A|WOwPum+!wmhAlNHO{$%!>PFRui@w%2JEHNz-? zxAR8^K5;$@V8^UsarTFw?(NZ>&BTq`8ai0zIyFj4?7FacT_L)RzGyn1=;@i{c3$mk z$qGs)p5BrrB=>1Hw9{#}0+|rw(jNwKHZl^aEHQ!hK6gf$@d^7HB?w(`0PHyWb6dtx zn7DSoYXY6Na-*T?rQzs35~o`wvA-#qlmatlI!E`kz=skvz&ZeqMFP5ghxe{cd3#0I z{8eU>!bN%Q=GRB#L(*LD%k2HssIa~+$hulFLM|U93>xL zDVaso?>GA4flHVx=i!fz^3EQoc06On>6v?j7x*Sz`!r)({X14QH1!eA#F(8 z|RiT#(aa^ z*^)~v={XSLqHKCkcG*TzcFI!QrYW;BkP5h&D?N`m2x3h$=J65zY=7zjq0{Hd=`L9~+3UQQ?A~0r z29I^71m~8Ham^km=hI2&&a70sWAgPuV3?A*t9tX7?ZjN}nmc>Vbw`u>vj~k~h}U?t z7V%~achlsXW>@Rf*|r+$(L%?7(_01k?siCM4GinT{O;U(9Pr66H@rl8Cz*FJ&wh=+}x zK5KX-)yDdmSpqnNq@#9@_kh43I45ZCiriTr&x}3Hwal~h79P`Psh885Xt5tvN*Iz> z^Jr`{*Ubojvo|+$<$T>&TJ~nSm%cS#*eAZQi6VSOu?47}QjUAFxKZ9WcN-tZg1>K&b_j=Q-Zy94fK2g@Dl`^&P_-7K%s_tCN8F=j>Eh? zP#B|zbGN!N1s?PtV7jbLdD?%=O&4D7yBW6L^wjURb2HtfL<20m>EtHdW0*Ct2$HWp z5T~<#F1z#X1;5=9A-bJW*v+WZ;Bj2OcpEI7*m>6TGVY=Kcz^Jl(m?9qShUstB*p`@N|Ajnk(`0f>gJ;O&q&?TQ=s z>3EvC`1U~{dB%9Hq>T=LDG1(-5;>dnD(60#xAu3_`CI0JnccqsA=~cdt`sfK&HQns z(|JB}vvB!H8bfTzdfMoae^blblX+$b|4w`ES_^o*oy`~8!c$;(uiG)P;{}ZuIirO< zN$u&%uyAKk?V)rfj*ofqY{a@-<;W4piAe7wS#|M9Yh^~V#uSEf1R@o%E7O{LT2os?&kTmrbUQuY7#%8e&2Y%T}}Q^_H(?0I)L9?dtN zTP~+@PDKi&ho0fdCMIOu8kEFr?_!zz_j1R{3PRqI^d(CJ?Wm0oK0IX)yW?t$ypM#s zIPQe2-)mUxc)DKuMBQ_jIHFM*m_OTy*zMj*NEj;VN?$g3wY2iPGh<($wq@1a5M#jK zUG@wPhS)-98aavJf>+U`H{yL`eChWK`5CSO(DeMK(k9iK5~mH%{r7i7U6^L+1XygT zb-x6G*a2`s*(E%Z{Vq50#@>KWYwVQNRz8LW?ZP7V04_7dr7Ij(ljrVQ$fY!~eM9`6 z;=3B;nRPdR`iPuD!1t2wLfV2GVu2)WEIiXe=QND2n{hs_Ri;N-xj{$-uz*&nFbCh( zbK2$NzCaC-e=;268%lBBi*;y@>F$GOe86-aa#MA1RPs|h*Cut~k#tZq_Z0NZD>IqiWr4A|!Et9)D)ex3Ia)Rl!%D{;*v2{`s21 z<)p$_p-a74UZ>eUr}g6a@rc(qHD~>$$Dn~$kOeaB&RW`XeXex=ILSf*JbY7DT0NaD z7A#kl0}AI|mY?7t&Q_U5E1yH`rr5LU?)G-A3;o?@Yh2$4r($EKOY2sq*Tt*1ra0?t z%7j%mn)zG-xk-&vGq+;Mx+CmTZt!^7wv3;Fx@{qp+5e!F1G$Vpv%KOx)Oq6bAj(UH z_!=o!x5;<69Ix+Tl94G@*vdY~0 zfojBW_aAT9LMThNiQjbTncn@2q*5Ojy~v~WeOniW#9cW5+OOcUyBY=Mv~Kt##0V7K zR&VTu&i0hqZMejeEIw|{+sT7D0k>x*o%)Uo1iPt(*4pCmlaSBG0L;<^fPCY!GJ z^V7$b};r&(Gd3leY{&RW5ot6S!S(BUaRVwI0>KjMv+R-k+}h)H!LOqZCLNiOm6QA_7} zz4R?ZT=!PbV0#~?=V;j6k@rIKt(%MW*o-CR>|F)T*?X<3idEHB*ENWD)pf<@J)7k` z3;g83Z%(zGEcuM=@7<;(8^8=S_O;diyc15E-Bd=og z_7{%iWFpYQLh2lTISu}2I z%dob#wj6>+TNdHjp&Kn*M9ReUg_5$!73RNqXJECgf&v-?1A{8Pm5alBI`el$1-*iT z!n;iCxw-ypCh!)8h%YocI{N=dX7K-``nejyeXo-gHk~1Ou{My$H7LD1(5$a{`_xvb z==yp=h#$>^!Fnsc<-fb&$yj{E$2?#y#g^2k!tjpuxRhS2^@gaJH!ASQ9Pvk=l*O4|^B0zm9X-qiL6 zn$S-#JI}} z`x?I1%|zZ5|7Vxa2QKYHfM`)0$Sn@f_1T*x?#&}!fV!sm+Kl;dcU)P0%?r*qi!Y^% z=v3ra`N*?I9KgM9uA+*uv9j3;QRDS!ybqaZI3sJFlK)r>Xnf z^QofyaiKzFXj?#Z6xZgqf!q96CSdkuEDpnVv*HDBb?pRnTsu0+wY{q_Iw4p1h1Zf| z!mB1&@BY+aC49o&+0bkK1@FVSIfvc5SFTNii?@R|N;7)P|(rU>WNK~Qy{@$$E{ z>AGon+ubw?&OwQSb(J*DR|b`Y>G5sRYI5V`Q2cb7@@EwA>Fs3AU0rz&zx(nWsrNS> z0#*3;P^#4Cjo4OxTeku3YeEiYcXDR!;&J!)?{P*dsHz^OSn`lpuuMyu$0UTT7cF_(i zvzLNOa$5ybLjUt!fJHsc?0O|Doa+&&!jn1@{Rc8r>8$sXu@IK)h$eu54eK^6aQn$g zqY$O;PmJq*->jzX=}R(b9BgpPZuGj3c%8==oWg2G6BZU0t&}f6Lr75ezzsuF$AE(= zrhwTy*Z+%BO>g(5qX|(_lbdT^&H*94V3pcdzLMcAzZue5g<0Gj#G;*9@ySwQmK={Z zi_oJvmn8w(NR!&mX1w{yskWAENL3-}sK|N@@DPV7HvFmtZ@aFy^k?OKOtumIwI<8Z zW=%c?vtq)5Pbp02DOj;0xnXPF#1B2g`@Z_Mo~0zGA@}k{o;%(m91!ub!_h;NwZCLe zdN+6TRiSqd`B#@^M?AZQn-56fIYx19S9F8221WR0VwE2BuyY@G~V}K9v6%c3+R;hk@9wp!NQt8%ltzkxx`zUG3{G2o6w@( z;#h!=fuWS`L=Rv(=UCaJrzll)(oAeqLbhBG`dB(x>vg7TyQk%afBjWLp~PM43{!0z z4@c;Bad&Jj)y`tHK-Flb8GB9Vbtj_pyq23}zt|rSzk*N`cyu-~zi7^0T;5>r^h8&$ zj$JnZDS^}BGH+-Z&z;#?bK)2kpWlEaqT<|*xA5ADDr;KT(!`TCZe2482?P)Bkl=2G1P|`+?(XjH?ry=| z-Q5azhv4o~I9>UD=iGa4_dQoekM7a^R}G52*Is+BSu&sX&bQt&z8)?FF^X}jFP!m$ z%6pkh$WG~KBCakjFd6SZRnex22~P@-c{!T(4m(>c)t;XETQdgMw~-Y%6+RY?VySZ6 zyqzlPGEjpWv(YBbJz1w*Tx7SjHp^%uo03r|pzg1Q`=dSZIQ?|_gBk2*g!E=m9__El z;0W-?7Ike60xpa;;otKbWbX9pG?AXn6&_-+2bp^muNSt-V;f7PErXHT)g$>->mDe2v1&I zx6#PNTK9)P%neYS|BkV_BE%wnhz~;!Cf!lJQ*@uxCAbDQcMzwu|0a~uETnDVs+bZE zM4?5IgB^ys;02^Cb;5~uNfx-TvpXOzOGscZJuT##X+cyD3OJR&Cb|GG^%nP2uFRsJ zuaD^H#DYPH`n@3P2$O-!oUop_eD{t`Tjz+`xwhoJmtF z_w93+HVt`Z!Z+$Rh9kA+9cZ=O@~*ChvG|=J;jyPAINI0U6YxQEI0q+&tP$ zIEW=ws{3wRG@o1|qP`IScNO%Y(}FAEJgm)YYKqv*6rQdhQJA74lifb>i0EB_Oo3^` z7~Rigqn-|wAK(=?G{$oC#t*skc>K-ZCxG005_k&J1y21vT7kLJdrGZHVShu>yAZvV zjwr7`C?X`GF*zvRc6|br@?i4DC-{;y&hV6DW4dU;-DWsG-_=-s)C}P2(ikj1FQCy2 z$p8LY;Naq%f41C{xX)kW45Apev0{MOe2mBNTIQuu|CKEvfS+L7#FNrgts#&c>1S*@0L7U0+eLVhehQw_jqr-Di5@IG5QF;6x%L$?mp0b(-C0 zkLolcIz&CIOA&7EmO$G~x*XW&$Vr^_RRJl%N1vPs9Hq4S@^Aa>D{6C&%GGajK3O-i z$t5aH3+t@7BNneOfT+fl@!C@7O3c2^wq^Ih_{O@|^9>(s+a42X&F~H!o-o5Ejw_3j z`T+{Bs?nzF9R25G55^y1!{$PtIKz|(lDtJ08-Jl1D5<^8fd>k`BS3gxwlkGL=!u_1fdwIKwMg7jzI~>=u0Ft zPqj%rbLLZ1AG8m36rJWsj+}43_uKiD*Nl~(W!f$myo8EX8wzQDPJ}weT(JyBPorsz zX>23Q*(YGU<32G1=v?XProZ1%p1YdMvHpWlrRA&NUZr-Oy&Qlx5P@{JX|8Qx6-kCW z96+Yutj!tJe0u%4u_}J(oR*MP2~BG&-S9&0Y@3f;%Y|L|`gaarpk1-G_O>1$t^1u( z#zCBm&jg-Dm9c%j&u{BQ6&r)@{6F*-U!Qq)GD9*8J8W>vW#90_BzWY8&Pbmy3UhqwGt z8=_+8Bd3Wy%*FpzUOs}&37ye~YLBJ#dcI^!?pAIu7vt(Zl7=P-u0Zz1$&20d0NpC& zH8AsP+~vIked$wBvtq=mM&KXNvHZ@N3}2e2-u)lxr9`-;MxEg)p?>T4P>uok{LRjpYw=XU3qhTg*(kIuDks%ZIhb0TE-PBxEXy@@ck%z5X1Tng{zDkrIT}#+YkHqgaySaRC8}ny_h`iMA{KKilP8r>ts5A9Q{zdHxH_l65-CT& z^+$&<*zNixiHdW&R?NcA*>xnRuF7~GGNR*pr)sjrIZEDvRBM=gm3a>Ng1ew*ibBa6 zDGq+NAAAsH-rOrwrb>B7F*Cx2YP8ZlFT}is&Ro{VL9yR6PqIvv2&q9v*uZ;mFyX3g^c(|@$x0L( z9UsE_OKc;&ke^9$+Eea8cj9rQ^Cx2)ymm%7l62{e9Igm5$|_NW$^8l5o|=*Y3pvBX z!$)T$j#5Y4u}8KJnbyn~hn{PkJ%)G9c6D=S*Ge=u)mb83#K&*AlF|Zn9mQpBRj0l@#$JZU4 z`|0Yk_E?lh<|WB>1+e@j*c*SBoYb8ZL=d4j`3F&Tiy_`i5&uex=TVw($Rht53P4EC?Fhcqa zoN1u_Sy!)w1lL`@6d6)mZ;#0Zb8ZJK{n6>f)#8Obn$8J9_fz$_zskSulIorgS#3X@ zJ7&l4d?6akf9AnjFpHdK;Wcuj={8-i&twzXg@BS zc-)UF<}1ompkh(dL0?(?UumvxC9xxNVNqj|LW#wF#L2Jt!3wOmSI1LeLa$ckgs}Xo zd})0eKMMPFzNvNRnr9locw+65?g^7)A6~b+zH8Xf+2d~+pF)Avf)VILL(+jWOH&P# zecB@UE81zUTqcF(H)XknZHY|sy(HC5PnGFI=OxVR@vg}X-E&T+mwe|3A#hx)zsIeG z^YH~P2!)Fk^sJ}_ox(~5Dw#)8HffvU&>x=F^EF6U{dEh=q8-T%pcgN}eZ672mx`Jh z{(BFwgdoo!V^O{dD5I$j=hSZU!GE;_A;w~bdtY@CB9xc$o_^$smHrwbJTky^Un5Ot zr~Er-PW`wwSY3oUt9oqiz{v}m;&}o?qeH{lLE?YTmWp$i6*!ze>RwQyUH&xvz;KAC zZ6S#l{LeHfQtj!&KKBJWmM>+Q9n*(>V{U9@!PHZ(+g(JJhx%)A7Gf*_9zcZLz~E3O z!GaMGiTDPziFHIl)LE?#Fy*6~L#<`3lcCpR;=C;@$3&0Z3~Y_c6D9ns4C${Uv&RiM z!rEqQcLi)#@`P^046M{##3&9yN5a6h5^p0|>02L3v#E+@2@>cukLAFhs8qiZyLDv2 zXCnxMGVmyWjYw_1bXL6VbA$UBtp5r+24w!e!s)8v;Nc6bH#?h~n>oi*z|;Jz^>F@g zUQt1}|9YAp1stBgar&xDO8k!!KHyuggoxe0Y}o=*V|M@LMfVl(W8~kQ+>H4CNmB5j zV*$i}MWW!dQ=mov&7bc7^#P|+*gwnyY+i?rI^VhQhuktLqMwmk!%x@UAfqdN zUDUZEF~?(m#P0A|>nYz#G8L4~eEvgzNE5b>n40f!#!MV*2C}J)TGu49foK^Tc zdWk`E_3lqs7)pb?c@|6GqO~`p6dITvnFK?l!rhzf2J@(VXSUEXek6H9H85Fh`_8HH zVv2Hk`&4UgWr#D%wDaM8T45=uC2@S?dl5$Gw#y#Op7r~hhAn;97%7|7B@sM%A1gPt z9W;8X&X^>{Tsr-<-q3%TZB%Zwd#~FG=jL0pMay)8D!`wtvhR3T=#gF(G7Pj`2`y;H zTgqZ+gL`N^lLE2KPhH?ko)lVF({DE(ZF>*SMHSeHz6T<%uoRktYRXscFovS>44%U# zj~0I;&#QF|?poR!a4FCN?xBRbmQs=ngYzn9!YbESmElMq-C>|0 zY{P}7tYy6U8Vl3eQwV#z_dLZhS4$x0)w)L2jxO=V)s_?>+X2Z$h^z`%)=klME;>|N z4>lN22Wqnw=2Vmgul-X=k}mesw$w!+jBOq{{JybNKw^J?{}6>-@ex9l;!DP-L{$v9 zuw3%6tz*4{__C_&w~f^=F98xH0QsU*996{{CvWjbLC~!pGlARQZM{*Ld|4_`u_xkHmVy}O0KTJNg5jcjDI!SMZGK!$geP5?k zjw2uknfsHSFb&V%nR1O5IWR+_m})`iwDV54yg^4% zEMcP=T`z%bF#rB>USE~lnTi$m7E7&O4W5=Hllm2HSbvyw-vG{3c{^m3L8p`!rN0|L z@uIzwMF8ObKz2&I5RSN6em%9uK*J0fogY3gH5i#9T|NNEZ9cuv5-N2fIk`NFsk+0i z&W$<9dH|=>J{ZJ6q?$b)8!g0I(9bV8H$el9j}k&UuSZFTp_JfcFKDg^)5Lh4*7dty zjRre8?x$!lNCoadsg}4D6^;4orP>B%G2$_~MglU|2;T5T%iS%~D-(!#=i2BP1ZWLU z%iIY9fa*y=+I*a8drs4;Tc;b&5Rt(cXy=aKk7r!m*<$T<=)479h8KH?Fj*WhiO4L8 zNx5QqFuZ#&w|YGA(1jI4A~(y36s>ZJGe3)8K+d4Kx4jqce$iMnLp%?tNPMl!qv#HgtXTAd1Mv6j$I0Q zR^zBowdUp9(E!d&DX&$gH?$fgOZWLbcapdBr=wSU{oVa+SggDKbU3URm`pz{{q4rC zSSniYR(l=>%iV85@HoR%p1}aG(+?Y}nCiqQ6L#^>5jPpp$~Kfoz}LGFvoH+jU!u*! zcQCx|%PquPRQq*r88myR8RV&MekP0GH%xX&U~}I9RP9jXI(pBH#IG!g=Z+^+Tm}FJ zQ=Jp_@KS6MHf*VgjW9bcv}=_$jfgOV>Bdq;al@s6mTTiwsAY^trS-O% zxUi+gH6hRVRwePS7Z9CEb4ukZzaTbwbeRX%uCR*YV9uCQC$C89PqZ6Km^%ecLVdo; zQ&=D}%uExnN>-M;uBb|3Hvf1Nyi{YjVuqkSos+`e{NAk>@BW`46ecpqJ(Izzw9)=y zsWF1pZ^H2c7D5)Un4ORGtA)3{%AP@y*-iykXS5Pau3w0R+B>Mdz%7i<5vDb}uKg0NX zY5#dH;o4#7$KIy{YZ1e}5zNW!>1?}(ou5xU&IdsIavTwerd)R@n$}pJ9}OK4_t;Y> z_EFj7`NoGbOb9>Oi$B882Tg3vk|w9HnV>ZIJ&!6SztjC2=!oS=;r@-la?nIyY5#2p z@z92L&#ysj>%Jm^6WN&v>j;b7%v~(eseUc|CltRPA!{V%smAE`{%J_0*p|~Vjq9Z= zDhDg@saalXrQSsiu1K}!AJE53(UiX2Fw#sA^4{0iUE1&S095x0H8t_a# ze|OpyYj$Q>X6|Q#ZC-%G+xz~EFP*ol$k0hRChLnPsbyOLK7<>;EUvegkepNXoihY? z=LVaRp^C0zzy*BLDpNhTLdkE^$H7S4D&aa&F$vzYBmc<`WxurAhxwa={HO2X2LuU= zKGI{q#wIc|IygclPd4J5lg|&jbCWNJIf1MfGJ_g6Xu z0`9S`K5yF!HI6iPlB=6sW{rgV$M0=IOl9WbTQjD)H%syCuWM|yIC!SWOT?4Yp5aCj z#-q=chaK&oUrB?nT_??kM@NJK8eKr9dDcrw#x~K z7Seb$KC$NNT{DcL5#l-i(2q3p0leuD5Q|Qh-%sr(+S1?^wV?Os)0FZzTfcC7 zVm;`IG9tbVM=RU6JQtj9FTP^A++vH-A&zzgTrg;@0+qv~eJ9Hzj(4IQ_qf|PCQ`?E zl4^c3MoTuxN7+B*2lAAbQq63C;mMG|3#OMJd1*iV8aWtvd9QALwb1dt@s=U|q(rQL zft-|;kP1SqzRtb4#BVk}I~W2B3tewq;?H<4$l8w0+c#&&{ZLZc3tpL`dN!A4z2)di zU+NCUDB^Agv(c!GF*3@n?2jKz>r`R&do25s(D{RA$h|mt*4O1mzdgfp?4)14xzkjC zfwL{cY-)k&xtK2+T+In!YU@Ak$*!h)?2%@~j{J>j2qVC2_%h*#)nyAX4m8x*2TGEC zVsGr$I+NHt0heXZZPXbvACryo+D7u@W5Ftjr%{ftM_e%% zx0b0->%?lwALg>)QW!F=Dz_w#c>M0(PemMpw~n>;CpbboqWkKgJF4Z9^G(CqIj>>8 z2D-2!F=gq&NLVCj$#9H^pxXz`ncx}cTW9#Oo! zs&B|l7mX!Wl;bCpJbA@oFFLQ|oE{lNmy_8r(h;6UUpk+EmJCwYI#8@h(1tyCazfBn zm~Jj5p}-D)ds@s?7AhG+v|(&Hw9z(BCd+YmOSc23)SerdACktY>q=~ zEoP25WcBlG!0`PjQ*KiwgTTLp3)!xbF=d)}_>_?qubZyg_)5LZI-}BLLsYrWF5gxD zg$IBZ;0Vpw6rC?$rQ~q*Cpua3)3b8ruE#|Iwfp$Z1WJ)ILDIRc?zMaNbjKvOn`3Ek z`WAAq*BW2I?Dxh1_*~yQ!#R7SwU3QfsiTFMT##*sa3|hT2O8ly(xC@qj|yvPQo0vu zUQFbk-hRIeXFezeupwV>2*Z_Yrk2n^6dx$!@{19&FS10yxn>@tXU=1{)aK*NLkZ7Z zB*%|gBn~a$5<(HNN(a@rE;~&aZGN|0=VNJg$}@khEkr1fVX%9hOq9TV{L=Pr1uTRQ zrdaOFb~nF?7>RvBb_!D%=-xBzJJj|<&PF(i$_~6Wew1sQF*=rVT1@Ni=dH5;{u|zL z6gxEfArm4Qcs&wT;ZQ+{Vs|Z9YbmYe;F(@xnY~lQ?%RHE2SIrWe*@{w+hC|Yqp79% zmaZrWIS#WYv-rbfYAJlm%+X}I3qp%TK_HF2@m-{V{7beWDmJ#*W~ZmEEko7S%vf10 zZj@AChm&Xf-l}RABhiTWP@WyAo8gR*j8LyRO*hopIw1k>NU4$Pn6rQ-7UC+qY z6R-zLBN(a9IsgbN(f4eE*E@OKFb266EqLHkA+q}+G0^@^Aqj0bIw`e#q$^jHPCNR^ zDj4f}EgaYF^()knA{{ExR+&;o@8%`}0YSFMBn{kET1KQgd|FE?JB)bF;7H%j1P>n9 zmHBa~HaAIqrK0In?Xi6Hn8tF;<4pJX;+!rj_r2BwE5H$46LXrjA9B0XbxQK+9tPh$ zR$mM$tZ@2v&&1_(hYijrlU9Pf?DFOOg9_n_4y!5n???^LUpSIYs|7>rzx^#HQRVIx zPF~SCr16YjKd5l+b9JUPCE*pt8DyrrxFx_b0?=v!j*r>uUq^=jDM?{ipT+9E+>t9b zA>m9lq+_Q=uM+ZyYK`xHm@Hsz?$R$1IOIw5JIf2-sr;+N6`0mFj4pGfc|{2f)g)2t zyuzZ{q<8%Oo=FVqt-pm92+a2Wv-)*@BC%L`9<5T)epkaTO1a7`gK9pnw2ZnK7=FI3 z)tGhl+gxYDdgY%kkAj#cwch%6%SW=7LC)1Hf_l&Ch-VaP1D%JsBEov2vy)XwMyr^%^Mi13f&J1Oz3oj}g- znwbySOo-aClvL$&K8Zqwso;Hx@P$8oqK{dS;4t^*ijsa0SeD6&^Zm=5z<>VNqTiG) z_mW=gPclVb%W;bSgR1C$)u_-Vy{5`P)xKaLF(~&^M)~(^usqgYroL0h7OM73W5#P? zxY%DBkUJdn?+xkykqdx3YEeH4F*>lLG8q_g-%^oeMo4tC$5RiW)}WCHMF`1648BOC z{k?)}T&%mUTBBYinp|WEn~P3tEJ< zDOIQic6IT9yV)PJsEGdiEF%w+6Z_w_0DlMk{~0JTk-+mg!fN(10BpYFN9%V?w9k#9 zqz_Tx)FeuB=r?P&!+gx-*gi13L)B*n1PMgFw})y@vrZ>eiuwC|Xh$Jz(Q5lRSyGAQ zxr;k8*dAV1F196K#Yk)P7E&r5LipkV^R5sm7O18l?g_2D#x=T??`dF)OyrE>L>?y2 z3YIU?!K*V3+#EB|Dw&E%wiOY0>pE~4u_7sro63#A(A%6HYSAWGih8mFx>B-Yw%k6e zvz`&}JK2_gb|}otrkdH=6O!gr_<102=b%H0W=5qRmVDp8I-4rYq8@%H(@~;OJg;PO zreRYi^N|&*Wd(mA1+0$6QLa0WfrT)J&xtZ{K_BhCFfe^#qY3$4a;0FsifQ;b>hNGe zK=Fk#U352NV$O6fx(0SLrh(WynU=970?b!EeXTV7s8%tmRwrw5$rF7E#OvOQEAmE7hLqh41{xw! z-5tzUCiqDhXXIylDj|BOXs$$YbrR(rE!i$XZtBLj)lcjRj*tjD zc%E6&Kc4-YI)arP{RfA})^mrRmp$~nl8arB2RJGYTpIr8)Bxv#$UM_SupwMm3rS_~#N3Pw;jVmD! zJ6{trG|_|-wA>U+{eh>IRoe${YA>%y42sW9d~Qf6#Lb)%u&uX~cPno#R}n$HS2>?o zpQY2kSV-q>sku@d=mUz`UcCFq8EikmR9Wl^h;R)I?pTsYJTcYP+3ksukdhYuI#9cm znYU~lSC>#I*srH{F2bIx^!=<5ZTPrWu{Ksz|0YJ!Dv3xs#SgnAQ!|Q6dTUE|^MDj( z%yaiAyxBreYB0u$B&r#=&+A`86)dW5U>!%5lZ|J;aq-pRBP8Cqr_=;by@|d1KO_dBBX!K}O`qhhP)0|Gz~ncWf>uegpHmPtI`TEJ@}##tTsJ?3^ZQ2AmC{Go zlsU{g7Sf-Tpe>$t5wS?WmQ6h?ca%^p7ji}7Mi8HFitqMSQ4DBOmX{kG$5rpLf&N8% zB;n~O*UG*)nznajh%7fG^AMhfXg2vTp%STqK0rpANCDGQ%YPbma~#qHH5~nVhT@(I zg3&UZPO#%IJlh|_*5=W!7dM{H%S(C;=PnQ@QU5i1)8S^v3u^+DARwD^wCw+jc?Q(0&UJhC&6gUyV;3e`&}4S7Z`rv}sB0dR^A}$(rpuoo(v$@C_r{*7 zlw_ZR$$_7hm>g{alN%B6@J}zROa2I|N>Z%l_qkgTlBDQ4e7Vrrkb-? zUtRaeQ_>K?U==Mg+~2gEcAk&jcye#oHNDs-6+V4cCB}VCZP={XD;h)aczVh9V0b5= zfY`5fkclK*l%fH7I}W-|3{m||bQrIx7L~l(Mj(gAXwmu+!pf0M>@nc6kX=`hk%>Yg zFa7NdyC280-A+{?NlHP1bKd=~u(Lb?7>u^zZ$w|?i52ISE(U6KJjAa}O<}6Os}P*e zT?mtql1y!FxT>E)LBpSj?0be@EU~T9Nab7{T~Qop$~BC-EY3Wc(m$kkn{yI^H{ndX zIS%2iud*;do(fC2EhgVC1LZb3xI6+UM95~pVp`pRa?sjY4Y+Ie+OC&}7oux?{r4D2 z@r)L#tA1(}LZB3O3Vj(J*MJcaWdrI_#gwc=T$N?0zJ3hRQmUwY&U~;zP+P&gDe? zRl5%Ctx4FcBF{!92)+w3TawB(ZOoqtpE>Q%LBT+&00Flb2n~p~g=2z*@H$d_hF87l zqm_N5s`P`4KRDO6dzu&Cq?pA4A)BS8e~dT17;TNzLc@q+dRP=!Snsunv?dw!+H#~p|9SJ|MWWlu&8=wj?eF-`oN6F z5vjkw2>AMHM8fmxn8suLWb44kn&y-#S^tIBlLC`ji!O@9;of8=abo)+Nists8NcG! zoRW!x^SkAqXc2;=?2xcMrRXsok68nDVgvJnASVay_7=~_3PeT9V$n`pqM@=j3gueeEDBNdpuef7oQ5MUbe;2j zqHl8hslfn?!ISvkX^vWL4v?y+U2Qh(R=p{vr`!+lpAwrYOA<41iNP0fe zXRx_DhM!YBmIZB9%mysiuI=KhtHEK3%J?d+8wEYdI8NG~qA~x|~kdq@uHCm1Yehr~>{;ZgBF>XzW3#HX`ZL~$l zJ~A+PdoF&vM&NTp+~x38FZ5y!T_E|;Kn7tXQIu}}Q~oJh$Fuz0mocc!J(c5v_?{bK zlGH&LeTH!VawVjrna7&HfB&vryDJH;ZgA=#FY?RFHVZ@TgdN?Y16tx>=_YK zPC3bCS6mi#>SP+@icBQ@P22K+SF3`Dsp`%XUvy5|QysNlcJ5sW?1Zn&D~@1IMJkzs1+q2E|nuE z)mBmh&OfL(jTwUL9shO4pgW!+`^tf-qJH~*4@xV^qH1SJ9_)n9Y+@5icpbqMq8}HY z{oN~xsVj^=ZdwBj`NX;QmVLu9izT$_86lr$geP*<+dyB%>8sCs5TaS?@-AZ#E`Fr; z<2}TV+q2pUS$A8Ftloy)_H-m9MLfj6pe6F|<%p{=cM_ntnkwSkEu`b$JyoR~aD>om zVsGp23=Lb8Rkw}UY*^1m#S=j?{PwoS1}c@a6_d~A1J0C!7|Ye6gFqfp>Rpz5W8!71 zOOx`~al&Fhjt>MqycLA+?*<~Wwd13qn1|{@8l@9+$-m&k!2id+>#UalKv6Pxuf3ll zh~M#|f$I=M_2C&IAzNlaH0R9OMM3En!Vm9BUU#TuQbX|;)KSWjD+-Q@XUO2f)&k4g zp6&SOG_)D#$y`ybJ<_<$0K|W+{LH6`K%k}O`QNGOC3)4vW*MBE=P$Fx>Te#UWU=<%7ZH-?pj@hfJlLK2VX>@q4d=L5kWx```b`_BhyEj?{>lVgIXfJlvZ0 za>ZZ`A5Cd;!$1mVpSE#4J>>_=a&hH?sHS+%MD8)0%#e!oB`o{&ZN*QAYtx9zs{CM! zAwCt{k=TIHlA4C5{U=}5_UTrJNNiT);ULu0v+|3Vg$=B>;iGoc2bg$gQk@+gDvYx} zMxxZ&7KF&BNW*R8JglV#ZZA5igAkALzuF32TViQsQsWD)^r#D(gXAw<6je$hotFJA z{p-5MtH{Mx*rqHy#tUDZbA?QoDQ|d%Dl1b*Xx|MpI&Cv?SoI!sMI_~%J$j*F_4d-e z{*h%6jdYL`mtUT8g)hI3+S?w9@ZINsig_CP%-uDYUA0*r=5Zirb*n)!A9>W{>tgaT zJ{@!$Llo41AAZ6$e;G;Zm##oyHW&T_6oG9(HjOtTLW+fZ*r85Ws4Y zyZK!p6@0HS%+|G0_({ujc+3`x8Q@W4N^ z*hJ3-b8^E|CZ2K38C>s`$DV6BDvb{SyF2v6U35Nk{1We+e!r)rO$g;v|6+u2VsPM? zB1AbJTr}W1y6}bIahT6Lif#;@mGv;-NFEl`3lXYp#Cdv}ddw*}+z7!RC6$%qtrHCf zd8Rvm9f0})B;XNnXQBWH^OGa^S0r?aZSJb2>qlH?04)d3(WZ+CgB?Xt1^Yzwo{UAI z>cv-A3qqDUuUEH)%9Mc=Zh{(yfw`W)4u9LKacIuH^%09~wN5PZq(Rr74yI4--+BVk z$4h><+_ddLO~$|&WUCLJ&|$OTz2HN%J#7(27dRghrOsF2fn9=iRGQKi-(UCwJ=2#3 zTtx)`4w@uTKprMH70=Jlf3(^}2W}6N2K-x3QNQMYWQ~csT>o&D|8K}i{%;9hm4EHXvJiD?3f5M8@2rhHfjY&6q8tKAKumZ`Z09 zLD2SyG~x2HKsUV5MT={2fD*pM6m+{omjS1t0tnSEjoh;2fj@Kt7z&~DbDIVGm+(`K z$w{L$+HwrVpB67Pa?m}nk9?K&72$ZHg9{ycbe*NmwKgNyZ20)`;|8&SR*{qc0kJF6 zHWGEaw$#p~Deq^=$RfAZLGn@U!an0?5egYqB5!Y=gi7m4qmxR42RD~*cj+C{OXEej zcCkf+oeHLN!K70qqVjIuE$Oqy6E^!}SQ8nc`%6)-a9H$_U5vDKMk>~Ri|1t5x8Q%M z^;GXm9x}a=bm4z9b`J=PEu@p+kU9=x6r;~ zd&O4BRV!OeX{U)Q4+a_zzI7jB;M~mI+RQ1cO*go!o2e1#yTxEwMGDuPh4m17OK<(& zxvV1leVa)u06<>0i%_#bKbT4W+vC9l>a1WMGrp&Y`N1g29 zKwV$w4{Ck{1_WMBGFr@z{Ex*dw0HjlvC06DGyk(QLEh;kn9wkgL&LgJK`g8>Iw}OJ z)YjThNEl8=wt4O~&;W7Jn8Q4W1jBA!T;rV{2#%zwX4BXxDvY!g;NEeW$dy@d z^72#ZnSMwZ?g10UBqSt!CmR05KCfD&H>DJdjhm{TOEDK~LO>Vek6yQ^k2rId3VgVL zv*s0L_Q784UYM)1?;hB*CB0LjO;ieRplsSSF{MAWOhdcLe=fuO2WW7)T?+{(7oqCv z<_V^+x|>w6av9U<8$_O1JnCR>W&nJyuyF<>3_OK|xv|$1kP*>jIhu%FB)+F9jN&mc zZEy}^wW-ZbeKJ6?UGuTFOvQ<9LjK$i$UrB#03}wOEN}hUzE+vVqzMBrJ1s|0JcFr5 zXgJYpw!azhGkm_VAr%TFslc>O%j`mJ?{dclWE!@XLbEdLzr-oE=3sHk5>lHLR1Jkt z{rN{Y?CFdIW`L^gn2+<6I-L2A-~_FZ_dXMaq(Af#Ilw7f;CPy+MWK#+e(sM7X?Fbl zM8e1guDFhRv~Lx&;YtV>wW@7clh%5l-}qv+`8#1nrajf~LFPk8H&PX?8|hWn;6L-S z2HHGh(?6{T;nss;@MLw#YG$MRb2dM3m|9pL)5G$-Vo4P-k^IchE0-Pizq)9cL3pP! z*M9M6pw684k6L-8eyWE}wD@>-b9?N{TR6Ellro$%08qtqjaSE@(TE7U__cZBZU9QoL#1#!4i0IRu@H~`E;v39x;(5J%+o=B#Rs^}qlsBw^16Aw-% z%LFgw1TdW!KswVYf!rl|CbiDK4!w-akKOpNP-@nb7x%?BUQ?ePaDRaT{pg0iDP=Ky zH0eI2FZbEb-1D>kr!t|49iX^2!1$gupi?mlR#uc#Pdu7$TyHf*Hd!j@qeU2+`=4f* zU(>O%y8S)g)Uf>bGY@&w5!S9r31AIM_U5-C+=HSlmC<^-gX;5PS=yKBu!J$5C8lb| z8BL6U*s?bMM;irE1#$zDOk#^@oOnq*C8T^OhiQUf(8^adIe8KuQkLH9rnoz+;_LII{p-WqLLHn?aY z#vuzu*h_a34GMQ#`Wq5b&sMdK!$72fV8$PhxG`@p;-R!#7}W{u=^>SsGS1LdqgomE zc8%g1x*y;Wm;%3C_8b!loTp6qu(M=rGDc1C?y$vVd8>{Z5MO~haOsqi>;^9IXXgH# zCAO)su1TL)MCX=>MtgZ>z?e$i8Cf%)EjqB@dgh2ojeLZaI-mZpZ9S#H|I*g8F<}ne zR-R0bJNBo}@{U<`^~jJcP)=dWg0D!6Z*lg6MV+#fZ|fay zDHcUyDBUDj=I|RLiMpir1?O3~&sBnh{8^E;1y(D+kbxRZF=VpJ0c4>q$?GSRC@9I2 zkKOlk)1NR~8t-6}+PuamM8}&^*;8URZx|@ zE`IXb^r8Px5eH+m?0muwE_do;Ug@J99A;l{PUNMxC;n!I*7$KE%Gc6G-`T71^7tMsOO}N^C3s z`05W{yi_0AMX?HvoT`CPl*3GNpCmA?kuj2m9(|CgmrzEmQI73hr^&|P>C&jss99Tv z{)2NWlg&BxFFKZL~@ z`s)nkap~GQe(h(Y`@|)RCVdjx>C1FLX9ypx6W2WOZGa!Vg>wi4H*9fDS~oIV|L^mV zq?BRo2M$8tnTB)PutmI`ghT>IVxIBro){uk`fpu^D7JL%qaThg?a0n9Lk(U!eXzRL zJ#@t62luGf9AJLyhv%}ZZXeZIzcOmB$v>E$e&BvTLcPL}zTC!22IBrpB7y(vS&5%3R%dZxuzHi6#J{tLL1F7^p&I_jvumyDp(8v3yFf zLRX**>9nllE1ZvBpnISlX74`zpS^95dGN0d`YY)c6Nr?l6%4n=34}`Bq^@^Fknk{| z`S^|ovs7F4xJez~9kO}=ZPx9VN#y)V_09*OwLcwq7>zRyl&aQDz51XpV!v@d8QnzOFfe3F}OT?$fd%&Apt=5P| z&b{1G`Otd_RqU}AWm2S>8ulMb6LYTm24V;Sat~l4Gj&EZQ7IkTjN0PH}{NPtqse+<==n0PtJ_q+aEzZh`VJG_hSe>*$kIz zF4s*}JtCCh#gQfSy~a6vOIE=fXQ*pgLGJr!J~Exd4p1&?EFpQW+-KhW5QlpKrlu&;C>K(gbj{GUa=bMBGT!{gQlkNir={JuA_%_f%>$Ttb>C>p~{#b|c zL`DtP2aGlQ#NTq&U7d+kI|EK@buWUjL?8 z6DQpglW7}~aIN`Zyx%@?n7#cWn^U*0$#gdGmotjZ!GB-Hglz4 zll6y>YC`G)0{utiYvTL5(D{20q4kq!z@iS}nyUvnNKbKL3j2`5uhYhTcH zewG;>A>pC#e79!ana%b3AZqQ`(lBa%AHR7xDJbdIL_P&G#^_=g!?d$g_u+fcXu<(2$T} zh(a5>)QCucwrBT3ZPm5G1UBVVb&@PKqN|5g>!OJIrPao($ zg1e1)ZJO-dyz&l_HSX-$RKHua-p{}U;^@Js>YQN3u(+>an61_8C@?KQP`W-lDug@K znDZh5-&=?#`C7Q=GHs3VG|eW4JQSsCJs`T zudHKxlNQBx((}mgvd^TlmDz7zy0uN1EMY9`y^6u3&Dt^yrbJlbA|vw+Md3kz{rW&> zjvV_O>*3qSfq1DNhr#AQDqAArlhlI>&g$>57_|9rcgLvUU$DqprGyCKJmtKRY1G08 zuCyV5)4qm7vk3$Ih-CW>1_TZeAt3_a7I+Q8tH=wUHu!oWKfeaL|2+Z;D#r$#4d8tE zj(RTm_YmBG-j2UVg!s!e|35WIMm$YgNQn5-sb(-ZO}d81H@+-oCyKi(UEaM%jn)*jtACh3ovuDi^_8?=?z4 zqXTXkCaG{g&k?${IvX%xE;sSqRmCG8#?dplmwol-N5$lRWs2|Fj)Zpel1H>Dx*W2? zp8Q4D@o=`Zc@{Su>+YSyC^LgOZpU2;**@8NowkyVLaBiKBX(9h6xFn_*Pk-FWc%9F zuY*l=`TFysKOb|DCM!c}OdsFafJ`Nksf)ho`i;o$o7wt1Go?Qqj@hF2hN|A69NA%D zx!LS>m#=6mj^_&2q0+y?BZ3VrGcGT8PTy@QJ2<2boUbF#s%%UqsF=*|A#o}SOlJz= zqm#u9XJZEEJY6B7Dwl4oU2Ukn*q}uoqIx9Fl((2_c^2CLqZB_MNI zT&~^#t@PnkcQ?<_^{#E#y-W2&wWiuYj1(7E)637}tGDxP{JKw#H{nOCR+!>mY!W6e zKZOrK1zwp-5o-3}+t5GNi|?h+ zOLTE9STvqAwYa$W*;TwSss5ElzNafT^`&ecQ$OOamwJ}6y580DCh_?R*L)Y|#;h-{ z(8ry2)>UxZTeg&66h2eOtfejex(}3A*7Qoc{BphFQb#(CsUJ7y8%@C4V$W$s8obe& zD9UZHQFUQ$$C_$@K*Cd9dvWwL%f#E;IyK!9nLVDB2%K|aBes0&f z;S)_{ld~-%lzG1Dl^MT$txwy?ylbFrbaK=)r_o;898q=}bU4xblk-}J(%>xf@a+@B z(G!)Dz1vEg(I8uyCyd7uaRq=2)-8<^{Pjb7G{&G#e^&+os_i&=X!`<-o>E<14QF}M zPXtna@H(cBLMxfnf2?HoC#gn)9~Gd#sGHZAI2>A{t#P~c`1IT*!Jv5o{Dy&uxTZPt z6bZ%b*2%|(SdE{}*A<&SJmW;Z9=6HgK9)z_q2-Oe2v2m#L-XkX)BC=gs6x~MzlW2@ zkhUthqaWDgnd&tI(j(Rc(q;+ZAy640>wB7Q zlGfHDc!$@8mOV{lj`MmAx*BhwEIx*CD%?7E5$N%&w zzAbl%+KuB4mDf4zHeHQNT5Yyj>y2ckubF0Ie*QnGd&{V}x;9%h2_Ym9NCLqvxVvj5 zA-KD{yHjXEg1ftgV1eLPNa60m-KBySP`K5tykB?U?sNN&aekaL&b|L?)YyC3-fQhO z=bH0*0+=LI+4qwjAd}@dq=ve3o(Zi?-URbui<~)@I#{MtvYWqs7 z$^-Wo75AK|m|c7sQEh-;AeyQ^=_+FCsJ|?6S(N(C$Nf|5I)4zEz-&>HzkfY;P&@w-BFMjaBe;UTdMAxWy;dH3hNWv@SZQk zZ8K{oeSb!$L9Q?J$%H-~ZfMA5YTy7GJ%0E=WzSxx%kr?*Wv%+vIvcCL6hqtTKoyfY ze>aOr3VrpYfq!$;o>aH%#iVX z>l-*W7RTSG9iyG-wRUTALVnBXK3c7n<^g}@xItGV-c5bNmu9;!72ct2@Ylu_f)M}S zQPWFBY`gW!K=sFVyLe{8M_$Q&HZ$u5TQMQj+`R#uO8@SsLaDTGQl>(DB4gP^9pW;) z4^WlGKAT|ojp73gF^uxmauvON+*u4@H!S3&29i}w%ls28LtJSB>hAU@xz%ySp8%^q z#giA=?8$zKpZVVy4JwR10qTw5K1RvYiO*w8OL1%Yx+Dw_28smmFh&gX;zLm233M&w zIjkW}&i;&nh5cLabfSF&S^8^S&O5H;d@r3Vd|T58M^P?<=`oS-3Z$0)O(0ZF-Zdj@ zxjnPr&Y{M-IMKg5_u$&w?{#qh`*s1U&R$}+N^VCN9JF1CeuN}P1b)q1ct1IK1PGCF zQ)5o~Zt}i`gSdx<4YxFjHq>0Gw#dHS<7V0;zAzD5bGfzqd}m0!La%8+)tO&65n&_^ z{eWJVF+^BcdbceRoKrbDkI|93&ojK`AToSvy2mV+;TfTdZ|A9rgnPw`#2O0skU&>n zavScSVwa3F^iYSLk*_HCc@HP7dMC^a!Ihv4#eT@fNJe8=txYBOd}E^Z+vprI26pv*--vL6nLWcA*rnuenvmz9M5G=O*VV{8i5pB%ufCiY)X?fF)B zG?nsAVRg1)V8)l<7V!R{>-*)6GuvWLg`yeV4YX-QFl$U^u^Mf`tLK&F?c!Li^TXrP zEIyEFKEhxeFA%_%xEf3ewV3vBNA@O{-N>jkI|abUfJ09b z6XYVEW*&{vtgF1t6o`mUkue)y3y&3BOmv;{iP9tXS~|zpoqiz^`}p-9#p1*uG5}4x znUp3jtM(*Fk_0`7<*89jD-RM{H{2+MLm-phO zXzy_~bud+pzQtXcfL+tYw>XvvQ{z;I)$La@e>&t7bw3)*tWB;ZGw3IEFyraf?&h2A zbv^&NGWqulRM72VUs@(j%kXLAJg0`QP(JaAiAJ==dMcgNiv&gTo$XxS1ZmT)=a3F^$i(SU=pY0X~RVZwQ&7K<2GqgtkfW;10gDi;-IAa0BBf%!pbn8%>`P4s!?f|#5f3@CRBL(7?buQ2XIvT1| z*trIMQI?r4k2b{Xu3YmU!yk2-$_G)fkT~Jz;M%J43?D$?TIvnwuQ!G_f{*kk0h=ar zeU&rFcSROR2+Pu(dAtLGJH2MyM@;eg26BhFEhKZ{c12v2@_ub(%%1tSie1SGoa(rJI zm0Acs9ng>(xHGx!w7;<&CCd+v^@|rMQ7JJh()nn#(G{##X^?Hw8?oZ5i-b|m3Jp?N zxF=KhKftPt6sq)q0Gv?W zty5;Q#gvZ$OuQ+rg4qLno{ z*vw{wvzs~aKpKZ5#QtK_6C^ezvp^QqS{o)D2vt(AO+g~ntemda0kIJeXNE**g*hVO z1FgRQD0P2=uQ_pcAgEyVkD=BA(?A7J#NXcMJF%U;t$p5L*>~9=#>Z#CabH~^BriHV zeC=*(O_VgAv-2N}MGOl?JhiEmf=tJIlMH^b(-S-waiwE6vMh}T@QztbCLrGBp-mxc4KoXqIHtj=eydf%z~(odlqy;S zWO>7cadYQDU0vCFfP63B`TQRE-wwC`*8%^=g_b9GwJz4<=xvW{0{5lDy|owLP9T+6 zbnv@)lzSq}mP^G{=4}?$ubOYn&e-K68#O))~@BRKVFk)?pg6k zG}?Pv{0t_^e`33A*l(!Q|1*GvF-nB)M|IKKLVTs1*SBf6xrHzh_kb<@M8_O*2cg^| zY%gCnI#ag_+$MZFKxl8Rt%I`uZn z;BL3B$69Z44?JI&-L9G~eVv&S*_JoF?}*40JU#h0Hu@J3TWa3GoB`v?!^RxM*J}F% z<$4AD-JF`$M}{_mmr`28-z`?s{W+MvYOfrfUkN|SSr|C>&LQt>C^VQ6bW8V%Skmd< zl#K~^K8s}|adm?~NR*Z=q)P>QxN`$ta9tJ%cQkV)n_afgB|xFgO26){R~;79QK5EV zM-$AgNM%_mUutkIFal^P(i=5aU*gr;{!1NnjlKV{+KHnXEy9MUkHEB*eYg&t+1_BumnJYb z&Z^>uYd++3prza_5b)#3hq)V+s|k z2aO=o_S2NT{W3}k&s4afee>?PyW<7rYjAvG^Ua}T&}Jzq;DIpa&67=OQU%J zOe``}4Db?br}${gXPcUB(@GGVHQfGmn*(^vT1H)6U7U60IB!(+H||Pm`85heV|Q9+ zU#E0Pa5Lj{LdG>*1yFL0gxbRx@@D?1w9|KXc0NY~e9qGoMc6N3b@XM(XmmMsl1l zazeI7aDs-H_{3q{2P17Bfb?Z$s@Qi=uQvyyohtSoFO=hIa#|2f>I z1u6p5LX7269h4{jcJXxfTDqK^5n$}S8SmHV%2RIvv;EPELZiaQzmn}F?ip(wwu z&9wY)FZYHIw%RF}DI)Z1B_=D^*hRJdiI^A;Z_aVrv^j!gI(a;g%Y#Y6oV&Kq*&>#} zkxJzL_m7KAEZcT?UH5rAH+~+#W;v0NsWIlK%mSJ3IQ{8&{Bz~6SXBi)#`~mpLVMLIhj@c@;@}GXs4lcf(W8 zug!*!0!>{okb62-8nZ9{w^-@jk7^$->1~T+cI(?v(#~A7S~2b=v%r?p!o4M~FAyYO zmr2O0;&^_s?QRmX;@U`qi(RUKkK8Fa=aji%fWRh}vv3s+8^C}-vjY3K+j}m$*dMMt zX1wyg@y!?B)%Sd)pAbPjmv7;;{=MPTLjGH_*~%C#qBXf6n85Ih@~7Gfi0&C4u-1aC9NSobM^=-|S*|vgl8~%MKxxT5+S&kOdV`t|ggRy`-B81_tuN?t z<=mq}&t1L8xHU**Jn8TFi$(Gm{tfdpgIkZE86`-`)otiLuvkP@C@z1ah|;+x+`tnb*$rSVEWlq zVci=_@TOZPPvS9Z%-LyVtCm~ejYO`aOTH&qd*sj{AfLQls#4ris)fhyzRe9Ul>DGG zae?JpbTu1arYjGXYd-5FK(;~1a9>et_*@6=W|R2M3tw=;SMz15`BPDHgZ+U4I#=T+ zmoPTT^SrrVCN{e`UFengZxFvQ|MmK*6A3uy_lS}tKwKJ^xv-q>FpcB>6kss=L14+PE&{|04LayM;+M=f$}XjFeFyuvK)N`9;b*JA10u zs7bP@rcn^~qwOjI;!1p1p=0HI$p@-^;`!V2T$t>N_4CtW(lK{YB-_83Ptc2@9d@%7 ztIMjcPb0{PC#%E}vBF>3vQzjud2+kT&T_WyNKg6-MW5k*L{*I^oLcW8))pw`p!Vm6 zF&b7=mkvy(7|ES{(O#bahuw;bW3Qb2=r!aQyV>LW#cO+Wwa`y?kbI5=@VJS5N?%f2 zU1qiru*MbYKq)KoNgrV~JEk{6SWLzfWjY)*Sa41j*7aG{nKGeMQ-MgGS~0A918BHRfDqIWWGv_{@i|QMCEd! zwTlYs3OT*tC!UO)&^>l>6_-J;z&%i!f<2vv1uz>JcfyoJZ z#O$jv@};UVXuVOBwC&L=%C?b$W&jnKPJejZ&AzWcGM(MN5YDoFau3jd{92vsGYK2@ zzQ>jJ8&YBA|2i<>S@k$}BZdD>_C44#IbDh5E>+I>u_1B}c3SnW=~2}~gHW8!-E)tN zf!grk@X^CjPG(+C!oE&PdM(-ks*%z_YO`K{rJEAG5-+iJ#o@=mZJX1d-aV}EHEXMw zDal&%eJSxg_W=--D=^p~PzhJodK$4$tlWI>veU)4@m!KhB|r;{Rlhs$itk^1Vy_x#4~@2`eC(3G$y#&aXbiJSlafc8=*!q-C*o=H$SQh z0tbfrA8I&kdyIIaZ_@Bse!cs%`RSw<#`Obi(f#2E1DlzoGd|)#PQTr=n@%jdy7|V-_i)(1YXRzP$+is0hl|$s&yH%c zw>^({3$=7djN5emllV<{?w8vjT1LW-SN3AThcRmrPv(DUaamw#8)ix$=@y5zsOQ1v zW9lBw-skr9RB_h}IRcri!JJ$)w$$w-M4#5=O|KipWlK?;*WcYU!(y!iydibk<1nKI z&D`2^DaMkLVb2yrY??}U54~uS-q{)50l+c6K=$^@FhAcAgf~|JzlHsJ5AS|9U&Q?z zBuu0!F}Fcn)uAKQjiEq7HdPU&Z-j6-+=J?-&epG}(NpRB9)F6zI?9T8l4xa!{vWkE z8q)(@bLEW$VUbcy^V*S}J4ZT>$}wVy8dGJfEQF1<&+I-!OiJn{sgMWthmLB8KG`;> zwCw(^LBndI&PPHRcY;4);4(12ahFBBu;%7e*&qIqMqDzUC=SKY2S0(3S{x^t=pz=7 z#I4GBwPkylF|nwXQ_yPTBA?}a>ascdgDl7`57H&&|O z|5PDC$hkPX{Ewsz5=+F(9fG=A+fe@B4!pCxk?=jp&N*wbAt%~L5!-%LCQk;_S_N!X z)RadvCDl4m-C7*>U~4UhuZI$$Qt0Wy8hW(*UUBeu`0vDHK7h4{pb4(QDKc@Vy-tu2s@)?j;8VmN$cqwzu9b5a!1l#B;PUix!Ws&ftvI>tT;2O-+r1`qh06xHil4c0^R-R zwE_zAKpImMq$iDV(J8@y63N#j%eT4b9qj3t$%eT&U2L{qKTNDi)n=MG{!ychL~?5x z{qk?AUo1h@fCCQNE5crj+=kbCI_EKtSq5tRd_l!5!~_#CuFo*vk^DqgS9cUW=hjs# z>Cobdt!x0{N#VD@6b0Ahg5e>nJIr_YNa};_=!(dAV63$DW^x=1FzS@ZIIRoPC+qu1|ZyWJ{rxonFrJnC39i(N=_z z*k-46osSnvtp6>;IR4~H|KHZiY#1DlNPPCC> zK2~LP;xtPl+_5WSIZ=;^eBjVRCpHfYiVvDk{+?_2_Z8tR+xPU-nJ~9)k0r_r9cAmG zPq_EV3F3Shj1X%kIZTzJE4-Q1}Y=Fb@q{gA^bu6nV0t_^9#J2^jB1K*j~16VDVb#5zmGc z;_l_@*bQ4SB^MR(tMG7wiUb#t-WELX6zjFoeAhff?cW!#1V{PhAbycZAxJ!$)SK!A zxlZhBewgF@mm6UJMC}1Dk*GmBq`{TsdX0B%mvk`pm#(TFmy3gp=xBrb8mGDW^I0{v z6hHR#A0j!$^3lH3#by*hGDp2GO0C6OtWXVLu_P3q@OLw$^%owp3Pf5YN1vJ7R$Sa$ zL61YJj~_eywG;W9rl$6J0=2U_eLmJ3HVb= zWI@|jbt-Mhmrlx?8*0GGlS>)xW$tTg@U-kSHEiZe`S0vThT9qz>z<)|yK+Q7;vsPp1xa%nlLHT7o7ueY2P+M- zH2WvCvoQX?h2gkzBWoK`oz(9bcLJ&q+8qeFTC0ae^yd1a zlGF8XE}lq&6t^Xi@RaD|1Y_@@vme1E9&+ijy*Pe4F)IMbCqcXWdEnp%bmNB=x5PNCDuo^IHpP@A72OzI!mdjZTynX~8pfJzJ zR2*&xrpSBQIzGA3sV}hj_*_*NO?CbBjX>Py*VrBAH7slCY@K@R-w0w8NbTzVkNw?1 z#e2pV+;$_fiNN^nt-ux$1YV2uZU^0H$poCvh1W*Bd^+9j4}YMlLJh_P(`GDtB~Ud7 zbcgS|4~8O}=+?NAxe_|egf>y&;b)N35LtEN)YaY1-{45)8X_zkIv6U|4s{hJTXnd9 zJo=C^QzE|7kjQU$+Ix_aWO8~&X$}jm^Q#lV0qS?kcP9dITb${SL~AFEd%lFIVVRq> zK+~(}W>(Dy1C5Tm3#1d})YPUQAT+A7i;pQK>uUpYk25w=9DUx#Db~1Ji;#vJnGgV# z_wZ5?s57Ey4Tv9o(atz+tUVg+RW2XUJM;dqVYa8RT6}*mxX5p#tmupw!Nmb=6Y>t+ zGpvpvY`EJGq@NvAk^j@<-Phhp?Yf&nI_hnlzNc1Nu1(_qux7cNVR_a_1l~j$$!V*6q?Uj8t9e-< zqrKd%t!R&D?#VyMCxhQ){QAot8hKPaEZk?pV2w_U(yw z9kx8N-zH71w2x=@*>X>z6&=hJ+fO)(0qfQP`l|SdHt?XYyDKzb31Lgz!-@s6o#5)S z$0_HY74&=-+}h}E9igVWaUz?pFZ`-9w~2{$6gge*pX&Jjj!YO6UNn#18fPjAA{GAl zY>*^VBy^>ayzmx~841<1gK96gppfhBbwOc#G^v7+*VT>98~X`;Y&C$v@2fM98{A50 zyXv9G*-RTA#;CRJO#?@k!Ay2qkkrzZ#W+di=;&kaC|O}2laVz((Q;8O*CzcYe5ju$ z{Bq34^FJ$Ox?*VXJA)s>R~piD-;`}88b9nU6|+^wB0{Qy=siY>q}xH=*JcmaWj*kz z#tmj!tF8c1^%Lq=ujGWBq!ljh{h7?*?u>YrT^|b;!O?P#mCGe;QNwrP!0cV_v7A{t zt(SHS$xsXdF&+0}x8O<7Acfr~y0!JCu!4h1AEo*uc+%akZjVBz)K~(zC*CD!J~tMt z;0Z5C_nLPtnfw!Ma_qMTa6>(NF1eP#6ugzb{zSVtWircj7f= zHT9G46iT#RGQlX~s!U%vLIje_l}qj$K$K!HT05giUtNLnRcqeW+p^}PT^1^?%@GLs z5JT+)SQ11cS1ku@O|`1nsJdIzBmaxmhOGv##^Rz^Pq@4AGt1tk8rJ1lZr^00!8ZJo zPI8$d2CZRxrypa>dk4*eO(c4Ws*QwjCLC`DJu&|yPqW+-aLx5HTb1OXO-nI6)$se7 z>xw6>Atzuwy}MJl2!;0OKDbpH?+l+sruj<9JN5fH6|fa&?o%W7GTgL}XITC`<;q&M z3EN(x@&hv&L?*Skr#yY7{^bgQaM092DD5{Os2iHX?YtSoA)El;f3_RG=C8C4vLN%yTj>bsC+DsI>7*-6PR**_;;Sn-0{3;w_kbcD3cZxlJ%E$ua>*Z_70?(HKTp;IuasXVtbsp3tuRz z%ecC6sJbq?rm--5{lesFG3c^%@Lwc8w>>NbT|a|!IgXu}idxli03UYNc|F8+=~Ze` z9%xz2Mq^NXS9pVa{c??e>tr7t_g>zqSdfCE$P_L5H}CjY4C!Bm;<1~;qbE>_HGlk& zW@q)hLvEj9yqPM|&vmO4VQaRs*0TI-kd=%f+}zz2%yxwR`(V>xl+`(mq|Bn@n(tvv2uP z{k}vx6qKmdXn}^-<{&$?*(S_oRsMqecyOqMXN!>YAEIw)ekZSherYY3P2S+>vp^~i zb5`^IBKN{{;K&0n#*rXiH(`kD_NTM&ZeRoM3k>t#IiJe4?VKJq+3u2w)(NpDqkyTL zerD0O>&8zu`{lqGVr=Y0c89j>8=WV7G8Z>V;Dao4m2pP~z@hlJ$}7eO0P8#Z8l`5C z-b^9S4=Z4)3?^ls~CxZpfBcM_Jk3{SXQ9T`j zXw>2|YP5wTcauUEP!0tZ_GY4yx)*96%^qFHFAa55UQUV4Lp z&m-jiPF$;o|G@(`4iElk!4h=nB31w1_td%CsL4IOB1^r5#EycM7LSG39e;2sy`B~$ zTGr6yYApM3xhZiazR>eW1z^I~vgUfEo*t%NNVKzDo+aQE0LT(u(S5a4^%Z9hn(Mvo zANAA~WV|$D$&dz#-J6<)g9($7Pt8l$$=S7=rJVRSOM(%tILhpDVZZJ`>A5EJd*4(2 zklBlkt~oK!dVl}66Hu}!u3I@kpA~d{+i29(+MRE+W5n#t(SwvSu^C)63)kgse64;y z(WYs8M(tqS7d7fDsQ2mb6a*&Y!Z&)C>^z*Ss3qV-@fx6mjBLr z+}P5hT%aaR=f|(q*He}~n4?2Q@E`+h1|q3IbXe5k09ANqx(M`TNr3IdrJEn6&>&#k ze0IZ4BQQo}V2nz0@-bVi8oSAv@L+uHmZd*&lkIiBtbiu=<2{b07T2HLaPzsb`~sas zDY@oVkc0Uiz?}cWcf8i+62^VB_7-uVyR^xQlivr)wnVj2zoem{Oq${o5?)?eab{A9 zNZ3C)j?Kh37`nXLUW4G1%67z$x3F){(Rr3M%;GgWW;`YvJ0v$F-C63h^5nxo?vKKA zj3;@RgKunC5YO1ij#ff-ptIGq=ZgzN|5NDXG8 zK3+uDS)7(ACm?-Kp6d?@9tQ8;^}z2z3%MbRqPJJYSc}Lb65h0)=h#`zfmb{6T}{;m z_pv2gv(6LfaXpS3@t&#l634%EJ@3+w;O$2itA7-4#4%)-DFTY`fQ5gm&6%4Q zHmXgpX!bAvd`8N1_iAY966{_x3`!4aUk&FIi8Rl3GTm~DFSbb$9$6AvB6Ws!@5srE zA6WDCFsAZ!xqLmwj9gDJxINSGYnyXX&qg!<|RU^Y&t?BY3O z_HB#g_E8+P9Ot%h3HWB;8eed4(rtuFJ z9OZKvANyu{N6nbDCX<*|x`bzK8w5@)Ap)FN=Bg8GGmQbxr>r)Me0zDUtC=dGbd5>hl zT#=7eK#DhS4w{ZEI;;BS4K(q$v`Z6TX*Vp8Af{H;MW`#v_*!K|ENDY4+E6Y)WF|W% zQG+L`&Ho9}-}r5P{Vzn{l@ABiS2&u7GxuWV5A|P4^W))cA@}{*tRy>1e*{eIv>Ego zC~2N$?_rlmr>%``B(#43om%p{eTc#cH`r#=Os?_brlS-qIo|{$(Uwsw{47dmsPTskdyL#@Zo&!@sZl6n46tmwXL|F65vuT@FeZt<|JCs9 z$$TS$Gf}1Fx51P_L2xhzzDldNdju|%$GAQ2zZ@%^)pxJ1(hq(9+H%6e?p6OEo);@) zhU!{1RoS*ukDUObmooqs) zcvaWern*zPlEm)25AK$o{klSj?l}uJTi%aoeGTQ3GlKeQKKqx&tlGY=Z{w;`K8-eW zAxt!$)iy7cs33_AuehY-o-t-mD64#a@qzNdXtD|BqEb7O)uX#Nh+c287k#Z)9c)gO zTj(8^veME?_%Jq#cWV+wwnD`b*(m!^c*$(zZQ>jD7YgaE7httW#FI`dig)|_C zXBpp|W{_lA&lyEezf!STT5zO}40ZwyU?ZI42YXDrX))(aJ1m6+=$r2G8=>Mm`gxc4 z;=FNuv#fWhk%PQn*l0*D;f9*TL?`v>p|wbIILnz8T`LoYKxQzzzm*pVX{cmXjg2>f zCH!SV9Fj7rRXM`Z(x;Ejd4(Stazzba%F_*BOB%^N`TmlFJGx#imARFAN?vJyiw`r z!D|Z-){%u^ee1;wSp~**%QTuQRB~1l@linP*?uI>LaJ5ERp#I391V~RqMs11wU{V4lVkClZq4bWW;emKrK3%qE4|U|%@SUW<>g|aUUR*+ z@bGWTV<<#xS`4iT*)klYt<22RijA5&D27xB`|aBk!&z!dL_)zIdKDCxbz9hHoz)3%>2?U)~YtSTAn?6I!#6Cv56bky-I^DV*sUq=`Vf!|2Y%cKTO+y*xC4e@QwU6 zSdX+j=MX}nhRMaA4F+#>2>qaiN|#xXsL|!yQjB9HWyUaXxRx~;=Y2}xqu6V5cYuqp z$6VoQJ}R!{^makS0pdW#X!nNhM|m1I;FTT(u3Y5%whiGvk^Yq>qDq7=tf6R$$P>yW zc60xVWX&~!KvyvH>A#!47C$v%Hw1U*xc}51m{~0}1I@|?9SaQZEC~tgExRiJP+@;u z%$-aoau3R#eHh7GV@=$A_i>|!$E!|*j5VlNwPKQr|86za?eQhrSdTvJK;LOtJ4&Vr zvHg1jgL-9tg&Dc(=5c!oQ~Ya1G7`JXmD0(&cMAJ&D7DewKBn3{KcCjy&i>FS*Y)3@ zyoqE#PAJdH=w(BDe6_~WC~dzl3J6JV!E!x6QE1CO6^xD)`Mi<}Fzq)dMsDscS($}a z3)Qom>+Xzj@-{Y*$?I{*Kv@p}g+d@S{7|9c%J4iu%Xc7sxGM&zt6c0LBs%}zyOpKu z6`(Dby!f&R-m^lTV<@-g(XDkhZ2Y;qTR^a#?c$)$WIAIjD3sh3cDr{D@_x&-b@YI? zkQptzhM5n$XL>&ZJDgxNHPOWU@#!c7cn+-pxpafkHN8hU!i)=(sq&$p1KmUgRH zzjZ@v?hGL4n(9TLGFtXXIMg?#T|YkR-AS%QGIFFDt*y9ocB_rv7QGL1+HO8&y!Dxo zUPZHh*sW7RFCB4;2#(d%vDoDT|6_{z90XMMquV>n_0OGZ=GF(W{R>wOj8^ICS6pNa$mj#g}>By@P5x`8)7H>SSjn@ zsJ~(xqUpqU%+sH_Rl;W&vvyTcvIVs{69)gzYQJpnDF{(uuU}q~zuE8^ommp4{x@gZ z>C;(zU>!Xyh)X7#oxH)b z)Ay#h6~jwP%byQ^2QgaJZd)OF)xNqW9+a^o5|LRW)`Hmxa9H0D35c_Cjzg;mV01+fGYH}XaW1gChv})cv4zBHo7epiS{8(w-ZLOcr{V(Mh zExp5=7U4{|oO!GdWNE=`J-#o99d^bxQIWxf-)=aj7(Qp>sjlet|Px}4zu9|=nCU{ z%bR*05GApCm$zeJMVOAWDrNvw35x|^bJ2S|up6Yigh+-euBYGo16FHh`~x?lMPP!# zV#j~`Qc9Fa-`9(gA_!@(%{D!y z!w}e+35!>R%3D6#K2gLxJPp7Y9=W$(Y!GN_yUj3XVmncZ_0@Wk`|ReWQ`5+8p>4R$ z)iZ%72_TD|n}~Df3M&Lq1B9^V+}b7U+s_#w*Npt#=tbkwgdq0;l96{m^gG|z*Jg@g zQNE+3=A3jM`sjih1R^rfo)v2p}_hgwN&FU1bZ*c*x|Q}!bADGL5YaS zl8=k9CkHWQqLMzkYP%_)PO>V0lQ$ON5F#DlkUNp@Vc?pY&C);|eXjhax3tmAb9L^Z zAdagT!^ZdZtKesQmknsfvb$BA->6m<96Xl=I|;`>HuJLH$Ev4b|GO5z%JJ*Q`(J#7 zR*R)I=O*TwDLHkoag3;UROn?zVZB*!RI3Yleu6EZ2}!jXkCjsk3nM z^tOAF8ljw6UPDa5%Om+rbcbEm-e-jT_1-ukMdbxtTh1y#c>m-3_iHLiE<8Yvly|l{afU+t#VZ5Y^F%8+>Licf6Tm6X4{ojX6uU4CJy8q)yTWPDYu*;Y(7x!XHyA4 zP+fPY*PZR_H_nN8i8DC$$J`bynjy)9D2bjJ$w#^l^Hvl(JYKLrx3=RM^~B&Gw`ObuIHg|MS_ThH3@{&s72vk;Ei zvk;!UU?f6Aa}gGX&k{ar@g{Br?z+&=q-vO2p4nf~CM88aajH-Z#Y5GGTx-ti?SIPk z_0b~LPL!rXpE}J>F4Mywzguysaed$^1!<^-YztE+iVdf_aspiq*i7Ect8T4;=>_bu z!Y?0_#w}YOniMxL`}!f8XK0^!4=KvMsIr7JP7PEQHSAUfHll8)UD&bfS+jnSU(!~h z9*JgRUPaVmj0v(69K&F0(o@Cx`+839%1?qPTP-^Fk`Fu1OPmrl911en)PuSH2PH4m)=KF#G)f>Qwj?W zlgLNXWk4z%ZN7aqN23>$Q?v`8WUrmTphEVj5%E%XwS1BuTCwS3yVrRhP6+$&4xwC}oK zY{r&t>y-e#M!TB3?D>~9e@F*DGs_W?-d<^#dn<0{hKEYT+sYL)-BXX5e>36AxYYN; zN#KvF#8KPDKv5KQwnlp`NaHLe3z7K35}%GcV0P@dM3ylohM!;@4*#)Md(--SR<3hm z;_22+uJ(D$A?E2f!trX4+8o;QZ59E=0+!EEko_q~aIM>=hIM{Us2}bkm?7}A!>p}I zD-kGj@C5CC30z=>T&knnB+9`UgK=4ON?ENZzm_9nGoaMBTHHCg6~>5f4e#q#>aOG# zyN*2BJ_N3b72(v4#RHdSewg;_6gV1m{JyD%TskmB-a!^la+l(pTfJ4eJTQoqxvaPA)IgV9{#(`@4};w6_t>N__U$2P`o^~_e&R>A;(E z1&PJfEkGcZ8K&n)*&C@rN}Okx(?d=JD9EWzd1fy(CAH8wbe&OG-Qma%-ka6dZDxbF zzk%jIMHyTgj6KN(D)}9oh_aN@Je+ghx*zwi%3yT9{Hf!M?q#|xn8*s-p|+ZQqLb{5 zYRYZPSNz+|*L-O;eIe;xYwm@uW%X^@)BGt)?>fxlMn$s2i|Q<4Un0NiRc3U;PnLj2 z>|2asX!&|UXMpjJ)NN5}mo&;xZEDFQ^KJ+7HlgHx-U_8?&6oO3Szb&EChP=S0yS)V zg$`>wO0@~$i!EPQMAw`d$Fp0FW!8IAFqw6{-v;jCE#b>=;e&ymOyB9pEFQ#z%(<{z zJ-8U;`&6}wAjPU@XS>@+c5HlE6l>glh`CwFTp2p*A%b@Q#A3E*ZoQSvQB~z~i^{EV ztrnR%%;12yFWp$n3B)9D2U$V5MBA&YkZ2g`^$ZiV;VMs%v6-#S*Rd` zQ(BsTJOGIt3VK^C=jd2bEW-(HH_+Ir@0@3iaZwdE0Soi|9g+FB z0x%3?)S7c^v$PIr`HjhqnWzJiP&ld%N3B1VK|I^sKgqmhKWrGhjI`W5n;m>Dcll+4 z&gpSL!6VD1_%t>9gzZCU%MoQADEkBTLvkWq2)x1Ro7<%DMh}tmX{bCatpC-J6l6K*uWQX*TzFWADdZcM2~eEjL}%!OzUW`qMEhQ}qn zxK2|$ef9$rkK@zyfIq!f1JZ6|yT5MzXN`KSmESCe;P=zTirmg8l8BZUYr({W zqW(nXn*Ebv%)&}dUA?x&NlEE#wxE00%F2WI?B6u($i_BO{rk-DJhfzH($D+*Co;PC zt5^S)mFxeXzp|S!*a1|pBxlbKa;qCPyfT5$e4RaG>bWX|Y8)QOSPS^KG@0V?czkng z{gzBWJh~Am4;J|(audBTrxJkQs`T*jUB;G~QlDNsmMwrnGMgbuzO7F&BYJRhl)~p^ z7gi0##sXMJ!V0c3Ut9n^Y@pO-V1GJ?Go z`AY2|q<8pie;D%lPxHlLQ{1;&LzZW{(;bpT5J`efMI5~3kyZ@_3g84|(s_`rWsDQW zUfZSOp!@_Q&1q6Mj;aZ@iwt-(wl6|$_vseaIsSP@D;~|;VuNQ9}Anf&upc(bUoS@$+udx zAkvKB&Xb38Qa`UR7#t8;_Rizc6-3p1!#Bz6H%RjiH&BAX$If#&C0 zDW+o*cDsN$mZyP68+T}+ad(Ht-QAtS-3w{lp>cO9+}+)waCdiY+@|_@ z&p9(OF%$9qoB96jsI17$y{ob+*IHNJcO|7S+TAsvYrhnWP=hj|9JOWAaUR*q%GM;D z$bxjkn=q=e^Pgvc?uL0gTKt3rJe<8*N&IaWsotw7mA3y~O%ZHrd;(hb70# zw8Qp!$(OpOrqA^<+!Kp1-7g6?9l9IdwN=EdfZ!&={QJnxkGBo_|g0Ld2Z6?SmmmrwyAU?*a!(CA9B z3+q4$a!{}-K4Ec(DFCGUN~X=q<87U0+Ju$cK%K4PEW)S2zOk@w5+J9%QkPYlIn1DE zUD-1Gd%h%22-SIl)lrT3%~C3fPKAVoq_(~cLGQk>%H4$l6h5Dmd4IN+?Z%cbuypgn zVfmRotGf?bl2%SCCm zV2(J8%AgfcKgQs4+8$M*ZveYy?ViwvMtLfF{ibuu*^pT*^gIP}C47q3kulaJ4)zP4 zX}pjxw22%r)5~N(2BLEA81ZR&A^RVZaRVxQCU2#dCDb1l;FAnP*(rCOz>$bm)Jic- zcgWJV&lvs->Ey2y4tFXmS2pgr=36pJ4<_rH6`XUq8hNYHI>J}*yLql~yja9cNVRr> zB<+Gh(=2KzY5qQBPgC~X4MzhOg)~i)kprxDEUvT|-I>?6vxD3YP=r1Wso_wl?5-20 z2|3hy4WqWbrR2+#pf)cpy$!xFRpL?biJi`=D zrw&Xm>~f8<`OU_%T-_O<{&J+EOc)oRjWioFr3IxyV< zl#x115f9_dvJyyw+k@uHbbBC_B_2wy4MvhrGcv1-I}>@#?1n5nJW5~vS7~!;4SXT> z3!gb3D7>yf%ZS^>d&d9bEneV3Zd>t5!ySCRD9+^(PsxkWj*RE9>!#lV^;1Tyl6_0j z3kFW~tmnPdH=@WjYWEUjEJew`bv!(_l}-Wv~j_$T1FsIx{)-!UCKH3RzlQZ z7uOdbI~2)+9vR0xvGnRCqo#q3C)@tUJY3E(*#s1meB}r&fOBDVGZo5oi_}MD;&gw& zDn>-7NZvq6vu0LsP`W>9hKDq>y5d%?Zg#TKl<>coE(}k@;%7iq8*ewam^P?L&dz=u zsezGvYlt{xjZ_ip4QYuL=Nei$tPzR}*Yp~k+K_`Yud+7K3*s+VYSa-frYEp(@( z|GlzgP9c0ML#RaD`K$CpF7o>I5|B)3%z^BjRt(&D{f)Dfy!TC<$yFjz0CLwjno*VO z+&_mst*G=HWR$so^mkQkZVdI(Q3BCoEA$R@+rZnRhD>3$_F)@m1e1Fp!vrQb3TT*2 z$6xWS;W+dRm0-0#)@MI5-HtX^dsIs(m0iuDZ)6uqD|+uy%qIL#iP6-S606u_;4P?# zn3YABbJ>x``R4=Sz}bH8wNx$BV;_h6tLz~$Nx^h6lvm(mFR9ix9d3B9p4LqNo(>a z(v7{0+?>GBtKxyq2uE0%s68E&bY$FNU>;tg-M(y+d>y<7zp_JX; z@)E;2Lr=5cG=Fnt4_H`t_rvPt`HzHsO{&$z^+L}fe_mf>91x6bzcE)Jdm!9=tP+E9 z!6@x9J)<%-)O6pn%RS4&i~UnowD3`3>H2v z@_KWO8lj8xOr#cvH%~jD7=7}pib-O5gTofS(CdxGu6@{BbdEKWwWDw%1iHLWlmy0M z5jw==UPKac9DbJM^kX#T9-X(^X{=x21TshFmx7i$>^KCF5mH*J_*EP&GG9CEpu)Hl zG+97p-h^F<0HcjY^Y2fwADDdT;Tk#=j0cvvm&BW!%GJ{>9}v}&!> zzE$F@b0ltPF-PlOFUU#2VaZNy#hMHyipHo1p!4wO`E!v-sY1J?32ZZE;{pE4NRTt` zqU#pyp!bF>i~A+D5-h!zRafSBQbXoizx|u%BMxOXeo4$}2h&?YKObIcI;<$NprcIEOOa&4&Uf#Bmx2gu(oPmiA4i9qMx7N;cPML`8lM>qiei=`o~n-f1k^B3w2Q>{n6Tm(C$-oK7H? zb*)T$VA6U)E*HKt8wz6>C%sFf9VJQ1yN7@R9`CB2`q+lb8lMNZ5u15n#cW4%%^;Si zXiudbN=3?>Pa*_Er0WNvtV+}>Wv{mTvzp5SAkx`dl6%bcB7$ge7u{2L*>nSz24Vnw z;*o1=e8lw?gu&fYPUwc?Cvu)ZbNMmkrVLTe^8!8X-+D|bA2)VSzFJScIj^o3{8iNN zUz;&$1FEbMy=Tp>&WRxsJLEkGatNBq^21IJ^rWb;AiT#>#p+4_=m4CG45CxP>5PSb zbGxf@aZcMbuYWWkJE_8Eq7j3E+x<<=zD(W8+@kYA#nP(_Ndc*&Qpf(Pi}#Ejisft~ z_95MEzm8d{;9oBy1>!>)_?|jq=31N>j1j6sle)0Ja(NAQ&jN4I$>qZ4Y(#(VrLtHm zrh3w8-k?+eJ+UNF$Q&@F74R-?7ohHi@{ay2X>MQm9EETHYLDaPdW^#S>s6RSe2|`8 z%3X7uzE}bDrj{aZ!ZALX!e*(zJ+cCp{%+lFmbVm!iA$rh!k>*XXpJ4y`Tf^)7!!u< zfBvVMpG`O1k?tniM&~;v{~%UYd(_{pWl@l2DGS(MN*^X7DZ09c_2}Ra&GUGiSNVI? z`M>)O<50mKip93JTjTb-hq5A+-me|e*C{FN6$Ww*>}ltJ9VZls=b=ul1gUL6Z#+#ewn)g7DAI{fzV#Mx zA)mO;KeqE~c<1o@U!P1Ea?cC-Q2n+=_PWl;U`{6@$Z2Cc(V4x={kyjcRLTCDE6lMF zYY*Mg>7K#$>wivQH>&+#)8(70AY=vpzV^S>2r}m}uy{{Q{mT=8rb1d?ERYEY@T<6A%WK zU8GjMOs1_Km0r2 z&bmOEJ>AgBp4XWAY$+w_J_mVa{uMZLnqym~5G7FOBU32<>q7fY@W7Xiust_JCBVrv zBFd~KteU3uZma5-0`i4{#fJ^<1fjT3U(>%yEwR5S_;^O|Z=8~Hrde)qF+?@4j1%WL zq2fGe82!O@ey}palMBsdlkJ{c3*3$LH`~?=Zw#^}p#^bQX#PC$Yqf;c3S!GsEjNW< ziw(1^=+u}3@e27YiMjP@Ok`>bW^vVtgkDBDp8X z57ZTjoZX==A=@X`0&L&YA5V}>?~i-(do`MNUA8U6k&Yi1@=cSz2xDheb@%BVX*H6u zo;U%H>LI@jH9p0iU}}LAq4DElnkOsxmCE6%RO?1WhVwI*F-Z!UxB>5U+*c@Pz7_HG z(;kpgx}Bra|LeMeZj&|0((+(sR!v2H?_7d^Y1QA)~m{m=s5}5-Is$;+QGGs zR44qFKl6}4judOy0B%Rs=dhXP--9b@p3Qs5gJi{EgXKDN3q!;0o3d+3DSigw^22%g z4DYF;l9Cdf9ltq@Op{r9mjYv>J+I>~2R;js-g^ggceZZw{7>iGqp~-T*Zw&xgc?L7 zmC==@V%7oCq9EvlqCv5a!jp)t!T)9fmX}miI=`|Pm2Bm6Ij%C@F0U;$VwBS2)sxT`_=EzuDj?+?)V3^HX->K|u%8D?jo6QE-|yEyT6|f+E19o| z;Ih+u+F{EVm9{DK*p*i%-3UJRcnzn2RVn1>(6+|I%Fi5Qa3xLruCvBom3};Pq;{Ky zanZw4?^_zZ3`uCLBw)RzoaL`eb=a35eD7%nux^9rbxTy$1Yb|L9PQ`zj*EiEXR~KC zqV?2Zs2iM)6j_;=n6yTh?Z95JX#i-)7Bl=~_luT?#w4mJxIr7JTS;glJbF0 zXT!nApr8YV(Marp@ z=Hy{jZR6GF3ypX`CrDPm-wbB9?Gi==tY#SO_ViTaS@OlmNezb>5@im!^m>_Mao_2R zsX@w3oj0IL>V~1Ykhs6o-Qj70XLDW;XIhb72P?>!Xk&!BdyiIJDU=#TkdIQyOGUVy)EOEgT@*ry4}%(>pvp#tGlCsyheOm{5|;qW}Aw8M5F#%()JD7 zpWDkvG!bq5HK|Pv7KnqNJ>(ZOdcJ%JBS$#FG;p1?LNrZnO;$_aVyd<$`%_GL&qY`F zNTJ*n8lmKaOox#9fMgEm(-m)v6Gvjj^je5Vg36!+Yp`1g3xA>_N`T>sA@qryZEU-A zLguLBA=ZhFFCnzF6~L5lOXzX`7;Dduzm@uxVQq7f-Uxg0!gBvKlHD~1Jnqul&KFjs zj?RuGcOJ>5I+QZ=g_SQTK+x9-xZ+<$>ZoqtjciNK&SR6Y83FjNgNr#p)QOo{NP$Su zf28NtIfuZA+-o!K?+4t$MFqnkR> zN~rc5b%!7AI)M_>gwXlLLw)*H>F9cA&z$&p^JB%T<>k;AL$+yQ+~4`inNu!%QT3i2 z!Qd}MXJ4wTMrsOdb!RCILlL5S*raG2rf)CRd*XsnnMk8~5(M9t&#o5U2Ugw1>?+h! z+F-Mrlp4gT#I_};OHBD3F&|g0?s)zeQI;^o?u;)l;hfo>xzd|S%m+*^l-&{bHI}C-zMdVGRS;d6j$n}6 zN1qGh|cPdBt0)ym|^&j?6k$^Uc4KNFFT)1F3npHf|>mb|T!)v?oAaGimav~|eh zSHa^VuzYva)rbpu!cLbXBWTZLZ*_(Ri|H$7e(;lbYC0W3@A*gY?b>%i<19o>HFC5_#EkOJk3ZY=ZCFm8kqbyLnZ0@;-FIK4h3BGX z>m~=rgL=J4Q&}i}?k~j&uglyAQ4r_VQcPA+CY*hQsBeZ;LS9gfwbh3te?F7hbFJv5 zPL`zM)4NO9PlzR_%s?L+lQs=GI6(eeSFw!5Afu&{_`q&C3eFl z`i@-Dw%~UmmD~P3n=2(oe|(j%EeJ2yt&`Fday5SIfjy-17OWICFH2#Jb06I)nyGH< zkLsz~nrSC-2HqB$o?@_xu6hfO{V!Mw9(GdLpo6KiaQWkLjqb*eiSBR*CQL`R{J)a^ z=9hR0EM8E*MMU@WqCcce|H&_T)eKGl7#<9ppY zo~kGAcOlXmN>&-965ld-&dVCGa0}mOmASY?vgfnMWQG)FX)^aI+XhO$x{C$GXnwJq zwQ;gKdc$T{r+SD#fS0ls2+l`A?#aLYo*7P!YH+E#$wNym-?jN zOcLBWegcVe?2KU-G?Knh{UEJwBy2>1v@K_eUYuP9ivX`iok2Uc@&QA8nSJ*9^JzH4@-LEG7x!?Eck#-~vd8*a&zOGa!&}w-D zcEXw?@K7Rpp?A!GcLb6$?^hYS(je+S4y!dW5XgR^Fys(5d^KJZPR4Fa zI@}djbbcJl+Cbt*f@{Bc@L@*oyaJMmvKy`zv0qkiRYaXKthNX~+!aU@)CM$(qH6Gz zQ2uoX(kOj*(!31@#`;fNo#OhmU~SxQl!`;N<@r=ZS)S)<4CYtS)0;BI6%)xHub<_6 zp5KxfLIy`dOz(S+#vpw`MuXDhinF3?asL}kVZQ-HSYUDQ6C;2 zAO!H$mmmGoEsk2Ch$0-j)9gT_uI6|glSs-11r$(nknLvtkI zg)cv2dd6NAA>8M4^1ogIqt4dQSG9HAxwx0j% z?^U_ixK5be2zXQF0s% z3(Zd$uvG5J<#lw%ZpKIROd$E7IYSiJ{(j5Hwk^Hj3P(g__d6N@Sk&R^&;@BI^BaZ1LT<2Ba;!j7;G6nE3|%rWVm zDk^2VY7KDQ4yvA)Z94Wq#;M>nM+7zve;v8Dv9L@P%u--rDFb@u<#ovX^=3#B2J6OHv?O+BmwDkXUOdagDs^7nS1 z;+;;JpH?;cyr`a@Ip_msbaY`mvS*Z9JRwp{0OmOs@7lBj)vg z)f2GiiqgjMkFAKl@ACvaCGer}Ksjm!n!)N0Q@QHjsq{kyKbxR57||~{?@?$Uo>x}> zZWK3Ijcu&81T&^j&>uwK3@4v@nO#coAOD~?T8`~x!1$UE%o;$OUtgKu3Z%9>Memuk zww<(gWZ)Nb&DKN4c7G?v&J*G^R7*JKYhYPlk77a})$erUvfiixO!UOm(Hz4pD%G#G zYR6aowmw2mgUM|D?Ujntrm7e&G^>d*5kA=LB+`=DxYRAVWc{vFnAF!8S4J6w^kbUd$%Sx&wrPIfNYr$Z16{+Nz znUj&myT?^SgFZcHu`DcuuZhpCBbZNmI!~O#w9%M*BmW~T(x2PnzUi)qo3lF>8jd3; zElBjKwLMa75oN!u=~rGOstrLShy6fYSH(RvaC07G-4;*hc(jgoIHn_J4;Me+mp z{wH>?4Zy?;SN;W~yzPW^%LAD`(SBlcc-XsbGD&1*$nw+>V+vA&M8#1_0$#A z)Iywm=|Om-YV##&VC_g9R+dJmtAeG^XGDBJ;n!OhlHs2c7$$4lmCumc))&kE zRsMoSKUMJkDbOb-gXK#dcT8Bj1`St#x2S_{0Ibs5k z(?>f;y96#2IFp*GkyYuoF^d%~r^xUc@I`I73>A0QEz zjE6S%#7QVWq>AgF!H&@Jl0vfO!%!e)f|onbczX6z^t97aq?m%|961n(RyVx``>Wyw z1`&jBY=*r=B-rL*bNxJ-ITku55y!}Uq#zhIbC5eFq4kbe$2V7`D-Kuq4;%d9z-;ou zqv@613+*4T(gw`)YLHu~Ace$2v$tLgxFtrsW?SlN+cj%;DhASXY{ZQM4<@tMHy*k% z*8Y2PBqxpn*%kvd62b0fcds_}TEMam`-AlslVLvf?Yp74oX_?p+2AjvL+y$A>Gqf* z>lF?_+bcaV%fqw5jqn+>L#R6!PZ=wv9I-l_mSioc7weah%vI_WA5KmuZTyJthPc)vYn$imNgp&hw9I$mpt~~N=2b1o$yAW_v-Us zU)e=}sug`(mxN6++9qUH7L%Jbfeu4&Y|bF>qZZ2BC94D>WO`)zQ`)2YKhy2{0l)7Q z`thx1o)b<~36Tz1fy}IOfkpE>f$l zmau%0yWBEmRt&#BY&#xveHt(m3@R_^n*rjd^`9agy=@|`55CfUjPX7R)H(e>1|On|N{K8IXLWq3?{5F>br z|K~gTO~=M-SEF`rXU|-k4U1bT-Ndg7ZSHm8T1*uyb*Sgz6VvUXw(4ZOsVVh2J(6sBJPuhFDwwNy9oR?&u41W zBJ4eSri@;h`zKHOkSjpv@wv**LF$_FgJ`bLN>>cLBj){!RV|#HR%*vhC;3a>NBFUo zubr1!OA20e;rz5=Y_BY%PtJuK>yk8mFhyowuv^xvBV%;+9l(u3D8$& zS{bbx!|`Ynh2@~80-WY+A;NWfhQt`JWqHO%mfd@-P~N7*`WrR7Y5gBJNko6f$|BNa zKMy$B2ovhxRJ29#9QE{jQLA%Xk|>CNR!fQoc;uYdS!;bJ)N1FqObU;neKnt|;G<1b z162v}JSWnTmb@BzKTO`=CA3t~=+S6Mzd-9v*F2K)oy)`N4I0zotq#B8?mb#vL!-q; z=RGV$q^HU!Ep#$6xNQ&wsaB0E4c#ylklXRG1XOlX{Ji%J#3qDg6?OCJO=n{~CjTkOjayH@PT_q%)2J~O0czM&<482aHfCKj=tC9;K)Vui)~3*i?d zYbw8MGh%a>|9-aVp!XGuK;J8h8Pho+?OE56v#wPr4{n=WYjMTHxCTOR7R0;JFJcoY^d?34cDCP5CByXJ zB`CCNe_S&~ok}#jh4fD0yp$*x{0`*HCZ~}exbRdgBL#Fu7y~!__A5kRpalg5i*(z$ z`ol4O_G=ov&Q3N(nm^Dxsu2mZQ8b~q&HQYAQmk&EN6%_$LuBuy`sblNU^+lXVO3JG zG_kp~iiR$*L_x0OgGQTl33A@COv<(UxK1d;=OfpDB}kRiz)cy}w0{nNU|c#@Z+Tez zA@b#At#1#;q6_#x$rbBlQ!IEiQwkTvNSDLe>uo^^c3q@+to<903956E(mOvvDGaVU z!_>w>VlWY=Z$4ZRMZ3?s5=B$lX86M?3rWNs%`xo#e|r`;hz&rZxfnEU-c|9HKcuz~ z3;S!f^Y#=kXj{5%(M%12$UsnRo-C$^ z3$6Pz^)m1-XU5f59%L(J(;QfR+Wz8z{%^Vm!+8K_FnoGO=ook|9Eh}{B!dgySK*#Y z%c1#u%E=I9ov7^hmLkm+Sz!8k^WI%LL~a=f?Y2QeyrF4OjKL>z)tcAoMpc1^4Zh$6+U*hUUs`zQkmla_q_O&~tC;{Y12fyr(B|C`At@9JIM$ zh&2PX;hvqSEIV;AmX_5}c=u+0x-^@nsL7l}@o)Sp_;)p&(yWP*r8w%xevq1p}p6D^}F?cdkkREDbl!s?K5S-@Z6`@ipKV)aXM9OD*@rO#`e z`T|wktL%pk#3l9NZ{VXUiddO@GIJJK&B65DTUtL`n`cbb$~~#A?dmw~xl-4+a)A@w z2r5#Zb8VAU$Tcl0>@lrk0c3imn6t%V|4A;fmgVg)_6e zrjz=jm&EB6Lb)h@=u|t>kEc#6G}(5+vAalgTn!nfU;JBwc$J%Cw5l>44T(ZE2?KCrQ2F< z8uR0;b3E+SlEPr}{|8|_F%T9;O<-eg^>mH!V%a&$eu9YXO_lDShB?`(VEN=%3CBuLCf925k+pSI00drlZ51q_`3PhW1#$*(KTfH5%^+xB$jeL<=QuEWgF8?@ZpwII*m<6 zMq1i4Q$<(i2zr(XeQh>qhQ8!4OKy~ov)ZFXd(%(HN~(oBq}bb0QM9}?CqJ0UxSE#k zp^UFIsxs$MuOd`|r6+zg|Fg5UQd1hF($239TK90OXeoC^ufN?cEt#@Y%;2<1@i;p# z(+Z!%K-8SOpW&bV!yOkfWbgPWrD97oY<&zW)Y=C^2RCiQhtuRE?&-WR*FLo3IRyz6gG_S z6?iwv+MY|&h#uFt&ZQ$F%wfk0ypiU4n0PzR)joc@4QiHhADS+Znj0D#vZA$&^MayG z1E6}gP&h+E&~sK*9`nU)nggHo{-Cs_l^_T|exAprve|n#H#uNyIw$+;qfw(`UpALP zm*Cu2uG4q?5bS}e*icPVMzyx=mSg5+ek0(>lT|}ou-WS5HHve=Yf;tMD0I`LaaVaG z5&hxRw`?d*xKNjri|cIN^S}6WjIO@=1plK>L9mt{LcU8ya6`dv;re7Z%j{ZBdnQnc zYgob|Jq%L>0+gG*53YL+E;zm0kEx7w%-F+ zI?v5{w|#@p3P079h8Ccc9{f)qZ_&~UBMtT6z5ft0`8q2M_u=adC4c;k#Y&r=3?lo^ zt7d3xsC>}R+6kXKmN*}M?sXh@|HigY7d3)L6}hU}ntW5=qPXz2E3_vtqeche!|@9m zoz=VI=(r9Fhy9;VQFIeUA8|WByjamQ(nE(z(tL2wt)Y4sU zNhENC4B7AB`CeVV0&|sQbYie4`#f8yd@WCb} z(@W)GJUq@JZnUx8d^wNvOB6k+(=Fj5rhQkz&rg7f)~PxmuO~~&LP8WBCpw9~cw5g_ zf&U}JAC$_*mvkcAgWV%-%Yyy-1~0R-n1QV?8}^q|h{hpX!F%^+PXZ#l)w^X_A^f!g z*rnbF3c-E@jqFN;?D>~#?a~&vWP4hXMncLci_Z!b@feCxcAIXn7SY>i;5&B>Xvs%( zk+`)LL7u`~yPv!`Y-N#Iq`ZA^Oq5)*a<+2!__xWdrL^lcd7)~eB9gO=big{puC9q$ zDyrt)B^I}&+q`TjH0JZps*VXRBiLcC&x?CRa{CJ^A7ClD@HtdWcVFyJi{HNX@|TWZ zTp_c-ArzF{#GSNAKE!YJwH8mUb@WxQwQ`(jMjPOl-n87-pWfJ)fZtoHQ)6KYKHxTWb{~#_$QM$j7Tq_ z+TO{rqA;M=RhTdROqn9|D-XktZU|=2o{lM==Y3@-gh6t=U=d7m5hVMFP9HwfihDz@ zo9%kv?*xQiZ1|0ca@jwcziP5jB^t08wRNff^^ViDX*krn)9!l%BV31d1SkJEAdqn+ z5rwo}VWYD*L;8sC)dug%f?hJ-&1pq))bbAwD4l*!?p2>poV_F}u; z<0!>?Zc+Pso~@Lt6Yzl92MnR?bOY%kGd;JJULx6kt-k}Guez7N)%)Xj0(k26PXx{c z!a3~hK9=(;aWb;U+ZlbKN~rI)dqe}Bs{>mwd(c?i8eXHaFkSoakC|H9XCevT7YIIyj)$!p;2K( zueU8MH1BqGjaT!g9opLib@-?-EjWT3_CSfD?q!uIS&pI)k>jIJpD_9YMZLHc!^HQU zvB$FzS`>AH{^9e-0Rp+R!3WoRy`MahyTj@+k8dhU}d{>+Y=6!q5z&8n^Al7x-;}8aH&G;MGTInLDUzdhC$y>iP2Z>eU-;n=kDOF?vZG&O=BK^SWni zpy3&5aNB)j^PrT5JCTTX{F=CG>5W|0ecGaobw>ErtlC5#8sRvtD1>|YE;>spjpnVW zZ*vc{?pE8?B3v>?d7RUe88yVha(}1BO1pHfS#Y9FE6&I>7<$$~6VZl!$vP9(S;wBN zwp~}gTOajAo3=lD;??#`lOI)xT!2=3ywhQik~$es|$J^XRf8d`|UbD)y*F-G>|FDR0vg`n!S2v@liyay2F_^*pXlqqY9UO4A` zvpxqGmCVuHj{eE~bff+*S@>1Lp-=F?{04f{h=c4*y(WFzl%Q^+o@i<0+)zZB;=yz&mucbUVb16kZeB%!`Ud+otfNQz=?p?~6)f-;~i3iD7 z?oPmyB&H_&*WBbC>hile2JM~8RFyF;{7~IW!1&_F{I;!Mu=3M8q3fL~?F2iVTJi`A zY`aox6TeN*ie_>d6TD8F+`mUu9p2GsuAvEWkl747w%ug#8@2aV8dSynlF%RcVn*ntI&U&u zdhE%S%B?i){-e1Dbd|9D*hCP+IU-dqq`>aI7GQC;7L(BF?N{PnO=gK|NIIj#{g|9* z=M#m52fh|&*+DshK-)?-T5U&$aI2~ZAK_g1{V`e`AR=?*=U9sPc}ZIpBAn;Ybc8-aQFFrI1?a=+5o5@6W;EsKvN2I#v3vxtB z0;dxn2O$EZYn1=Ef}e;=c5%~r9eo#{MX#S8P}N5e%$;K#Mb9%f2H)1gQ|voXaY1u2 zXJTzU!_?+l#@}S6@-!Be3E7bsqAYZl-r!yccl=lVe%nSqvsAV=>8K?b`EMCa^FK29 z{|}@2*)PelTX&3XFHOIt z@Lsse?7c(OmFkGg)&nUl;ygX4dHr-gZVe{hCi%AKkI_B(3DUVES|>E!)IXv;0%l%02* z>6Dc;j|o1}T5{8n%?HyS!6r?d8Bf)joQ6-OwBRtuP1MhT#`!um0FY*c1_SpmVRZzc z2ZkZITP?k5FJ}!8FWoc}C5!!R_QkF&ey6>&4otI76LM6G-BGu8ERl^O=?wN8WFDT( z=Mkw_jD4xI5MW9ROXb!uyVx3x)q0GqZnUhsj=A1G^L`PmQlX8bg`0P;LgUX=*V8_| z@H)^Kz)`%q;ci@_wcs!`l{@~5GJ}J+g*4M5TbXiS?M_$^P)}J3)`9$^W!#eACiw&g zI}?1-OWsY^ft2)z6Zi!#*@A%L$w^3j-%y{bkO*#$Axw6~ z(0lF>>4T#kjc7ea{gq?psEN`7v2+g=H!@1&xWQJl;cS`CuEFP`wIW>YASo%++Q;=; ze%rSCAY>ucJj?5^y@b%uVzaxIykW@B^*@py6E;Q*MDymdN47IwWP+{@NV(-LCoO8U z{m6v)^JB3$n4a%5=WHw>frfYsfsnLAp&Yu6WF=ew-an5aBT!-{^jXT4;d1q!CBUeuze{xwN{gvtRaPskBb5REp}@7S;Eb?mDZHETrEy*hn(&--Dnc@h-~~ z(eE@0>^&E}&&^)1uDt);&Y@l3c9VLO1HE|zdka)v94HC$%Avd4p%t0Ezsw|M_HC}j zXTF}~YH~&+G~$^p9$H@mZhdlG^2{3>R_l7^Uv1$bkw%bA|A-sMr>8QE(5*{GTq@PO zs*0;SxrF95_=hhK2CZgL)~SmqE&4RHVN0JIl&uyL`3nv%iR#IDF)Dh@_iPsz=nRf6 z#)7x#Knvq0cO`lG@n(Ye_S6rnqwBLOU!_dmF%WKos~^LB-}qvc1BWQ7Po*Z2@$tjA z6+bje3y5W~)60&?&~4lmnn&-WadgB_&iUx;^?gi&Fz}ogqBG~Wms9z6yIuB*vjk}L znXfxFknWunRD-z(hE1>3jsV*o(S}}Y_|-ROy4uFIu4U0(p7D(p8GqZ0;s{&y@O;2g1CaMdhL$Oi^xD>9rk7?TmrO86Qutf0N&wP<;qQ%Ro%0Vs?1rxKn-) z*vgvQzkh&94GdD8!)72uab?FA+5453hpvm;ZWd(LAdNMlA{JQJ3VM7LMAv*ZilLRp z@CekIYx56%wSeK$Z=i+H_?@lX23Y3Q}3`yYAo)EW6C|6lSX zj^=<0Y!{LQCJG69^wFO&A$cWTgNq?iZ2~PS=H{~>Kf5-?QLceD zB1v@5L_$%bGpA>-HGh72fi2>!V~7_DjZUls2^Q2WV$nGs&t_O17+}5!K{{q~ZN1l> z+7JpS$-b$&sXVcytc?GhjlzG)v`1Q*&tvn)z~9{Tn|CYB)VDF?7?#sY_@+@a*y=)0 zMymzhjvldh_9oP zI-XKck#kapKdM|PFnA1ZOpk12yV*lwFFZ^BIXU<3DQZRP(+8Ze&V@**N2N#SD?#F# z6n37sKgh(Wwcc{Za+bF55t|kL>$svpBr5&h+Z`JXR`50HwZ zEy`a=Y3H8y>%gjr%QB78?n?I#^f#gMu8}AA+AubXQl{7_^Z|uGKUj-S7@b8!ZjE|L zYYGo13n(a#iu3u9r4f?U7~isaRkG4$G+yF_z9HPa50FXRgS$hfV8Rq)zEYI^c6RZ# zkYwI$Ef#jd9k~?5r^KmF*GV|2DY9i`p*&D$tU~>K4_))&b9VOCWnl)RA^!ER@YkP3p?u1K$+Tx4rF&u zl!P*0o-YNlsqhj+Z^?x6brmAiE}>Ze^zlMR1-Q-B%HWo0-c*L}XRm#z8WuagBVw^s z3&x0~-Z65G`)pt!+^E;LU7sl5yYb35UJoH|m zur3k~`D}Ypb$4BTW7Qh9 zYF(_c=KDSGobR?C2~%t%;OZ@|;fc}kfxoXl%~HvEfBe^D{jH~X4Hc3ME-Ia!;Ua3= z-NQ3#Ep%VkAX)u&zF`nFI?Mc8}3`9!vb!izzuF50uQ;>xG&gS-Z0s~df?7hE%=e> z&GtV9FrhiTfVDFIDQE*Ljx+uNap3H;JjRaAN4(r>|H*YKwtsp!%j)#_Qg%E1eSXFJ z|1cu{KehU%jH@pdwAep)x+!3oPB-4-{9e~(>?z`DhdWZd-^lC2Pb%=6Rg{qcCtpk0 zn&CYQT^-XM*C&@i$D1Y9;}|sg_F{1HdhgF)iDwMO+Yg&AYS~Jli8-FN{o|kY&6ot3 ziMEz^4H7PP&+)A4$1$b{VNt%Sma2{rF%=AxAzbezfuM$|hZ-L$$cY7wN-=V0XWLRl zgRFi$XNe~ScO!q?5xNA(+5Gt(*{mFH5UX;`R1?1bGtp+0rx=zBmwQ6*YWKP-PU;ZF zxz^m%Gt#Gy47N%=zvs(UZNpY&FnmuyEA#g!c zRRHQwK{MZnYQX{*#t^G>wV>&8xu0rensrc4xlF#Et9-rjA}MC6*}cKpMMDYCTfNSR zd`IsuY>0|t*)KUdB|EogF z9WZ2e4A>BL>?l`M_qf;eyeyz#CPmmD5|OhwUUzMiAzP^F@VTqf(v zx8{``>V6wA+RUy=a@CderRh!OZN;cQYp;QBHES=7|@o<5DLKRLk%s#s&?XtPs? z<+NwXpgJh-%e0p~)aD5_Rsw%1H7z4k>|rM`1k_3{3#!mS(!?j`ZfLsE@{B73bQ_uS zGn76=eN)6eF1DU*J;xXsOm{z_Mx_vBamMdL#xrbFwZye3FBuNJ{X|bM6B-saI8miG z@0i(OgNibSoojmZ3C&LDKb6qBax?njHflx$*4`Gpj?5wtcGUn4-<_uzoy4D)EGyr- zLq38g*lz>Y+0XgU%2FO`#hG);5?;X@Pmk`uyfoJsLE0RFKMbuXdxG4YDqbYJCx6TI z8EGbYUUG&`6Ll8YEdo~G$M;+&;l+}E&IZ~~>DJKL9{HyPxHW?7+)vHtBAX&{ zHHfM*8mR18fkgVprmiUn^VyS@6U8!0!1o(ScOdmf9M_EBf+Ni*E6Bmi!2exY-Cm+I zbSZdcPqPwID$dl3I79B!>y(hewzgP9^>}d0=iO58jo{&2+PU?k`POGo)5BM7hJcWp zP^_#Ztv^T$mCgEs+u>0q5y)#ugCe3iKvVai-kmA*B~pQ;<%V)jbDnpB=zH#_ffn4mVzQJ#C& zA{-WOpT$#NM#-AxVkNX%*X-uH_ZH`Ct?3P6)F_ddZRxvhr}1DXJ}8;A%(r|dUk}^N zmBC_CwJPqmk5EB|u0g(Q-`YXV?sl2STJ327F#`uG;02Dm_l|4KlLE z5?YD%uAOBuwYW%B+<7kbX_Sy%Uo%niL?(?m z@|4>Hd6P%#_2{*B?A7^D=U1?<-D!{`YQpTzr02d{9Vf})jAW^sqX>$?oySX&)Wn{0 z?V?28vWgEbqV6C~6e<4gkGQqcmFntIjSActOXY~$xKTzcC9M~!iin7C-3T~=`Qum3 z4(9i%O%euAlM+)q>kFf*hZ4+8jxH8ne!P=gqXiMSdah2mRtg>Yv^sZNMl;dO7Z1fe zF;kXOi1G;$c-Z3}cgl6&-61FkDA#>hvCgk6hD0)k%Rz8hN=`g!v{A-S4g4z4#$1`x zPw6)yrU5}}6jaH`Uycp~a;1baPrn2@I*1o!RaM{LyP!ndXXvR78xHq7a;I#G4k1vZ>u^C$aRobneOa0B~^*ieO@Cp+S9sj5gU z(ePi@m|6Kw?V1tG+CM+G&O&W^T)Z-klBzy3MtX{2quK@zQ1OoIfg`sZ>qPR&V^2Ro z9G45d37A>(SkWz}En1Dq4#>nOf6q=713i==j=jBNfoC($W%yavJN&b^?^ccl{Hli_ zfE(-g=})uYmGG-iEW%&0THiABTx5(Ce$;zk2Vfg12flB+Mk&@jD)ICfEz9hb$GyZS zYX>)|m`ji8%VW;QOZVEDI6?4FQ>*yrnJTwIpaJc|Ws9k2{gZY<0Mp(vXn|%}mg_K9`3Lu!*c7NL34dpivMZC?=UC|XGTN`z z1JIjO1v1ksQnAfJ6bp+Tqm7JkWoOG4i~b;y;CWv)dH-{H0Sjw+5?*XtEzk2pI1yNY zHmFFao{PZxtdKuM6p=u&K$+j;fh%$RRK9R#>DyFm1~e7&{=Axz4A!f8tftfD?UH82 zqHl`tB#-op)^is2s3ijmFF*3rF&KmW-uqat4LNM{yW&C5*wjZJzuSip+WKx`{#CHZREPIeZ5&>MahF-uFyLw?!o-)|jmcdqVWP`iM`gZ)IZ$_ZQ%%wp*TmJ4sIrD8fgV~pY zk+|LI*Z9~}UyqGjs^WD0V%()=y^l12Jc?UMh$Y^QUGA4Zb~RkJ-U#VsN(#!X*+7>WbqQ)` z5oI2)be1CJ^PnBm+EN1#Wj_c#O9K;-KkwgzcJL-S1tE811jLKhGr|FE}4JzM9HQaOSW6&;v4- zk=$uxygjQZ7d}DFGOA>=ZDP-rKN7#!rES83my$xJnM|gABFj~LksG_`R?hdJ2egq^ z#8SX2X|#guMJz3}M3i#=#2+(A`3!A^oa&kX8zDD2R;sUG@Z%6o8}9X&iM4f|S0Xp` z0=vQ!V-IvL^O{B69U3eANREl%p001l3nN%E_Z%6l9f*?ZCOy?vAT z*-POTOGb8Ht!S+^+G~B^A0>*p&MW?J%3sxvkxu` zB?Fn>y(yR`dCGz?z>Pdm&}9tLpNlr$Z?}10%f72BYq089sy)6+WxISGkZAb>67O8X z;}(38x~N%mOf2BR`92vPDrsqLZNmf$hZ-g(s|`!CgD=9OfWTM444u1km1JdQI4o@} zm#@*qM}KXhOHk=dlPvV|#|I5>&zs}nOWLhn@L^R<0N zw{oHCj&qAwSCKC!%myOoP#Sg00j{Snakv+T|84#Bqi`S0L zU|c?i*tVBW3FO9M2huqmb`t>4(|sq9|>x%Sy`EA*x-XLBu}zrYCs zi~Y$Ming?hL_>1gHd+G8nIVOP;fRHlFMk51serKD-xEbWav(m>8stkqsGs!4$iFsmc%I*k$VPqLotcKa*=&I-+nZSIJJsj%|0XX&%t z-%|DcKZh{CG5Gk8am@c0S2aM!4)ELhsLJ(z?;alYEcb&CEr%J8_(s}T{XXsCLIb8R z;^9RH`t= zT3#NEXPHWBvr&SU*e*D^UHMYnHoM!4RV={-T148*XIC!$G@z^B7O(-&x>>IbLV*TV)u4)&g-9WKMOpZJPhb1LDvyw zo)|8-eP1}ny9L;#v~~a9EPKUnC8atmJ#4WzbZ`l@r)8KjG{c!FuoV$IWLr~j$1dHE z7i+iTvYXuyD>qgYO|rUw;wD&-R?il8gU^DP0jNZYTKpSJgyjy_yRBqxj+ z{JAwY-t+BQ`y8Rrv!5x zPZUd9ExfKaghPVkAWYtOP85uc$L<2Lp7}&dVy_#hoy{JK@UTP`-*UzUjx1rdM_o~e za^JQ*`JFqn6ZQg^3I@+E+S}tj^mAAY!jF4rtm6`odFiUyM<*|8J5F5~yil=KXi8-CxbNH|GBLn8?T8#_MNq!iZl2v{V- z$)FP(Sr8>zX@fmKoDDG3#IPx}m8j&4NqFf`+M#-D&;?LF`Y4=!)-Mke8((zt63;O9 z*+W&Qfu?lfw8Bw_g&wDxAXqiiTp79 zf|UUN3%@*l_@fhR6yf()%LPR!OUP)sBXeQKG5ro|U||0#m@nDhFBg{x0H~V;dQ%cU zynLu=W%B2c6{~nslIlD73#e4pWeMW<#llN+se?qOOZu{Trav`Ekziag7|e4&g6IWs zSsWk>3`!N<1p(@Pf#cPS8X-i=&5GIG8aQQoy@~HGtcg~FTs^%81~Kb1edw{W7$v%+ z^S!i>c~-9vyV4w4C>hOQ@8wejD=z_4{qGF0ik7|Z-NY|bd$ANIts>6IO4~byg;~;` zrp5MZ9~sYml~TzAfS{v}7`rAmoop6QPOg!nHu72m&2Axdr&qFZz*&`vKLvN~W>P`z z*+3p05f_;}ZM_9%u$zSrv_Yock0n#EYFswgB90bJtSx%dZW7|`9Ir^$cvSP1_St-( zMLK*ORFpzFql(1LpH=ATPc6x`8x>K^{A7Ls;RXm_haHT`MlA8O_&+H}Dm0v_%k1x` zSBeNW{|t2VxpJF6?3^_q)MUx|RF89=E1K%#)x5W~iP$QNleV%^ZK7;mhzi-f7O#f1 z6``=epYh_gkB16%gVv+ZVi!P|nXTRtm9cUC__Z6oOER2ctXv@i`nh z>$ksNJ6*kaJ7*tYgSog~(Du8jlEIy|0zvPnArhSo2}u$UyP|r;_U;XPq@23~%^o=g zrIGW9O~iV^*`tM4H(};lK31w@P<85SrIn9UEb=x+AD;*dHcrNU7aVZVXaGzC~s=TQJ7E0W_k-V|O$9l}NpjdApQ(l^|lnZH%!>H~P z81+;rcTj#jOVVqy5#cE4GN%m6E=L?5AD&~4AIe)7hQB&$+Izez>9#>`aRbGpvQ3&z zp5p0lT|lQ2XV|?oJ~mSS52(bDe?MY)sHL8~;>o`)=G?~_&gfa|N&H$SZFpk#fYG26(V) z$q-PuwN}MSuCgb7zc)p-`;uKVWvxvr>7e#5-iC0fLG_Fj4>M$e$NyvcBCA^|JbbGT)4%5-KDDBS2Bje5Xmn2XPVtQ;JB=y?Q5r4_IHs4_03zuNincIuT0GWcWLm#_hrJAf?g1j%g_5w}+_`@x%lt6OYQL z!m8T3+A!e}O4gI^*dE5?v5I#7lIuf`1h>x_T9c#?EN0ZiT9+TTN;vsVOZ3n3+Q1C7 zigac~g-l*FtXkaF&()}Jm)$cDeP~5PaHSBCe_tg~!24#n?6l5jPSry`w0&#&Wz;SM z%pMV-tU=3hI9hp7YC|bSPHGy37}fqK?4{T=i%ETc>bv9L<9PG+X+uS5u^JP zIoiV>GqtJ-8>jtOCfe?)^=y<&hFTL6{F?A-;wm%!8tTw%pPrO{n>*O8E>?f)R~oBw z=(%S*;>z&9T{YX^j~^zQjF`MFabLYpWLs$wbY=FJ(ldQ3fHr=JGD9*jBnWdpmacwC z)}?vh(JW$CWqYC+`Op+&w}{2stmjp`kX!9tingcDkZ`2M$oG~fNZNWCU3y&HzsLkF zD9I#ZMIlzvpKg7XDgYp)o;>gRmY0n= z;7M z8}lo>mGJ(XYOIoI=0}Mke=ki`Lny^)GBYEI1U0IZGHpRB*)ZRV?{!DY&8|gb; zQ5mokeV0bi-6>0$)O!5Mqcvq(d1r058G)hL!w{D#;G}J#R|{SsN7rBC_0{p0%e0zG zRaOk%cKoMDp90St3(BDrCF8L+tm)#ErZ-T-7Jwf^Do(}uoRBq@SCsm!X^Zmc(V35# zI*>FRk`C;ao5$=8|Alvnl6@c_4dkqHKNh2PKHXfoiYIDFmKz>5DY0Qms>4Q3ZIU@d zF2oWY0IUj0NW3BDb?KR&_Pdi-{?G2Vap)v|qb~(cd@$9hWFogCZ5SbsC*Po2&no}H z8PuZwv;C)YWTgFvn*@}eSy7*$y}gX~pQTEMY{By6N;Mvu$ODuVx{X-JeR}|gHRFBZ z09c0Cf50{=K1a=iqekDJQR3$huFC2LqW^`kx%E+5FH0%ryTEollegWTIO?S2M2j$t zVYKbCs(*TzWD-+2330Cw=Pwsom+(LRWdA>{%w=`H58&H6T6aeshC2g=p);@C=lSnh zEnu>PCB@B%;{-%>cKSKIU=yVj?1vx1`-pkqngPkEd!JwY3iHtOSIFWI6`Ev;iz1XI z8C8#p-}?8x2Z*Zwg{>ux^$S|>oLD)Xu)O|^m}g#uCVr`?-X3} za{J0lXDg|`LA}~G?Xh_YYWc|P`+BpMU1mum&A|I*zHCOP+X=m3IT~b`nEFP{CWQmJ zL)K`&*nyWUlsR~=ZIkn5}#B8%)N_e59M|zs2O!ze)kqSrp+~mte5gKZOSYRm9Ksxci2h=wy>Ual?jcQ zf18e%cKo(+@4*jDlY*~>XJkaCDySuH4b6aDH-<+aTac#8!jV`;ip2-pfg%Z+C5StuzJE zrBHOTN(i*Lpi<|s=lvHY${n@xZUI#34Fd$?VQ#yF7uNnsdfUNvd8eo808g z9c0{4GJFhHpO4nx7j|e?+3sKx>;>IZZYwHN*dCX;nJ>r5Svfj&)CkZN#1&m4(;@7S zC@|(dKbC@7f5oLR2FBk_jZqf?i{##9Q%l{Q$;ZPH66Ws*K*I#44vG@hXqTlSiq?GJ zsG*~R3qLLg1Is})930zm@==0~%A^XEa&xiUjklsmC@9J~IXMnBC6`xARJKN=U5;2F z&D2kpaO}3u{xpsjNY$LHL!HQA^BMvb&6Z#70}28qasKQ4eiwxjIk9D!n7T3m4x>?V*)oR8;i!+A5d0A zCR25i1@9&QSotNKs>_q1XdD8U2oi~13V#bJEaC5^HVLjaHr|UYj%V*5?Cgv+o*N$w z;1mq3tbUmsch@1e-oJ_&nZJ#%Ua-$}@xr}7F+aOF^Lao6F>ZxNN%JB4Y7Q+} zlRgH^=WB)U`A z&8jdyEAY`@ijKkqSHELecxQV2L+dxi7PPv2JiLtE+P&i5Ga?b|-&|^4g7(u=?{4Z*)X$WODnR7DCzP*>0-T zNcrv~y<}cbLe47B72yZ}f8Tc$;rBGl)^?G>lMxhnQst^dw?e-f1|ZCl=pt!%$T#-l z{?42*f|%|m-gM+Bwi#v?6Y(qYV-RWEYWKnOeOQ~_@ANF+5W&tfYW|c@0&ZrGn4I#8 zq+#Y4X@Y>4%XAEK8dYFSy{Vjo&vAqt$rh^&Cc^2`UQcq~Vuww3`;)^_O-y#LJ+db< zH*d}kGoqQ_`#jqTuX~d~)BVjk=OW5hMO7BtlU!8xoGh&ZuHCRX_ zedk8RyZoM5B5iMsHg|WlWs%HIaHs8=oZTCP2rgMkxD~9P+J0L8d*0^UO%S^5@V>y+ z6qY#&Nf>;(oV8%}B*8B6ARNr#@fFgxfwe86Ri(4c7fOp26awfq-k8xHnR@c@o6U}` z6@=eKpt7gts(OBUBZbRk@?)p( zi}n(K1TEqcGUOh_seL4u{sQwh$4hKsDpTL%H@h-+G6je~Z!Up4wEj*2R%AG{!6fMs<0}m`ML`T}r201->iA zg+~KMXhk%df*t1I{2XV8K|Buh-bh$qQ$%%5Z|>Cx9Ad8Delr<)8A;NGwVWl)2@EXc zkktus^viFA9(Myj7`5c$i@uG%?w*FG4Vk)_=s2-)Mxd%77pK{rv^h^X`ap?byZ0asd2Wu_tR;$BU{4u*z6^j8GP*o@x?ljOd69PjIlUcPOI9;|CbM5-1-)iMqf zr(TcW``ST@ALl%}z4hV!^yD`UjlrI~*c`gFiI{=C60 zH0^@sus@&K-?J{kW)9hxJ+>OS`>Nm$TE@ETZ@;^DlZfQId3tzT4{&GrUXZpQ0DML4 z$)59NUx>q|sZ-E(*3IR~3ohM^_pTONvx0f1JP$p7pk~zj1LV{j94LbH`c+w-6&q84 z_B2vhZ0G%Z$`8)6MbRk@_v&++ z|EiP+Ldmt>LK_b06-eyxib0zR4zHoc8L?7`l(`{+AJ0&O!vmf|{2egXmsGe90pM(^ z*LUc3p%50MqC*=ND`puMQT2T7bePH+-+CS4>v^Dlm#Gh0pUMoMbtM-CPLblBpz z1NmlD)e6D340c6%zNTMJevP1P1G4LW-dfvLKHzcdb-jLevrD=sV<%gY$p~yn-(i9X zSF19jm2IH!NEuG47FOlPFLAlTvt73M=xgLEs|`G#rg-bPkF~T85D~6#9V#6M7)4h%ho1ENucC?fw2ybAYVRY;q#{X>H*@#CDmDX zK)w6TR5G$fkp(p#v%tJy0#2{rm`e_0CU1st)rOquyL?2iesGBGTVmaiNKrD4HV}&= z?q$Bl9k<%s!=8@EAM_n?)aHCGG=^`?W)O*g^SZx(2R2J?r6BNs;sH^Pb~Kw2E~t#K zjmmCCzq?hcXU)^(-LEm1F(Ku(C3!u*2&4=T4PtFn&`Okcb46Zwyq&emH+n*om=Oqul_QIAI{ik-_H)7`0a zZi9gJwCV!nbY%4UoJI`p*gxK9-&RC3AnI-A~Q zJ;kS|PLzA+_;RAlU(8N~=EI&Ev^={B>;OA8k+DBke#?*W3qF>z5=FS=c*WiX+UWQFhlK53c(FH*nl_2i$| zLG;CPuEm3z^9so+Sv@hb^7ur{MwPqjpA?BdGf52fIuiF!X7cmI{9Ww{3F0pMriXn< z|D;R&C4%u)>RjfERm^RyhgqmojYj@DC>%onKa<9vf-h)jVE(@z<$s?4iR!IymF1ob zhKx@CzYhB6OvU6-=M?}A9~OCEGnNyK3EdZOu`1edXR|xM`1_hk89(O82Yt6^0n9oOcZxScP%H0ST8DvRK_4UI-fNB(-u3vrg*FKw7No^%k<{e3#{~`mhW>Y zx*rh-nE7J4mo5pb8c4CIQT*uG{h^Vv4EiNukd<6#=DLaKFJM~p(Hn_TA6ZUfr i9CrVsOa1F#g;F&f{8pxpw0VYueI!NYzLp8=`~5E#trO}1 literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0004.Bwh5zN5W.png b/docs/.vitepress/dist/assets/mips2-image-0004.Bwh5zN5W.png new file mode 100644 index 0000000000000000000000000000000000000000..4e273c108584fc60d6a65fa1a63fd89c90ba615f GIT binary patch literal 19118 zcmc({WmuJ6_b+;rA|TSzB?y9qfUraqM7kR(N$C#hk`Satq(r5qyHf!HL8ZHqkPbnb zGkD+s+1J_E*`Lmr!v`OIWG(JB=a^&sY8s}jD0K~&3Kv0;YckT}stAJi27dn!2OWN` zDU#TR|6n+a$*AMt;7rUZ%_0Z`A|w7--TnPWs+%5Z$4Q6zR@5``*G$p{hF{QCGE|7j z@Ls2;x1m$D6W@JFR$~14rZE~5yGkiF83xX1sUazuC6T&k!gTc7$%#qR*vulqPZGQ0 zmWGR(krD6SZ3Pg`?>zU18`TDWKBQneM zf`ZePFFw~46liH_wU@C1l%?S%G+bJ8y1Eh)!I^)X$z1QbDkvyuFV7qBM06~436bCg zrHg6KkOwnKUC7f#v+l`~i%=Zc4^YAfd64PawIE7BWqSIlhk=k5vwxBt`+R~dQj~%4 z4Vr>K{0A4?Nf!rG?2D)!UVtbYMQVp_mxfe|-gE2#WgemEi8uLQ9@KuvhgEQ)t7g$6 zqxnDlA!grE$$A!be!|N`SiIa;nv1_`(M|#yYVyCWn4r!gfAWuB|UFkqG*)dpGjB*ir$hf&OAkz46 ztmD0d>Klz=!Z(uo`i*sU=JJDP@FCTw5_mp}qLut#b zA@Xo?-L&Xd`6(lFcyclo^2u#$+SAk1bRsV=kNx)TKV#)NNlDsLWmrgOPtRa)FT;?g zt81lqE$8{`p-<;i@n|ta^Z3F42y8<08n+FTh!NyM>6^v(RRp0iDZ5|RMU5Un7;s05 zSfj#{6mg*A3NQMQJewLIWVX<8kOc=5J2@P07q1!4=%?F)3$%!+T;oT&Kap31{u-8# zAqasK)fSTlmd?6#)gkA19v|&GPFoBGDukb1gB^q6VnZbV#D%+Fr8z(BTQgd;oxb1u zX=yY>oq0OE3k{LiTd`qE?P17#`Q{BV;x8E|iHD&RK>pwjr*Al~yurIQTO-m4Jbx7} zeOJrV@QW16$RZzp4@ydzU_Atp#uIVk$oOd{>xZ=Lh39O>V@%NxAK$5tQ8gpPX{#1Z z9wGH=p={3GqIWvD<$j$FT#TJqiySCpBQe^nVgy7177xnYbaxrA^m(7O z9HWLP|IO6>)3|bRgnd;?K?qZ zMyQnY1IM?ke;0D@NUH`(_~_z@PsAQz;xs8{B)?L6#DlP%#e7h^_Sa=jg&{SH3mpmj==bRmK2tW=q_@ptku@cx)z9EG{Lx0ZgSaB*=pdhQFk ztSD=0lFL->?(N;+ekN@4EuyWhjZ44I!rEF&T-@E$GdU@#wyth?cvyCLa;n~q^Uj?r zyQ#dKoaOcP_1RfvRaK|imVhu4R>frg-1Ky@vL&44BkM@=iazdk-V3>|ev71LVPPrz8U8ea;x3b*V0C)>JgfpXHnw<8 zo5=g;r9T*t+EA>IgRyl%@ z;LcJUJm@d{gM}P&@h2_xMj=hE!i)9~BkVSE6sx z^djDe4mGsoQs&7ndIq9OM^6WMQAEznv$Vbueho}g7`>ZW?Cwxs-`6%KyU1HQ)?tJ0xr#Ka_FTkNNWiTdhX*CcHO`S~k4<|nG{<>chh5ZL~k z=#==_rTPX2ALHUMkWZ?)RaI3RrR`7@Ug;SbCMG786&0bHRpOKA+WNaXI^$%Ei+NUg zsPh>Si<5+6tGiefqq6hDbUzLGwKQMTupAwjPQ24)VR??7K^gaY{S`hXuPIK5(Mvaa z1ZfeuI4w8(k=)x0&-m|W;@u})!@Aa30F73>Sr)4tXl5Eb_z=S%$@kJt-o?igO>B_9 zxWmO2LM`rwdeurPXm@!`y#B7BAvNAdyuuY8duD2C0|%o_I0OU)*RDlIN548x=s20- z<#yVrl9!k7S5;%%Rhj$h*pOYfFMdY64o|1AHl0u%4Ox`03Tu?Ppj>wz64&GFbQS2b<6DH{7mVC@SmxAL43x^cj6zs z%g%)@EiIjwe#RY2Tcw1BMI{U98&}S}*q!yg6W&gY6J%||LlJR!c=+|J7+rJ@G^s3A zOaA0-?qIPr)m(9$cv%*)Lq_!5q_`nQr_BagsUx9AqrrGL?r?I#X>xXQI(!g+lyB(h z=m=Zs?BKBd_b<&uPnQRgvNZD|w{k~%PX2A7A>Y4$pYi!e>*ubZ5LsTn2ir1hr=p^w zqeC@WRb6eLqk@MQu3c_61vS+XMGK!OFE^Kx&rIIIfd~1No^HH4m}?_&0*B@2&z~Fo zm@;y5x{aQ$^71{O9tVbswtu$0-De?x>xqIwDq!8K0B2JzQN~{vor0&(LyQ1GZEkK7 z5fRNKGt52LnQY;Skj%Kv@t?1=8&r|leqq;Ea2e4z%Onn`vRbP0@~r-CPNlwo|MQ~= zw=p_qbjQiwFOjXu+J*J?2G9Lf=m#$^?l>^Zgipp4xqshqzH2H~{nF~1Z>g+?UttNl^vnWEIo10HSn@>z^`}Qq4QCgC;qM)E> zdiwl(Jjc6t?@s61ymV@qpV1nV>39l4+{ z>!+bt`??u(H>2)5FL_^_{X_h1C#p)vY-l>RrW+r?#QOA!!T7~m+LKqaQUZHRKPH-d z>c!W00~cyOe*Bn_FapgjIVI)n>`cVx`1kMM{0_4%)%L+7-KQa@`g(e|DPJh>D;*_B|{N`~{=U4iaRM{?tfQ^0>2oRKGow&=w94)7e>{*eg7Wc#LU!GugSZ%tc({bmx(F8u1=>$;z+~v zc0d4O%k=a!DJfQFW@ZkK{L<3c?!~e(8}jgWa&w6&$Mk}7Q7(!Q zg+!jmhg)^fY+*4u4Vq42**4bAtRew`;2hq;=?gr7|MTX}8~aqJox`04qt+0tD_1x! z!U3-@8hlo_dhtRaA}Jx^20i_CgpGqE(0)ZgURY9pmp5&wXY@Z`{DOje?!q)3jx@_N zv%6mwc2G1?4h*F~<{U5uW(6h}Pj3jM{J7wldP?UW}`cRX-z}qooU9gYn=v5w1K)Ac&8TZ+l^9w^?0RNY1*lQ*Jes z2dx+lx!h!YRAx?2G~Gj;50mdE8MW@k7Mq4d45N7vPUE6Cvc}7{^Q`|F$bkyDHC@4^ ztf2w*lU82Ncah+~i!o-`Ju)K5#>U3Z{{83ADD@|WXx5^HaH_*{eqC@9eVP3ds8y)5 zzdlxOuTZ#G!-=VFNP)dD41B|st^O)@CI%+ zN1B-`TU}^?Fk*F2O0wbWhXJaiqeDtkQb$)e+#&SGk000Rg#9OgN}3krjq5}gW@IcZ zbVN7byZ5{5(DLF+TTP}g-9u*EI?r zL%>dO8ZDReu=~>*8fff7MKT#~b#-;YnLXIqNfP$hP2e^-yzW@5CzN^)xCxLejgX5) zTPP7a{rAx8rN=+o!Y*|Q-3Ssoy7Q$U)CdwO3AlidpLtP)tpQiUD<)tyWKK$VVv&g5`jY6hf{Yy3G)xHdJxX1bNsN?;qaNmPSV>X{(>CR{jL$+OVH$#PK zXlP)AI+~e21qZhS41+&2*Avouc%PppB{FNPt22Lj+xqqEaFPDR#0<*3TsCCC%ZuFQ9Y{;$F%nZ(Crwp^M`9g5jrW7~eb(fCwAg)v zJwC6X0N>mRI=xadzl?;$^Rwgq%W>^IzcyT0?|UXxI-b+={y{(|Kh=-c){NAde-Tvo z*f}|Wbaa>xWGg&={1^}`@IaR=mpeiX0UW!)+xy zk!X8Y%Z(QU$!^OM*fyto-75nDa&?2vHf9*eXVU(YN+DlcJOJ|Pq6BzO`>PrPDTUeD zx7N99^z0s_b^_jmGuJz=az6HzpGrYh!ra2*u!G(YjvDXXyLVw$q@|@vMbl9W*wncE zA(nZl9Rr<)Uc~o(#M`X(Di`yfN`g^O4`c4ufX3sA!iOb4(y2eojBil(4jd#{Gd`$~ z9W}G9eM#bG=jLA8-W8Ycip=ti-T^3ov8>@hV@Sd9+@)M?u!@xQ&{j75Rp&FsE55i- z`pqB03UT_XWQI!D%lY=dn=$ztG9|-Sl2~cAzi!l!eq(Lwtm57Ku5o@m|BHX5%)Me* ztVch$=KeJLKByxmsOI6|z(XN~zwkpe%-hLwbqLmO@5r>KW>p1?#svJ8BCgCX9Obpe zLJ-rYhAR7xIaUchx%lnY<#??-NoJpdVpnQ#91xzEi$gtWn>eLeAu$H5R!rSj_oFw> zwGSA-fEpZHbu(kX%H35-%n#3J_qqN`d3w*=jRDu_g2YFr(@TRwyFTQgT}M)?1XMpN z*qbr_I^rdYu`Wqt=$}^rdN)gMb>L5}RX08hPD)I4MiNtg%J+B?l07?>G&rf>Y8Fqb zA4W`hZmet~YY)84`B=pwRdV`Fp#bIkvHww{AT0f^|vLK z!etJ7(E>y(u29x;W^rL~9%=1nT3AtI#GLQ`IAhve|6qFk_ng&FudmCzqZAt23Q4#p zNuR%4?cdfC`NndZ@%L=lgmHN4E$=24r)&Mw<6r_$i`e&!AXHzI9~m=B*_mS!;dz)LJ<}z9JM?x>Xc1yJ zT?^(*sVj5e_G+QscTTSjq1xtWGo`T~v6}flD|#JltFRyyr6NC96wN}!TNfM>m4lCG zqLp&)Bw1NWf3x7Hk`ZSZkNT@A$SHfuvA%`-$(UJvXm;u${a;FCN`*t9(Auc>=~Xjn z{z}*9!oK$;XavuVO7A9D2a9%6_EXF7Qwh&qd5G{|xxV@DqvB>n=#+KfG&`k2EzOes z?E%HikX>Oe0(bYti(ySBQq|{)tgtCTe<$CmZY2q}M(gH1(yexy>5j%CTQqoGrpitG z?7{OtDg(6>#MA9P6qyocYq|Sx9bf3XDp(GOXXK=7BiqRz=&qlZe{M)`(nja7@pit{ z9!FxV5>DMnd=*Y>7*4-uQJ**M+mI`&Z47&@6bjag5ClEc;nlerqwVy^RMgw^_DOxN zqK^gQfcjG{Xj^AqZk#ib`A#cPoPm&6eEg+JVs_Y>mHo*O=ZBkd!xT~Z2RhBmZO8AT zySmeta4=u`S4gIR#fb`YlFK~0Q_D^Xs{V?wTy{68TYAs4%Mq<5;0|wI7J^!Uh^nc@ zk@m<8kO#FJ$6BrBbNq9os}5dS@BQO~h?-q~WxL!N9vpo8_N|MsE)BslbmZSz7ef+1 zVkX2~$ocvC;lO5=J2C!8w5JHk&ChpZ*6n={STwh4#ih=|NcMLXVQMhI#B0<7^24>F z(g-D|ULZIQwpoCX{zqdY#Fboj)OEV&&Z?F4n1K|(Y?3^sT{Auf>wt|EH=bRp(OsRr z(1+I%M?44HI_Iacb*A58PDRCA$v8aofQ3Vltg!JZ*w!LIoemc3`hL1TTLI#`?yv{E z88N&W!XUzZy~D$)KRTlzy~nuBG!+k8k)s|+bR|;P|Bex&_X$Y5fB}O37&|pbjxIpd zg%CdA|H~Hn-~1p3s4;j}_B{bpYOFNTtaZK|bteZK)|@&*K zK6w&IT7Kk;i;D}DUEtiuo12>}D=Uj2fR>k*%$ORQnm)*89c)gW0F*YqC6O}#M8wD2 zyR+-|d`hgs%y6yqvW=}R;KiZA!EaHt9860FerH+f>AY^6lfz8RtgOq+%iMZ3F+nzO zu!6+`v_R?PcV3b|eS3uDC-k*Y+edhL_ht7r=d%YA$dL*kMggO;B@~ zm<*bIeSo8mkB>7kt*otq>Xa1p{*CnW=PZaJ$iYxG=&wKyhAqZq0z%n2F>?z@Z$*X6 zmzP*&Kc%~Ql6qjhkd^}N^264D8xuEZX{U0O=y&2?M@0$n@>1P*$_y$GwczM~p$nDb zd9<4q9xf*@kB_u``z9&=yP=^WC@4rGoIEVldEwi&D_5=ruMEwz-oAYm;c=W7XZj6` z`sdFd2QR>1r?H?jgfAQd)5=QxQoOhP(eGeuW(E|mVM@!SiVA*$S9?=#Gy7XJA8~}C za-dQf@IP1=vt64gGRN6hQ|KMRw zYieoTIIjom79Fe>(Rb&Xwa zfJXk|gTuHtZ=j45?r1x$4&G)eDJ$!rnen^qgMxOZJQQy@0xxz}hd5xzD(_7`FMSHf zh>wrY`mU3>c-wW6QwE;t}Tz?8Z`Sf7I9@r6ZsaK5n*b6NI7(ncLBYjT~9sANHIJAn|9BGi2 zMJE8~&8A&%K>h%A?(BH2c==~)oN})8o1h@f?U@^`Af=DlREa8(g9R|Zwq|W@4IliW zlG3lXHt~67DE(*8=v0O-pZ(ufb#*m22gl8M;72P!3Qn)$Ca{aWkuJOu*=1U!U7lT3 zM9{GV2o$=LzP>&P81%0cbG(4Acz86r?|kj*x{A#H{>>btC@mct9^MU=O+Y7%gRJyr zT#*lIor7;YJwK6*H!}ObvjAI&KkN%ANp&?f2m;%GUCA4I0>}VsYikBg-j)^?I2a&j zY8F3>TtF3^GqbSFp?;c`!?5^eTJmk<|! zs;&Lwqeydmr;89g>lv)yX+ZPY!36Lqcj4&(j4R}vIzbEWj~{=5-2_L#-o!*rMWxi| zk^}@HvHs|a14S|6eenH?Afy)&V6x5>slo1#wIZ;5zLZMEj6>#`o zrJFx7q19tjd_z$QDC5y_+6X}(_Qb_fzPwNxF}P_222G(z^nQ9@83+N^$nYHOf0NQ zilgG@b94ke6JkcF%@jU!f~W;OF1O#Eu^^L}^H4yQ!)aU}ErlAMu5;y}nO&Qz|95_N z0=83+A&T4IPc392g>H@65h3xwh3O$=-(n&HvWM7G!Da`6@U>zUGBw%|88fB??O$Y>5; znb$!1lGvcBw_^FTun=B`63^re0*A=w-h}g`x&51eVw{a|7aG~ zF}y>T^(&z$)w z`YHH_=m?0Jvv#1|*VTDCIXQ*LLZwZ^hcx~NMG4abx*NDm*24vqC%YpE-7-eZ{)>;{;m1>H!(5(3+`4{?8q!sptvdwWMI8t9fes~Bn%AbrwLYS9tsOX zNAmXZxlaRo$7lW%%mjW%rs$3}$SKs<*Jt8M8k3Tfqa$@Le?Z^YzVj|8ht&`3^{3KO z#}Rz(h*Eb0UHWr z_D71Kcqg1&T^lQqihO*0e6QSn{+-m>c@L@@T#wO-2`x=c;#VMBq9Y7UtwK}a+0AW& zy;@OGVQ6xtW9RVD9ch7`@_=LJdv?5|L=PJP^Bj6C&np;H&{s}5pSyEiG{y)PJ!`~8 z5_zA4BD%M`3nGuz-Ertchq^yrU8m3Pvw%Y?-q{t$dYe?%!{a^?I_+4lTFxw&HwMu? zZlbK$F$|gYh0r;nTkX7R8SyQC))*QCp0=&6t-ZbdB?@^ZmbTse?^1?&vHsLJp>^)> z+K4?sx6|9LT&4jh!bUj|K=3AFdc zUtRDVM+>k)Um^i%AqbtYJK|=fwewje1KI;XLhhKd#>R({lHFZh0rhrvcBHtA&=MTn z4!7q(qF`nM4~n|A1P(+nv?N(fG#f@@g~OH>QTBK!NLlgG5~IMhG)5coZdQ0Pg4o+{ zJ@h)L7_Ni?3Dy@WE$uZo58-Jrl>oUME`a{LE#iubqQCDXuAxEJWU#ll2dN{lzQQt? zWXTvGce?7r2mqjY<1^tGC6B}9NO?nMJ)gvBU?FwO6TDr zY~=~iT7#2=h5)Fw;*5j+0_Zi+*GF_nub9M(g)pqsj(5TNLy!{ZJc+NZt(QJuHMFRL ztSl^QJ5&^y32`epH$F8rJ%dESSlM$25cpqgO;5plY@UNx1>IrWp$0FOP5o+LgnZ)|OBdg#w*zhI0?+t}INLZ3731J;AI07{x^_M3rG1;*IY;$rH3 zXS2=8+EXGBW5JOSvh2?U^Bf{v8&mZ+6bH?ClK_J|y10;bcDc>?TtUG8h6)<95fl_$ z5sapNU}kTBz1+yq5Lwn0hD-vqj$a=|UIjb>7YhOnnBgoBAJPt=>zuOvgWd!#95t_L z=k{DH8X`=$1#MI9 z#ib>>`%ajis-XB{RN^bivO8bO*tf^uofz+}tr3iO^~|b*tpXbD5a&DVus{W@aWQ z^$ZOBpgWwOpO@rhmz5Ep8$s^|QWO~xaS1FZqA4jUf$0GrpMXvVdZ+^pKv)ohXPSH- ztRpX-qg-5F-PT9vmX|vWdC)O1&=5TGI}FE}M%VdOp5L6^aR*lf)&dU~*U8O|)pIN^ zE)L$i2>J!6t!{2^D8clmCSR}$AQcl474@vzj<#=T+!vsvMr z$Yr2scjETa$1HGD5tz%x(Mlwlr#S!WnD3_Vrbv4S@@EZlD5S98o3KU^Yj$DXkp20YJzCY2a1aju$xg7YPAVt6zKK7Fq3l$Zj9qvm970wpO3&A2KFb?kDPg+4Y;Y|V>ciK&7 zYHq%#L>>%>8fF{bU*T*>N-ZrB*Msx`Na{De9wa|u=HBxQZ9vQU8v4Y<503D)1A`|UkZjE5E2ooJbn6khZ_VMJ+5ky(fIjEi%J>SAAymzWE0Ay$lZX4tAUkv#L1bl&e zg9IWX(%jTU=erWM(!)?a6y^>gHyF2tE??1v_>mKGG*fMuU%tFI*CHp+ovhY-+|5oXC7$*U;(V<8+~DA|M_P9 z)+6sj$ld8^YQl4x+u0EeeSmD(T(STrbUw9g`2db^Xh48wwA9rpsHrcuTd$*A1r|tp@Inih%({cf53Ub>|izL7S{_`O{xePynCI-ye~Zk}}>W!%0;%C^HQ! zF1801#@F{UE210sZuR%+Za23<`Pga2v}PVZ9v(#FP*W`^ryq8db>+X7?gGfSu60oFi7pDK4xPfx>MF!WAMO^uFH zJW7UR#7`CZREZM!DMZmigA*|@Fd%=_EQ%4e0OvbfHTM+v;p_ImE7t{VMje3M0p2@0 zJS5ETh1p>Ta0tMsGA%C$hc*0$&#XsUM#j?4?qGjkztUQyX?hpiOBuqb$ zG@u1CAcq_K4AYK@=G zRcH5O@TSI#4*s_~gokV&?wDw4jX)9&Ty!-^=%Ew=??MzK@Jr{9AC)lvLJbWKKSA_R z%T^0zY+_RHx+Y-R&y4s3Y$`0=fUxM(JLGzalfAu{Y#tOacnW1Q1xU%Yv_Q_gpgl8A?$UG~#&-G%(DDbSI~m45HIqwDX2xDnI`eYN7K=;{O$HC5y- zGc=~m5dKMWntwz!|CEWdtw)N`W7A%W0*-=I63C0BfrLak?c#>-VVp_O+_`@3T46x} zbJ^L^9w6qxFART4p{d=c`B`B(FuMuKxG-lBPSB7H$;g+~U=M#yX5eH}w5(pLs?jU* z=Kxuh>3p?t%9G)nK1r2?04Xk3EvLs5Pdhoo-znXT=tvO#C7MGZ&t=Jp?)M%xm&nnA zKl$s?9Yhp@YL{upoPnD+Z^A%NtA=sS#MENThQ;O|`eb&7x{qo8ZB?i_oDguOzg!S|cM!{ed_>z?^7buhK&SFeXKo}L~LK8c;N2?cgNUT}cKRC4!haoJVq zK6V@8TnQY?^Z`+om4_R()?ceSv4QGH-C%UioU2075Fq|trn^_5f*@8W!o-AL^%#dv z#1|CqhoILYEs%TstiB4|_~<6&6>4j0+#!UAAo#6SHe+u-eBjy+*aR2|ipph1;3rnB zw4>t=JkTdzF8qLpk56ZKoKf$G&!tN~>pox~xAm#4(0wu*AG&$n>X&|8)5N?33O$-j zYzY=2{_R@?3Ek?(c^MdJ$v+O$A~fc|lI>%Ccf>y{YY~nWwD1-KEQtQTijKZcV}XHO zc3J2p5N~9z69#n%0QHNVc?n?eyIilCp^HL?S`WE`0BB&nb?c>H>W$2_w1C#R?QYLK zdO-7BB1Yo%oUkhrK^@nc$0Mhf>Th{QsLq#+tpgUGgJshF3_zBVdmmsdd{7XUo_tY3 z5XN%?1g5Ktg98e3<0*pn2m+2fU}88A4g{E&;ZhKA05oRyS%BgJqLj$_;ld@}!=VTn zo0!PS&W66Psi3d{OUtVGeiTLojGWGTu3cp~Piks`k!_io%v=f9mX;n+PJ@H$w6v*! zqv1Bebd6(R_u{*^Z$aS%^MYvk89;w#=1hq0mXvIR6b_BQUUVK}MkSS%WJYIjw3*=h zz~cjIC@!s|lN=Bb5F4vvodd^PLQ-;kY%J`c`OQ4&@IZaP_w+#O7Q`>0InAN(c*T-q zIJRCdpe~-Gq82!Zw*jC4`iIrf@wx*s1ZYnoMxa92ZafE;=sv!@+=_XCCicm?s*<`GG^OK*l3A(}5vGq}Yhn6N#K_zi*Vj*N{(iee3}XyB z?WrNfEuM!j#nM9WE6nL`QN_!~NBu$%Wl=TOL8lcZ-7~Tx1DAgI1B= zeX|~lbX%_6TyNuv8%H0sD!IQfV7ubk$6B z`K^By#z=X2IT$Oyi!+}vXzdo&P(;7tQH^tJ|Bt*1V^Bd_8e%bTVEDwvk)#C##c<+s zZ+(xhuKw2J^}}4`rVuM1n~zUG{%;Skswp6 z3Kj#jZM^e%+J%m(DSas^b1>AzL*b-Buo_Z)bDus&5W>_w1@RMNwE!<(tdF8$VWJ_>LIAYsml|WemFoHV^I55JJE#=U zCMfa0!Fz(317r|0b z?iRsvgXlgsHg*~SJ^u=_K2@KfK7eyKR&vB_;49xm#FIalap0uh=AY^lKDL$Q!4`sNswK_NVm_ zoLf-FfaJC&g7ye{44^4rXx!j;!j8&+XNi@0mEdN3SId}-o*k@Qcv zUEMuK4j5=XMj)60GSoMSnL_6PAHKhT7xKpa>iA-zl2nz22c7lLYMsEM{tB8a0|6<` z(7*u6;e!p}2a*|MFsH`HeGj(-BDxu=rKCE5ZNjyd$GPH`-|JsL% zaV}}gU)8w1#!M&V(%Ikd1U94t2+J=1j;jRz#@+R}V0B0}M8c3}s11)HPJKLG*)ANe zeeYfJgmL+pudgqNEkVE12mU;J_Dq#0@{s-Vyj_3QdYh9oYv7NA*7ui!#A$Jz^}7S( z3yj&EylkADsc?%4@+(iDjsnUDt+fF%%@66})l|UV&>cb8FtN4ufdpk}C=N`T8NZ8030-Kapz9Je ze2(YPdgOHw@m7xMBbZnmw{Js``peYR8MI9>a^O;jws!EVS0jUim)t}{?wwsZUouqvBAN= zLlzs1H*aP@F9MnjUAcMZfnf`BdEdt}DA9$+Vk7rE zan1kfuF|YT0l)Q${?~2cn_>TtOT&rQ&4DMy^m?$_k=+gP~E6uc&01 zl|D`2(uW&lpd!FdLdI4AM#%O(wnxT!s!!l!fb(={Eou5$6VwilPj6sgU~gq51ir){3JGsoRn~G@srY2YoCo*s`y6hgZEC8k!%FX1w=pry z!~2|{j`?A+ZraNjQBA2duZ{pXMb`&iavOo-kc|U2H32lz1_phYmaq)Zmty4?|rN9`c<-u z^79`+s|V@eOS6^awxbxyzeTLuHIii-z$^+ukc4}CVT9b=#c%{<|1xF1Dp1co3K$>- z>8LGi5?f;xBo#=_s4@_GnnPD1VwHUyPt~z=saK22%6)5ml|h3M zr_$fGRm*ze>PnUtM+1l19Vk|6OsgL zWnm-okWS{}vIA2wHZ~TtT$JbE&xxxVyJ7O`xmXtPqbxL5hwUCBfIc$d)>M$mo0kn7 zrqGC?AHx~Fw2=WSfp-ih76Vp2j-#@Th80sT1ff79l~3fEgP(RedtSWYx^ri;?0NWa z?htR&blEB69sv%C1tzU$H+;;of>@iZ!i7QW^!7f51>wODkBE4G*F+MG3F58TE2O&d zRGUH%9;~gb*o>BZf$#tn(Ea=Oq1~K86dasKnI;_7m@sx%uS3oMJg=U*^=wPPj8!-@ z8|n8qEOLgi@Sq7D3;K9HXbR?+OPmX^6K_%_=HslQ?L!nGS0Ai8ZTfKTB_ z-HN*!r;4JXr7hO4KUnFz359^)nwc%j`Cc(4K3yVT6;?APF%f6xxS>IqD*?~G@vy3s z0ZwSsqpM&BUpqh9740O1MTTk|7#t+E(6q3y`26`Z_qK;BbQP#Eg#yS!y;XgCX#2e^@pOjvw8S@WA8U`)VO zOt|j_w+i5aPrxU^W4`p3Kvw9Z{9ITFTssJK1DGUWoPZ_>0;srP6r6exmk!se0BpmB zTKKEN)R#tyjg3B5R;zGn6(IR^gNHNZhrr7L2T{W1fa&JVjrDcuc-g_>Veo5yL#P4d zLx`_~_s(U|1hyG&&MedKfT#@FABH>-?FZT|ub`0Cd-j;UOe1T_0VO`8ZCxGlQ6OIx z16gtLw6iM$UYYo@Nlqa?xnp5*c^OP_DzIIsspD)3b`)G_G~kZ32Dsz`rm6(7h3xnI z{F+hR{{MMBkhJDMMKbV8u{3b6q(ozJ7+F8Lh7)dJ<*MGy#Mil1LK2{!3ov`khafCQ zKsuhP@2+TNp(3VgmZS?lFaUJ;zW10Bz{2j-xu2D|M%T6+Lg0H zaMqadwm|^~77eC&ja?At?o??E)LQ8F0wZhQU<{lf&>LHyn-T3Iwbd6;OVD^gj-qu!uET|B@RT5F!ZVi>WE*=4fk2QsIM~p&q>=~?(W`!LVuBGL z`B={S>f3kk0D8dLAMWaciHzzqfIqz3X$rAy`@dO#n%fczVbe=s3-s%Lzmje(&)Rbd&I zm$wS8^drA>Z@2*hOdeJ;KQ|ZBR^Nw);-Bh#Xg3Z^dNq701F>j9TJCTt?!`D$7{D1A z@y918;hJQRJ25S53afHe!{cxehTFHpo)S=S$-%YhJ*XTkJaV=bYSpLlLY$nD+bHGu zI!MapseTmp=dRM^7>#u4LumgTr?;nz1 z#Cp0kjJlbuTgQcYgY(Sj2nD2&KocIdIe-KY)`-)~`5OSGi5oC2iK(b`w6$*+$ST|k zV}W1aa}>yKtgMWN2*>2fa%$_E&aSQ1`<%Q$eZHnU%;N=OH(1f7B_#|GFmU=hi`gqt zVF&_zRPlo_i0e8qFyP7vh}M%}o(c$PIwlNkZ08NWsbq14V4jHk_ALB^01&U+ac_V_ zg|vWYYr#Jb;}1$mx-yFJ%8^DEIUg-m&#a%hbJXbMlC=W0aOmQ32gbLhz;R`vB}9h*}pkos%NT9Se3KyYmv+WDeCz3i(5!2 zdF8{h)+ZGP7;!U=Uf`r+l0736i`V%?$Ep)wms-{N?l}-XVuFg2l0<0S5EltrCS%Hs z*2`D*yGQgAa;Xp;1;3Q!(HaoA*N6A*%#|4HX#(2#9w$*N1Q62h$wFm zZoonZ4Xv&g#0PK#rAsD4gtWlDR3aK0xKw7!cJh7E37lgh_FO@h4u)P+obieIOP>8Y^T#8wUge=BUB;|BbH@Gm41#0X>Itg!qHsN_*caNHIsQ zgI|pQb(-v%f>2jUzC>DZ?t?J)%Eag^BC2XxlAV12^H;QnV$Q58S>%^|#wu?R#{;`i zHwY+8JQd?}QhQ${{Re}FmH3s%A!k-Nw*xQd0*3m;s`%yq>_9*l`Y$i}@4szyc_9V< hiKG8RmOtVGBiC~T%hNhZ3~mx2G7^g7MPi08|1ZP-2mJs5 literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0006.wiw7MJ4M.png b/docs/.vitepress/dist/assets/mips2-image-0006.wiw7MJ4M.png new file mode 100644 index 0000000000000000000000000000000000000000..35261e15952714e1fa34f91fa4745875e4cda6e0 GIT binary patch literal 19830 zcmc({XFQhS|37>ZvdZ2wB%6?xkgV)YvNu^tk<9E(vR9J5_el1Z>=3d-$c}9H$M^fY zU-$3-@V=ki*Mp+CuJb&O&v=i|afYZW%ih4I#6}S0#$!1tbp%0)gRjpqQQ^)H#+$C{X!n9~a?^9VwZJeGQ>>6W^gW~EO&G10wETHqsB?ql!}{aJ^PniM*5r?Q$U zN`z?$b2*_-tEnEv4(jshg;t4N5O;hUPbi)5(2L)v)*eFj?6 z?f&HS=Yk~HKC%vE4-*=jjs|S3uRGW42auiGca)G|2gJwQKV}l%Mn(0trX2`2s?QMj zJUNO{%w!p)T5IJgD%(*=;4&EB#6%Fx0Mr*R!dh=W>M_^Mkfzs^@rC5 zR#qPwYNl!OejFo8{rv`F9A^yQqmqYO7-*=O#s70h#gRso)y^7OsSoL!aH>SSZowN; zUj5^^MTy!sdi*)`fe<6|p)zg4|NQds`Y{nE+Tv%Kg-uz_8xQI6**?DBsLl34Lu%`) z+CCb{dcNd-|0%&svHwi7A2nxFbT7_EY|JW2;1xyXNNR9_J@o zD*t&+)f{<<#mV1}kz<>5Hi)bFAZ%b@kg1v{N~FjfJGdG}!6#GR$;RxpEEYEF{@Yzz z>!G~52+g(4FEaDs57z8oycq;`Jm8kXwmQoMiq@wVnyhI)|KHG2g zYA=og26LlQoH+~i5+v0h3nnHK5E`2s;{5RJf3{IhU~sVet0uD2@7g~OvEzj(&pY0) zrbiy$`$qphs=og9%a_%$v9a~_^%eG7T3XqkKDn%q^?v_eb9)LE>FDmJqM(5PV;z)| zmzUSlifaqgGQWIKUAfO4n~H*)XuY%hXu0L^^i{yro$*|8{LQ@XL|jV52iJwAFS$Hy-3Zga zKy9bCUW>{T8cI503X|%dyGF&7yTkpuL^jIFMPhv1C4ng|r-g%K) zz3~2kmFyWMQN^LBd4`IpDt*SKn?IW{)iH~I7N8fPekK2S@mxO`_jxWm?evMKk#=45pe5}GU#zd3sWU;=a=E^E~r+u=p z8WbQ$ko4hK&U4ypvPzUed(|g8s1yF?WlyEkY8uhd5Jn}?xVj9O1ywJ!o^!Ymn|{1|F}*C+@1FXE&$dldgK_rq z+ihm7I=h=e_5!?4=GT|CaMUjU6YB)cJYUN;$`0-X-rLQzwd6EzSqz#}nLV-;;17X{ ze3#{;7{gt97Cyb`WTAMMkdc{(Y6QNj_vFNt)U4n0VRpFszFk$J$4^$Vx8K~F{rsh| zk(!$Nz18x;#zYjI_{HBf4anK1Ca-^6v+y#|*MDzb($FBn#l^+WURYH%*3#1Q?VH2M zr^gT6|Ej(dmQzxSC@tMiylbXiYFMoKNGWstWE#`I%9vbRRn_Tu&%)dLlFOjMKPbri z;_S)e8i%>22Tt=X(b4!XUc3+&7k6~r9vK;-x&JDhTG)9kPc1GkF7)2N<^F^uKC4J7 zL9ToEs$Xt=XJ%#=bo_~X^JYzT^$kqSyy9Z5B4KI_zlF86eR~4S?gW9l~YQR8@71#+5Jqo~eJq$jGRYA*O_Dh#01Btnc2weOpY-(Ceuvt>d>; zVKOd#IeB>o3~t1yzWz9dQFi{hp5Bjm&ZoZwWu@rxzb4*gwQ-j_4RdpL5)9d1RH3*NctGm0_c3fVx9hUt%E;$lq zW@<`IZpwq3BJSG^<`l$E&p@fX{dXCryH#3P(#SVi6cvfREMkoYb=UF^-Fot4#U z^TmmRf#JQdak zsnT+JZEetX=pxe6($0DvSlHNoJw0`=Hj_)X#6NSeA1VukS$1&KCghfuUT%7@`6@DN zuuzV@md#Yv%5L~1V9mdK`w4>VtPG^Ajplx?s}ptGk?7>Qm+)%y#{wH+==t|AUgr%( zMYzaNv$wZsj4ogH=-TVouMq@0U~ysLR#frRS_c|&FAvy7*!7s`V*BZuqwCnTLIYD|PKb+J|IOl< z{a$8%ecjf^W@>C_s?ut(E1Y6!bMuSKn)>npVKkkFh6W2$=H!u!aZUtwK9HJ97bllS%jzLBdEm-=$VUN{ed;H#q{n(A3maS0@Y) zuM>Uus-tv$eLXTwWNm%TMpEN(Fjlh{=f+5OVFL{cf)w1WZagbAuV%j)keVD2WJSfktSVsbJif`mvBk`=?Q$m{FR42oYix*yoyzkmN_-SLeb?I_dc zmq&_)VPxEa0RdQOZ!P-c>py>PpV!jXu6ez0iL|`Ct?+7VhBYelj!JsVF}7J(cuea4 zcJpQ6b?yJW_Q=r@CMG7kxu)~orEY`IuL4+)a7w!l&G>M2v^8;$ht(pQLZj2-rmCL` zzI?Nb*VWb4#@ry60kQXIvb?WP9spBT)+6TFd`-3hMDbQ^?+&@j`<(=6h54E^|9QDd zoOAPByGU@8sA4zdNK)+52=3+?Q_c{Z9Ui{Q638`c`J3te%QCXEMhz}DTQl|S+C|a1 zC7HN8WV+>!do8}#?kFZYogQvN$uz2W&d$l{>g{Fa**u(#Mo3czOdHoP%g9t1`+M8U{x04U8%;KEXR&n*JWg6 z^tt|}Xn5&zd>_t|iv#~)%NXW2fp}}~RptLa8P^eQXBPAv3eUU1eiH43okp3V= zieY(W#of&fFxlF-wEJlyFW=2!7oAa3hOYC-N=q+Xi$r`zb5+`}J$Ueigk8JGth}*t zroVp!uGJ6Y21Cw^dVM!~uRER|9$ZFE98qel!@)+Q0 ziQ4nob^GFA!btpV$FE@)MIvceK}W}Rw&7*R`#1l#pe)`c52t`huxazyp%)2CWm0sm}CNJ!GeJWn7~cHVcIF6=i8ILu6bdE=TiP_A3l8+*qQiY=5k z2D;}$mwyPk)pPR;3fgi5S*eQC#WUB&CME>$S^hJKNl9V1%8f#8&Glq`d5#2{e15fw zedER#=Vk1$&d}iCp5ETwgM-c4&;K?iN|#w*L!;YCFdj(0CrXRgewBSuRDxSQF^orl zW_EU*gue1x^u4csHqp0lbaZ+eMSj=xd(o4{jK!=%hS?8;=?|XG>Pbruvv{!*n3*j> zpUI!biJ-r8M|PI$?3$S6$Ht@11t$+rPXz@9fXT8^v`Wme5LhYE(L+#f8XH9g1qB(^x&YVxe~TUL^B>iJ(M4)*nZC?U}T)%mx}Nrgo}A(2JK$!O-IpkKd!K_^*VU7h~% zLqu43;gUF^KkeQ#(x7&V`>*~$YV7tp@H!p-nese6l$Mnh_c)3ku!M-2yod5R{iTb` z$mpoaw?BXW?5_@vT33aKheH|F*3k(Q6o`|0u}JpgQh_i+$j?MKiuQrSOx^B$t6yp= zbo|!U{nw(jQQb=yVP#44-1xiXwDYA261ZX+c$a&}9=nh6`o3_J=M)xx_BeLdqSWGs zbtJ_y#BZcqhZxDu&ffcKLmwl@C=-s4M+D{4eSc*Da!Mst=$6%O=rpqDr~jhD!^h6a zgxn*ea3De2Qqj@TA3uJK`0VXDWB8$5JZ02i)BAFnkaPcbngN-D!)dM6K=DaVjG94N!^LA&mM!Ou-$WZ1Zz%_ri zwzvjqRO#Kcqz{%RI=&5^op~IununA@!2JIG8*oH0E@O|3qGI?jTpUskpIOu_*{H4{ zoQjGHY8sk*yk57)&_bltmx!qtsBc<9{NT}vot+#M`rDBQs^yPCn}z)JfZ(9yU6nx_ z@8;Hh?3yc=Yx4nL2Kgh6T9#QBqN{J6UPs zwnHw&Mn~_Q9BkxR;!KQ<^)y@SqfKfOcXxNM!cvD;j}z@r$FZ=H_No`+EVXi)4W& zJA%a=s{`%V@eHjziaw486pJXOeEw=x=Uh8?5om@)Blz*6vvq>wjtbFV%4H4`Z0Ov) zi-qFj<6o?P0~9*+s|VdTto9+%03Ai0$1(2(dKZ~a=!@S&x7>^^Epzg2pxf~O_`5cG zM>&q`y40E5dPOH52W z+??)r6nyZ&bLDH2iHQls7U^0fF(#TK^FGwT!3=RcJUlv4x3ZoQx&Zp7<-m2dp0kh& zd$FcL#YS$~%R`k6^MsOeGJo-#iA0qz&x3c(Ru6~_3bW~x?J8Q6$x7!J+DQ7%E_Lw! z_M%2s+<*4e>+~)?Jv}SyT33X()LVOddnh&hFIE&6#WX0tbw|i&bXDMEd4oxcbg8vP#_|nSbsiw^&%@yuuiUtohFp zBo;!MMn+TX>y^G{xOwuMUp~|RojwhWbD3L$d#vAbU&_5AtP zN&bqa1TUY!_w{tK13!~QK-vK~WEV$EQEB&GFLt}>pawjA_)w3y(fP#-07ao0wD(`( z_Nnwdp02T9T3X`d;J5sK^(h|rf4ZX!)0y5)=DR_L9EHEcmz>>?@>JTC)ec551e)O zreDZVj-SSM*RwHse>Ajg6)yv?vbhSPu)sJb=OZ6GOY=_z`*>Aklbtr!)^c~UmSZB zwJ@(q8yc{^hK2^91P{E<>WrGb)YQ~otbA4LDM-Gp5U=0pMlg4wY2KGEXUP^vNlg5y zB~Be+QXTA&v^4r%z327%baWZo#d=edlQRu372+OX?R9g9sy%Z)-anN!%ZM0OxKH`d zqPGOf>K*TNxkW#V>rrmgc@>L(C=#gEYtP!1r;aY0TwLC$?o(Vt5RPy9J z^!_ zJM2vpstimmz0-|{*10w*2`W{9z1M%oLGsLQoMLc!+x%thRK|4}FVM>Bch|(wpBY`O zYZWaOVAO=Jd^_!+p;^i2$AFPcN>t4jYynlbOB>v+bV(IR{UI1UNsZj@m9P z+6d>8P2EUy-g{EQ+dRo&FS!7?W$o~%LeBYR%|@{vg(?c-W4g2bwW&E{OjWMWdgLPp z+m&ASPif`7VMx ziN0wgdMC#uF+5!Q=CV;0k^5D8`DUj|IU&hi{GcZxG*-!N^R)}TJ`(e3tD0$%B$r^hjG zQNoQ57ab(?33}X4*lM+F)APgYjq@%7B=RV;ac{mukPn&f9$!b^Ypzc0`8ek^U3$k| zXG~H|ZMI+ZDCa<**iQYdRM?m6sC4%X@clYh%mL~v_4uX zS(n!SuhMh`EF>+m-`-c*L_%@9R`MPGbH%!JuLjXgo9uR zU9yClB>LL8Ud!Ij_LHF3^wr<&K5SnpO8bHJ-uqi`>BZ&P$>7FWj&yTt4thr9)N*lT zh|8t;RMXMY9WDgb;o;+YFc@|`BgW@RU_*HJ4(2vq{&e51Up^_zVqa;bzKD&(3g|C- zopDkSJ$u=+snG0xs1qGK5FoV}KUe4SVClSHBWN231(^x&_`%Hg;`cfCBf1cDg*z(ZPG$ED{v$# zR9h~L*0Wfxm^$xd_a@kmrCq7{LnU6AoPn*z(6-Lb&I$_HNG5rCc=8GhPmgxZ6e`e> zp@|7HOf>oGPIKP4TUkMiWRhVfl~W#K&5c(teG8dg&)BE$I0-|py7F?I3Uh4St-Aax z#iSvXzb|P!;OCb&6W_nDudT6qWQjd@I)0AsU{!zxTD-+GCP9AwD~sVQNWlIFi+(&w zChpgPxbn5Iw}+SNME{4opfs4FO4LIEu0l@z0e3`!1-%uR5XqK*y-g4&A!@d`w^*0W z%rYmgoK_lsk`RMOj?+m+r8`*-9Z4( zhulb^^7rxa2X9WKUK~0YmnULi$g3YZaFHkUAEfd;HZn4rO$UpE0Bjjdh&nav11KL? z7RfFSxE1Uy;D%t@qjz%uFTdpfaDY_LBh*8ON)yB07%Zt z=<4jWFgFL(T4OV62|#6$0tkfTT$4TMAJ*2tH#VjlUOo$WllN-U?hr5{_z9DCHDO`> zslqSDHi!Rp0*`f^ZO}3@8nmngy)6PD{w9H>j2w9&YXOH?#`gBM_xZ^IbYX|-n&Nyr z(3pUm?*IMU2)acU1vzeDW#tPKlZA;=qkPRyS>1qrKuthh$Vf>mra+|~1%d>)l$?^% zlw4a)Ee238v|P|W{jTE?mIANT{o;Q0?huA!%k`ws;SR{S4Ws3laNEIt8Tk4Yfc!O7 zQ~(Ola8E&6qm8KsGSbY>v=gb5M^!)?w|| z@DEkhy_^6Q?SZIhQE@R)Ag`0PJ6OU%Ni%bE1z+#|%HjQ#o9hkgF9;f;drIihz2--B zYrlVo(}|}a#l*#p=WFD`eM@t_KHAAFEG(3{XXr1PnU%E!jCE{NZt3sF#9&_^Hc|$7 z!qd}J^h-?*sIWDl^S*L+epitP%oC_27WXYlc2&hQ_q|`hy~@kWS-H4gy?O-+5*K|8 zAb6=Og75C#nCRBFHdA0f*t<#=01go!xFPuA9@&zT5}-W{NkESBZRRpQefk89%4Ret ze>$dX!AS@-Si}d5h#7yh)_$6lj&82_so+{zXjD{fMTN7IlWJKQ=J-dSqqx}Eeb9|z zul@be5FfZ3|F6IpL4!%XYZmA)3Q%$H@bG(RIyZxjjSb=hD14E%x3~AnlP5buOiccX z#}G>7fl8@DY5_OBQeaaH0d)aL(X3^R*uOY`BbG4-fH(cYo5c{|UP+>__dY!IOV-i^ z0q)b|SYy}gl5b)Jy+9bHdEm~^&rggvZU1~QBz5+2bPX;-QAGuiQDbIi=Fz|S?xpP9 z+&g#fh`ayI`|tt5a{_(2Kb}(~SJ|Rm7uDAof)7??`{$Q-?yvp*({<06-lF3KXQ9A_ z6A%zoS`LW3`a_;Bmo?15zz`2_ZrX`0kixkt&ZX?^%!{;icA}>{*U!LCP5t;GkbDeU z>lNqfVc7(E^Lwe0__Oatz~U3tK@S8i3mDNggpG|2eoWB$R}X05Co|8)12Q|3=05ODW6^U^pMjCC3}!@`kRxDhb3n`k+k|b!z`$re zKb(f>ByrIp?(I+DZuR!QrLL~-CYmlcORBD?*YI*f8xJoE1SyQ`*K>1n7U{mc`BwtV zQF;a!4?4@6*RSIe5|&=KwYPH{G)Qr%o|Q0+MTw?a0lOalDE;!~OY*?G z8nQK6?w5FUy4?)9s>VVL-+~59K^{m#N_wRc9PKPXQh-|hY@#H4*c#*k!%9mf*nK%U zOb~X2oaRCDRpeNORfRYa78XYJl~7UHZV$o(ZBrqhBP0sSmVT3mFnr6w@uwq%2=SSj z(LZa0Vuv8K;$Gn<kTPuxtKzvq2lf4%pjScyva%`?;XVn{b#KAQt?8OEa=_ z16&og4r3VI<#joVHu>NZqq zrIM){Y%&=_bO#$7``2-IXZ_0!QpC8$2L+ObO3=Z7Ac;{nl0W&lD}oB~2@l5=T?POW z%dFJKqezc0BqUU1@Hu|K^7{4b`)-XHUMDuN(YJ2ha?&k>ZGa>(s7U zrL#YCbPbx-oBfp=fhMp(U`xZjV%@x1Qdp>{ss+(x=5bBJhqvKIj%S8p~WJG+p)Iv@_s%&=LpbdiZ z3X};!%&n~2JAiM4BD+-karkpV)g|FDu6dNveb+4)S66Ybvm^Ljl{As%g#{F(^0wMLjJQutxj#~-_!u#XPI7KHX9>f@$)J_%1@Iym9 zAXN{4g-zW2QO)jk0*%zu^Bk54gdyoL(gQF&YibT*8!Rj=s;jFt*~Y;EyL}t|)b*oJ zbMqyH^5imH;C!0%n<|SZG&B@Ju7pQSG<_~XA)!Rw>^9)!zsCzFpmQy-+BtW1bj(vg zv>*tS^wxbd@|EAeh1_sLjnHb@KTayz0R zT33{n(Knf234z}KcyQnBE}|zXD$C2aY1BB(f~SPlY%BzEU0YK_n)JTP^Yj&OQffj% zt>r-SLqCjG83webio?Uh4h29qkb;?+CfeHZc9#|AC;ztb5VwPMl62lze30FD6jndmYUcT9d81p@C9G*yT5Vl440-UW{R$jh4ew-OUFw zbBq)Nr*7Fi1WuWr?=`9@w~Y&EX2jUpU_B0&c^vNn8fZVPwj?Ac$3%2N!G!LZvhKyk z!ZI{G4D~fAS2D84T}lu}7bE^z`HzVs_HqaNCvBL~f7V4>XxvXb*bK^sXmr z6JiTNpnTc&^%fKq!1^X9Cx?36nw^)ah_0f~5iZT7P( zxDXgOse?^)y}T{}o70MU$o)xVVPgXn$Pgn3EsXl+_0mSgBwmZ(LzxUK;G`SJ(Wdhz zUDX#8a&0|5_w$pNiFJ;1Vlc~q+g_)?1$SZmbPw{5fo2uEb#|5pDT9oY5m%Cv18&-V z+6JQZ^^J!aVxFN95dmhlZn~F$*NABQO-)UAb~XOYi4b4J;**ko2QDL!GGNTp*48HG zeSwSUR+wWskZu2gp)KeEZv8X@3G=x;XRR@^ZsH2^@^EFuFRh5BpFE*JT84&pU=au_ zBIv|_{`f&jJ25`q+RF|}4%p#ve!Nfz1>uR@o~e)RUTU2i27Gp{y(}@2yzX!wKm@dY zDD}}!It0lQd@J4ng8*|kLDTvE{d>pX(|_CThm2vJZA1%yM%&sXb>~2zwTEsEx1|H) z9(%uf|I<8%tgE18MpE7@Fzb#K5fOn7@2k-wO#N-CI||%FDbcl=dOqX>gbRRzC^Crj zYrmmAK0pozTp>9f+qHSvEx0f#>wI4 zed8AJ)Kyu|j&`#?e|`i0s;1@`^pcQEoKzBD73^lGg|;%2_MNFu-WSJK&0gn$H>faF zK(5VIOlmXc0q3LEv@;Zve{F58)fqt+78Z`!g0JJz0#leQzQ&D)goEJ+BN39B_yAdG z!R=aEUEQ9lydJ0-u?Tkyw+HQqO3b6q-wu?9f`U+)tYPaa&ZjkQ?(VO)f6n&x*@DLe zF^fc}rOjqOy8hJbpa`hdu<=*kRB*7*7h!(N8(uHKGgDMlEGjAjOC2jEerjsU($W%sWUk6a!^MR^ z&;-ooz`#J*ynr+*r>%EMNmH;-uA4tPEh_<(;v$Ix_LM(;Z+tG?m8XtWWdX=VEnqhZ z`~)UT0$qD^b90|Qd1BD$c2_{))l`LrlP!9hG8h3nu!KNV6F8o{{ny_&2p9=;-f_4w z=ueaSX}lKlQc@jYI)Qy#XVQ)#D=X_3yD$i)g@aVV$Vi-r#|i31nQL=ZRTVg%ur{Xv z@*hb`?kq%(jHvS_h2zCO7ovtYGZi<67I0Nu!ICaTU;@V#rfC=$8FfnZUjwui&4r#F zee2QAC`9!&q$`pp%vJh2IywphT@1F`eIWI?+G#=3bkIfvs!fD^Hb4zdy{cg4-0dIL zSN=1gd3f=(LyZL&8b&Wh0I0US2R$BqGjOQdBf|jDKw%OWZwB`-F*6^w1dX`<(jODB z3^2H(8BBo`gC|cOe{EUXt*ERF(Xf%y%YkHb`sgDhnaRV>9wsz{1$Yh2gQFc`|G`zb zE|DvcvL?yfzU-XxqrkU+nU@?FoK4G0>2OjqvL&NC0E4{%u7TJP5^`{G0HNt7NDjIX63r9tgIcxO4q)9ih%&Y zSX3gam-Z05gp$W_m(rml(5fX!)`{{6T%y6)b+D=0{&LU-3xN>?|LzsBo$nGAve zMqu1*O-&cKw#0zTf>#B|uTC5db(jNSFa-q#l*yHql?boo)YLNopU@Y;!3S8gi@UtM ze0e%|2_g#2+d<))w!Q_>AU{8!iO>e--k@hBOpTM`&g9c)TCkHQ)9S_6c!XfFccIORn^p7Iabn| z#3}B!P=h&HSs{2Ft*y&yY5)TZhChK1W{WhUzIo@~J*^6PQOWz(TW`04b#m#JAzNw( zu%x@Vz-C{)c=6d~jWA8w`}D9lC@AQtgb^wvu=$I#;}U+P)f~cSpwe6l8I;&i~aj zHG$jKK)>VUJ8QiHHMO;&GG~hBAa)cdmgbe1?EO!G???+EPgGP?7!{o@HIU#jGXv2A1`l3RTUcY zdwm^7+Ri{Df`}Ibe={aV4MZ;xh;KWurYu5?Kx2w9WFf|uKG{Y`^+n4{N=o7tzxVuU zU!Z)p{OfB3l#$`PIFY0wjcBTqApHt3BxRmGR8u4HYyB{6t=cOonJ$Cl`&wQ>q565z z12#6m1Pcz{XGa7`i)H2WyO^Lr!#JPiS8}(87pux=dhk8c0-bE$H!3Qs?!)6Oxfiu5 zp+=ytFy&wdNMg+$=g>ZgUd#`fRu=#y(ODYrtG}yYKCe#?prm6?`bsSx8XbMccI_@+ znsP#PkbJhS!44_{>kPQPMv<8h=64@Fpb6vz0w#J*G>|ZeLGB3i?3A8TI{7o_f?f>H zUEJ86v;;eaJq-A2l%j+`{hDc_%)ax*`I3~MM;WOOI zxw^#$(nw`_M}_p`uE2=>{+P@Lzwo~8LEgOX)*>ubQhvF{TLB30Q0cm z)?=mI5dya8{QNnEurm{4yxbQ@jJ*uytpgWY5C<#kRFw^ATv80hhF?V3*%i#Sb|82` zrjO?`U`uJYg{Jij2$kvySx(DOhQ&4;5Oc=_v45`Th{aJiH@&V+krd65+v0n-nx8M` zwR`LlqeBK+LEa3ZgMu9C38aFA!xjfVJgw+$5FDXpFf(JM-=yTX27mxem|hzjgjG6H zQjDNg1Of4Q<4Uyk^V@YG5J_wDzPy0)17)ITrHt|}KR@u%vO!uT8pONgWbBIw)98~E zcd-l=VxBu*pk2?tU6hM78kyOYA)vEAv&^Xds-m>llhd}a>jp7!HZE<=_#TL!;Ko}R z+yaGKN=8OfS_?r+T=5VdUS5#7#X$UrNkas|!omVr92OGdtHDAK^-=Kd-KjE@YjN_N zEG&TN=fKptf_%7efTF*josn?~)*F~2jHQUU?aV_;f!^@z%yIlJWVEj@ik)~)b~a3u zf_MSNlADpSeQc}>1Xal2CYQB$67(#boG)L!65!!!DUFDXgq(JRxe_(CVLb7(p%R1p zF>Ns8whd#vttW!pud=}EsIndJ>*~T#fx(9_FcuaUr=^k5b2bK(Orj4S+`euq{T$@g z2r5CZlfU_}i$JkJl_#Ve#vI6PsbhY_{Eg-G#aZ?3I z#_(LA!|qnq);g91C5L2Y-YO?#s}T3Eh*@zUx)meGP|4n7Uh%$?I{9>rg*epqEg3!) zpIU^P|K3njy(T7!1aquKq!AqVdcuh5&;Q{ZrF1M@&ab)5g#xE zl9DJ4R{@p-REb*_m!&s1Y>pVhhnbi)mlNQRU|vBAO#<6yi|bq6&rW4Yh>ng1m4my! zn07~B+|q^}OM4FoSlgoKF6$eH15oD6UV(>R4>-j^v0UCUrV+5iT6m2qpg&ZiXc!rq%k`n** zXsyo({n#Lw-+|F9FHSE)V!yOMoq#bx?Z? zs0Hi;M8Fk-4S#k~3uj3pQ}?s!(OUjii-+#Cdf z-UOh#u5NO2@(A=f!X$~gvDk6^^G!gqpdyN-IhdLGG1XP4uPD1|MO+9(O3?4@?^iTC+y2yqbf$RW89h}PCy$Zu*1*BW4Jb$V*@cXUFi8o za~dQ6z^ssyX(@nstMl{5W@e0j8FSa>#^$U&WCv2OL+CUF6E(;_GpVZhT<>#}Oi+93&=is0tjEy^e{soj8 z+HXK$AYthZY;5nxNRvvs0_oP;I%q7pOXU5Cnv81-pcX^8)0E# z0R<9#T-x`=0|NtTK&3!41Ox*%81!`1Yu8}H9CSIbQ77Owwzj<1Ms7FiuKjzM4hS+% zK5kDLMs{LmnZft^b$%!L!2I^KItF-GAmRY97dz6q1BXHpM33;pY!B(-`-0{hFbUcN zx(yHRK1@JQ*OuCO*g%b2F-XjZ<<9b1L z7l&{)0M*Wj*BwEpdU(DGwFH(6NFeQ5Ve<{-3cf=i3ffINt$d}RqobSB=8!MFKdXOk zPk#^_*A>tE8ybhMuCB51JY0H?ViM@41faaLvjgrrnBVQG5cj~{fr&$f zjEIPs{qm;PPOOli`nRKC@{>NLSQ5CuJ$0=D4d${AgwzL{H76W_mVn{St)_qTRAv;Jfnd*Vc!(46-* z5V4(j(4Gufh~b1pK^MOyMUU>~J6Z2j7~Kbn4>UH0*B=pmhri zF-b|RKmj^oJ{S5tls45iZHtrXMd`=+|6TLW*tnnZym07ibE@*r^C~!}gnsr<2QBlZ zb?QZp5JcC}Hec#xu|b}i_tQoeR`#-i@i`3a@e^$!5HW7vya{lWkr_@_Xt2D8LCEZ6 z85R0w47fTd72AJ8{fEPTMC5tDzTnZRx z?()JFItZQD(Zl>40(ohogWBhCZZ1~@*J{HRZA}fKGipjx`>=Z zW0E@m0lfsAlwKSRi_sHp-;0`%kPtt=c3=V^1{V|-!fB>&8RB##BnJ>1?1Lb*z zi9k>8sI-mBRD!Sv_8n!!cTHgrG4VThRzcA07}4Xw#mM^b!KZ(@Z4|_I7)&}2gLHq# zoWdU!E(g*C&@3r+;6RMo#g-4I;B44@t#m$EQZNkybdZFMtgwYz65YD$;+2Br=E?L? zIe(hc9>mGN-U3DM6h&s&jqgfQI#`+GACwaCBYdFn>iK>z4ZHXjW90oAN&w8Q{|6W{ z!;p!yiwiXj>!O|8WB9>Qwb-i&jgJRY=*R4A+N2UQ#Z?R8S$Ak*_$8@)ZZDDs>E#MX zjlo2Qa@cN}6B82yLaL@F@m-K$;($%Tn}nDU)SN=!W%{2Ely{Lm+mqjX4$KuqT<8|$ zDkkN+Ac^5(Jo*%L<-LF&3)S(zoVli=f|r+Jv z#JuF;Iiz=3zyJ5&|7Rkpi;m#`Ihw9|W}8HRZr||_q4XYeh`tb5e1p-+y@>&BtjUUB z$2+BeIsLwCNllHmtZY!PIh>iRgg(vtW?CISA!Wc4j;L4F)ztxn1NP`vrW$x5fX1bI zC$!!=_(CA8)BW(z6FBzx<%=h1OIl{cnHv!od+ZT$c{#lSJ;{Cz5s| zz_-wAP5Ym-M6x}g|dB`X6HSkKCF7a2_@stbZwOG9#C1ZF)Vg!Os&FI;qd{aSI-5o z*jGB=1nLh5_EzBc-TI*Y-go(}s;ws{eX}kcs#N2V>go70O(#DDZ$%z|w6R zFvEa?fUcrhV*_XpJ_~wJ2(bf*CpP<!~p5SsOKf|W@Tl*Zy z?+lr$WwE1085BJT4Lk~7G!@_GRw!p$@MeTDoPSuKdQZcoc3&SGBjYs{EI3Bvaa>{d zvw-I1nj^@**ASraobQ^qAB0w5L?^SGJ)!5NZIm;~#r- z8#ZDhlNAPn37L&MMiRjfH)M?;fSwP^y54EU*EUF%cMv*jh#oYGIrK>!20|fwNJno!8eLAq4({(*{sRke7EI4)}oR z^J#|X0;D*^MYg$++7aa{~ z`FWDLzraU;5QxuRvo5gP57)=pxwss_F$c{fPW?!MPc7l%P%uMIgG>Orhrf&w-kLpY zDvXH12pb$YZD?#fgT@6j04G2u!BYqI(!tTORC5$F`y-TmH#N8zIG#cY`lE}>UpNd4 z6OUjk!y#KvDA3XdC)0Bt41`>E@fWYM410?Xik%ddlz^MV^frujCzO@(UDUgGGc_aATnOx_aj$$!6Ty`ZF zHKKVk-k*U)LIC07-~jl5qf#{d)JMgK%rJ&|08L;?W)YJ{~KJ#S9qKyKM!Uf(61)pULF>@>hC1nBXDCX@<*gMb) zdGFqRtfserHIXze;6=6pah^F#q|CH_DUn} zrO)&Th$)P2X&31}hXDuhy1pgx<$m}e!88bW0q0x*BfA1phojaYCWM-Uqy*C|Gfkdv zBqiG*R=m#-CIBw4G!zK``T6CktgIEBdxKJYRbW7LL_wg&0r@V>&p!rd1x6j=_!!JF zN=ey+>udJxnL?&2(1a^>#c&UB=b_RDxD&w9=Rlx^PrlL1Ild6AX(LjjnbWLyu{mWqxjL8;OG~547_{O|0%!-40k}LcMgsL@d!m#sy%O3!Gjmr@ z4`!`&w)zteZ6IT?37xfhq}Ts9*#bT0aA&9I+qb}6unvI)r--~PfFjsKYY%=ByfgTC zkoy45+)jcC7?~U`eEt5zwCt~VRh%V(v$Hc$3~>GeL9I7wF4!hz1rE08`Wakl2s1Dt z;7!1U0MXjX(#FH1vB&n-e+`1%A39oEaLI5S7tR5}QNpMQE@xuz2mDgrRB(vP)Wifu zuua;Ud%(*EW;Te)$%V{&qQRbQaSX$R>)G1c0^0xc=TDF`plra18By;(ASYl@;735r z-eF?mw}rVvdIp9?VCC>*p&=n_!&yN+k;a%3lV~xLnLqMAnf zSAnr=XRG=1TE9ATe)%$>q3=WaEIQ;Sgg7a;A)JGKW@m@%N^!ZIV07h=eYG?*gFT>y z12|j>)4xky_4M@I+>XEt0C^4SASn?MBQZ7rg;{;ar=lZ&o}UbS=8QbDwXf?O5afP; z<}PQWkZ*2jNh{=puqhXOWs!WGr{_&2`&OPWLgs1)7#3oe+XtSY0NfeIko)@kEu3_T zRKtL}o+VF?Y&a^oU6l_}P>Nt21cglW@fxjUY)ttmjH#jqe?fuS(JMn4EMPfHSc7-( z2w7au1|$&#=pj6hpyOUI;sdb;LT>MyP#X>?PE_z5gR62mYx#>UYwnu(9^;}|r!?411< ztj<}M#pDVn9m(-YbwYkhdmwsn3GZ&J;p_Q_?F)lm?MS%^WZL_r`;^EB6i)j?Rr+0tZ+Y8EteS_o^h-GhNYHnzU0DPeg0 z1ryUR=%ta7k=QO;op}o0Pc8KlFKUX5IRj0g4nQaXy!y`^G8m6HgW65YKaEXEThsG? zZ#MrvcVT%;3K9{97f9&nqW&%KAA8vULEYcoy)wtarFvhcm2^?#COq=YG;Hiom7e$X z#DtFMb6`%OT5OHxMjf3=`PY1~g@#Z1b{}vEAY^zn#(e7rjC1{{!_bWY>bKle6f%nn zfY8_v(LRCM@YSzLS@Ij0=nQ5CGH)+xVq-@^;|F|rUE{;WA-wS?n3>q=rt=1IIFj~( z2on<;0#BTRau1_jfXRSg+Dg5>5crwXbx_;c$?aZ^#jgA3kk_JsrF6y$^BQjUB7Kdz=>3^ISMLd*P|=OX_sESdU3DZ z;`?0u-U0nb(sO&Me#E}P-x>|t*ARAk+ZJw*6QW{2@$m;`TpjJaC0w!SVuS{ilh+}@v1;(&WoM)`cx-`^hwVLf^u z1eyT5&CK-eH4iQN4s-7;TwKr{TymAuKt7$Wer9ECEWr>1EeWLJ=BZLVAZLUSIXmmPM9o3{l7nG(szk+>{cpo;IaTu7(@~ literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0007.wVxOvUwv.png b/docs/.vitepress/dist/assets/mips2-image-0007.wVxOvUwv.png new file mode 100644 index 0000000000000000000000000000000000000000..259d8f349cd4757c405e1107de8e28d7476ee9c8 GIT binary patch literal 35668 zcmce;2T)X9yERCXoCFDifCvqeQ8EY!D%dnhat@L+NN#cx6+vinmMj?>x-?r*=AfpJ%OSJ!|_`Q(c*Yl!+7v2ZsXu{HYcW z4xTd(4n7$%A@G-d+8>_4F9J_RunsZs6G&|R1_$RZ4*02pj$hW+uYfF8o1h)cplhcg zvE_@3@M(fxFmn_ReK_(KnD{E*6OUJeFNyfjF3&!E@8@(k-m9AW=d|buME>(nJNA4Q zcsgd&kEIAeA8(L?1xmWL;dja`6n%2#tJ3?#>O6BlG@tvoo9$-=Y=5?0nf?{9ay8&h z0ETh?fAz1FC=O34@y~D8)n_oy(rxl1X?(2@754%(-=s!oKAP)6>4%o&TgfK3_&%rY zJ~xTFh!sz7cNDC0­?opHYqA?ubc>$udYif^}#1A&)dxS69FA|zo`@_1}GM{khm zgce_+#D)VuiG@LEHVIg3^BW|2t=qx;Z3I+Ez|yHGl1t!5K#nHN=OO!JFbk|@zG~37 z1Trb@arw1d-Mpbdr-B6|4<061X87`DI1aPAAIUpvq;T;9JUt{mtS2&uhivUBd%d*J zSOeCIsGv#CXb{<%K`n_5|DwEb**oK4>3LqjSZK73a^Ii&?bbN`8)#krqXO9`>UsA~_5(!~Rk-K~ZhkKeXD4TMb`V2*azE|t8O zFzHv>BNr012H(CtL8YXGrd(ECveqQak|!nKg$x&t4TM(a2z85w+ApvBKf2+3sM3vc zLI&xcMd=M1Sml<(EAL+#cXKSyEBYUfrjN1_r(_poV+QNJN==JGp8-7;<(8-@sJ9b2 zrvTeZfhM2aIDIu6`wc(&En|&>Q@eg`Z=GpK=5L18?isIHxThC~h10}|EwWcw31L0m6UN0nxF7BK%v zVLxZ?w#g&gz>rgOg_9Y6LZ5pF!Z~jgW?WHGkvQi<0a*;-jQC>UquNMo4I2sb#dial zXPk)UeOYZrWg0yij1l;2-v5;6S0moZ1IzFej2iK8E*Kw4ZuM8yEKEA*Ass&Q?YgH< zE}p{&YpDtqCd9-nqciL*4R_|t9#PNPhcp9SSK4Pr@S|LyyWYT$ z%d)Eq$)gg$9!;#>iEkciW(_+ebP5=Omf(-AQYⅈ2l~2Ro>>icr>@7kSyA9yJk$N zQu@N4#bJ3PZe$j`CuCM0hPP_2j_rW`5Bpicr)%uxz zw@ra-6(p7yhBR^pAwIKimbN{r>%H2AsRz%I9bRP%aS_nj>~p7F3b>Yfw5a@8bzGLn z5p7E-+QQ7d@lkd0;+pbOJ-lc&9^2N|rqgYf+dOsF85t-oWsTdjR>lVh_0S-{nLvnb ztJn{|yqATw$fy1ktQbEq$bKbILzO35VgU7#uyh{@A_mFY6cHywA5u2(MVLyvN|-=S z%ySh_0`$*R3q#xvb6dk2p2=gX&1{~+IAh1&_H@a(v?SMfYc*emc)UH(H|kH~^nM3& zhH=#`!4AnHkxsDDRPsqqHQV(xKOfO}&QhvmZ=}7l-D{uIJITzO4aPZJ#?PMgUSU-*U|5s4+|6@OeyVf^_Nu6)o>`dOQSOm5Vt`70^woV5V-u0KdOd z)*V0Z3%B=o=cZJY;Jeu`N{%ERhE>`qv&07{6#dxa`cM~tVOKk~^xQ^}3nNvDObR-h z_7+MMjXQj3Ars5bh$q>qe|xCWJ8*IJM&v^I%_k_Wz1*)iDy>y`?UyaxR^$FC4mXM; zqE`ijYXxzx7!BqlTI?^Fxd=>GM+``&0=|bM5U4)!RmeLQGpln?)1D}LJPFR~dCH1) zOS8hJ16~W`=SLualAWIALokW~3gd|N{Xv{tFoojZXvf9az|GPtC?-e2<Y^yO?qFLk zcjq()BNWpU;`*8xGk*}$#y(}ImwhU5-cr>4DS2MhEvDe&+v#(NNUGBI*co|uE7zD@ z|D682;|Ii;38Uk2!=BoaK`&?(lr_bcT8?N}YIxAPGC*sWNo9L+*Ms+RV10fCzSbH7 z3SpTrRuoe6TfbrC%$Q^LTw~nhz2S1S<$XcE?;$)oO}PA(uijHcGV28PY#;Nx8h($@MU06!@D2L-tu3Y?XoNXn*U!c_0i(!o%zIgnKe_D(A`EWjVz!p8n1Z+YIfJ@lyLO1- z){5kFl|Z&kh0`E@)0C9u(u6_U_bf^!)Be4q0TjumY>0u(;PE1`8)32=>mh*wwJX6c z!wP9aE(t}kBY92oJW4C~1#T}+uIEc;7M0Sy~U zQ%X*UJh&iWrHha>ZJW|t%cG=|MxU!6U&+y9s2I3r-gOvWoSUc~O~nps^DOYri7Z|8 z-NQ^(-8#{4e!ar5r{BUoaF6fpd->?Y3${Wqh@w|q_$dEP#AinheUFd2;(=Y%R^?^A zuCI0(tfm+Orc&)*1n!p}THnS5C%?{q6EXCg!9K7`iSTxn_dfGrFJ46>v}Aboz97Xr zObP-I2@UT!**822;SDx>HzxjdP-m)3?Ll?2T>GwF!R?WJ$Q6My9}xb=HT5@aeDbb`ec+#%mAOOoTeb&1HBpBGu6}8f0T-A ztjU9VC$DUSN%U%e3D!h}y1Y4)6B&K3Ke!6IdOx8pYesKB7LfhL{KUq5Wp}<%@2$bb zZGrP&OF{=dqM1)yagB@G-4F};W9OvH7`L(THpdR5vgs#kf%BF0CxfL4RXI-ggWY*$ zUgKtd%XamVeiN;EViYBst2RDT8mKU+KF&uXi_dqep6uclYo^~zCMs?_JpUr-=X?R| z(6MawJZH`O5rx{Lk1P76?Vew!tuMjf#BFmwRjG~JWK-GVcqUH7dWlPNLW3Y*brhzJ z5z`E7i8@y;6+mZactKoH*~%JVMb(Mkk%OE=a>=7i93FIrb3dRP-y&{>)P1YvDhuO< zh*)VNq2@ei!BNK(w1g#ujk&!`cdjI%rg!GIYSs=}bm-@O=XD`l=;=jMD{?Ua&Y{<7J^D!$VN zHAWWh_on7A9xjk{YP+|}l6Mzm=1;6LAcOd!m(MmBh)x@{E<+@J`{rUx4~h({g9;|b zSWUD%LMI&^y6AeE_zl#n*Dbm9NsPG>P;-YbmjZv;G=>hz%2xHY6eRGG^-t}k7gt^& zXPJu3QIp&UCvVYF^m{ae6BE_imK69xbaTe)Y13S+$(VxRMY#_J_a}XIQl|-NetJgrgU6Q+9)NXsTYFM zDxTU1x--y<*vFI$j35;}l1&h0J4TcxZJ0$Vfvkee-!!Xw?R^ut5?s{`@yS=;id@v? zCxR>I^qVSkS|}~tg^{*c}@<2>fLNg)yHlEPAm*WrbIfb z$!}LRT)I1Iv;$J(;^Lu-vS8*SaM zMNg7dx?hJTmS=GY+R8VnG%4Ased0ogNn{@#sTfh2+`2Sz!=Dj~|Fy-vu|w)m626Wq z>A_3n>rK=@G&ndr(R(;{!|A(<*^dnRFShZBh^AVgi&^??jB3XATHds@xA}Uca{fdm zazBYH+m*oCk)cOf*$L#&2#(alxsKhj?}aU|Mr6;wD;JvejY zE4+O#MAmfV+kSUZn}_lT+pD9pim`JQiC+rz-_0MRoeC2te){n~NX1E;j`I96mT{q3f(Zjlj#p31*F`Yfu#5W?QZGiB$! zXwpmz)hKSCkQco)K5VF$9SdJ;)strCXFoTYef(+VoCwY??sJda)cw|CNT6h_ek<|^ zLr+!Ifr$RaY+x3@y}44r{@Jt6!yAS*CQfe>dOqs$OnQnQNjM(14XbUE98V3>%B51> z+=G%$5#u)FZ{J(?=E_S~<^BR%Nu`mlm3?wTdCGnmq7SB3dbAN(5UactCLbg5*~oK9 z03`q1YDx|6tQ6@t6~C2QTq@@7D!V^8CevUwHSZM6a()XEWczbEE9kN+M~W$C-(2s! zvtre6Y~_ew&;~@Xj)V_t^RkZC!Ju*hhkXIkDu)Wm#_2?LlH4{jnT+qb4=J;Z*F9f2 z#ZTz{<S$A78$|)-rMFk^)Sb3s`F&lH;+1)Mck0M!gYkE^_{zU`UUuU?ENeDJ8zX4wN)*eWT4b znTQ=<9>PN^&TjLke+D746PmIU%1}4{0kvP7E95XvZ{5~?dIl&vAgwB92 z7c>y61}JHRKqFxJQusjnR4DhqG}85XAj~8XV=Oto*I7${*m24kOH1gC7Qr`AKxb}I zFMdleX1S3=kis>?%X0pk)3j+2Uu*zhxV>Zld9RGHp%8uw&T&$qp=u+mlWFDzsM{dr z;!!2l0JlR{+Vm#5Ds@aKBy8Qgu$3x}gdCrbq+`T+!Fl1X1#69*iafqS_MHG1_+U|t*yRMiXW}tEUI5(yM=87-x14mF;wI}GTWdNN4nJy7ql7LH{6;a0vCKM+R{kh7cOiwzjiM3i$_# z>&2>6Hr_SNRc@Dm^lIw^?eQ zxmBLqkV;$1?U;M>Nx|_ED3D#1$frVtYS=p2Os1UA`2z&Gs`Gqga5Zt%j(qa0`$f-L zmGtny-Ezg&go}P@?~tB=QuxQ67mD!`AT+R2)?i(Zz4w<-n%PHLR@?F%=JXiSDyG zS~2B$K5^!9r{8&^I1vPfi7FU&jvGHgAtHz2kWY8~D)9;P|DYo~gzfMehEMF*h(^`# zl|CcV*x3hf+`~uCy(Q`}AvBppwK)+-{3*7oHPwU_8{A`2mnIGb^zYspMwPMazQD3zwuQtXA<#4L^W3ry|W}o;i>l zqn8eVL-8Kh__)Y3aGbfK@^1YLzPUm>Tz&3peR|}jsaKUB_3UkwZqMdmJOfph@ln)W zp1QcLrsjmeo{2kfThfX5Bf#oJj%Zx^$rUw|rbP^MpN)?%E5MH&zJk-LtKDbId!+9^ zqB2S0U+4V04v^99*7h3AA5;%GBl^4R!MgTI?ECC&b{0v7&Wj?w^5+q8Tmi7`gp25Q7HY+b;`0h8ZL%ACJe(c(z;zA~hje0ugYuGd*(qpDq zWqsu)SBxBA?~>!fW|BrxGJqd_C55jxi6@r2i6M>U}TAhaF^_Ubs#&fx+ZRBN zzmI*CUO(zgJxMxuhCg|riu8A9mi&EE;{rk1{^at00|ePpn5ac2sWZi3AYc0_qJ(Rv z_$7+VI|=(FnW@= zI&t(oB>ga-uCX_5MS`9rpDv_)2*mH?w-Jc`saM{Xuv3@g2xHp>wz;m4YK|h;tvpai zyfq|kdm)yWRC_&h!TjtNkO*z<%stI5hoy=VJx_m~#E zQ8_4?h3|#K*n>+20=pHU!Qzq8x|N z^CY>GvHbS1Ecr9?}1btfA z%mT6B1`ZJ7E%un0dB`BG$MMBGQeA&=&-`WC`P2HHa0nrgIeHH}AjdoOq=%Un4hb#}WRf zuio4A431sDtjPKJSAvGLi`*Rq{;7{Mp^H`owwyux<=%pp1(d~M z8{ZbM3#FnMFU~BV3RPkYW5J!)@+bnad&JI54ydZ#*5*zqTZ4jU3DUELRfFyQI zgz82eza~}~*;-ybf#y)$QE1H3ZUFtb(|I_zcB*wa=f)&uF7n%u3fN^(`NAZF#pbe{ zh)qD_p8W}$nIwvU!x}fYP#VCsjDy#g{))ohR@vv-q+5!O>1;_CsHRGU~%DcCDv4$aD>lwtM*>* zf!OwI%&GLA2peNPm_qo!aTHtEHYX?N+#7)~OF9>}2CJYylwh?ir>e;@n)_RF#j|`mr!a z>L3UiM@%;=_(5}X_+`e^i5%e4qK4H~H9=|zQ1#4#seyB~<}Y0Vw~EW4aAB?)W{tbd z*Fi8I*BB$oYdEi~Jbl|*_mcz+^Xj?4y>hwIRW8i^ym#J=ex-2-u(H(mRQglm2|AzX zFKsPdhgjxFLh6W)=#w)5SY)H8RYATE%Tz&@#K!7Vza}@xEHqd2FIZt$6oMmA44Zvj zP(|f8ruV6EKw>LbW_BNAR8*z}p|`G@cWO@B>i5Gy&RP-VlTbH$!=*n|@op_y8A|sB zG9h{t(zKFfY38u2k;u@$1$1%TV6@g&U=5G))sp!h*jFZ}qTv$|IdK4P!-Q7_BG6Bz z{-(-X7gxb|fnzxtY7_Ypt+$77S8OF%S@G%-INR5~TIA=muQbTQBy^eEpG22tw%G%{ zy{-UYm%IUPmhJbm-v$*$vDN(gr)$l2&A(oNgu5&;=y3ZPhp46QABH{1I#{l8`jOJ` zq@~}>brf>Yn}Z|hqjgzXwHy&M>j1aRfh50WBGZa0QLCry)W=K)G5_~o(;4fLFod69 zm}3`7pK1y;pirgv+!9_Tnr$L2Iv{*GQCCN+MAD%TUX4)J>ue5@fi!KBpv-B)k^Mu# zu(F!SN{JMj>4HkzEghZMNgil6q0?%7wd0%A`lqhoJx>{B%T%t!ZrGu-Vl_JdbI;ik z%!O9hs8f$I5iR1|`F#ZlJI!6bR9{IJi(WI_{yQ@ivj1j3!!z)j2Tx_)k!Y?)M%^Qz~s+D!{4vqKS zC9o)!O*}NTb}?qBm5 zC6_$}zNI*8UAEf#Sc4aj?z$kvZRg*Z2@$lBT;S$S7~c703Sn%h9O4~=OFbJ2^Ncx7 z(s5;3gkCT%N~>+(;Omk1LO_5q%svUf`O7v>@3tjK4hyxi z-q1=hC;N)~?L!Bpe@1i2>RD5@{aHJ`7EHj0#>)2{%I(e?^uz)%Ye?8!{$?=AU=Y>Z zts7ZxQgOok3E5PI(vzp9{ZeTa&( z3Id4W1kYD3BoI{E1G#gZ&!u+W{`wv+E^MEuf=y|q*mVr$ywq2>m_mbd69T^Il zI#^8HNO~!QRC7Ny@>_o!2lN9Us&0oe>{uG)Zrs+)1TpzYhaeZ`|X zCCxdrakx|D+p!&(NnIbi0Koa^i>~Ev%U2%jW5c~BS&koY6>*SaJO5BB+@0BD3olXQ zU=REnvKkh{>K9>pkYD4CK?C z*k}5MEDEF%Ygdcy9enbGFbY@vRo0j~*5^{#ZE$^qj|3XZxAEm39Cjxh(umn73Iklr zTZ5^duI!mx6DW5}EV^TUE3EZdkpOnTf9YuT`V1B9?1`LOZ_C^SF!Y~4(@;5@+_woh z0QR$)MPuA}^rKoL;Je{01$1R(AqBv9Q}tJ_18$Ab>7p$8w5S92%r#Xq*1JTOhmd3A zX23BRAdk4%iTy2m$ThPRHJ9I<6B@Cm5{m8Ve3%B=ndXey6u;(Wj=m><^Ohk~(s$}( z17+mdgIeBKgeS;HB*;ouflsS%X*BU|Eeg9<>#zqptl@65p z)H(in7wQz%Krww5jca_~hoh%?&L#7-(WBTwIgC53s0XRi-6jc6{k#8|Wz{gN5OO>f zpJk^c+aAwJsXG266oirmIlP-NEOOd!T4wwK%PkS}Xp4$`?2JDp)_NyxZ21%ls-%y_ zN5-PKPOjd4;#jX<98-YPAO(>&%&p9Xgm9aK$BdWGlj1c*?}N)^PS=tWXe}4YcA#F* zPA&-vEe_O%wm-|eKN*C7$|`LgKoQguH{MD!Q=UE#O-BjWz^D!S2?q!%@m6p*3l2m= z$9iffxX!oIw?vrz$lTQz?>vbNb@$oxoIc-JSbjtnHjh6(db|lvoV#Xry;XGw|0h=G zJ-K$8qI6y~abRMB>we3sdR=K?g6!*}EbI@hZ^xotr&U8|{^mkQF6@SrtmsM5!nrV9 zhMb?c$fZEJ6O}FIi`EFasaN3JwJ6(YD{p0x{WBdGPXphCS&+d&Yx!c zC+qwr|7K=KgzLurD83)`=nmqCVC1G;Tk(je8j+yW(&wfkXX;;|M?^Ec}tNL6O0cK&SwHB0c=a`P>QY|UiEJ_qBql|As8hM1+XFs)@cgqFPlnMW@ z`jsPAzhcR)XjgBmca?QF+k>u3@9_euHtf)Uxw=jaWe1yBZP*PYBbf-=HCgYPm=(_{ zXC9^z|L*ZbO6#4Dkm}##)Ui7cLuk+auN5KFE z-SoHEN6B|qdr4;o`vp_I(dU0Z2A@vrIzBt*dr}r=lIE!t&pUGU}|@D`KU=@6rN0IX@fOIv*&tX-Xtz@iHgjZ*HJo+?zB(|2$i6a%+D zAmCiG_aOP>vVLZ2)Fggm?&#{G*ls&?tetZ{u|5XZ_z|UXmGpjDVYbnO%Y>nYI$aeR z&n~Mi{Ye8r^hwHzy?P@ctJ9s-S)^Nhs1q&DPQf__A#`pPBLK`+g@WulHYtY6N21b? z*LvIEQ`i6Mom7yvyE#4HcSBBfEuD$##w)<}0Gr-ipk%|0Sa-cMnOyWl7zfH{;<5dr zD`v^mc(pIlQ(Og2zNnxuMHWempci&aYaON^eo;0=*iWY~{8i*Jp(%_Fyia;-vyw81 z%dovtsi{6_nZuh^pT!ywN_RhI{;=PA-TYefPdcFm!!6{zDly&%+~2P&Qxg%spULeW z#T)%AubL1IWK!lgXIw0_EP-{Jj<~ry7sRKE&94|P)}KU5DVc+qj|wJq^Fvtm@B@;l z28ZA>@Kj@IrozqoQ9*$y$P5C6&Sfs61k@)#=`t+`=4QLHVl92fn!^F0I@*oRHtNrh zyx=+Zb;KYyO|zE`uP^$DU`)qd)}{{9pX+^(gwdPe93xfY@z-G@JZ?%u+l1e3h0;jd zJ&c0)IU3^CNHM&5()Cn$$d1ecEu=`d>&$OoUN#Q>e2eM`h<<2A%kWqy4#A9M=6%zcN{lA5dle^6;EE8Opvte(Q9UTmLjzN#%&nK zC9Kvod^8K2t@J|5MjR`Aj%Q7t-)CX7y-*iopbD3{;hIY^^Gw$>Q=+q^?HTd-Fvz8S zynuHo%c;xJ0z<9&RcTw@EKAFO_+ZFd_>T!Sy>U8A2t<=Hc7|R*=9RaO%26vcB&_oR zFM%_E@1DcpNU#NJJm_oLd!vqN(oS0MU5F*UyZ4@SFkB^i_4%M~{hJ+-h$`a>2OU+G zlWwWbFKPJZU!^^e3$|!bU$?rVsCYiId#9oZpdh6?af;lZa%b`RLKKKc#R1_#&{y)) z#>)Lk9?I$jFTC56_$NMX2abJ`uB$HuN#l@(0x9kw1mF6JeSy5ninPlY%s|`&_#>W5 zj`X6%Ug53h83Q>bm#Ch3W08nJ&3ZeyTug<_j|gk@$h$;gbMwVU{X|_mISs%3w)fP_ zmE4&Y8OyDWzGG0ub0qV3E~rK{gJfETX}{ER#Mht#7q^d>W0J#9Jt-H@GASM@G!FcB z+V84wSY4s$f#N5xQe0A^)BTGQhb>%4`(|*9bK~bPvO~DY#?L->DGV))^r+q->*U>1Na@c{a77tr%PJCR~isxDx>jocS8!{QLNX@%>hA zUt7)H3%RfI+iS7x*=J!urN^f*@Tx7{8vXs{ZfN~jamA_90hE15ZGnmySN}hmnt@6~ z*3ah$9Fc^j4y+SgGi^$L{x4ZubzSl@ThlZsd%1P^^2S*RZ(hBfy;hUYAy^pXIK8{4 zv2dVkt((`n<{m$XDHE+{YfN#zX!cEDe(b+fZMp2AxIU9Nm84amL96nu%`A^s^vD}Y zU|rywn&keAyddNz#c%*5c1c&*&uiHKcj1LIVF4d3P%negOcppZ8DgU1?WP6m+CO;M zwvZXRqDNl2|H|_&t6qyHw2No&?Znea-3)*idEydz*M;MhlE{?bkeNT7smy*sy=?9W zky~+*k9kB0l@3V?VQz61cx_X9!W=)D5KoV-7O0JuGUppoq~S@R@nu>>W*)(BS6y)L z6*+m-j1!IJX>DT#RYbNDBh@BrhFhvQn;+j!irgdfhNtKWN{mJD$l%|I&0LUqQ;J>` zQ-#AYP_ZoRW#z0_gUFBxbe%Y8<<)hFxrI-($mA|J`SF%DO5Xw!k?YLP|AqJ3Zpo@d zB?i}|Hbs*qgEGZwMy&Mu8TdsFavr%et-YVQ4Oyj7ix87xeh#`$Ftvc8{%}~7PuOKj zTuNMEe1(1TeP^n{fFKIwkvyu;5c>`>xkQjrV%`ibix9I?-)yKc^P*+#Ub} z0n?xZ-Vtot<*re2XL~CdGAG?-uI1)HYjSOo6Vg19*WHoVyK@|;Ytwvrsw8-Nv&H^Z zrk2cGY0gT@Y`g%5;_uKEb-y)GFJyufZ2C}DuX6GC@DCfx4P-s97vF-|&QPnxKA*63 zhCqln*N!-#sZ!Sj!RCzEO7Vm!!CKAFikwyjLpxmHYV8+7CnI9-vn57p#7jxbpN^4G z;kE~?5ARD}T`C`8%iTxmKB{MX>1HcdFkUZlfOgnLD7&)DYr4+L=xE&l>PN8YBH^QH zbe-kQCA=RSTz{8-5>ME^?f8>R_gcd)vA2!Dmy4f2NDQ#}BUIz1=9q>}y-f4{pRA&B zWd0K^%$w+`lAM4xmRQ|8y0axuKbJroIVj$KK`R+VXSGU!s0-5p>hxeOnL=FShje3q zfqj<`X11~uuaVX%yH*r*9qb|sr4NdOESi0-GnK`=lGmSZ+gwWr5!f+V@{gRNLxkJc z?T$MR2er(aY-_m2Izl!WRa@9m$@B#J=7=xb|}8z1BBWOy#KMFmSLS16+(x$(-JHaAU{Z|UV zC-Fo;!D=((iM5v?@x(sf!u^HgP%&U0x1$CK&1FfE&>*D$NJtmke9z=u9&qq8EJ%!< zhMA96!w{%g@4vphHDrsn!J2y_k(n2_#g0rfD&)06{h7oXVaK03*!Uu(Juc|T+IfBa72IO`4e$AY#z4dSy|VPtKPV|w(^~5xD!pfO z9XtmT+YYx5Vm;N`6|<(&`A^E_6IkVqqU+f#nD(nOBFsO$)%csDrYqr;6O%UJY+;9A zNdddJ)RY%aF;hfS#9zEpe;FVR;XD6#~&g$XyCj* zra&{(=yk!Y0#zWml$LZXBsq_eedu`hK}(J<8JIl54AyFZ=Jb}iYbbASd44-`VMnK| z!K0ju@{1X-^Gs?5#ax^kt9b@LrP?^wWxy7u5sU(}V%LHL)>5kiWc;$T7bs&o^$$w=FESldE>rE&!zD_KJAmb1t$!_W?iq?v* zPC&jV2BSUX^ZFfR1n}ZC%-B>L-DaORBFn}6?HlT)y-2st<@YjkKGU*7-|h{Ifz=*b zY_=L&$EM1oCN~D6A~UZ*^*&FoL>E`uGLGs$CyoZyF)|Yf-mRByeY27Y8RZi+z2@RR z{L8DDz=*xKb3n9q%+SsMFN*&@U1zo}NptT=Um(klJ#X4N&D}F~)St+AA#FAOhH5j- zbbS@bWM1QE_98TY7~#o)`Y%q+^bOcn5}+6enS^q)dU@VckkPN99QGYb(y*9f^;$pOYm%wQxEHPBbYb{W?xUR!3 zP`Ldx!E5d(d@c`W1P}$RRieqzDJ?F&N6S_^?_FU<*!~^(ZK{F1W*3vfw_DLYO&l4C|*Ryyym#O{>3CB(KO-v$n90)@dFdT)=uX?6B{ zi;b1!8>zSTKX18{Q)rleVX`GGOm?!mjL?1oMjsl$bJWrVtM0o@j8*1|52JQvSmZQB z>pF1RzPp|E$kyyJ7&LKtM*A#IdneoY zel&^YG*4*V_u@2Yd_|Cv2hGaM=K9gG_vp}{Z+?7#O>!Dx&BKfIk0BZe!bf^1I!ZDOgdtEj z|2moXXv_I7Q1QLym03k9E(6y-48#3c!aYrK5ydmJ>9$JM+Wwh>p#XrRjUnUQSwh}B zpRfQ~P^Fv~T_yXhwwzL0gQV<)aNfx9#M+iK>5wL?YSb@{LAT%wam9Fgsy~+ApGK8{ zNMrb9oVtN_z1&duj{zCa_5-6>{8g1$toAQc60^F2JdjJ&iN4x5w)_ux*|mf9nrwl_ zxLCKw7!AT)rcgMk8{lTua?wRjTFQq1u(-6HOV*5PXckoqogaiPr#j=lLFO^jWD!6( z=KSU~Arsf`f@=cD@LvROPb9YGC(&v-=&!TzjVh>+YIcsx)gj@@PgCpGC)sPJ*B%Uj z!u8s*J1EJzK$?Tvj7VFb9Q%a?@6$GP?C`zM#!?(dkr{vj^xl$YzUXr6r1abaS3yeh zc~h#wfFhb6mQJbUFFsniv|*UGV4HUx)76Q`5}<9jdwiC4(mET$SZoJ5ppZPL z{*e`R)3$qc%!TV9?`ut1l_~IR$7FeAr~t*X(szw#pnrx;{-Q8LY*;Px5O1jh;+Bmy$owX=_IhT}PUM|;70B--cYISXk*U%N(UpU?fA1ZT@}x@jDgZlc8wTn=ZxXD8RjL{q|qOcRY=m2nJ&Euwm4$T4tN;d zzKSwieU|uhz1t+d?ZRJZpB;>Ti7Hk>V5l27}4PN6$BI{v+Ok1=(jMuf^MkNG8Ci+kf>hgXq;2p>BIF zcz5;-gYXeJNVcXbu0^G+B7L)+j)8M?|9fg@HG4p)OnaAq{3r(yB>dwvTmAi+<8(J| zmak@d9#!Ql!HftAmA7=}B2l$39&tYB<)H@38lt1D5 zX?9M1Ski{%KXrS!3%&d8MV= z-01WLGl{seg!YSu7fFxjX{q4WFz5`k=m)-E-$YT;ivo~=Yei+rDc}<*9=t!7v zLmhl&T(f)6pw;x`vuJ!G*12^J=l4yUBZY0XJkGTgduGhVJT9CVX9jGg@)jSWeS`HH> z0?e!~GrVG|>ayt-^V6!|K!>Ub%aCF9CxeJ}6OW$hTa0NG5z#i8C4zTF%hSVwkmrH4 z+|1tWA?&CSQ|<1v<`K!(v|*BvR4>;(E`hq$mN?pJYq6aGHTqBF-ctX10YrmLrEd)i zqtUGy1Wu|^+-|Qg2m8d;q)6KipeJ3q)YI@-i%juSE8tDV7De85`Uk$RcqGgyHHIrx zgX3h#1Gx<`W<3vtaCx%!9Xeqv3QdheS2&>CXEL;_hE| zEi(aMRmtrdZ*%E(yGn~nItPuB8_UrkWUuI!By(TM82;aMgAnCUEqf!xu{?W4LzpvFNu{i4XtP~+m74VcYm!v?K5`A> zc7%Pg;mFLtp&yiwYp(5jn4R|L3%yzJiBnt!XY#TwV z5Rpq#96$4$ycYvE)7&%fXy~bGmhM= z+lB~0b{GZfg#cPx>Egr|SKI2cbQ`G$iExBs(b{qK(4^Ws5{7(9;$KoIQuw~6NE;}z zc5dCb2te0HT1JcGW)=i-A`-4q?6-xBC(^|9e$R}Le4`CLP^5JJ0U#W3$yR5^A(CWr zJMYxzvU(Wx<*`r_;d)?iK%8(nf~7$g_<}EJFHsK*#F|dh5=T+(%cedCBT1YlPt)+&FI}& zJ{aZm$F#=ZD#f{cqRTY;rpmRe5vx_`YFE$)(dk{_1^bxGP0gr((E?5#7DAH&>tUjg za|`Kl<#+CEAHatuV7Q78RQa#nZ8~azO^wJobKzpUqg=?Yr&v9jIgZO*fVWf)+Zd5l zZ)JQ8@jI#tgg4xI@`*k+`Xqjo@IMghZPbf=P_fOc7c&q&Qhc+`czBxu;Hp5v1ho9p z`YbVy$T@tUE!5oYJGRoyP*mis7o*~04afv#MV&jW%w}Pj7Li=9;~RiSVbllPEA$p* z3NTS%0Bg~&tL*=BBp6}+mu33XaRR(H%?mgEPSg2&7H&yy-r5r2MI>Vx32VW7mfsxf z|78fgt~vi>F>F<_m9?M^Tt^1N(Q~-wb1^_w7qGXHVW$~;A89p1ppRiR^W)FmdPDoY z!77pSFx_%*j2rMz8m)ZvVJ1N0A&Go$1k7>G7TR6_(BnEID2}RWIDKp zChi>`a=jZh7ee^Kjg!xk84ZmqB0=%K0BaQti-!ZYN{}yVCDssLX-0){mpm;k1I&y6 zI24t@h_xp|9iEsBjU!|3MZod-*N~Akuc)?&X;?*J-_MW5H0Q%&www@hbN^5=tMt=FV}hIa-p~Zc5M+Z zi};^Q{12JG&-=yvs31M>ciVXc+xxe&Pd7Z~d1;<{$I5VTzg|w#Tqapn_i$|0qO0rF zI+`^au(@^pj}FTn(SIG5LR*Qf4L>&>V^US-j5F@gT6n+e``i-%+^JImu5Qc%;td^g zEZ}k;&}+U|^#@Ctm;diQV`5JtOJ)c0ox=Wekwekl2g{*Q==Z$m{pB^cn2uaOD^TIP zag!1Ff(YD3!Zaq*^}&BKTCci)oH8Y+)X;siKJUvGhD$+^V}EykJ@HWVD+LHOpv3$i z&AoM0l;6AePbeX&NS8fQc_BHNH<8sP*N%a($dm`bSn)?clS`z!_W=$?7`3X zobNf$dDbuf_^qFdwOp)W=Dz2?_r9+8eqXO^->4x*^_*6D%|=>IxB4F-x*8$3;vGg&D#r@|%vC{&qt!ol0vf^&ji5pf%9( zpk{|)+5O>r^+PcwTS2%4;VWX8HEi7%{oIB2Cq3Y)02fv*z?7^88ZgHTO>A9FEAVB< z2WmW01c3+A?O7+TO-s>G!;w+oB2;P;=J}Z^(9HmG<g9{m6E%o@jufFm+RvFHjn*%_Nhb&X$%k6Nt;K-oN zN2`=9%~$gXaEHX;!1GTQ-mM(nw0JOOgj$^67m69&_4qWj|6-5!eyhCtPYLetB=$VJ+}tQy6bErM^8*B>f!IL%B=Lxl>4B^2ak3=3ma#r0u5O{}nF#$&tET zF>hqYl0j-!R%xqLj@SALqpT_HI2^Mj6;)5&INf7mmYjDVq@Md0qOvci8Z|7x*R|Q6 z?i&caFIJ0-X{s^D&>p4x|z3g6!P zJeuaPn{YGf65DA0f#UELfw>;*RW!8aSD#u!eZ!Lfv03yJLlxeMV+1()LK#DNOp81y zR_IjF{|Udah`y~>=J9NLNs^UN)p{E=q%G4Q=E_X8Bd;1=Y2{h~C^uc3@j-(*tt2&OG03t__Ouec%XQ*N>(f={4uK+qfeAq2X&V#j^R={r z5dNP$9SG1!3{ePn>I}NAg+v9Vr}x%_qj>;?$glS02CDlf^B8)O_Cp@T0dPONo1Fog zTQF3eUG^^uk&UrIN)xYbUT)JXE8%AbAR2!fwu}V#V{$9gsfFd{0GRzAGMV&KhL3~KgU?4PpC`Gu z(Go<(l>@T_sYf>2Y zzVuUXa7$K}9e|p{==#>Xo*8p44$%ixvQ4oxcf8!^<=2LebaK#Jy`^M=YW)74quE0> z8i|4Re6qT^znL6!XlNh`>S5BNO374LAj&Q8{9+{L?;qFmxD9i8cM+uqL} zEmBb9<=D{E7L+4M?_97e&-)du)cVCElJDOzLlL?v?Nau^RXVlj?s=xy__1s<{b$W;l|d@W?^cEr%?zpN>`RUNr?u z`qKI?kC?XT@K=zAx3zmqaNgo{RBtSBmOrP@a#HBJJ+Rcl#9(33&K4M*UOz=ACAA}tv9D;#5Au* zM)QmD@+s5b_DB92I)Y7A`g5O5@Tt|v@f(-R z%KP?+!N;!hNBLtJM9BW&e9hs0%%G#Cm{UL4oOi6ASpfXX!95h^Ew)_NLz!Q4OPx~6 zgztpN)C9h~*!8HDOA71#bQ+Dm%=uL*^PzJ}+hRl*If+9iT*6`n>(*_jTMq+p3!QG? z!j^c2zb}#b*8483(*F)a_Qln*r`vIko2#ZPY_os?I-pbK<_gO@o*$~>Z}KWWG+*t% zXhQ@jBWQn`Tvz=XGajk%!&1|xKl#PaJW5+6xc{TpC!cWt-s9nA2mIhfv%2!WOua-a z{Hxbl2o$?STyRa5{n0joD73TJ(9MFPS-O$Jx_gt_&$+@fQ;SH`_WDw31o7!L z<3Sf=N!mcp#oL3Hq5?l$^*&_Cj?D%T!o1I0--!zM)=lwfS~;P+0kA){)MofiY2Ug1 zKvAaTB;9DmgX{;YG*=*sbXr6@HSPEYmAYHNnfFT!B2()#X#+HS>Sd3&3sH}P=-`in)n`}xibu%p(v+%)=2Or{dC)cl-l9@-@ z<`6t~cv1JbL8L=EUpb`iM66g)#(3n{(}w0u-Y+>--_AvzcH)Qs`J1tnb*U)T#7t2GhMa zgc_QPypoM?ap(#eOR^CUkrw0}1=-QHAuYH2=OEwzl z6A3;Z?mdCJn{JrDVJb#Xlk-%+4Rv1mDT=fYpSo+)W|#HzKdViMv1mO%b)Vw?8g=0M zQl*U#dtUWR_wt#y@ozZRb31gpLd8*k^n4q^^{*5-0b&06c(Dz%Ur=%HhM1qAR|IhT z2L_xq8!ZZVIc@csUcF5nAVP0`=h2_pYE-k0Vex9Z(h zVMMbypN2z)QAoaa#-ws@{M_hTiSuaka;MJs8O1o0M{~evLm@za#TPY?Cj6_K@$h`% zFN`SYQmCmAA%wF^lz+Srw(7^joxs6Rn?DAJF9fhl>xX`h`-Zb$Q_ zH{I##9Mr0F`?EJ#WApPOZf$W?JzFsYU*PjYbYu_uWvjjdmO*$gk7&$bP*l~YLt{S= zGfh%R{4S?Bkw@8*iTq6Y-YlYs427N15WNZG^bJJ3q;IW6!p$sDu5|6)dAt9&}z&Z*(aOKhoRcaCO%8qcKUHAevfIW>tr=M z3$35g&ejraA6~nh>@w9}LOUml&DxSO6lZuYK%uxYx6_=lC;76m)r3ir!GiCVo8hpS zK%JTg-tc%q_eB%&MF;GaOiAX`#A};SU#_OD;ETm+i>`@cM#YQ}Ub_Qa+c^{a*?G~I ziQDII3D8amg@_ZhWvBHtTF=oA(skdVs#?_b6k&*=EJtfqujvu5-Qi!*K0(z-=TyP@#T1c$q z-7+!eonD%p?N6r#Z(kH-e<*uTI3D7!JaRQh!)0vOM784XlkGj& zC&r*gi>{L8Ju$}^-qR4-glxf>$|l*pDuH-yDg=AsB6EHZ9%1eld8QjnfxQ};?QT(< zah>S!93=w9&zrdlr(wnqkV~bC^>0+$wT$hs7fD^6j86rfXq$f%>uI_z&2A7=DXUar zVCg@ojxELDm4Drk#W}f<@YrZBowHERq+G6&cSKH-X!Q7QAg7_uuy|1|)+R@d+5aD)cqmHLFL-`t@6X2NvL)NzZM zcPo4FpNhtqLQo(?Ug=m9(5}TETLMiuy!*KOn47XFa;h)!gqesWNL<9Zn67m?3u>{= znAaU!#IpJ8hl+Dj141|M$-db1UR{x)wK;1f5y>+L!=GEf4Vyh9UpL;5Ge&-0&^NzP z(&-i(I%w*}GInWA0~1h6dCY4ea^n2By7GeC>P~oHhWC98N)ZSSxJJU0&kEYaK$a^o z!xUD0N9#w(WMO@q-_F0nA*09>gd%$ zF%Jvl7w6oM7Z(#)O7vsYT0uBJ5|v%gi^nC=={-ds&ClNQmGCqi{vc*3Y-%%czEC)4 z+}&{?)#%P$rRvFG+&9P+#|ivhpEZ@aM+2zsX%~)}0~73t(^VCu&)~-!#p2f#Vs#EimT`;T7WI|sSAhSrpOXHm?Ve>Zpi<~-e`X#0bnbWeq zrr$CY|GunZZWf5H+y(c#HqhD=F4T`nGDjN4j1~6lm#IcICI@x!bJVlxs_hp)Linui zV}K(O-`NT=@Dw?0pqsnuK5*P*wVuFpEe!xYl_0O(Nz51da?3%Kx9MW<&uFo&k@L6F z2gn!HyNm)Ssl1lON|(Kd=h~7N_DwTR%1g~HW7>_7N2D9^gAFRLx;HqA>s>tgQ)Lsv zn~$oL^4;wVE+^0Fy#cYKWF^C}YCE6QMt{2(Zlx{#oUowFos06V5TVIu(La9?o`sfi z7^ZslJ%_~~>dw$i68m2JwRFm!d$|(kUf(X|*iHAJ^QT^N_u8aUSBX%}jLy~o_j?;? zgA<}2<8^iGgiMOW$)4D1TfPqk)HE1kkfCU2fz=gyAs@DB3>f#HZ!0kF)cOd!XCTgu zjTo;H{0Y;Gc_LL6Pzj%QoVBuyp)f_Jc481>X+>F@Y&Y{`-Q`}f9hSeCniT68m1uU@VI4JcF{U_uES};P-N4q(YY3{zj9>aQ{g39F* z$3lI_Bg2o~rA*<0KsSsQX0!0{g*KKAQl0Ozjj|u^fOp+S-dAoft`fQ3WrEg~hLO2s zzUHZ^oNphqBpLtpHo;1Q#{* zOu81CYp_Cx{2>|sF~Jv2OKxo$qr;g$LRuQ9g{5~(!S3t!RWq&;mezADjsK!tG)Dzj zdxD!Tun~J-^iSu#mpw83+x&U)^hy|N@wL<~46{xU`=?2gwFJqc(A&)kOBX9?X_!w? zR#m)}`JEf3%{2G~c4IG#*Wh5Yhqh_nd^TL$q7BSqMiCxObQ^4ffwC0YQ}q-wlw#&v zg(Lp*TM!4|zzJ7~$hh@BDktSiBiX=XD@eOHB60#(B7BNp7QV63OzmV?2jA%Znc*jR z+fA6S>7saB0zTD(Fyayp=-F9fujSP?Wdo zF5Z|zI9#skIZ4H;-bn#`*sPzSM*&X4I+NC;yiOOl_fw-T0{lL~OIRTmh7z?|toj=^Kbi>Ihqs{(j!=jg5aYrSK+ zYAoi(v1TRL)$}S;IJvfQ7mOuh`UV0XWAmahtITAaJ#Br4^^N-1gM48&2I^OiuPFy& zHkytbTh(YdW(lCa_%;*S)m){np;AFfMRW`8uew{#+Tk>5V-QPKjk)q(4UnQuuX0XAv0GjoUvnz@4yr6Jxp7_mD>) zEk(j^_uZ?<)xuWd(WaG?gmks3hWkLDYX@PTPoE~e#Bz+lkE>Rwz&g1U8Mb;sLikRv z!IMfiirRJ%Qxk&AWR}a}X(tNVv>zVIU_WH9`Vdf5aUSp;n_wP?rR410pmMGN*S3lT z8$}RP)OMQ}iE&bZXR{ykCxuiwEKkB*LMt;2SGiOtCad?;se=v1Y>VW(x5h%NTh|90 zl=|VmZv=50Ucyw=Kn#8Z6jWFLhwA$R?o=I}N(AK&t5=FagdX>Ik9yYZH-Uhcj744v0DkEa-OgxW5t3m0Y%*LpZg;W zs_(03MK@YXW>ZH{CPvd+@p)RMti_G$M|+~X7uJL z#tZfb`uw%?ONYxtF@6njrAa`3UY0C$Q7&>n#xiEByB`NaG@p}Bhy3^0LWX7SVj(?2Jvv>kl$a_K&i08kqYSyLeE3aZQ!h zI+#P#Tsnfjs_@$!gAv~o^vaELu|s1@RG+WuO}85nk{1{s_Tx=I}|Mc9r{(E{YBb;iPleZ>VyDx(YZI zj}{;WS>cC{OA+I_;q3||mOm#h#L=sLWaE?1D7wKB+KU45viZ1g#FK0R5Kpgg+*rZ|-dWODF|ZmxcMEvhhm z;z@Kih8MjbJ>3jciHR__K+s^mU%g_a3>qA5em;2ZaZFH&Etz*J;bim!eg$6^Rxn$D z6MRm?a7ZeTfx~8giMpxQ%BAvwv~B@n(iKu|Jp4MVt8qzQC7BE~#y2|Wo5wN--JLNh zS{5E!v-u@!uDe~quQK`4nh3}bt0%t)&7Z|EeE}yOodhzd5b`vlNB@A61dM(E|M?fs z$x-|m)DR5AC4lOWY}gD{7nO{VK9Ey?)UU&)Zj3cqG(rkCmE*e3NoB~lnPgx^eyZ&~ zbzu=)$Z00ynqzO5jLW%BCEm0}_v@}13n5SHXfKZV=Vw-*DSSwy_u8PGh& zusG$m6=exHW^3`)I2u1nTiJG}zyMu7>Ue1tlc&FLIANx}<*qj~+6WfGMBp-%kmhGz zTx_}|mXT!HcJJxjSy1Q(YVf`T{bfPga|$%*b1a8JExWYrAz%#{0c${<=2@+B9$JDL zq;Ujm;Qd&lGfj%%nn-J8Re`Au=zp?sqZmhFVta784sT;p$%lbNK9#WhSx>>k@wzeq z-kV+RWsR; z*aX*AL95{!_XG7c#4`|mUfmZ^S?k^`JAqZIR)V6cQNB2dX$IjsQ>cg8c~Kd4{TAKi zslv}XcW;5qFQpF7M%1NX>v|puFB*1C!XLx!^?=)lSyk%MbeEUypqYpOX`IkG} zDlI>EgH%~aFLWin>3Li!@BLEJv{Talgj6JN0xc3)yfYuk`Hoyxn?o>U#n}vZhmw^T$M{1zU*2CRz!p1Ep7#4FWwZE)c|Y9;f_iKcGu_`j?pYx#Oq4Eh z7E42jHl2}8|DqzR&7Avqz4QRv*nxNK@JCGSKhA=uVFjC_O0qbWA^q-YHzbPRH)Svq zEYPZ={>iz|HzlNL)pqr*5$L5ME~D#pGG{v|#x%Kf!-k0H0#^V?P_DTFcBbgmW2&^8 z#YbP;LkU(&J1YdbwP`~5{$^OEcRym!2~IIP1o}&sj=u_B#K^gXV)@t+q zKUb>MA15C(M=8u+=>@O#U{p^8gzq*X#K|C!47dFwiO_WKO*5$X{&g29#2sSaaAuhjyt3MKx3T;^uv|Tll4W}9lyL0ok-P@GEZR)_;nD6# z$PmBJJ5H6+4bg1Ar=_m#_gZJIyGr(kXm-1F-Z^h=BVNp2f7?BKvVghQAP_4zVR4zU2ofsF~ ziCe*ZkaByLq)9k|6EUqYEVUArB_FdP9^&8hu_O~3L#v&nkb+aneC!(29__-frF`Wo z3D5lbddlLS?|L6b+5?5yU=`J(@iWo590H(J)v1YVJaU>9hdIcyZyg+PeZgK zPW4HH6IhM@IvAt^^o<|OiH0MpgL?adn-Yj}4^MH8V=eBD#-k;uQWi&#-OC;SA@(iR z^q{QQ0=N<3Y}zXg^H=y)j}t22P%r-2^K6YQTA4R^4vVa3W$eqGYOcO3c0zwT`IytH zNIAhYK_6 zj9geRwwQyQc(tovkOe%XAyOf%;p)-CMNp${a%qTS!6zS(iL%JMY-4n)C$~M_jpO22 zWLo7^u@H7n`KDo{)VDOuGEQnt0)a3q^* z&v9^i%j1D;DX)u#Ln6ng9{DQB~wai#SR;5D!UO~JrhU^<4* z*V=S{)qiGBbhpU;GdqTZAz!}K%_{ig)g)hQT+=&JzbFp3)3TL|GCAu2evqeMn>m;N zZow4i;0bHoAewx_s@{$~2Wgcyjc;akOKxQIu_Vd${IkAIv4YdB1iLr zcA%ga0g=%^AS$Y{7h5DO&l^&}9=0AZn+3`uS$;*Tw&n;ZdYE#qg8TcrSI= z@S5hFDfG<@3>D$^=<+wLY?hN9i$hM|Cy@YQ znhrKS{f5nMT{Dl7%rLJsBX1X_3@`$sYT3vkj)>H=Ox1{>AHq@a4s(!O7#t|7+UA-= zIdtCQccegGEXjhZa*_goO{w{Qq!`+Vl|Y`7KDJH z@3LBrtjqS@WgIgDR)|%-^fz)y>5lU5cI5u=W3dPqB|c1A*=3~R6E0I-Vq{#oo?5dO zwsT2$x}V%x0x_}#J!0U+s)zYzJ-4cZ#RD|`l1Vn^XvEH*>K#3!;73Zi`e=&kr4-we zwwPeSsq2xl?5r1fb}BJQxv4Q~MRMh9Y&VoTPk^W=jUb6@|Bbvy>T+x|o{=rIk=M2?9`;dp|F_#DfjxxuY(o?m zNuq(Hu$oHh78+k1JC|NZLCPc}+9~(|h=zQVG}lb)LSQvpqTxm!V?^TfD4@3H(ZJeqf#%_lZRRM8;!B=Io7wI0YDwc*qrwVJpYx@1O^VBN&a` zF@vVjpuDr_?a}4lNL7;;3nATaTA~w~Y%_a>A z+QsoB567(Vl|UpP#hx@pjed~<&#_`n=ZD4W6N+(v*n!SrQW_}y4XwfQ>T-Bb!3k53 zjj2(S)iiNHuA^%0a?h66Ya6OQz_;bGJXHhqlTOpJ>#vsGzAY_loYh<9*&6lmgcbV{ zj}xz&dT%)iG=z>4qU)Y~p{#Ky6&r}y)_FljoJ6hv^ZoQtPTg-%qNf4qL z7nLJ&%kTkqs}Z`tu#gvVs`}rEd{qo7Q+G4@9ZdVbqX)$5tiG&d+Nb8ft^ zoQj=DntO)j+J!Zgm`$(J^kSWsIiKRHnRxFicr-oUME7%QX$>dy3;;x>pkH9fOt8sW z>Z&2`$eS^~{omx9e4{gQm5)LEUF&I-W2@CSjNzM**2uiE<{#9J@%FFujvkDc>uY-S z!+0ng!DjC-G%4Z&ikzbb`#FAEzGSs0=!R5#eS(XS*T5;VQnK3_Kvk$6 zJHO&4zvSHL(ck#WBtbPG@wWY&XzSuUMVDbgKTE32)^!DEm6s&3)gtb zo_dg&h3c|<1t{rlg!peSb$7{v9s*4ILv(~Yr0E@`NY8Ca50hTjM1K5)w=ldon`5RX zJrGLr)1FG?8J6u-qiysSDq%sM`^tf+M?=6ZyN)Nk$%47N3R!kyjxb}u zV{*vne6zZ>YRJ%>7{0T6D+tIGAiMlkUd}oK?NNJV%&v=P(?zypf#Ibd#P!!Nbqe8l zj(d7SLJb|e1jeS>m%R0NLJ+7SCrPJU)}f2bMjqt22$2H^$WsX4TaeO~Ji#Xxa3t2A zakV;iy7-1p-`@s+APrW%5`0qO|^Lez$g} z_R;%xCoZf0bQjI~bKz9p(KskJ%@j>K46WctQo~Cy3cnNxsTOTPKY3EybsLwrfgg z{52)&X-82WpHq(oPzH)Y+m=HLMi*POZQq*|ks;B-FQr)b=<7+|;DsmI54y{_g8;U= z_#$`wL*M&eP1p^}9Rq3Xmz3_eBeo_PSv!CnNW%KPA<8AMezmy+84`_P($}pFlb}R_ zDncU^HfGH#)mNdNy25V6-A{prV|tm|g2_Lh#8G{KxsZO6DJ&DlM>rfpfa~+iTIc{# zT5mYnc{i~I&(#_hlK!#dM}7Zw#mIrB4YI7Gm>sQCeLxeanbt*m;m|SU+Lzh9dXy|< zkm(}2x~PomE;+Eln0mG*IY3NTYj#xlsRLbF?0I+;y+o5BiqbdmAh7K2lkq9&r(V~C z zmt{a?kkU0_#8W-0ThW&n;yQ14dMy{z8mw&=Lr%K&CO(=Y%oyK1qVmM{awu5vDDVf4 z6i?L09<>G<11RgQaO&g0trJG^hK^ey)oBDPYevicgde31-bFcoJ@Jc&SiQ!z;Xo~u zM650s;ig{lhgOf^&~w7yrzhMhe^aER$foCJ^ehb3=9HF;H_(u}>Kjum@&H30Gwjgy z&K_$aWE&?#Bl2vlC;2XD2QQRej~S~>daP}r_e7@%52Ft4;QT$wQlTd=(beu9TU#%# z=3H#0*4(9+AskOcsWJ~2J-L=3NxkZ4xB70cky^o*-K6hWt&Lxl-kbIc;fdW z1p}RLB0g@TOX+^Sp|OQ_g=L{ArDtqk7Qc=4Vl>kTNs?sf$-5}O?oq-tfoqd|YQ0N+ z7$>=rDNV|!`u^Neb-{(lO`Q|3TdyOFb@l5RtSJsKuu&*_9(C2ub*Y;w*Tmr^mA7EZ9F=_|r^`qdVsyGur zsZ^twZ_|swlObgj3^h?Y?nq*q7gLRRIWNYoBj~E8g7fo(p5@GLUS-`h?RaZNYo^JgjDTVAZxCwBP2Z{JQ_84aMXPma>$*uOcjRQfn#qX%fTGG#3{ zM~OZW`?XPV-#5-v7kA8-R%+!YDLi=!U8g@SnhjPw@I_Fy>6~zbNiSraz3WSq^7C>1 zM%&pNeyGCqTG5DcxRwoxO}!U*`cIcBRFUrT**ChaGYsB?TGUSvUU3=Sz0>4mDWcy= zwQmmA+>0h84c;Q|jlLqg@@a;G{ zV2}DXL^Un0PmO#H;o>cwdz^34Kba=IkU;`z1P$r5%M|4}y-?NR%B1{1l%Cj+3V#db zLd~tQtAa}jz!iw`n$q*GcF*{*7ez~$^pZP+U6XqvqK8GBqTn0A(J(sZlP#@&2h2OD zaNEh+BSv^Cv3%+pOO(L6A8dXzb#2*Dy3b*Bv9YnENV(wPCo5#A>-LkxD%k|YG1r&F z{D7i!R`H!sMc@-Oy&w}!!m}IZ>p})o%c;BRK8_mV+sB3gU_gitvxqNI*@f`g)gjkP zO7D%s#ZK#%|JH!h-VGERAGGd<@cw;}`U6m$$G$R+f;`x^!+bs9bdL0& zHJV$z23vJ%2#mL~3m7t+N{94Av2$sXp*|A?o&2GV5mh$P0Ywrc0W;(mbTi5xj!b4U z{>%Z4PKK}dGIZhU$DCs29}Z*-Twl$y-zKT>#Ovt8z&cs?Y89;(yB7VvqBZf$`SXPv>=*fK<_kihLkp3$0h}VeCQ8ExJeD{kqmIY=<6Wy(vkvnD_;eWafETTiI zgt?(|G=q}OsM*gQ-6cGd@1#H%s$R14hrbG}65bH?L|JT1zN1$joL*v`sE)TDNO=;F zI-kBnGk+@qK?zV0TP^NNt7LOvay14S)`nqctmolDESNH|>Hm7&9}Z|~Yvco569>eJ zYDi-czeTZ9h@j~Kidia;F|fqHmGh3-_;a+M98~Xu7KB{HbOtE-doB9b9&7Jc+5C2W zkz*JCZ)>ISpoUD2Uoh9;ff(};O8Lf$kGo-15(^54MCWaVdG+F-vfD*|#-%&dgK}#+ zwed9e+1$yTG?vv=z6da(O>azXUfmm08)|fhD#6`pz3-#H`U^&QR03mm@|In#@LAr= zMXTfSz>Btq(bh<2#ZSK-;HLrAb05d$4kMLZILu~iQPo{_#=Q>|y;r^l?mXqUhufE4 zB0kQPj87qAgwiEFA3;7H1|Z%BPCUpTH+J&w(C}*9dxXn3d9iZ2!yMl>_$TeVubXppm>r5a|(*0c*=ql|1d3j98g zA?)|aa3x8X#o>lUBb)r$@?^NtGH-BFjS|!qa`564Mt%QT?I`t><)oJoC$0{fDD}Z9 zAXx0W@|O6DOg&ZbBsC+WtwP@gYYEtNaFAe3J6Ea;&m@1l=RA4``@?*>HKN>AZM>8^ z;;YwVgGqw_n+F1m%1068DPhtzkm2}r#FY1+fJ(T3K#V5Ye{@VmG`CQKW`QdAB6mj zKfhAPSoZagjNML`6l!j1xvgS@f%O;{_jMi5=(uB}#-VAokK@I49Q-up;mm&9|2@va)gYnJvz{7D{t}-S_fQ zs(|75=-k0cs-H3dGHR=NRX11%YOq4c!cy>l|JA?NF|Q-Mox3b#I$*zfG#>M(4+eR8 ztx{;BLvtAFa?hEg^jc}rXriuyEx$o5Q&OkQ6e&)43+(dy@P&rj3liF+8+Y&iI9|iP$GW%VKM3$>G36#;q)?IB zo0v=06C^AzYu}UQo_zhOW>DyUj(p*JbPGnA;9Hp+n+aUxwqi*8(hQSw8+Syh6W+*CXa5b{_#6C7=LY*o z@Vn2XsOa8QO(W*Yzp?&`)GMLA<%9Fs|4^MS#rv;;hh54{D<&JC@*#9~u}3q{!1K)Jysms#i*nOJbF26sa(OsEapG%cmTsF%Bgp= zGkwFMI$b`+P~hf4cDgGi-@o`JCUX6-%965jAT}$yX24}%s2Vk~v>J-P+ukYxCot9I zdvvT16qO=UX7JE}n+Z+BnSlY+{}l5yISz!xDnt?wK2pPb+>g&p(gsT4&mFB3W^hRu zk(b2$=${F!nt^C@kB-Cp*q4EHiIJ3IxD1l^RDL(#d!Lo#8m-^^(|*o3T$^D5(lL321!@78CYSzEB9sM2WlDVgpZl?!-N3O2yn!(Ip zGSfN$Xdootzo0b=9`HgOQB{i5KT-JKhjLYLK#|b@NyYGvw|Qt2nK9n}x5ED7ypD2h z&3H$XMI6;ulEgC38z*|t*ijlsa}UCEO6}Kw$Vfsdhv!N}2=awG0WXYU6fWfceSR~zf zBe8pKg$_-Wvuy3uk)}AtcUZdY=m32X`l(#$j3T`Qw+w8TCZnbKpPfFBE4phaNs+QT z2FW@MGh9CcGGCa5hKpm`SMgbWOl0d9G3fJYUOq{U>F$4OxmE z13^`1!flm6L?Ag?ojUOJqktlBhCXt4eywZ2-!RRsZ9@S0&&ZYn@gmO2!H<+c)Fd^q zJFm2HVN8U}FOG!l-(=pLY>w$kC7 z{F=VIYk&jEYOx_>aLJ74@pZ<^;zKP4&A+5*9luVxu=XgiPA-zX46UCVyQ~{9uepnt zQH2=fxZUK^r|7x>kAsD|-8sYmeFPD-PZ($M6I*;fzrM#%RZLs^u(9d$xjwPZ)3E6l zTpTPP<$0crHFpaVb;>MFK2$5-kTc>IvZkY zePDcek{VRX7soj^F!5Lfc~eU!nki`l^sk*KkDdYI_YIU6Jy{L;t@Y&3Z7%CEnnsk# zsPCAZL?()MH*&`!ttIPKqj1_7J1&++k`Au0WhlBC=I7B; z)Yd~m-~;3FMghT5H|-H&yx@9zA+tbD+B%)!KjBy!z(e=f3?A++*&A6t?#YU$NZsOmpBxuVw`>?F{FY)|eGUVx678kDpTP=U?Y5*0I%`pD?R8``J- zm~t0+#H7ZJ)%{K-*>26vC|SyXh6=!EdaJ;)n}^5O&ujIOrT6cf%;#Kmv2)TM7?%vb zX$mk@V-$%vQ1my3Rh^!-pPoq%Q}BWLd&8!Yc2D3aoWCbFW6q{VHVZJOQ&ZQFj(E`~ zmh(!b3TRp@m3MkKTu>KmG5=&rTRsSK4U1XpCo~=$cCECah+-(U`{YLuQ{8L(u<7S> z6$2H@8(HK6f>G=M#n&S-iv$(KL?e=R0~W3sbvPThW*h^=tZi~Ds5$=D5958nK-@rP z`DwP6luXpkvD=Ru8Nf_ajBaTB{) zU$KQiSNz{W5dZ$!|G|}vRtrv3GW4&0DcNkF+K7cMa9?X|BkXgw#uO4o1f%5t(;vGZ a*M5}LCsTA^LcgJae{xbvFN!6M-u*8Znl5$# literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0008.C0G-0sI8.png b/docs/.vitepress/dist/assets/mips2-image-0008.C0G-0sI8.png new file mode 100644 index 0000000000000000000000000000000000000000..611acbec4fd031c1bd3770dcf09009697fe6cc59 GIT binary patch literal 187309 zcmeEtRZv_(w=PZy7AC=Ef?JfEprFwD{_{W&+7+8XLA@79iU_K>>Yc2^ z`w%Q<(7nu}u<(uXrpB@wA>@sXh3+mH2G>dI#jRV{E`xl>SI3jbgK*QALJ3!yShTUU z#u&%O=4RJk*S%GnSn;CsF|_Ei^mUF?&PrRGO53bTqs}@^L#V!!{C5iz9ylV!`0u8; zX4ot$^55-u_%_!6eCVeO(*B?EZ%sJ1|1++*X7s;5{I8Y%cWeCL1N8rO9!9??<_gS8 zhQW1wLSZ+yQ^kgnB&VVq6ZsXEF-)A1MM4bs0u2Xa_%}mN0|opuw~&PdAV!7bvxpxc znrO<7m;J`WqN2I2@FkO}t5cU^H-!db9VhH5Vv{_G?!WxGu0QwA_@;q{m>^&hBEDqc z*Z+&B&a`AQck@u3%GzhyHaHM*y;)gbJy_PxPRLl<_y+gGNpKS~Gc((72VxuDrE@Xj zxy6Yb3Iu5v3A&ae=BYAlnca6pZr85b52B9N82#dwnO+Q)lY#2X7&o`G6&y6@d|E?d z{%at|Fqm$UA|Y9ysWpWc%l$CDY5!%uLDu{f+}9n0Z|e=W5Ma_Arz0^uB&cV|^!bW8 zCetKXQ~&CpMKGyAkd~E#`eI07Bhd|dq>%~jCx_f0qXi>UlVWmS7@t4a#%6#yA8SSa zMaRmi%7OU6X>C&+tbRQhKw8T-fQsg@Mas|!jfkNk(G@eGzIKP?JPdA|@J!4M#D=!e zkYi_$uB+3#vcy{FaoE%}4|7Tz9-DKnh@tM9pr>0X$o2O|vi-Ct|W{ z7$o1*a^aSwxRsVGefvd8++)lCXq*?2;j4(kO3>}QqULymVqoBk(pincep>f8KQU2X zC!#l9g^W_ejevj9X000f{{4|*snt~azfCMvB-=+R@Jg-Y@_Ngd;wtt(HqM8CP4kYF ze;Z{v(!IfaxjwWTpc}oGJIzhoXd|&@wf2Oz<9{bvXbi}ems5qiN{qrG9BMhKdzg!? zQ_PIF|7#-JZwqtTWA zV2?AGh7&rr`f0LgWF<`2t}}{F8!fjHgtMW5W7xM{Yc0t&l*W#Hom|mfiz!{x+>NW- zgA@{E4vX4WZM<$-zTAxeocgql1U8k7wuztqR7L}G@PgiXo{v%jobXM62(_m6T-qophTlN@Iqk zybC_kgWYJ{%FgIC(;4M?&P9a(sN3DJN8b0kiU>RHnf?reBqb!aak3Jvi)H{#ky=*si z^%csd)o&{QxoTJl{BUcIf5Z@Q%$K)cPc%L*a7@Zs}gzxk@(z2EI!&Jv(0CB<&f$ z0lpC5Gk%os=!-Al;U(g~9sx9#KUY74KlPmbYTT%Qt7#9+?K$}Vb zY2S=bH}CN~yA;W0y{}qjEx_vhjkeRv*vpYn8FRYz{t1!h((ebv4${iFMJ4u_&h?ii z4{DjdZiTMHyka?cq!fYG1?78s6U?Z<1M15pVt5|p?+;Zq&VVTix{Zh3_njPdn&~fN zV#6nH8jMA7ZY9nE;vUn>u3zR=or>*_11H%~X9X@cA0C6HxBjG$);PftyC6GvJ$xa0 zACz{@TaG#j3)E<}=l;x+ULCN8V_hWD#!wK~nSC%A#=73BRs^@lbs2}gHOrKFZO zqgeADCDVP&koPy#PVpI-1@XG(Y~eJe3;2| zIUQb?3(0nv-nO@@TZp{vz4!iBSs!s!_Mhd=z3aIBjCXHq_OYg1A8Q)c%Bki-2f*o9 z5a;{RRM|Jck=bu-w_wa_Oq^7u6O>4kZ2ace@>f3-t!)7;63Pe2fR42XS}yVj2EX6m zyILPfy$rr?{!{IzmJnE`r2qsqfjI8?UX4B923KSLtat5%XLUd)j}Hr8L-G z-ZkkBw5BpL-uFF3^GN4a$;SSmDer9KV*nw(KV9FNOLbv!c<{TGwRL^08Kw)!-#^(0|7kisT|9}hP3Xa$6mmLfhLM5Xxt2z8p z7;qi_IOUqbhd^&U51ppn0W136#OvAID*4zSqq^4!Y64F@p6@_HaNPr{=b?16v7i?K zfR<5Ka?iHcXTQ$fes@#1M5O0So~#qe!!Q!nDR-Ew(cEA&i1uwPJ(#EKRycnjQ%s+n zge3Rt?a5?Uw5&W|fQ6|kO4AWv;P!$fl3~6x>W1f;ChzkTnu>E|H_O35F{MvPKXmXo zLYrg1EhW=CoE2-jeYA` z66rqAVpZC853%dt>pJ$fzV{Qk4oedh7ut1mwupdd0Ic3A&lYOwqIIZ*ByW$ryDJU| z)ovHK`GW8^<3!B=5IMQCAZ?aMHqBf~iy_E)7MhyR8JCp@}>@uyaJ4 zb{+IV0%|7U-8e#`8-2SLtQ)s2B0|yb1iyR{eCvg;1Wq(_VNLH(_`Ej{a;yiNK2@IQ z@y%4D&}}OM;z^ilS?JWGs9D4qNOC;scVBsXK06tnOQUaZ3-AWB71CTrW_jTz?~#)% zGkZ;WKZ+wF(RbiDNH1zO$f8l|$PqbBepo#uBt(uONc%>wf0ZKrOGn~}`Tn)*$y?V> zkC}5ee@uATsBO>SxlWi)8~kvc?Z0RKI}6XZK6N8ay)PZwS^dHSZ|q(dW!N=2t=N5( z+yQ{;1h{E+{cv!H(@`C^!Q(!1>{+$UCo4G_*K+*V3bv(1G%~toIONYWvA;#C$@j2f zBd`zwaV#;JNq!0OIBnEB`2WlY1+B|2ySYKQzpxL#a~K*`ldEX{;vtZI!hU6QiEJ|ZQf@vTh6MV@r?vPAluPbK*7iHixjiT~qpqDh! z=U)>8>5hYBE_JNk2CRKM_Qd2Y*eNAwOL9Bx{@Xq7tQ-xwd@)h!n&H)qqe!b5JxMXO zGFn?H37HimQa-cJJCy3om4;>xq~PFmiL5pPX@{@d+$2i?rN)TM{Qw%auecVHhpyO4 z|HaF=b#ZJnLhQd>EbN{hX7=MlAWU&BUy?nyb|3yBRt`2uU&WfR^(3hUEss`pqn@|n z-+SKlJzP{sD>Ax&X&8saxhmcdoq+R3#Iq`^shU8y>haWRWF$l@Q`9}nY9AWa{1XHr^2|l?ZUAVVOsX4X9 z+>Q9K_Lk8a##uN>?6{VkhO0HoMvov$ADp<5pYvfGOCn|fi2vdFndu8tH`u=0ddBXb zJ%tF2Ae?&})0c?i^HM^r@W=MypF*HloV$lF^%{nC%M(Y=fpcbkr)pUk@$k+Kz{$NF zI$my=`yr|UlwV8phr44SKg^0;ArS?;=7ekPBMSK($ghPUG5cpmXJBeFH<;z-l*3Yww2sO&V|d0yhT~j9zNOG$s?w_5@bMoug-8ZeX&Jp=@YUZDH29|H-3;Zabho$?J#s{y_7x zGbqq2@AcyF@)V7}Gkv&VaP9lc5xr&mGWZLc{OykP8U2CS>`L(Ey#Rkia^phoIB-h;s zYWZ2>rwb9BZtPF&yYmten>Gv!(Yk6+MZA2Dl@bIf!v;H{ZSxhkpp5a_JwEiHiOHUG zKjPb0K53wZTUT#v-F0T53fDHv>*FWsZf?JxJ8l(QH`GbK7s{8rLxG;?_e1fAWd^Ts z0lo{kO#Kc*#MEa-R&XSdK<+5uKm0Kxd@q14yAm`2H6q7(oDEk8I4;4)-aSCC2jIg1c4vt9)5hk-)terQ ztNd24E~wGpX0^tt4_~9J3}d{*7KPoW2fynH@9gzq@zP)aolw4NBaD!z$@n?-@Z#az zE9CuUr|9C*?hRVr&PqI)(yNnTW@{(066(#g3bCv7ey7|1s2m>JOsV+Q=A^`0G_3_r zwC=#r@M`(R6Ocj4JHB+?dwvn`{Veb>quv<~e7|IBuXd)qJKOZ9E6W<3^?oIPyMKRU zd7H%Uj5=Ry!kQNre3+1H6#ow%v$cQr6=Yz{C4x2E5|Qxx8>3alP)zSX&sOmwLn(i^ zosDje15^6slj>#AohRT;#4Iw=#;;{2^?^hgg7lw>_;34ek18JE&N}Wv&5$@44RNih zuE)iPy)It=4N?6b0q?h8Yqh-cZLe>`@lE6rnKtOVHR72)*J-U=vT1?Hm2E`o^eI6R za9?G%nNXZqvwtoAvR-aS)yDIb7@{8}2fi_Hc<&31O*Rlw28DkITo_%<+wEEjtcLiy z0ej}dSzG1V9IxF?^RwOJ(r`Y#^H59$2!~6IwOk1olL?( z0WqkShZm29@foab-`{|3{QIfp>KtnN{$*car-jXfDAl;^u5$3neG}N{s#3stdw&!!>5P$G&L0;}pn5v(Lud%0?Vg3_l!*`L}{U z{9Gfx=W}=+PO|r9c(bJzfcZrEnO?jXS_I*K=kfWhkHzjxv_pWf#et!_R65zv&UA?H0UynjIGa=C>ls?)k*R4bFemFg^{(oZBhZpQl zpESD;c#7G%DzqpIZJsHn&t$8C|8$#535aZp{M)3}iwN{0(3nA}R8{%DE& zbgXxS#j;&cB$S@7QZ2gMX|oxrxo01Dby|$VVG(dD(3-Jy{M=gPvYlZYZP!CHD#Z0J zGU(&Df`Xk5U#0B~P8*?digd$P`LA(3_ZCL0|6KBpWw0PR9MJW~g;(}E0UtQeRIwfP zTdlaHPMw=%e|i9+Z%HLgq=q}cDpq`ncs_5TX9BUbHhGp zW}xe@o%VE{-f#I*0G&}0m^>-o$afsWi($K}@K!k%v&S<6d$0bC(aCfB8c1U!1%J8n z*uHk(CggbZgobPQRfZuV#Q#9q?&W$#$~b>!Khr^*y>OaRjh0nTs+I)w+6-7kmn|jg zDQRe)?G%R2T8VP0B>keYZ*B^&qS=X51O2gHqiv(wr^D5v45rhNzGq()dM|y^tEok(o|8Ww%KcO~H4b#r<$6-=xXt z73cGRlhHCO4qm4$Jo_%Rxd%Y2o&)iBzasrr|HglT&0C=h5;|O5%uauhnd9rWBMU+FOK z|Ij;Mc-l+RRQe`_WYR!Lwy!6HzYk}umm-3YkcxG5+?g~!nEuNy$n{q+_QVH2u8dv} zTs7MTXxz$KAnDJt8#*4LJNxxc0ly3_0 zhT8yl?XGUN13#iAy93di{?Y5a*tnDlwt?HH=Rd{Qy$FtYYcUnFZLsfbJyD}=@4p|8 zOm6347n16p#*>zSjO=sldteewC`ljxy2yld3#>$Ef(e&a&xGyQawwOygA2zex0|XO zNe;s%cV4lv^Tsj+0pk$UR%FC~Z;sUeS+s0Ic7*yLLTBdqT@!|2->-N?`6nS63 z{Ph&H16Qi*J1Z@c@t!~%35iMJVcrJd*JutLPn1}$)LgWBqd=ujJqA$+y8-ml!{+I47ljTA;J z`@r78IkV}PsHT5#@#MJu(n;B5^9YAb+M!4mk*kp#eDXWun_cLuz2Wvfr!L!3kPkX< zIJ3v`{^^-Su9FJtAZHRupMX{SK z-1KA_2p*Egd z#{1Ybc=4jGden$zcyJ}!Ol2zY_Hr1BqkU!Sd3xQnvyceS`Iz|wgU%7`z5{tUIOoVN zIr49Y7z6u?)J-$(%hGqliv2^)*t{MCf!igh<2V^!n3e6%@ehX{Sc2|GvR>9nuUo

1^ov~uu;I)lNPCyO z^pwg8k4d0EfQ$a?2q0pp0YA2|(v_T5MloJ^b;0F0TAeJQ+Z@pn_=M2;+nY}&`SmNm z^Q3IEsn>{wRn#%p13>Tj+3w=>P@M5J-n(~_UnQV-Zr90)kE^qAeChTf+Wy=QEn6n> zHUpjEUoOcZu7wufQHAg-%jQ6^SL;_vj#rM(t5_QQ+w}bFTwKT&@_Ur`^Ef_djQiKK zhlire{<2#6cP3KHhl;E%N^eiRs;Cb&2>7n8wb|s?N=@{-CD*1sDf`=7k!vd# z;cR6)thzogu#Sap8uv(qaqUAJVxcqB#~#@%71h_|M(JS$JPjy-<{eQm$;1yi-PD?Z z@H|>f&;3#-4_hR3oXB0*`dbsF%AcDo5q*kid{0CS#PF(FvBlRgWwkUOg|6(vz<$FB zOLBcFg$XTnuo~-dv||WZ#ge@&xG(Li6-|J&*h={pq^Ikd`Lj2^ZbBActI;Twt)psh z0tH}*cmo->4&CZ8`Pf^)Em~K@w;T> z>F}r}vfV(uXN0EO@r~64wd{1g1+xv2j4^d(U?iO`^GoLOr}TznO*R{R6hp_)O;aHcM-QP;5l4ieH;%DoM-R30Ew^fH zHR8s$`-gu(2W@+*jM4Sg=U$U$6LaH}^a$R#oDAaI%^Qz3Kqc$&16jhAqu-+e2>9ip zv-X$4ogoaKyZRTgR#=Qn{|PIJr+5l31@qaX6+4(EqZ)=IQCA38M?M)6aA>sDcQbqV zOIQ$zC_OXE_{QAnM3|06|2tF6U%a*SO`@flByU2sh__h^PDm0oQM)(S{dOv>hci&2 zzmTzXDrUJ0HG}uG4JPnar4#VV#G$4vF~-4?|2aP!{*Or276epMT?e?Y1%oMcY2vxX z>Yb$2rBfDhct?J21Ed-vkNm?%=f2qxlN;;w-?-f*DKlsBN*&cViQB1?8ygIZR-lMf zf-4%7{%W{9>iQKqH+b3z*efKGuJ4%qyf)S)8P-r(w~S+I%pcVeZFQG{9#Qsf(d>qY z|C#c{C75A19675s&rN;-R==$P!reJS;>(R+8vf2TIH**GI~F9^D4maDtP+rpvKKo^ zx`;2UEhElgDviY1#NCd(h1sN?^tr1d;_B$1iE!dBXEA5N{jT92D1^0Kucbe>#3eP3 zlyp(h6hrVz2D#L_a3pXKd(hHd?wKtQUh$83{Gi(pu#R^WPP9orGzAXxDxHU}l68$X zjz9pMBitrH)iU=HGA9AV3$2IzzcH+@QfqfDb~|mG&!72!zY2Z(+wlp%*Z$n&+x_bW z&qLGu3n`JNa-iI;Z!D9&T)A($j&2F8oftO(Sek!j(SKuu=s}*HoQFa+sRym>$Dqli z$cIvNutGp)0FHC%uVzP@Kq ze$MCV&6eQPwo9a!r&|>^M>}5-&0?gvvD0hoMsRW)OfdmcMnRG}_-Ie7E*`VS9Z^33{jr&ewJUh?8nHvyNhOY)ta_3hL&r+b zMAcN$XXf1r{Vm&igD1^o;*2G_rx~+@*_cm{XDA!w_=ZA)_yB4W8gu9K!o)~1jg63N zNlp^OkN`fwm_#)TH~m!1cgdt#A-dbAP9ioZ$gYv(a&49Ayq!ts` zP&k^HwBn|{xG8Cb1)n9k^V^R7wO(|4P7#WxIsKs>=gOl zl*`-L+Y`0xp}du7tq$^~co2!{$Q?%svni--O7TmD2#cY#Lm@0{WS_4Uxp zswy8xJ(Tv!9QRyngT1s(Q-C^vfUT^yV6A`Xt|tGO{tu?k1-B|l-nS<< z@CANxcVf~DQ{dsSjEQa02r;6RU_1O`W_=pOp-Yf*6Rtg)Yzv=C#PiU>uuwG>)wFj_o#wsG*3qY0_BSF=$g z^m7(CY(S(l_y{pG%-Q2zTbF#LUW5|JM4jIyaiY2(RM;+rDu_zWiO0WG=U_gZIvdov z+ji$6vRrw}W^JTOz+@0Lcx%l$Tv1I9pT%5=_kKYtpY!4r5&DK?>(vG8+97D?Mn;#t zp$19bG+hVm$%vh8QTC|qepe_+ig%;5s@Ow^R0QRtDP@k4no8J{#?NW`2_b1Ml(q6U zW4o5f*=dp)j#H>659ayLNmuXTV-F2Ek95Y^xV8|v)+wSVk`>2o9h)`~;kHRF(2Pob zA77v+$G$pYekN{&PY8iHR3wHnZ< zg%_$C2$WYTZ{19~+*L*;)Tb^D*J}Q0O-WkOF6-C4&XstbDXo$T=3B?ZY1T$(s;`ot zCkJHnsCEFApN}+e(*x9*l=L4@B<`md=iXvL#D*Csi!N4wGbKMnZ+L_}Tr3Y59qN)H z3E3$G=|)Wb4}ShA|1i_BxZNA!HCF*5=z8hNx=>sHj_{)V^3xK9(NxE$tWrBvG?1UQ z0tC2!--&*BUU9!EMc)hkWjaXVFE#p}Mb*&J(lRtO;bUcuLl`NGqqtd6;(1OM(Zx6( z@QlqfvEsN%g2=CKIp16SmDmBB3#FNTG@>d&^Rf)y`Ng>9YnSHHzs15;dxuKQ>v-9r zTHI(BgF6cVUiVKI%murX?!ONFNwm~LdW9LfCbu7N&;XYhoMX%&=R3y!O(dhcO*l_+7GTK-y zUVwYS%I#wTE$%;0x6F;JAEJDATv9g*G5N`=#YE&waX-PsQhX6{SVA@Ty_PV{Uwte} zGiTzY!3<8F%?ep2e1Kkw(H~By6@iAMDvvaC^<>=H?uE+e7S4`fXw3-g4^m;9@DqXM_Zbo?kXZfinuC&CDUfLwSA{!>JUh)2Le)~8j1Mz(C0e?n){r%# zN|?W)l`;P0Jo1(p{-=f7$CDzdxYji8NotDfSyAg^*m($1=Pq_mw3pM3!DQ70D64+% zdlKvb)mJeqD&W<E~rxF4Uq3_M|RRBRkmHu50qU%NznJC zv2A&k(orE0Ib4=` ztRJb*D*~T)eP%m6-*7F2SECj$E`+NL@3ViX%NhMA>Jbsg zD5WJVnJj1#$d-^*4lmp(l6F_;h@VhXUcaDOgT+eu-Mq$hNVjE~PwCcjM}$@f?*yX{V;c%6v+Vbgm~mPv42biM&4qo6$(jiTN9{_6(v8B(J7c@Nlj7i53%?6(rL;qP#UI4pBf{r zkTJ$3>3|)=Xd8fJKJi~<{HTx)q~dPXG-}QQl!?#d%k@W+43xo4%BOW2TT~ppF}4LW zsrFdB$kH*q)!2|Mcbw?A z(<;}C9Ph1T?9JX)5Bw?qy~WOGW!>jvqiRlrI-V^?1dKn?1{$EdyyYjRk2zMP$IS~K zTgysf!KTmraE#ln^~AV3K`57D+lGC_@@$+7VvwubmNo?|$^z-@cSdN#v3~VynRn2* zNJTs_EiHtkW;{;=3>>S!T{!+F{ZzX!hC|`#lcq=r!j^B=u-ThnAT|l;^zsc5Pa{+xazko+Cy3+Vq#>4et;NVH!&*uVbJQZLf}t=wf{YQJ=Nl* zyg5shJ2k_oPuZ6IZJCqF_vfMaJ1sUB^Ov@l-OdOP@`?a5*I0Th=3hyU`|(K{lo%Hl zWG68-#rdmg0Y-qWd|)N^SSkI?$e}CQMT;e#{UPnv!Ld6IY0d(RYB#9OXT0KxQ)|$p zDxV)PBmqU5Gy0E5{h;JtP>V$}oDuiv?Ms8+viuAlwSZ)m!-5`y4S^|;Rm`D0`lBy_Fq=8IXQZz=Y3td1Db||4^s2J9o zdn(vZSlE|8P(6dULL7#!d zg2nz=)Ko&%d+$M%f*XT4Kv=L?IEi~xVe@>q{(y{x8`S&has2|#F}te(D`Vbc+T8#< z_0C3ocZ1M;r3;@f5pilmA$lWPOb*-aFb|}FL;nab2}acZY`#%<<`&}R_R93R#vI+0%v5w?QKDLLl1)Fk zn&RnwzG|~cgj3+|N`vWgV$0=u42mC3Hb)>ck#;XeGk+upZsL+mCRHK3a*|~fNR384 z^AA6z7l?ghJuCCua_7VcR^zs${K!j`la##^+)VgpxTQxzP@@>4XDnr5=$9!hZhzt2 z4`kB1Ws%J#*vo?H&yyYFBVs|{v%<-4^@bn-ssa9FrWt_D-L?sx*oNtDCx+unO1y!5 zM&SN+{5GR=b{z-~)}PYlg7G8yh*~Q`)x{fk^J!ZC^40s9(sQS<|L-q|;Jg+3_Wk=U zpXWWtq_MFR`qO;!o+DhFa-Li>iQz4uBGC5MbSkRpaO3dhOG&CQNPm_VVayr#@{JeS zi*ePLQjKg&{F~&o>5PA~lrRQshgA4DczSVl9c{{$) z@kxHaaR%OSUHm&k1>N9`wPp4UB;s&5o?kXoH-3aRf8QU7_R0n?{adn-06GP1cUJ50 zrr8fK>!+nJYBpQ9H?4Yflra~QFdVq?kQib8cx8dEB9zl#5b6TTFx<`TDvo)odHI6fzsGh@M}|E}L(%Y%HT#DPzriQcP>V zkRVc|QBH!jxb-H{%3xSKMa3$ad0fwiX?p1y6G#*3vR>`CC8%b%to|%+OT1C=jFHO8 z7H8(1ii$WdK(ho5M9V;@qcSQ9D%ekkGnnCHVjuRo6Vhss)S!Uyt18J-%lE=8%eZx! zq)Lp$EgC;Rhjam_vj)$L9R87M3l&8}Q^aGYXqHstfxs|7Lb|D=V+;62l2pDibRoHb zP7xon^xtsaH@nt+Rk>b^z3#j(JI>dm)$bef-pAgIhYT^YRw%N#3rlPoR<{+hiXG04 zz5WKMC7Y#Qb~&87db+`Q@lN_$)s1T<_dC3gei7U3@h@K1_vcr~`a$0bM-I;Bq_?8X zrDn1k{8c8}`^eNRz7NCr1i+Za#ppJ_rCE9^@*d3Fe8eMq?6<3V>sMFoa1mI+$D3Z9 z56wAqMya3AEwkhSv-A2_M8AET^gMTPy%6Y%R?pOqP9k#hbt37tjc7O(-UTNs&J*(6 z`UgLJ^=-06MQguuDfhl%(0G5?U3yxOLn*eZxwci_{aeraS#um`LQbUHcHxXuqqzc} zMX#)<9Gj+r2TJO`dv=_EPgraA`;(M%&3oap>+>uPx~%q@ZcwZ0?3SbxNk!+oLNj?q zemxDF6R}-Lz`Ud7qKy`WCXM^>BDf(*^Q$C;t!}dFm6q7x!7%`PcM0WJGs0Zs(E2Hv zOiLb2vnhhTC^e&iwjH%osi_nr$vOu4_xOdMfS~kBHLRHehQ1v12>SKMp!rVj4G)s- z#QM1SWip9W&Mtya4fMWXHw#}f;?0^~&J=yW!lu-l?-0;5h-%l4Ze+ggLUM?$69;Ls z_UnzfMaAte*y>gcbNq!tsCeiz@~t=n#k(#?$%M`QNAz)zL&s+sP`9TAhI1)d%uK6B zY3r~D#VV!Xx@)Z+Z*A55B=dRA-6WTo4Q2)xMnE5N1X|m?i;k{@rYvKYN$z(o*T*6Tpm7BY6RzuJ18>(27{lHz)F-R}x4A|gMJkF5Rbo{w~WncG*D z;82ISlc!PU@dvFI!lnbwdfzSb6Xr68@`$Y4%7`2%5*XQHUJZX(Ck(RviC$6c{q9n* zccpsTQMz$;&w+%^GuW> z_EZ598bZ!aFSs0%;SV9)-YCH^pWG0cbh0vf+>1H0obc=B7Eb1YpAt!@mo0Wmo==Up^ zhP)5;<|FVWdX_17isn-}fl-k-Pp!B~9Nx;9eA!3H>*vB^f7b;$ z#5LTGHfj#QtU#W)g(Yn9{qi=$rz7Ct0Oc#Ka+HX))o!XF72Fk04RY%LK&&J<9)PtB zFXK?4DDA^v9CsP9*3o^6V)5{e4mmB(VS_U!0HY+f1nwfl98z|S*jNv#s2k{dS3*1n za2`$Hx79nwJxOL4HjF6AkNhz0`1A4&pf+sqU|gz$>mdzOqXD6HOQ26tiaLoVgX~o# z4a9@UATdm(WdGtpR6U9Hg>lu=Bbm**wGj!#&te1LXA!carsExvVR9kZZPDJkNTyrMgQG&ccr^{yo5C!K7CbSr7t2tR173dgOA zd(PcdVE8Q=ME%SsM{u~Fe@P-J&|ZP4u*el=$fZCazXY4rhSY|R*8O$lXW^3%1!cyB zuC33sQ7{V|ko;PGCn$Qu#|_EW(N>X?^|s=OfA?4or*i`B#`)G@DAniY1*UoKeqRUG zg}hx#5i!Cu7{fvhr10RnW3>`Fl8V3@P#44EfT6ah*|4kZX#+pa)omaoWJFS1r#$x? z^d-`X5a;@7(uf1g>4e}+OnaW)+8yH5eg$^7t~%|Hp>zdcn|eh!FXp*FbGP5Fl)3IV zI4!joVO99+Jrw?djjskmyD+SP@uv#q#5!sY4&y^zw~3C##I1O^qj3TfLnyGt?DJvy ztj_yZu+{P!YmtQej6X@0A>=*bXZ{V22uu9yHH@lZe@dwF=ao>y^Y-?yM~_rO0yfFC zq0*rW#w{CRU{Mj#DUV*y1SPP$kni=P7`ZbK)F+$)sW}e}uDNVcS9Gd|_J=*o(^Cdy zV1fzOk`3k&{+y@BBkRZMBD(z~ZNp21*cH}KA9X@l<}Gs# zS!+281DD)ubPD>>_04gTLQKb>sVk=T6k(Wg&B~f+b@A)<243UTtU}jqZHSQCW+2ao zz{}h!T3EegSxBcVcM6J_jkme61iY|&HyLz-qj!9@m>&ukXT;_>pi%;v7%9<&2&vQr z*W#2F*P*l+=e+ZI;WjAF0t4oeCJ;- z-b?y%uFgWJOeOeCW86!utY2-Wv&diK9{2eYP7{B3k$p?pIu+@ptUV|D8;?&Q5ncmY z?|vida7uM-N`T(m4}$Fgo8byqsLY?5yR`5kmQ>`GgnSfsK$e5&y}QuSUmnk0z4`=M ziy2~{j)+9RWWHgs+IkV%&AWe@(DlI$#2u33g;fmbK?!Gpg_ouu^b_Kt1)+WveF-+5 z!|@+clI{q*IIw7G&tub2!xpfF8K}!=`OZ zC*`KZjg5&5TbqF)3mlxPbrEP4AFwY(Pr>sBraHI#CIg>&-rj~-T#s+(HJ-uot`D7E zTfnN5e?51Grvn6oq!rvRpv0x89ny#ASMR<}pf_|?M+*XE!xd?g_l9!qz9{(OB;Z&WXFR%7Z z);*cn`eM4e=xYFp6deg#Ek9g!?@!5I4|N(&i-W(X34y&Mt7ijY+VhU9!M}T+`Gdj* z9;kKhdfI9Xesj&loq*SVglyU4yWh2ko=$cIVL9c1>Y;d;RiY=r{^oXP1Apt`zH=jr z$cRx2t-S$y!Gg=_Elbkeg4xt z$Z}#@AV~wV(O0KvFPDFabGvp;uhK!Udt562ah_D{1ROOa$oUf zBz(x|D#3_8!SnpdrY3Q(45K#I^XF>R6_xq7$@NijuawXkWA_0oNy!i&byY5J#pfN& zTdJP)F$U;4vC*FkcerJM z+6gpUG|XZ^pHW}&f;BT&A`eTFiEy&488|@1!W>p)yxs1J5Gq1B z4kayxRa-dOBcl%0hsbW^ZPmqH^hsP_0FBQ6uBS+jSxEV9k@+#XOOW;4^Q&FQ69xN; zg`gcHMoG&f)rho6@tJJ<%^ZuXja3G>H`iUiIoY1DK{nQ^z8B0S?=?l^+>P;AQq)PW z&^PRS;$_Z|!f}S3z@p4Sh_>YvvttC7p;+FFKlfN3V$J0;zbynchA zR<+@mL1)Y;*R1e8H}wugI}1P|aNS?MJVea8=dEnF4&@I6V#i|ZG? zURd1nwIZh#f7k(if4!-?!JVqhj$a#pXt-?~tOIruDXHdwmf|3R3wA3zzb|YeAjlDzK>eAYT!XFVyUDJGWdBR3 z8p^kVT&LgsywqrPW2q7duE}SivNT~#>1p}!io|so84k76jf}w80t-t`%C(fxqm0Y~ zFL}}X2->4qCPtrve5Tq5_6;5ry!LXHa30gxZZ#~`6Y%5S|ib9u# ze9I~;%fC_d@#zn9W2}PeDTAc2i)hk9AoEttd8gb0%_LhT?SMohB!sE;1xd}OCG$AN zyw2nLTys2B3Y968gIK=8L&xTdZ9Sq*+4icK!~maRQZpYKD=Wi>O*M&N@C&BWMmi3rt8&{s3n)C zbD>t1V_LksR5(w`>VCibLwwN!G3>Q8gceu$WW}PC$g<63bC^@RHlm(a+U01~UNf{4 z70@|s_ERgLZfqed`6&w6C)LrVQF<2`zy(O{AL6b9_>`$?&OAxoMV}xewH=s%pXHO{ zUui0q^5K(CSe7Zlbwy5e3HB1sRqYgXjrskFaLNEUw1=C^MzsUj(Ro%rS@bNj>NqTe z+Kk%s`nIoP_maL(x*O7NlSXvi6r`)Pt8SqyRkfK*Z^`@lR{1Bh((|2(_ezb*V5(=i z0pm6my1dHMhSpJc6X0R7P3*nK25Cw7n0FKtNImpTX3&7~uR%R$j5U#vnHhE}jf?SS zkJelxx{O_-*2PwJrimBmF1by&9exzft%;rB4E5be+jZ+MCX|K$h=O)a;=y@XDR#NgsHs24wmx9#a0uWIqs`)SMFoENv_s!W z*I!oXGb)*DG`t`v89T_44jCoBFLd~$nqt$2%N zlev;nY}1SKK|MyQ!L{FC=J9JfN-;CXTBxW$3d>7T4~z-_?VTADql>NF<&`cM$pzR{ zuhN0j4X#W{`smCn*1|cQJs3d+$g1+Z!BYCvzx3~+J&(?`?Z^8^t6i7>j5)9w9ctU< zEyPFdxDwj9zUJAz27!p^_ptbI&#r>I^s&v z5*DxCu%q1+H<%mb41 z-CYtqxVr{-3x4Lir|$UyyJ}Z$S!=!B{q#%oC(8$GIT0gEs%7r3P(3}@Z|qmfWs0+z zPEIs~UbpwA4KVBB^{&(`p_$>~pXTkq9$$)0y?+-K(b{Y@VV;tb%8U#RWXM&8_H%{! z0crRaN_R%Ju9-PIZV_GQqOBH!9puHAFv$n%h^q!%AiXpThSL12ga!QZze5W8(qx>Y zdKOlq&SH!Z=h#F*{xQ7xxdj3QUyk6-x3}n4+Yz|bf$aB}N~8 zzNJSRD10w4XP;A4huY~BU;+E-S>u1L($CGL{=HXJh8@98me}^58)@$2`@R!wOUf9R z!`H>7&^}UIkfzv+ zim&}#7RzF8nA)=Q%AE66ivo6;6P7EL=-iBZ61vl&tcChYDj+wttbBHb5iWh105@aU zbvbW`9cgxB<40xPEZOXt7=2}3=EHhP{Qj zfau()^L+bzmbqz3{6hZdC3{jF-+9Z(>S7jC_3KFCiq(mwZ6x5?EH6LO1T8%2ajV}; z*sOKKam}^Ir9(rJQWyuLh&B7@12UrO0d8bT_`J9lGCh=Aaz*L50Dl!o+@?%c;)O&Y z36Z&M-zN`6U#P!?FVsOg5Fb@Ccy&)XkP$VkfYCA@u(t8_JFj#7&A!Rjh=gj4%b%b5 ze-ky#K&@8#s6~HGj{_o-zHG&x(3Tdf&8f|Q*=w8afC)Wg)B(w3bSoMq(3Bk6qx|ID zzbTH@fW^dz0Y7H2k7|>A-T0y_P#gDTs1Emeh`W3ueEwGDE`N;WNvw@P_8m=S`K+6g z^&SlblrxfWA$wOmD}F@1Mpd{6?byFcUqw}c=hRNI2@3v!J2d?Ivl_~xX$o9&QcirK zx{a@jJmNsVU7Cib^w?6_3YU7ojxG1f>pP3wqe2OMK&>x(GT}7cDW!FQcS-lg-pvZy z^7Al7GDrSyiZ^^~{yUL8>rz4-?~`hUR$TP%1%>D5a!12KGaKD@r*A z%_ivF=a!b+M0iK8r!7^n)9KLi^IHep<#`8qS0xvfUXq1!CGS$x$2ssYYz7bAso3zjDnT;><&`Zo9bEiOA!7;kxQQ?6SMK zw-)b*!%A67|3Oe^V<140e zR~tp>Qbvh%niY+ak}iJGpe&c zVj8}oyP90TbPu3I4(U5?kXJokNWdib_M@|`t9jQN;EzF={L0sV%PkHUShf`kd5nkE zQ;g?fPQAk<PnXoPNy&U%~i~{rG4imj%hSlluhg|^`;!S-8 z+_Q2ZKhKo8$}S=P%O&{NJXclJW#xE`;B#LZYBQLTzI7(cWGEk7mU$*e8XerQDFXuB zdfsRNr=UO(PJW1Vzs!#&)r8Ar)t@MF^94oXa0%&Fp7Gk{MMwHzO^7vI0zMV#f!JA> z;_lhG$aoj??n&$`^UONdZrK8jVT51`-Nf*O*RBUw^`l;2aYyH4Qg<_{NBc^AHEkM; zd3RSv61Cc0FB!O$53D|F5{qwTxdlz1KAxSOEIwj_EHq1s)y_iUm?-2l2=;-bb!+|0 zLUBIvi{)Wf<3f$q$O8>Nv`^zgc_@zT${oSZW{^(s_wzc>1dwMDByp5f41&SHG4 z!^+C2WzU!8j-&IP7S^wMzDXM2>!SFn;m9Csv^%zzxu6E$x{3-pv8s4o)OOs490nUt z^OpibD+y5; z_wiR>UVi-TkWjJ{Y|!ac7?PG$83NiF`M_SCL}!$Uk0v=9&-Z(s_(9uCZ%#6h7S#i$ z>`_>3KY|U0xJO)pdUUWS#dTOkN15e)2ku(r9=t0JR^*zh?E<_@#0RSiqb^5$Ck4nS zXlMuNH%5V^8A0z;<4+SPWbewy zIDG5Dnae^E&@YfgADSnNZJ~v~$vz)-7XE$8!s`KlM9({+RJJaO(DX7IMV z@^62_8;885LSAn*b(3BzFw*{wv+M57HzNDxZvWqgUl-}9^X%`4M|nkcEz*lYsh6Wz zFM>Ov7mnu}VZU(lH(Sg**HY}Of}6`uSD_o83fs#bc6(kk1qP{r$JI<61Jb)nAJn%N zKQ1f`64RXN9OCu6O7~|J;huhLtEm(}B<9wuue!oKMreL{2f>ow@1MTb+KDr@g-$CA zS+_kRBoDJNPgxo|nNsic#cn(EBvZ1~V6q5YP`tkGn$2R20Pp!Fy{(7iT?9CXrei%#;O#XemuCGmbiat-sj z(nuOKW)X$0bx!EBNYdX6nlbyc*yI~|ZijzRa4tu`4!l?_)e|-9@Z^{s)6SkQ1-`lE~+DM#Mtyx%~1$%u(z{!Hcg&D?e2gWq58*1ah=}#3x ziqjeU*v8}a?4=t9%CSehL@Abck;x_Qt+K@SmPPW_8YTnBT&b^Sndw$4U2RyV)<_O(pm8z6+oLkGXv5tn2;? z=BCCCA6%i*VRCCW{K>PA7FWOp@dsW+H4#wKu!#)$KRx1Sy>7Xjh5?u!-B;`;AK#zo z8lNjj_9O^}04Zp5^HwXB;ki(8Xo49uo|xS1i}9NjNZj?|nS50JC}J;t65&>9WD*_* zn$Hp_LFx~9wxCFM+ss-Kv8e^iseywlnxo2| z82pamx@C%6)$xA|>b!Mil`A_f5cCH^0$j_D zQlKgVbbtJzj;)K5$2-cIQ_o6a2T;TVeWCkBR81X-lDI80OIO!ZHYM^vpO46x?$Lund!8Hj3U3Voelx zp~MkiVYtI}^SR40FqLXXRfyj;3eY_%lF>-SK?W=;yB>4ba#ca?z)=?MGmBj=Thg-U zVQ#s3Cewj+mL+NSH~w{<+jiI$_GRb$?fy*7is2o@$b|CK(ft94nxChgBW96++!3dh zi#e|{NTc>Ww$1us#4MSS+ZmjIa)cBY&9sK!b1H;U_*w~(${JbG!ISUWh|6pJ_7zVS zYtg-k+gK0C`VUgE*W-n5nlwx{ig+%L@M1S}Q|@y4U(i>;XAmuR- zLiv4^!F z&pU}143q;BKK^{<7xu8df$FSWjNI7~5_scecbE{F?E(6jQN{>u2Z^S-?=erT*!n=M z=%dgiiU(4A{rCAyPql7qpF2+UW0buAQ6&{G8UC!Wx#bxIyY;L&y{jaBIZ#NC9Q|8h zl^y0bUU@E;KNoVd`|k0$TzTeO@Kk~K_ix7+H!SCaS+mv-4~;3?+uCU|0?~uyP5Yx6?ls7519@5pWPFfz&rvG`AHvPJ0hhl=<#}HZvBnd3o@# z{~(@BxbSKN!koQOK&6s!_@4>k=r#M&y>w{AzcH1nHy!H9YqF2Rp$A6w3 zXx;kh^p$RH8)mz+bM$m5pmh^@A^Ub^9{Wt@CB)}(lLPDnFoCE03J-SGyQRq+hY4oe zsAL)Fn82TTeg_tct0@;*@JKOPq<;f$y109qFBS+Hhmq0ATQ&bKat^UcJds9i2S>4> zt^g3(k>|Ke7H&n3b;~Z7G#foCxdDA2n40tShz~K;Hi#=tp;6^DCQM}$<@l_?MVhrw zriSjVPx;UN!7wEQ-wHQfA(@fkIIb#!T_X)q_55<;$sM+V2667z@ozPh33DT~U-liV zU*PM9GH5XMZC_z5&Y?W~dZQ@0{j9*coEV>#8M)er`_Zhp$CO0UKnKAJi%ev%*JrWa znZL<)JzRl!4(TscZ*hYY?PGPH0=YYRRl5Ui#0;sL%~e%bK-j=4JEi4-4WoWij7YyI zB)%Ct`N_>>aQ@F?hY@e?lz+oxX|?&Yi6CmkdPmAuBzS%gm%BlLv`q6GBF5YI1;tGxcJQI8;SfoZJR2g za0CNVh76yj1wP(m7kb3AIJKxXbNT0zN!6+UFUVYbuGS zuX)e<87BGBUpAgg`#2M%D@T-T;P-x=P~z?uD7_1z{({79kHSZ6Rcq~s?j7WH(T9(Y zzvhd=Y`}+!1WcTJ*=2)$Qcy~GdnM{eEITo^SfK+5z`~!pm~gn&Lq<^`zT5GrZ!P`3 zxyF~eA{LR$)e!oM)PS)Qmv3{D@l!aergM1@dmARlO?)np8^f{F$QU*fsc#1X&CQ<> zjs)tO3qRx!co*Q0OZ;&0;sszB?8Cr$?qskDG~83Oji}n5s7qsmaZNKyK7x+}_FZ|Y z(#vXO{5mrRq{*bF9Lc9rf$+es-(GESx&rX>S4?`Pr3Qui);{0!mSgeZvHV+$2_4Al zXmVjLI^MU@uFuZWL?IDLK^i@E{R49}b}}t` z;`iI4S8WQ)C#7`+B0q*g2o6!>OHJuShYP_CVC4g^h8*<%U8v4E6|V@;P5dzZP;>CL zNHj-ZVS2etT(5f6Ty22Ay+-8RlODF#x>E5FS!&bhF*@sdGSs;h{5!XWeHKCCeuP;~ zmF{-W57EEa;H*>A`03?l?pc* zIm4?u6&np~M>;M+7Ixay>s6AD{JE1xlcLa@L8n9d9!Pd=$FgG+4wRyLoM}{W|5p16 zZxL~tiYV$`$e>kixS9rjq|63Fq>_iI7)|4;tYUD&p^s9ABR|9TQVaqLKRpb|e&c|f z;SYv?pGN8cp6CfIl&h_oL~Z9Y%#N??rwoohLKLjTh}6*aM=Jbc&L|VaJuZ$Ys)_oS z8D=TjI|2(hZg(RO7R&S?bW@{9*5ey(omkS3e{;^&18hq6Km$(dJhZ-5!_U;;!c9&{ zc*Mt%Q(CjDqM4g)<$0tg?oWdHv!HdmXk!*Z4DC1`53NHJ!xEqfIlWDZ*^o&>fit#$ zeP&vTPRh!)grk~zA>~!ApEdPZ^)fXV4F$)6A!K%^y+5C*v>X46KoTi@a92w zwzl8*1(E7&jHex}5i_~?YayrHoV;@zX}fF~E^axUm6*1Pil-K(%RxcW2nXEBn-Qbt zR4Ux}gzEFiHHw^6-zKAih`Eh5XIL@Ng~HqIKv5AHGjG^LFeX}uDJz_b&xOmGr0t(~ z4g7JB(wo;IIC1#2w5>*QcufZ_w1YwkCC*|x@F%6bGJnQJouu$}ONT*nv@cI2QidgX zon9LGtxB(oayf;sRaS>kQ1dW+eLrfXc5Be_44vaUj^^>uNn8Sf&2q(OpK zeyu31)A}&CslIz(9X5M>LOM=o)=%S>PpFjNmVX4iR2x0b#2QbWN!?(#&G9Ot>C%j< zIR1{-^1k#gD7(gb2*YbHOKez_JtCO{SxmJ`uY*w0_s}Wah)9MZx%MDFWOTI##}-=G zC9i7U;yxzJ*4;$5qP%|UU(Ta^kmmudcghQ!>BC~*A5MThG?YofO4FvQ$VMsrm;(c^ z3AIDuXO?z8<;;U8svZ5|RL$v-gx-06LaIlLco(!j6FtJEH^kRt0&BK!*Fi1&cae^l z8BsG%bfwh6LGL}nCYP2&&-8Bxg)9R8B!`ZjFoMlWgeT_{zgZ0Hxxu4c4)MGpVB3aM zJk7^$*S}o}F*XL{T*l^Am*cHv3K9~kq0kn8W$1oK^YG=d`}NqGf{HITxG}OZK8u^P z$;D)dROA=5gc(pDmznsZ!sQVDVfq(t^Etmwdts0F)_Me+(?q};a`Ou^KLd{CunN{c zEvRpo=gn5f0_&~HfXN?0)q8;Kpcpd-w`Oi<5$+nP&$4inR8A9vJ*AoVbGPGlnJ%CtvgvXK2leTLbqBn5YnqJ1=MR@y#s&FsYcLBnNV7VopR!V}h~^%Ctm7`I z<)(>2Iqs|Z66KBXy}kQoDc3hGp5SmU@=|BJ!OFL5nxv7&Yz;WnrnX7H3#JpFJ2;!g zNyO@o4|f;^;~gLCtGh5qVX#Vhbvt>d<2Y9ok=FifjE_qD_=kan#BNrMa{*f&V3&y@ z5d-Zu*Ay}Mte1!T+QZT?*2)Tu?6*DwhwIAb9st5ZH!%R`h)}&8dd_x9^pt8%B1_2=or(J0&>{{p5m7q3#f z^Xkelso@|P@>I)n=O+^L#5t64)VIszP#F4$ZeGSgN-)^{5_=agwFhMqgi`& z6sf{(4}%%*oCE67lOOx-WoJg-w{}22buLG-ibsey@|y6?3oif0c9(pwU#W30sNKU)qDBE z2;#+lNW(u}3RkKuFGTj~qKK)e!|vLmJ@I=qb-`}CU8rbk(94E{&u+;&myoJI-U+vcv znO+5j1ulEXBy&N`4}$vqF+hd}(Q4mjaU#xFFz}d#$f3(l#DE}^8@5*rJLoPUiVAy! zMEha=2tR0G2lSlDNI4nfP`|uf$T57aC;GdiNSi8ubq{=UhJ19&BN97@7GEx>TQ$&* z4MyLuM}JCL4N|IN&pG=&csYM(#VJPsp-TUJx;lyFd_^+$^6%d9+FU4RZ?uS-%kjDA zlT$8%##;yu*5fAmVV}sU+4s&%w~DtJx68$DKP>E*1;4FibB+8i)Az*=yj(w6#mdHB zp{|I5loGrDHW?*krv#EFrtMdAZG(iaji!Qr^d`leY>O3`79Ly&2foL??=3 z6zII*t{z-}p}u(Bg+&#_9?)RUc6bgao5=y?4CR<-ENA#J?G)oJNsf4Ed`>4B(b;b; zYs}@roBa9R-DI{Kdyn@zS-xoet_>m)T&Z|l8807n9nS?*n{yC>8ZR9_R7BNZ@~Yyt zZ?2v?sPu5e@$m+xNeJm>B@Hb3La!1w#~qA0IX-kD648f@TV8$Qzu}6!woeXrT4odH z|7@w0`H@J=SBape8|A=JI=f&d;J>N{6#>@8_ybvSyEcTbA`qvS_(fJx1#o-;o|O3F zDDt>wBQbJ1Ib|ziiQWIkMb~qJG}XSUuZm~huzy^m@;BlK1=!!6lXr6{J*E$RBMe>W z6{7pr`W7?_!^(1)r%?Vj4Y7P)YHIy0QH z=Z_>+kF)y@OQ$K+nrFV`nddS`?>>F*@0ky)eP|`9^;1F!T@xkD;FZaG6ViR1!Zy6i zFfITr$E=?3$dogk!r!G5lluW0(96GoxhcV1mX*2iSdrWhnHv9Qg)ZA>lWfB1e#g{) z48#B0IzuBP+hd^tFI9*8pBIOgAoMDcH8r~ebDOzW%@6qbF_Ok#b_Ut)oM~dR2C*YT*%MvgQ2O`kuxu4vc_v{V zO+uhJn5)^wFV{n368{^yxOgVe#IAF{)cyc$VpnU*@?q-B%;4&B@fwrk+3A9@>(!U2 z)ivMmF#~US<5Gq+s`mSPa^{>%L-in za8z&<<01<7c2Ad7Lo{#Pcje%Oh8br%#h6wY)f}X39Jmx8_-o9&;4eO9ehwnhZ;m*A z6D%jWh#W0Wt=KTM;2k`!;KuyiGRIlPSlq1HdxIUG&feZUB`5$qJK@1!lI8yvSC)FOf zkFe(RK~;ea5XXrZj&P_&Ancd8bK++o++5H@NE53r&g+d?uR}xCdaJlnwUOU>nIHCycTT zY~OE0|CTGJhV=v7(3-Q&*ZOm>Yp4#sC+QzNV=rjDVki2b!GQ7e56I$UU3%jtJw6#y z=Fya8h_Gn3x7EhbKjn3RM??S5?a4lXQkmMZ@jg&3*2>8oQ1%7R4i(C-NBBqfmy9cw zRJ|VI@D$87W-+4Fr>xH&nkPD=oa@ObGgTC@&B;}Gq^9x}S#H-{FzWO7#Mkt6p2zw- ztpeW^hy_eGu+4mY4Bh2bACGH#UCj3sdaR!{($d$wjSpCF%)Z8JJPW#7mWh#DQ8b~) zXm9J`DM$CpchywNM6|Dq1wTC&73P*xp$l@gLmYdK?x=Go zq8p0f8c#ngO8LlG5{b#K;OOd6*I;|inge}8XW=MZi=|;Ewtf&eXUGi8T&OxE&^AOt+mP#gF6M81T{J z{vdn=vfIF~I$b2SEa5J6HstEpuyEWuK36s)!+F{xndM*;A+K5Vzd3T(#x75;Y5~`B z_U|tg8xy3NJsI*Mc3>kO1o5NRrVlw~O5N=_tJ_Yx* z7kd|!0Om&D(w`O5XCw4Rr6fCG505Hek@H8o+c;BG3NMcr_iSSAE+wCP(}%@ZA`R0K zY4tOQmGV1v6xpJUDP`8#>v@qvp6(<@pgQZ)NSI@W4E_+pdWycy@)2&N! zY|zFkvA+Ot0TJ8xW|U;e+5sEb7}VNEC=|aUMTXSRP8JQaE z1-=~x!PU>wb|7$5ud!O9?mJm@_6eL-gigZ4(_e;~#E&bVr)IRG$J2c**19n<`MF_W zROSY5H)}Wf+5SqZq*&}`D&HuGMy}=Qb;;?h4m}}zN{;t$C;953pqFo9A5ICuDo+f& zm_NP@JA69@X<9F#1@VOmQmv{!%~O!_JT>P#SqnD?Je#aCoAS-I`?8H?`7V$8|GVz%?U?x|ZYdD`97*2cr+c(9dE-!Z3x^C=W z_MXZ-mz_`9NxW`pq);_K#|JaN_rs_CGS%l{mSX4wVEETw%|&CW$tsk*KHdXKGX)mh_Enh^NWajw zq?8_uuAm(gPLjiSvCkebKcP}(H+kuwprd;>!ey_ydqYC0TcRqhZv->ung?6ZVAb_F zvM!ktKw>ZxE@J7Pq2|Vm1o0;8ac*Q(Vq>)qb~wNyzQ=N?90e8y6hw?fl7ywDbEqky zh&;H#rER4wwXe(ijajYQA|9Np2@a?JW&6kwxb)=ryRpO>dSaB?u}NQgm4FfYP(*>_ zx#z34C?A>?N>ktv&GGk@awKI{Y@(Y^*?~sY>mgSecR+3&%sj+Hq^;reHdS>O##n9J z4$=>#T?z#>+JmiBCq9)qCu_CP^lJWGUPq`Cp6iMT34JN+@@?$;*ce5kIXEkn40mX1 zP;#%2z6#bUKuY1XR?xT}<{ql6)0+Nk$^PkvX(?l|(8rL!mv_2u}iD=D9GDBfKi#V%3nS>`65^lGO zoabvXp?W&X-989qJe32zkZQy8pDc#=%Z()~?bw;8KAtr+w3yAS4sIk8{cSu`t1Ox^ zR*~0GlYsckwjy==TT0UG*pdzPi!+x8P24ste%~iMw3%8IkZa1 zE)3CVlfTMPv!2=ostH@iJ{EN1|5R#wPxhz{ay=A2KK>qChLL6f@@(B>17*!hdNDt? zH+5*3xvW`Dk0d%3?xoHrjO8}cU(-s{S+aflsmpD9MK=PTql1W&Ie>0}pz`0ew-3qq zHq+@$fJ(T2BQW{Nd?3?)PQ%A(l*km@Y~qAiapmB*paeQ~W9=%>5U)EKtztcBP#(k+#ak z-fBYlsrox*?O=2qAI|}c!kmuFT=Qn1Ox0tTPMlssY~JrF2RV=&(BfLQ(-)=RCCqnF z7*);_u$tgMl!v0pPBuGlfkS;Q(*vk1FZh%gER353#KE_AY*f^fsj@}3LV0)VA)X78 zno~jdyptS+f>JS)N>3A=0C84?(+CAbN3E)juY{TmFH2d72(maouQ6m9239O2J z^~~z~^2Hz_z3TiihTa)9brA{-m>>H{SCXGlriX^9Xm%eB>F8nj-`u78UB8aP;~gx} z;N~`_ejGQi+pezH+;Dizpb24)$g2K+dvpx#VFI4Uk~BskT1;=tihV6jX>h482L`gE zmhE=N`J^yC$i#DaB)G zM^G#lZ?6<^W}?b-PU?**$UxJ5{SmvRx?y63W>P}nd)wK1{Ef}d6B>Hb}7aAV(6*vB}7)xo;Ja`xiuQ}}WUH9#a?#skm(EUp` z%VwVXb^KNx30iI6+ObM!Ju>O3Ntk#>B9)w6IV<@y0_MTuodEY}Ch^;K_C-%KjFA3z zm*Re08&-RItEtlJg90K3u>zPVqK>?$timM6iDNwiK1qDr6<21$HE9AKGmNISzK?h8 zo(<|f37)f4-;?$zB(jcfdjRGPownqpv+KukdN;UyeNdw36QuC6KaIP7h7l*Du9|LxFp4CTN!fXJ#)Yd2!+8~ zsRguQpF|0T&W00e z(vu$&$ZnR_fSAObjtiERtC}qm1wgQ@pUSOWq4ST`=AL{>6Jq74nUGJuexJdUAkXTm@tON zKQbJIsEkWB%pUpug@!eeot?z=Gu}JIKv$SWWOK5cs0A{xjlWqK>J~001~qzMZ*4SNP>{EU4sz$Vk(2!m-hN z?|Z4|eR~$kN*$x~iu)n+o)PGPZxH17c>WfNUCEle^FY2OEaXUc)^duq>a%~^vIlLy z7-o5U=cm1ZavjL;us|mih^?1!J1+e13}#DmNAUA<5e_Z(dq>`8OE%(+7xRiu62s2e zVezS|lM}DEb7I^4-nPF6BSKa}njpUFS3jW|fx>j-MKDo@gF-icOd8#3>RCrlVRW9;>95V&vcxY2%=^F(2G>?l8 zKI<|a@RJiYC`&(K|CfHLD-a>}Hvl2sjg5)SL{K5x(tbl3h|3Ymo`!G?oM2lq?H@5S z%nVQ@_I!s|T8hQBk(@QJ)e+oiqBlU-DjYq|`lZsrUPsQn7{8n^dt0LPpEc;!#tudG zv}Xj~P&;e=2ne4e7kR^0J5AyV0}WN}I$Bn(d&^_xKXbbluVJp4e9#*gEIB;_JfgUTddk8GP>wXWPz`fj ze_$jVYFdG0aN%0P6WJS+)S;TB$RcT^ftK;?r6Az~BIMXNJ|i{go$!6(T1~K* zY%t#hjM2B5gJqZF|2o`0tp}4TmEYFHYA9uB!Xq;PTJ8FUs3x^u$ggjMneA z`a>BNH2PUzir+KC>b*E*OE*0N zFdD!1GscjWI@f}R`RTxKv$*>KuFGeEvEWx5HO`b#E_Xwf&%5fE?uo5{YCrhq|6C>@Dn*Beb<0r|fP`7`ZID`kGUSPs3wUz-`v(IL^2gWhE2ZmP@ znS}k@`{%BAVn?Z9r%%*wQ|hT+{n8G@bg!Ry{C4PC_Pc$9Vtw|z9ar|>P*c{OsLjS1 zMO6D#ux%oUq!K^jGZ{^X!21RV^=j!v%?!O)6C-2S@cE#*;f(NC&za{hi$TORJVcaU zkH7PUaP8&Q&^*g+W|#V_c$!?s6vH#LA?y zt)|ONL1cxi^ms|m?}`x5>C73Nh@PeMfMK_Pa!hVDNlXQXzUt`>B?#w{8*M2 zmPj+P9~L>sg$K$hqDB_j;r^020PKim0&xj}{#jRMDoaV8mHgyMR+=Zelf2Nu1bcV?$u zl56a-zV%#?E$!|Iqq~l!IftXWh?#l-##l+ER&p<%aBDHq`qIPNqVR$QiH0Bcv}zpq zoJeUQS)5C01->M%W+}PeX02`R3IRTKO9NBL+D){S^%lrxES>KO3>-15I$!y8-PYz> zjz;7hFOf!TEcB5^BAw&XPQLG_o$KbhtN_R82gX7oYr%?Le43o(5W`2pd_g2jSocG> zJKWz=JmK>By|C~Y9Mql}wb=1a`+V1Z4bGF5AEAQDgoH%mn}BNRsQK@UYFBYtLT6O- z`%`v=j&;XLz3V|)8nc~OAIq?3bNv=0l^4-;=53O6pR{%FfBW^SlXnrMtojl_^_{8z z>bra?VgUu_p|zSAp-$J&7$u4bI7OYYArs5bOMJr{gp`tyhA!;%wjAH*C*rdhVGlg= zvCYn)+^5=4uSst38N0R^b-J25Rro!wC3AENPLPs0*`CxMUR)r^hV$T}4RZ zLi!|GOtc2d$F6j4565X)0R!j}QyqCS$HsJPOcP!^?KQ&$MynejOHBGTV4-P_=pVBy zlv}923a$l^q3?&DJd8ldI#%4JGu`IUkpoik69LhO-ikia6eKXafe1uyeUj}$u42^^ zLw-!JDIhzh*!i&o-MKdB`tj3t-45&MDkBQ4GrcKkY~s~{^e(i6fzzO#QWy@~l7>4T ze+cPD19qy@$}~-|op3sWTm8i^I3QyPxE}7Bzf-z-*ohaBAL#e27bPz+IHH6kX=qR1 zs@*N9VC(M4Jk(XwOeU~(gF84->uru+5_~Gxtt;(7JTnLEE{IvlFqGtPw*0w(;3RqQ z-Y%su58ffJSt;Hc2gD92F=+Ik2CS(@NZDG05r$*25;T5B8Rc2*5Ww-JHQ|TF8^6Rr zC40J}XT_|{P;^fZ+^kJiUmT*2)!e|YF_@_Z3pVS$Z4p{I+3? zm{=IEYfn>ojgfL8crO}DD*-KDm;u`r!e+s_7^mDoNF&G6Hik0ZE0d{X<}1q5+v&5*MMV>*wZY12u77Hj76Y`U1S3I?aQ(kEevk6t< zFp{`+A&uK82H6KHCB-(j&_BrEkZ;a@>}XRXgLM)N)ueOccE5hncwr8x#h06AQW8rY zhR3xu1(=8e(HYYW#F9Tf^w3D2XTT1LIKzd16Ybol)g8-nBUd|B0@;9bbUJ3+6xV8$ zm6hOC?xp#qw8RB%WXlwOJjMC%dTqQTd|S^~G+-1rNvw#YLD8is*5#`c~9`oQ<4X9EI!IF2U4-z@!_OMcS-C z<&jc{ZQfd1#ACk3KjM{fwx&MFoD29=B5UalI~1A8FYoNLYO4|U1_)YI+e;c$xA{j3 zGPyAbqGxV!M80%B@vmnT+*)XhKq0#g-nxN+qaQ_dbk}!S1bItcAnE{K-w?mj>_jy zy5mSvV!+2l4VgMSA_qNa{CQrK4oy(s=r?`btBm(VTKdRNDVM*&9VQlDy;Uj>}b>L z9_;qq<<^wqHxD>eM#9hfkWcuXMFJ9ftVFh0l`u&l<(R*uKc^1Uv`Q~$Lkx1@lC632 zi@ij;`;El7anbj488-^_&J}+2{`l3wn|j~Hubc#)8VrwVnd){UwOaSo(~~AI$C4;k zJm8g`Y~(7R(ARk=ent}qSiUy^!}u_qLf zQozRb9E7plCDR6fSnJh)hze#+C>w#+rWbsI1`AAcDfMNOW{SK}OmbIXQy8pZ&z5e` z0APuwvp9On$rTuYQZ>_xh^W0xu9G>aNluOiRTtbjEej0fKn+~CLA<*=$knjvWPjI* z4~~7R0^$Gyej*A^Ujh4?uo~C-xcnN^0T&5zhY~WZG!R&&t(gdm&OCK*(yZn@!wQeK z92=!*=IS41eW)$8_u7;kSVE(RZAyyEY8ffLK#6@-4Tozs?3)a1!yDl&!HOZ{>b80M z$2d~jq}S#tn?oP;BKMy5NhAGs1WxuZsm7r2QrK08P0$z};Cn$n`~M51|JTDd&&kDQ z^weZ)aeg48D#iU**NKaS8DcE^aUTPj*oGU=1}fTcQYGvn-G8CQgZ%j}&*R+J<-Ya9 z`!plhZ$=sf^|Xh1)U!yI-!=yFluK=Zz_ zT$1vXg|{$F)%XbW={#*p%VeJZ`~Xakt-G2%(E2__gb5cc=3}8#5BIVjH;+eGLZ$#) zdW$Bcr1}5^i$*N)L5(GTSJLh~Axsvhgi<2aNyeeQ=`qjh3seSrB>Z`6z8Fq}68WFL z{Lr&lT?kcyh>~DoSnfcgJ#~lZS*EIDV=aCZj$GcV=bw?o3|qHc2c#OK5*ae3EjXWe zI>_rI@r+b8le3O^l+~7k*Wn=X|Cf0V_^y5{E`BuRso+XTnkIuzF5&DfygEHXwHrD? z5X_Shnc#tBRee;Cn`swg!~z3!#j7lY)ixjkZrWg>AN&yhmALsy?EFV8E*1ufg$$d2 zc(%*z(`|Cl_=}Mgu=K>3{OLn#-0g+PH4sK{=beo1SUZJ*+ykDwavqtzYI_&qS0oGR zwZG?f1xa;|=B}D52NGDSG;|^?&SKfvAT^a{)0D=a*-x)22a-LA$qZ48PMz5aZpPQh zk?o04QEJIHpy!hy7yOP#)R~(ylsRjluk66B@H}QZ+}47Yg_)@m@E-gMtn-;_XU8gO z8-~l3$wdFvCN-|I!W@ttELo@cgLxr(alhtawZBCYN*? zw+DWrMgOtOYw1D5w9L0^wPLE>XaHWJ@lS`sk4?g0efLT@XWcVDIlum0^qIK2uObglzDi-Fbn$#N}1C1xez=R1o9ab#<>3=X^g-{dVs z97X2TqIzQrVW5jzDChfinP$+9$Z^2!JQVT1zIRt zG*Fb4{ z>h;xh9kIPAnf?^>{_S}J8}p4ye6&3BM!HYbaz&Lh=UwOYlnjlHM}%li|Jxy z-Od|f%MT>SXx!VYBQ|%qX0Cx_8X;@CPaw;<3&Sbp@X758?{TLpXY3C8_1bV#P^Tpf zd5SMTyi(z3)c4V_6+ENYS_bkXvONoGi4K!insvq4{ZIK0llLh7p67e)Y|mVFq~!0O zN@v6w9)ObQKt#GhoBYfE6xL~Fd;H;J%~31Et)fs=HA>QxfQjy8qOAahY@!4jUUn@D zUlUBXRq8`zwhuG9P$OuMm)>p;xr^2RI{WI;Tn$`W|JCDW>^P5GoVt@_t_>4S91Ll^ zSrcmXi)J6)QSlH_ZJh5#qyFV{PCw|Mzi_>hd*jq@9zwI`+{yjZf3*~VJ*deC>Wpv1 zH7>I5%wpAm$hZ<0%gplA{ucdTC%zW$%j|bqXpqf_iv*lcdj;~oYk}@1Tf1~JCF-E{ zHLVcT-7lpmXLGa}>u_X^%Xq4Om_t{t%OT>{E9=P}Etb-dW&%ozS%I#67EdEr_N4mV zijN)v{r7}(0M9r@uC<$vZ&4G~)uE%_t5|hcQ`!^G%YZw-g+`L2~AaUWqkQDaZe<1$FUiKv&0nR$q4tY!jCmJ)|a0l zr8j;{BKMSlktb!qi=pO^k(T9*p=~{OHT=kb7Tv-e%!L?-I1524K345hk`0NHVw#J( zPNiBcN@Sxqg0r`FDY0k`gK1LV`~I4}l2dX5wh+f1 zDWYQ?BwfiVR25iAd#0c+flG`N^I8e~DHV4ttYlh+sIYMWog`fCsfqr-PZ?QSe-pFS z9ndMH#Fs2~EAyYlYm@uWL1Zve3!I*~X@h1a10lO~WWOQBwIt93!M-6S-*M|zM}{=@ zbG+s-h?^(%9Py@+0O!-!DP+D+Sp5KDQ_uF}^5GhN=6>SsS&kM9FeA!j)(a$`KOc-| z?;HwEavLl;*>EGEqNfGBw#HlZB`(CF#JZ7!8jGRQ8oIt@+`nzNXQoX} zX2xM(zb0a0NnrM0>X#A<#7?@6S57#W5K0pfu@tbVu&R(4Y%yfVjl421e7S6>`c4a? z?g$f{gcmABGE`f>Vbam+?qkyr{)J`T6{9U49Ei-oM4l^7X_Cae5-a@}dr3WAq~z>Jto( z&MpjgH{U8JW(civMB+Vh+xsbw|GF#MH!fA>Q{0I3?CGp+fAyyFdGuJ)k5Y$Tnluf+ zEma0nlfs6NcooF-Wo#s+*>;&gM_l z$a^fCnPXh5-K44W=xT;kx2LD5OP0H&4a*cAb+aM0Qb-|m()i|eIQ%W@t*9#b_7yL--m@9IF zfE$f}z@co^rWk3a_`+md06(Z1bBjW8?*dXT8~jX@oD{iUv%I{mk7tf9q;K zZ?w5PirdY(pN|tFYK=URo@wF4w@~5RJ&Fs}{;iku(2cCGh16d#iN|zz059T1c0OcK zSkuzUQ$;+5o!Ajvh#{XB!)P{0p$IClSEy8ik@f7jF%zXM8>%^mPW3XK#a<^ywk*g; zTYZS_FCL(%y;Dh-?jiheHnBw)YV*1g?T1zfGUF00BV1Qn%JfMm`=8e#U&#HcryW^w zrn-@C;MJ+r7n4850sqso>bh;i{?sP_)7FUpeS(fIR2v&vobo@7#rm&v{AaBH?;qI7 z_b{^l!aMQZ4IwlEU-0u84U-c9dVWKe4q5E|3tgo7mvWqMHm!mD59bt?9&2UC;gK{z z2r|D+Y8&v6wTtxERK3bDJgtU5&ov^?a=YVUU;4=XmPUe%EL!mw6aQQ1g+$)}&zSq4 zH~habqyz)L@3d&>(i9UfFI6&nqtFC`eoiFwJuWJx)sBU*$UAR$ESYQf>gkZ+;HBq( zSsgRlmNe~5&1JuRdF@R6VeMztZUM%adiA@M-fgOGXzx)kh4E0A{am?@HVM#TOVoM6$hz$8|Uc0-tSMp9~k{%p>8w6-3eC-jd9w*M7D zK!p(g`vwEDgQpx;z|tS`3WKj?AWvhsXBnfBIMn@%!yQ~$w_jYb&Zlp2oqM)28J^5$ z72o1D2=5~PjMe$CI2(YHK~`hW1Y%XPMw>oZU$JFxbN{JW>P-DtRE7M;K1(G9X!pkk zX#+I4D&GvOycrA^lSE?t=pV61B2gBJ@;(+e>5tZ{*}4R98@+xUH>5ApThu1 zi-PhsdQ7b#!PgIb1f^BsJFp<4QQd&931_i)|DATR11Vw@@&rfF&pb(A#T_{CRaAbA z;^N^oH~wRmAPtl3>>G%ROgzu#FRz57P_8}?6UYlBCJqJv08Q~1=wwxU6M#x3!oY#a zyfuYgop4mQ*inlCS=T}1ugcAm@7ET&1{n}lZ7hZ$50SJ~D7p$FQ(ILt?q z?Q2Y0(Vb-JWHT!n`3IeZf%^P6x81K7840$ z?J?&ce+-GU4-)3&r^r)KO1szq1|*`kf&X%fLdc8Vz7#QSi+Z#_KYfY(mlnOB-YH1P zKwhXgV9nSgSCkRKe@_XzcR>5oRKeIrZc>j4#>~K>4BJY@GMfoQ3mo$fe zp#vuknIx9f?ARcuvQ7N=)yVIJwSWJCd|Y|K@b6@i9d==VheURCv;QCez=OE^1q#iy zV@A+@N>bY5?2>ys2XS)@P5aWMakMHeqgExGc(0S8U`TAjT97R%&RPC1Lun7i?lSo<*TDK6!fs}$5?)kptoMzZ zV<>37U5!Wb=s)cfd!Y7T`++W|n$Q}lL;q=VQz~_g|91S(1OJ1i{~K6??M1X44PI29 z7gE92H?S-qKk)FP83R4^hLpl-sKRKI6mrvvkbOe`)rYpd4dNE@@p0xm3l9$No0R-3 zT5KV!Q!d^(CNe>)^+-9=p!_Rll?=-V*fI@~MokwC-)-MYNtJRvt3|3kDXEQA&4SI0 zv<};CyzsLxh4z2?g#N3KE|X>}j|n`x99EGIV2BNwU_}b22FBk}?Uk1L!9$ASbokf? zZl7>zmqqYTT=vwEz_Y&l3sCC#!VKnCk8DY3iCkOMNsDz?R`f*CpFz?)*#FEEPcSd3 z0x}edqrJ>MK`vxRI`+QrS_=u6`9E+XAtWY>k^ysbeO9x^>nyqdafA01qa*{lN|mDj z1@n{geLdMwIDpQeMTHH&y=@Hjyh;11A{*y_1GO@L?1Q@#IscHP@-Gwik;U{g7)FDj!ciTN7Wo%<&`%=1 zOaAUF87U^ez9Gl*aV93XTSQ(4jTc?y_b+Y&CGpoQSlj?j5kAfwX9u$~LQxR6eDSkb zB+^x*)M=7{hn@X*5_;x$+384f z)pPwTl8offAV{iv2A$JTh2*A-){dnsVFQKpGeeL#m45LbHpUyg9b&kSI`H>)BJbb0 zLS=<-kpAIAr!NBkaPDT_-$@ihpsBJ&?ho^}4LW&LfIKW)0hPB&Si#}bNP3ybV2pWx z@&1Rk2LEL(nj|?I;)sv0)yEr#^Qfw=ir#B}v}RwoZ&YqIrzGdr<9u1xb^ANutlny9dG? z-m}YrX(|b2tsFI^G-)I-w_MB4T+kTOx6^LMJqj>`DI6#kzF{tv*?M(TnqRoo^p9G8 z{jV1M0MTMp*Y{?%_FNg9xj(`9y8ptbb5*YSB~ny2|MEz*!%LPI-Jy!phV!yVy}82T z;W|+mfIJR*q;lEI^!|oqn}6DWXR7)be0drmh6I=<{ZY);c2)jW(kQ~Qg~?R;zsxB6 zoGyGH@n^uKM}TltZiw*DHx$3;%1*!*daj@s77E4k9cl@hW)km9m+{Z4Rw$keb zB%>RlUD4tcJcGl@n|HPx#ZA$JrfpavODIjepMoTcgXg%PHO*ihP@ry=cGw25#&gCz zt5u*Fu7Bd7l-6-4z?1&0R-%BJ6Sf}P_BI!18Th2<)=B(`$Fu1N^^DD2>g-bB+7_uo zlBZiej*C@Wv4#2WCg;DJ4=)-G_*A&+<$i|r;wqRhVw9elP_fyK$(hAO@8ZY(PnI_r z&9*Y@wk-1vTn)Ltt@AcPTFnklb=5yc0Zn?;kC;#k(8}m6jX)-~X|%sQp7dd>^eUz_ znjB0wYp|pm?evIwl=cE}^ZcEt#V3t1^nhHh9N2Ed@Sy4g*kW7zNlrp5=6}gCu`NwV zs_jtWoVkF2U)ED`dx~|9frB2_lqDDtFI>pEnE!PB7zGB{hv==_ zij>|MR?r2jNnqD$s&z$v>c-1hr-uy$A8stXo6^M`zS#9#^SV@US7^Gw zf$MnoZ`X6~p4sVNt=#MH2~*i?FW}Tf%$G;jx*l)m6k=ZSY~QSToq8tqcBK}X9tfkd z73t3g<%*M86D5jmIZz=Ce5&KtbXFSN4BkHfsbY>1*`-*1=uzJK>n>jGozmH?;5lvg zS&+=0J5mux59XGY;kY}&dye}s-xQixoSfs(w$6CW{8{#%f!GYI)zvNtLwh|E&C3E> z3vr{fnkMk`>?&OW+QmCbJszG8PN;okQx{O@$j*4k`< z31Sq*t$Mogmfw~1VmGXk|@w!r^gBv(Y82z!;CK9(_PmX%tHeQEZYGlIuhhITc_YMO~9)P+NZUZTYBmLM$A7H+cMl@_>y*l2DAa zW`byLe=Y5rv}b|@mDgnXN|ZH#ln-pMpIQT#==&aY$lW_XSEh#$>ZC7?S_SyOL>D@E zht9>lCAwuym}mt8(sPWS9hds(@cWY=i7$70tzVZs8a2j{zP(Qi(1w{TVvmq2*|`^I zuQ$|*qvl2wlm9S>Ehn|(7ByU6v)-NG!>o#>*6j?u!7GJBwac>DHNo3}?P0@tQgp8D zHT&neHY8X-f7Oj>C}xz8lA7C5>ZBhUU(Og^CwLBQUURi<*S8->Z)4T=i)nY^YyT&L zgXc;OtMp+2hCH#C09$ApEO}fO%UXl{Qsst{1D4|N%}dwm?c$z~WS-BEnk$8CqezyE zP@^CWT+|l@L#Ftzi(hh-cA9alVKF05TxyKB&=M-4eeJWO)7+|n@~Jz$%RG$GgNT2sgM!v9RDeb8b1S}axp-2Sw0`N#>M3=2F-T*_Lz@%Kq908nXD3sji zqu6|P&!P0axjqRuhcDB<@PiUp)1J9%h(blN`KDCoGBG8Ipj_A>&5CYX8+dkM_Roo)Hi;Oh4MUlpmL&6|!lYa}YZj@;X%Hg+vLCsgTMNR0WfeHu ziu(5YBDqnQo{g^MdhvsGbic!q?T&G>qYdg~H4uE~<`7T9W8kdH#u3 zFFH5&_C9>mF0M0Q9(%6A6ItQNOWIV5_u)`2(Ts1fq@Z%E$8b_3f5QLsYh)!`Duz_0 zqBoX?jzlv2lMr)bMiUKHgNBk0@*YhtuBgwMS~UVVzRwyfq5HOna4G3WJ9Z<6_mM(8 zo@+t^)6 z8lM2<(gbFO9)R7HE@&2HFi+u=l5(&~Dg_SSO+p(Op2n$~n^ecvx|eU2XW3C`><7_ zWkk=T^8P9~>XwV`FDEJ-DlBvl4{FJ>-|zeA5Z_);MS86qLK=S^&~JHCYa5rPSZ&^0 za^a}A=CJ+}i2H^x$q7o$h5Pg&g6{^r^b7HS-dbyRD$hQM^oUSjiFQg+p&nt~tO6Xw zDl*94SF-Q!iBr+1b1!ffWsEMwyUP5vFXKCG2Pi{3C^w$Kv8Yz_&#pT{8U-O9s{z!E zKM=uNjo_LJ;-v<|OIECvGL9}CqZeDlqpNE=ghQ9n{K$Hp>`?WkYkby;H4Svl=*P8M zvK)rM(9fm&h&=zkw>W~Z8Il|2E^rdILFupCA<*~CzWagiK12#YiQYDUNx}qH-D+O5 zCGBL4s`$A{VeJ08G__49P{)eDl#R z3ZaI=9@wtZP|2c;((^U=HTHBfh-W=Y#PZ;W8qv9in82>!P1q_<0_0U)hsg9Nz4exw zBqxVps_o6SugzZ=fs!QW&pVk~@@Cma?~L=iu8K&X*l7VMHc~aP8#$(Y1c^OiPB$(< zKJ{5ORqWQ8DfVh7Z6gzEK-By z4T#dR!sg2Jx<1FR1gm|S@*F1$MUodu4_P6Q@lNj^cluk?`(&+uUF%>rS_sFMVBFW& zmEM~w7(ae$#@N9Yg&a101-axnbpCYLQveHa{YFx z$)SD=Qh@Zey<{uRK%robzLdj>{q(ba)dc$|X>N9g(W{Q*7ZJ1}MDdAdppDggkiwdK z-E#niLRkt9x=C^T08sBj$(9$pk+iT}B`i~wJV?$ypOH0Q^Q7x*p#C_i`bqmYQ1KgN z{rbC4(&VTVHAx7K3ZaNed9U&N;8}4f?*hpYyxWk7avR-9niQ1=Y9%t&#RpQg;HYLT z)l}@gS9nJ^YSf8vbZ@@9S2&1ub{qFK279&*aH4ys5=5w(n2Ff9>dX+Pe@NYCjTXw| z(kYEcx?q3WCq}3u_izsBqGnk8e)5Q0cu85Oj${atoR$iaPVw(k7k_m%jrAqkh0C;B zyYbrP4#njK*S(4(lHL;6s#6bI0aw}9I1d}r>R#sZ)dFO};=b3&?PE-GK*V?3UpAXk zsrgG4-&GEpNSVM@5SKVWTD<>j>`wBL+3r=_ez_`*$oAlEk$1c+HaD8lc|)P%axUDw4%eR@ zDpL$H%I~SMYXO}LqJoxQb8#y)%xT_PQi)JuSI_8&eM$N^5E0$2NiqgtNK>+iWL?Vq zO3=>f5%A`;_^MJ<*%;PZ`7(^43&9G93M_t%Od>KB>zSJOCEK1#E_+caQIuszT`0u- z-obFFNj&70u`loP)E5ghk4foZ@S`<84n7iy@VKHb2NX*IpH#+fXMS&hn;JM0pMDeK zOB_UQ&vm-Le_+lwGTq%jE4WR-EVP}y4+QkmdSGj5Dt2L@lBpjg-iw~C@R9Yg0Y6?^ zuR7HgdbtRii zoi55H7hM^vS%Wbl)qo;TO-Rqz&-J9CU~I_GuB&P)O`KbpRhYO~#aw!C$ZJ4QvYnr8 zjjx0FT@!&z&3ub6RZ*1yoPgP~mWh#ne)U*h>E*p0EONA1_^!?(uvrP9*7(s*iADW~ z=ordS(Ba+nx8XKZ{uzQ=2d|>v$Gw}t*6#9WQSHP*4(UbsoVq35{b%E^)vrCV>jj3Q z5Z%UtFxkI5EcOL<_*bu_TX;z{kiJNcq(dL1t?J3rw~DQh_I4y)Hm<866 z6W0Dd1OYi2@h*P3CbgOr8>r7>o$es7+)6U#p$#N<7E4_>yC@gsBt(4)6>&}}%h18P zJwH2NB(Mlo!J;TWUvomL0^$1{>f+-ykT8@-gLR~+yMK<16#QtT>-1nh>?y%$&^JqL z9KrqvOP3R$u+CS4z&M1R-R$r8U5}CWGXGM448f48;D3UYhVo3Ya@VrpMd!zzl(L{N zv{55Qq(?%L(MKE#*Z_!=IdQu|SF+(|+C7F@Kk-&mNFZ*~LC@#uu?g3)h=P7WsioL$ zpV?(8V8yJM!f)W)LmQGF*<9RTuAEo_VfH1E0N+yy7Zd-_NQeJdyVk~VP|0d&@vpUu zRM1jVVLF7Dm^s=x&`7QUsQ#+Qc8Ey+yUUj)H(M1~fa6eHKxY#Pi<9enIEzewoFJ{1 zbk}jeX$w`-BRA8-K&`tj;W0a+;)UHr^mI51AcE7AL>l_WgVP^>=`d=LI-{!9^oDHV z#C=IIS`v3$V40v3q>cq%nNv+`cuO6`r9QL2zW98+4#>=78c4jo|3+NkN@U(Pnrzv) z{pq|GWp9fCP5;Xm=x?w|f;^qnNVeCrhRS1ZQUz~09GI^}ymf4cN@ycJY-6rRUaSPT z+Qzt1IZy@pZ(SZIBDSCBj%wNU?g^apqjGqSLNYz5qqG_tqL4*v({(cwX8*IdOP}=0 z(2!F|&kcDN;T-iq1il{R5*Eem6<+Io4sv=}dkS>95(F+@p*P@Ax9_ZAZh6vntv$VQ zXlOEJlz<&mKcJn9TuI(6xSVQz0UmZY)u+3?dn1K=6DKY(8z5xE}YIS@2I;=JgB$I0-fTcF^k6td!u;Y;HF4#OY}Qr-m$bq0L0g}Fa* z@x&DnG|~tJHwl_8jUIw%MtvbWS7OjO^)}|nN;qLZGUrJ%68XI?zu)(}CfSPFE20O8j!^xS`M3sgDqqYFnF zUV7lDm!t{=v>V-wIomQfEV<#y>bpPfJ*R5#`tr!m8P8tS)pk1>i#HDu{vgK=Cz9`K z?o4z=XuE8kXpFi420?H9Y}IPBR+Fn)c&C-&yv3@B<~ysVTZi=h8}I_Re{dtQVy$l2 zGgxcFgUCBNvM5@rRA296g2hqMp#CgA1b;Q|mG#{(T{ft!;3{chL*9Fltxi>U##^<( zV8WcOw*xCDP-UJ~S?N)pt^K#4`oe*RPQzcj<%MMev>v}Ut0W3T@3kyuAa|L{MKMK| zE+Y*oS*?Yb6}PDqBD#Xdmvs3;f+5Kx@~{I?VMA_lTNuLrxwVBvy11V~5(bQYQ=OvD zv-ch#aQC`SSCGY-==)A02>|-e9eVgZtNT{?ru|zUvkkL4VMTJVGwr7w5>y;@HFhX7 zf05@eXYmc(-XpR`i5)B0b0{lDFVdyRpU8od=LQW{NQ9R;XhE$!kP1TG8yvj;Q>X=Q zKUC2D6PiiAeM^3U%FjEiW^8sq0{wvPNvdhL!{DF!#gT{=c~w}=^1*2QM+503QH8Ho z+YRHHbQj-xeU=f;*hxcIpU|}Ud1eN=n+$X2#-0kZ9DwF6*-*$8+%Ku1MwVDb@Zv(g zaVn)>qZC-j$hyKzPk`Kabsy*6L^*kIesutvb-er?v-+{NcQq9~e)6E?p&vcO(zhjsVl4tSz+N^}1nhnOWx1FR ziaCj8>@wk!xVkzcR)IzyILz5NB-<&Q&sMEis*e);HVKr1h-%OVv5NU-R@Iq-EsvOV zPz0vbG8=Ny0r#uMG`(-qN~+JFY-3~0h#BG%M9xc2lYX(Sqe~DZfj%o%FvRQz(TS>l z)h9c$t)tjZHhkY@Olk?<*-frUSik+Sdr`@$)!zLx5P~Ne`36~_UFu_Y2B+W=j0lj# z=aA1ZU;R~(Y3luu8c_jlbiwkAbBa;irOD`3VpNKA6jX{M3RJMg!%%0c_-783Ifd5p zU!kK2Q*_?j^!-i$!_^!6p&Nen+4dMBnIsHUCXUVv@Q@*9e+F5o-Q8{a{O0Kb(@hcz zekYH$1B9mQ5R_dtXSURP0Xy`F+}{Roh&dc_x11|Il;;Ggav2zVSB}=}S+Lb=@dqi` z>s33!6l>@SNFd@TN~sGEkH>qy$R(`F+1sQ26J@pRWi4Bw@V?ATG`^HL}6ii#QJ$n3e+B+J0T6aysnFNZ$QxW_-b zZ9S5H+vDxyZ3Ei&>j?7|q>!P-S1Wx5`-vemz zC1U~wfDw{gdPk`08jmydQruP_+se_hMhm1yzQ&V`lRyNezs7oyH+XbA_vrXjkSB)p z68qPi!2uemc^AZ~QN|~)!3Sd+sZHkE6>8R-G_Z?FslZ*@#c+}};AeU-ho)x-UT=)3 z?L2SqAU``Ffe=y8Ydc@EP+?KopzG}Jaj3hq2kvi*7%cGlGom}CChU8_8$)#{y?6hF z1~y)9_%t>VMNnVyUv{-#j@H4Q9|p;y+#e>r+wUH(Ti3=P?!8xhA1?D;j>T_jkM4$- z+Z3Ib!?zkFiq?FI7>n1Eg-+=rn=Tp7kEjB!b}Sz-Y+R#k+mbXw{nVU|27|;xtf=n@ zYdm*2igm`rI}YkO2CP>FpX3ICdByy3ZEn1Y?)|{o{m-S|BcnncPg{wc1ebyw{1{V} zkfGXQ`K|5!u+?=??+~pfR=H5vAZN7#c~LL$*0bt<+Ls|CUt!VitD35`31xAdRT4{La0pRyM#j4vSpzfJ_Uc&Ngvd6gN6|1XSP*1dZh z2})f|YADz7vy=Rz38%v|fA$eaUSg1VB8f)Ae0!h0ZSxeL{h@)$s?&@URIT_Wc_NHi zz%&roIYmvb_%HLbc@F)(@#gt^a$=3)~O0Ke3*FoN$6dK@DKU9R~80V?hdmH&eF_)R^y!KS6~AnBM$EtZ|bj1L!=|)NB}|6}qB>lbx=b zF|W7qo;|^h=qXm_g==y}y6^9ARKikx4y~N~N*NuE96cRdxAN2&MY_2-L#|z7F3fr6BE3&-JVSV$ ztq!Y#UNO7p!J9oowY{1`}0{giLkz`n1lXdN@!Y56ORTyQQ~t4-;J`X09U9 zVp?QJ=FT7k(2MLRFTYGJ;#1lt@MYQW_m*c4qmpo{aF8YpzxFc1N?m6L@pA>6=`ZLk z%6v`CcvX4m|Cx(Ka7yY-URX0!b{Nf*&4lA}91?{Jz@VObmSCW7g~$@Y3rjxBp2B;V zh{ZZ0Ci(OxOB7%9rus`CZmOg~F_vU+l!2uIro%WQm|=+PNCyU&9|zpDV!;%*yFJ$) zlB{}Wb62ppdauE=^C1;W9N`yd1_P-#OIomqIxIlKU`xoi*>B_X6)m4Y`|G7W^-)`p zv)EZ=(Djn*f<83g=COk$GuWxJa}EdYfvfDmWX!WS8t^ zyPas^I1c^v<;wYOmDBkb?_PSb023L|qG`?eV#vuol>z_<>0qO`f87<&yP5dR;T~A9 zZdq}|VKy?KxC@`D6148c$-L{V15eC^9>!KnW?+mkAsmG0sa&bDgJV2fXBN!Ol_HSx~?jR+*j}wj8t#2+kn1Qmp z!6e^A$64SRuhVyB#Y9YmZ}&oz`Ra$X%tvM~5Kn#&8eU)gyq~r$H{$f`1{5#15Lrx@ zDBdk)px(qkocTI%*a>KFE&k>pDvgy~dMRR}T|n#LVY@>M@9G7GIV0AeoThT_NigY> zXuWcNOZX>|O~UzY({-w6trYXAc8$?+LQClA!UuHc5osL*CL>JXEtQ_<4y5VIjilpt zBk4mxOyk3&jJs3H&sH4%tYW?e>t&E-94eWF>6XtLdY=nm4G@gVWL-^4_28JDIWZhjI($Y zy-jn(eJpBX3WC1FkvS%uX-EPaV~9`3wU6CKVltVcd@t9%{3>3N1w8F-sz1v8GZ?a0 z{}3|0ywkR$`s4_@U%oblVYgkv8yt1em8mZh^1~fqWa&Y?nos!|)aB5CrQFm39BhPl z_fV8c8&&rLb!%v!Er*cUZseHSepv=1#5l7G-n_u@4{x%MD``NnIJza}TlS#bdqa{E z#OOP-Kx3kJgP!hHfa7Zmj9gIDwA+@oJM6W2U7}W9n<#)kCO8D-nfE><`a-$eu@OfS z&BB^JBbn=+YF!s*p-(}Y#aa|@fBM|{@Bf$oB<>Y>88(yQ6j6zM|Hp1aN|ho|T?3*lFkNjhrA&-FK^ zkv{8chlmu`qWY%B=_00n{NR^xe@d%4cX>bl`jS>YSuZ4SdJQAPg>fk6dcbC#LUyV zr_n21*gux)N1YbpZzPA+&m6Fr;D`p^VO+2BlI$*pfb93Rm0K!_a_YBdIm&or97sKO zWd(j;r|j&K`Eu^O$~rR9@xF13OT;-Jk>f{qZVojPb~5@hfTjN($SPKj9=(VIc`(8; zy4b$~afzTWdpsJmWjVv7!#}1K;E$|tSHh+e46R=vj#DF~UygMc*-w@-z$C!%^3@f; z2IzUOKL71_W@uthj-vl4`fKx%rhp|?fz^{c%;9$suVRe##WYfKrK~TT&j>d*6D^tp zwL>7*7dUpd(-7?6ku*CjL3x!ZXYJc3Ys;S0LFYie8!(`CHcHM-Tw)>0^@*trXwvkW ze?`h#7i+*F7hJKP;&R0twSIimNlK9vuxtZ=D5ybe!c@R9G&gwSAjbS=P9u{cVOlQf zaP2uN(~9Wvho-AJJB5fy$WlsJNBrdHxk~b|O-O$}$$h)1z;)m9+9q?lo+dR%jir>0d%8f!wWCTnc#NbqkRIcm z;ioUenXd$l`e@)P=1bz-Ay)-Y1Ms_#t1NrB&b}2)zwqtbu)}US!PUF(g&RGT-jNjMFJ|g4XJf9IKHHfL+(@G zoF8tNEi|N()&P^snafS(jPH9OLZ8x+X>qR9x<4*OI_dKK+A8FQR}8&Vl{`3c%FImL zL|h6_v-L+pyai93cQ>$)(@>qn9RM(_D;#bug@2L;b?~0mmP){7>Wl>ztOKCJL(NBM>Skpgjnlx6)c~Ub?)VgeR&7VB!j~fd=%Ky`lun?S2;ieZ@hIfADIx$TB_^r zR7Z5tF@MLWByuL%batwQ{rg=THP77v+bgd?!R3z{KT4~gtL0K&xjr2S2&ZDXDlRL8 z8(PmKcMe8n``%tt0wu<^xW- zU=a((Isz&8t4~f{r*OMK&+`1#-+x1{7Y+X4jU*$8nyVs)@|bW$%$Vy&l7k2{zNB^A zEl0Ax6I4M5QnezI*(dy!Ad=QQ-YQXgvR_`+Q8Dv$gYb>)7BcM1eS=&5IG-hGLHEhg zhyEE6asVKuHP8o{iFfoK``hA&d{MBk0rCoI^d3@`)Lu18>k5heO)-O?w*cz15#0FkaI~KWv%1oCrxNpN>1;kmum{tNd16a0 zjt5ube_m%th7gcpgib}xdPj=n=~7u;2hq?|1?|Urm;TDIBv41}da!!2tfM65%K>7j zwcQgpz4ozfs@@iUfZ;Dwjtw1Y7ClF9^<@wKsl_kC>NbriRw-rDgV5p6AFXP}=0fdD znLFgWQG@NoN|5f3huY_vg~-avEVS{_F0l8`_RbDzHybN722X0c2Q1snE(_KnAV; z4WneCkQE{YP3YBzJx zW42#4n+e{sayIO=a)un9rySlb)^!6pVWWqK`K9dsy+p4K+|cpH)B5|^9=AUxoZ$OW z+Kh6QH}aI^bbmUlrWE%mk%ZewZ@hC$T9%O<-ZY&$%5OB8Te9UbADXLX(){h}e145P zap8dwAc}AR3W0nK7I=ip(5t5x0(ol}0v-96LeDKqW%qL}epXr&kgKOy@$i42F^a4f zM1Sr5BqTsEAvXw*qZK`Zoj2F!w7BrcZ9Q&ik0%QmvYNPS9L~`9U$^O#UzD=WcLc}* zk>AbWxXBmTnMZvrZ-TZ~_*(U+c=W<-^ZarQVPd5zzUr%=+KQb4n|CmEQoxUM2K+XwB4P_ORdM?cfz-n0~9kQy!vuhje4`KU>fC(kw_bw^@5N zUhADlC2H(q(Ac64hk0>P978C-2Pr%I84IU<$Lat$OfY)Kjm(o^O9C7(#g-i80jQs^ zB4*qsPyRd?9zfDL>Oq2mb8a|5;t#8PKA9pOo+4I*mLupCIF_^;@A}Sz7ikB1%g#?GiEBnv3&^R^5ck8N4WlGtMcjIL<5 zE{m)O!19UMYsGyi#I9&+7F}7@OSK}BiO0oDij-~U#_F>0(`T?f){TynvHGP%o-FTR zpH5p!szvNlFU7U#-BmIe!H&?R`K`iVlzR<0FC-EQ}(5@F1#5ss#$jgdY{rBz|ZFeHFrObZ{w^%Z>ZbO zoR`9hiqw;=5*@M%h$dNw#LB?iD|=3=-xzSod0P2l=eC_LG`_d!u!RQ4{0%W-`}aTV z+V_6sCX><;Eba*Mg|jH2rbcKYy1hdv#B6c+tmmO7u$B+)@zIIGK1QRr*g;Do;YsCJ zl>O|ytx?pS&ogd#5mXNMmVEoVkVs#%4{C@v4lOpX5Iw{BB`0mG)V)K;q>qa`UX!+e z!cw=?2^mOMQ3be{2v#MZKhHdA!`zFE(2~R|YGhKL6!aa?Q=$?N`$b#Edr)q`_y4f> z-rsEh|Nnp0tP#|T3W_SNy{T2JN?W69j}W!D*t4j;N^9?GQDV=a_7+s_y%Rf#JwJKA zp0Drw`#GQg;B(IB_vCn-xZiWn{dT=xFIS@aEicrsI3okc$F_^8>dAJMO?dVX5#}Um zIy#QL`eEfooP&qU*I=cu*d0t?70lrnSoVuFWJ)YQ&{xRPV1N?SP%7%uXWH;&7~W0gBQa?fRHQ zjBdX@nY!Gk%H?g^)9AW}o1cFGL&-(w zF)Xk$74V0rluR!oXSSZ5Lw*&$Pi$WU?(TMX5h*Yb8Y!I=HJ=PN+~7{-#&yQf-mGWg zs;|A%^WDjsc78{)TiO-Wch9otn&{HIGJpxb!Krs>Hh;*_8pdO2sOiXis^&0|Mxrdo zpcA;uW^GfZlu#S}YZ38Gq2IkVYL2OaZbwWwZyM@z$B2Z87hb-wZ^pb5uAi9RQzf7z z2bk7~eYSp5xp}B(@bK`L|E8=fg)|2Wp$-stjnb^Sz%*=(<+O#7VNcz`-6u@Vpmni@ z;1lL^VBLH(Q@1@4mFSY38}nxO@yBJ>S-Aq9ML7gx-~+-q?^1r6I4SCK_?-QY3{(d8 zvSlkyXgDX6mAZBzqBiGTd)^1iMto$XLz0phLu&4Ej_0HHws1Dh{PfJk{`Zv{%up*j zhIeE+xXGSdY5H z?x+pxYVy8|uU+@AKbN~GAcySnc$yAHjnNHMjs_qu{D{)ElD_7mKJWIg>i_O0E(zYc zcVrcOfKYzlNjlWY-I*6a8vhq#Z%jJer0wfQx+kdynTR$nk`}epj;Vb3e9At0uEDxM z-$;2O!G-I!xdpvK;R??QaOOjF<;eta;X`=BkUP*&H{@cLwQHzSm3P+r4R)j`pbqf5 zBP4)o#xqp<)w^4%oC3nxTo+JlQC*tUp6NoHepZ&m;rG*9s_s7u2$bNbfV}LM6c%(_ zt8f7*udn%GQVvfpAeZHxY)ir;U4?I~7JYF8uG zaHMPYPeW?YC2?kgT~>Qd{>BSo`xZ*BoxhU6PB$lx=4v6mo(+>Q;C-YQ+5SwdK6JtQ z#C6dY)({}6<7gbz47J29i07KCKz_|pg#NOM+^~;?+k6Ioe(H^Tzq%s-QS4lqoc7Io z1qX++?+>Y+=7WppGqqM&Io!#OUoXRda5hs>5#a}0vK3J73$9N%_V(BfXgK>cudRZV zI7_j7&8V?fa+M{Jd;+Ie@l!7`g~CqaB=MAFNo&HlnF@pH{EJ2v*>>kE-K$+Y_(F2y zZZY{c8(*gns`K^EqSq#`wMnNNhN4%5QC{tD?+rB(!c@?`Q#yH=>;pBP_XD??hVI*3T0Vcp=XFVod!hoE} z!HW3=GqM!@>5X-1tNOM^A37%hQ$2(Swif7Q+6?`PDO2{G;FTpFz46Y@uj0-Gz| zk&4jw9H3O7*-&PB9f3@D7jM4sM}kFbf?MV_ z6lbx$!M$HGjxN)dhf0W_-6w@tUmS7{=KR|UaWz|#9px{LmR+yY17ybN2UAa>^fJAe z*g4Y)L0k!)#L67;%)bUx5H7=c?^~b$a4nj(nqgveEF=R(iPwL8wnIw1hc<$$S7~d? zOXOW1@KJb+)tkL#T!?qUz|VF^jvjOcLxGDIFAA=a9ZSvooQ9E6G&>dFhXH?w=OF~) zB=~k^a_-*r#6$R#@DwO(c`lJf?F4Ao;>{7jRkKT+{yl;2nzGAI>-o}o?Hxw?x+mv` zo#}kQX|-_zZe<*jHDoV45|Vix9P{N_R0I6eyUgEXB-CwMec7I2%ex#=Z6?Sn5jEY6f zsOUJ*XTM)BYbLWZB+>lRYvwWeKHBtZP@`pA)ChAC7({+O8Q4>JI|g3S4L}L$wHEMd z@729Mq(8SJ5U}O-8S_mXtDVO||J)cM{xUfXq>=smg-T+)EYRLJH&N~^%iB!uc#I=-QwFKXDx zSygDgbGV)a2hg?NF5NQh-*KFMXJ5jvw;BIC*z1;e$<= z(41iNh^SPK#Vnvq&y3)QCZ&I(kDcDK_l)grW{U`f2D zqVM`?j^s+6%hgrSCt2@K6Hm1LHh##`-6qjNjQ4FUOVjFh6Jb#6EWTgwZvMnDRa=FP zC+Ea4bfq8hU=6Mg`rDhAXn`V%5I4%9u}JGYl{ij>MoTBzlFW6-40aTFB+3x*K9d^i7LptP6tp5{Y+VDX%?_1G(euw z_#)ta{_F7bdP~VA{<(9m>RU83feCX($QX&6_uckCntR`s#{Ede>zL;}u8pp+6(^fa zg}wx>@Z?ek4HJD^Z4>ru^8+%_OHWyj3X=mfiG78SEmT=emz09c>tB)i4rXJvAIgoQ zk1$E&;zpb{MnTuX!I=5}1stn5hW+4@9Nrqgb+<;(Mxs;Ri_J~nyNmER=}p9ybnab>2>1KnLDhlikhpW zLU})|wlCMdn_NHaav!eyW@hRuB>$6%>p*qw+DJR=tF<_P%s`onm!Fv;21>y_5D?YBEI%PZ@Guhx*j9_ z3PRd&#$M^XtSo*O>tHT<8kg?RPp(!l%v&P7W0ge16(o*uKC8I7fmiHZ^Rch}`eglc zD~EUlUNQi?5sXKGnFu0!IfBIA$$F+c2y(CgX?rmS7!K+#<~e1=<=$6+LH%U-Ywbu) zj;F-B%n|Uwf1dDGH|%y?VD*arCGWK_LKIrYU-xUaIUG~NbLROe32ZNfrs)3?O|>DG z3jNV@%pPJFmXtZ21Ev>0lHej0xf(zLn|WaCYt1I4Z{UHXb>}iVKLn0f4^3wTroc{h zopqRbY}9bgE2vYT906wh&n1buvc&(pneRTgA@6*)QG;MHpet zZ@j{$QU8ji^dc$Dnk%b#?8`e7w-dr$iLXWzMb>u83j>W9>U*hs;;vu724R_*h`dD9 zvRisL6z05hok9crMK z?u+n&w@zO!|U&)KMsKYhFAjxti+1q;Yb z&#rf}h&a>YGfo2XJ;kYEl*@Uja^=+_dUb-?kBslObL!wIKHzhG_DskxXVNXA{X|#s z6-0lCWGlr5KD;1SrR(PF0l?jC{hY}SjWxi7y&ql$+$uC5=9jPhMBkE`T;A3nTnh26 zC{B&16>Y}j*Z0wiW$;|fh_0Il zbiT0X6&q^Qfq4xk1X@{^%;NF7v(uN4Kyc3xnpvJMmCX{#r`WlAcxy-V+5W_2)rc;_ zlv6bPg)*fr;i`u_nE=&>vtSrGsk)BtJ#rXffR*Hdona7p&CJ(Ep_*kp^z{NHS2M|p zP=?eO*!9EZH*6R}(ViR3f)_#qsc1L*L|O~fp-wYzKM??}H*5PjFThr9xd~*f>#_jN zi_gMjNj=43=t`G>wj+DBpoReu3vS@+a{WB zA?RYfAXG4fWd370Rxc$pm?ZJz8`R_G@AI)RyCAU0UTfjRJ~=@o=?oAdko!^ArQun; zWXYnJ+DAx4HzCI&>gZY<;@opuo0RSV6TPX%KW{~d%2fR=6O|OOU@9OlOcpnxU(8xk z`)AW6Xg!R;!zCj8%d9aJj_tgaQiAvCf2`urT@bOhcX+AvY^;=%6|)9hsHYo4cAjog z>bodf_VT-X@x2;4q0RA3E|qgIWU$>4411e}OX%a0=)0)2d(C_^vxwhWOKYT_$zn50 zpW0z`M@hQt|3De+Md}-POR*TRO85_!=Afr@soRd+pHMR3oUHje1ic)~!PMI>b_%g} z9!RgZ);Dq##~dXzA=FO*y<2Vj!I`aJhq+eHSP?6klOpj{Wgv3mK`vO6vq|s--y1=Y zU~hzH%UkIcPDMEt7FTIH3J(KR2-SYnb1#I1o1A~@x}OF1WNYMiJtuS9^&-B?xqaXv zeW(CcyUur4Yj(_{S`tQe)gR8X$@XNYc;xO(KfaG#H`%vw$xA$>V1=@!?#_qrXN@c` zSIc(qa|C{_XS%{!yxx*sj?KB2Hn};huUI1l_j1YJGI_|{2*>Tq#<}Piad$8!Z&uyU z^ZY7u*f>KFzF%3~Z>SqH`tAe>)-~7GQ;IrTbYb`l)puba-76Dy@dQ{hyb-8tnS3Nj z&yCTW0C&R8KxDCxQ)9ljrF>@d9|MF@gmdg31O+|pWE!UGHeLh0-{A_HOMiz--=K$7 zHik{876g_O^8rQ&N$Y`&&P-1MGi!pR^?$V?q((h{mKn$tf2A}=h%taPNsqp^h4}oB zYVJf$>{U-BiXK@h7=zLIsnF1UKu#S#A+T%~%8r|{L7=#+a7#x^;M+{HqJuG&jG`A$ znl@va>rt&&K&F1wNj!8;O2_&)P7D!O;#N8>-ppKo8p!>ee(KZ3<16qIXry_~i-Un& zd0v@(NZES_p5dsT8@o>ok9G%S!rsA5&8NF1`pzZW*G3+Hom&o!U8PXVwt_Wc0NMP|l z>(Fe9eLdH7gLYh0BxB@;?#L{gMg=*jTv?`dGX0hjYY~rgtN3nK}D(m2o(?E|5og0uwQ0$`uf>J zfvM;RCBTmDK)RB%d8E&=V0{Qg^T}J^-HLBnDdCw?WASu8WRA1D+%+W?!=4KnZ&UZq zd-)e?NjOZrh@1lM_zsSjt_89$d%$Z+%1j;EblIJi#!mTXUW89ySy9Bnzi1gnuBQ8Z z6Zq2Fitw&k8$K~D6o6v_LA@5n!F{vK0DxHI5?1ugPgJB z^%6z%tvD$AJ+;ncKCFO%ogI1Kjnbo`TcT)j!Tga3-4&FK{a}*L5Nao3&JTu`qWRLE zaI~u5{Du>kr_&!OML{Wr1C>K)E+Pz}Zt!K#ZULqf*D%p_1E^#7o*2Kq>1Lb8=R6v0 zW@-G3!ie5h*F`*Vvtl-?{3lln(8%TWyip&31E^E68pc8#-Y>9gTG3AYMCaAdPEx>- z`C09^>Gr;jj>oZb4?`HkR6v(~D4=s?7HJvKkq5@Dx+Wki@+32m;eGrDL|ZUKz%eE7 z(N(5{8N;+FfPS5s9I#>zE^xuNHvmS>Q@i|^T{C5)cMP=5%0tj*rqq2z+v37sXw3Yw zq3M+m(X8o?Hz6I{+yr(YwSH)Dl7TYEp6&B6gG}li9II{5E`w}`wNoz>fiI8~&SSYm$GG?zasq48L%q`8wQhF-nEAcI0Xdy1mnJE`6k;}^e-TCrp4x&kI7`P* zkOhD5PA2^Dc26sEUx!XWw6^P5z!+OjFC?*nLSJNa=ZfS-w&bKObSckFJ`&I^^9Q`so>m$LsIl zrk_S~@Ua7wG9Hh7fT{L&lyERBvi7j52uuWxLYrt%Gv)$*B=Cp$V6l~+8Q6r z|9zC$mluidIFMFrWa6jm*5cw4aC2buak5c5+jH22my+R5iRokPj8#F;`*Mfr)eTl= zwAtOiKh12G+?eraRDFejXDI^avWA_3$R8zEKygsky+tOOn`Om4Qr`1NB`)IJ@n&=- zHdv?h%jk9>cJXI?KvpYJ;eLn!~R`l2-B#$bHzp zq_@u&lG|M&JLZXF*4OCDea8N2mOFAG9rmJu7Ka)7TlY`2*-g)t6?db7T^l8%lbJ)Y(5~~+d z8Aa&Mp})wBkgF_(HX_AQ#2U_V>iNS_Lq#?Y3dUr7uT~?Jt@tZ^>5$Es>N5% zJXjNxHvQg*EM0dh|ND!RybDz#rryKemgp??-x1lBZb|70TETx$87h&uIlZN=m*|7Y zrK{&H$0*q@wBJqBd9Cbu`FU5l|AVQeX8IFteDoGM3J~9GB-Hr6^L+Jnj|6!J`~d!N z9yFY075k#9tl}Y#VzAT*OqcJq;3JW#<}KA4XauRbJzC4_1{)i|U4SBA@Ve`WV-mnk zfFZG^_`RGP)OjEJRzT*gcd66qX6WpIA@I8OAZ_V_*zv9}OK;{q#MlaKo6rI_MX$+r z4bz>Pzi{>;&kT(%nsE~o^CN_MyEdc!&3LATz{7{%Hvl}YMT_25mD+6|>3@LCap zX6XC^{n;QjBmOx~fjWA@<8{~L;1yb~&*FY@X82rC@gH#*4wp?vT>da|Se+RSkVD=| z|4B*Q`HRj}t|8(y!QgD@DbCr9Ir+{SdgsG)(q`4UFg`e-9>X zsTw@POOPd1j=hnMx&UgJL3JTK1W?jenZJ(Zt&MGqOC%rfCjMD%l-|0E{GQj3UtReK zSZx47uTtWRW2bU|G>FsiW)3B2g>Fx3TkGjNg|k!=1^0SFtX%;u5zJ7m#cOL@$c}?9 zN_75n*sSfh2EOZ%gP-R99li6}Icr@(Y(POeFBgp2($+7<{$##oh1N6FIimG~{CqQ| zC5A!vQqz9Ag=~n+P(#NgsN?VZbvoLPA(C>h-Erf?#b^-z#(~$-d$V^B53PYMzf{I_ ztrj_#jS@9wJA@Q~Xy)K421SGs$hhx{c8!x$-cjWlv3eFS4qmg2qCCtCevh=)Qh$qj zD3#DL5AVLT?`Z1|I|!YnG)`QXc>rr5oNKwxIsA2Z0Cu@^x}|k&y$i7aeL@Rc*O*Vo zUfYuLawDjaO)F6a;c6zV1q%`Jx#(KDQU6Um%ln#8<8)kIGoeSax4sSNvpZnUWy;+n z=JQ>Y%Z>Dz>Coi6BD-P*7jFsTH>%6e4)mtdBU4WX{b&gcgO2XMh5hP@BrmOF%G~^o z+4pc;s3qn=Th~7?)pMWl9V0$=a_Z?Tc)>Mq0Go|&pT-hUF3X)>p$K*Iui}zYvF6;N zYKyzY-@d?Pd_DHBZ#&k=@=TxKv$9NjtHV#o#TanlM0%CVf{PM_@OMkHTgpu8OhdU{ zhM$Lpl0Lgg8mwxS5Kf>P#Kc9D7SLNdThlXx40@unWXg@ZEy&0btql?-iw-&|nfdQX zzlcYg`D}tXl&y%MzXYCqw6+YDrkj?9O|Dib1Z?jaZ3jG{zT3_EepBnher3NE9k-Zjw$rid`8f4zYK4_ z5!qFK#l_}Nm=Kp7uej0@DSxqdfQ5DMbZvKQB(Tz_Bv%ce2LC3Yx%SJ5VUd6mg)DqcW!Py)IFr93^g{~O4*GpB zeYIAbp*bv3Qr1;yNI(!N5yL1E5m~7M9fHV2G^uL-ah9%=IoG#`Ul7!k8HdawpMKNT z%`0jk@@^i>flt3h2Xx5BA6$cit^C4TE69&$Iudl_S6vs=;(fCexp#Yw0>$JH&wnNG zyiq}%n7K~HA6|>yQp?^A%63o%-kePbRuERxxh!n;mA?$|mL7QXSNSn9dXwTlX7MLi zB{%3VP{aYP^ceWU^KqK+m6+GVd}C?kY^#LQ1zDoUirAI5by7S#3^vS0uyosD7uW9~g!{iwk~4?R1Xk_#16L z?TqzDI67f{TGFaq&owmk?$yJ+WTg2FKr6Y-L2x)ekHifXZeIVXDyh*@43=oZ@AnQj ztX|agBUJj8*rb73^2xMO_=+6^Y`g5c3sf_}w%1{jU$MHZ(tTpHwUXXntj-imTDndO zot%HKqle*zd=drg#-v^t^P~cDn*#|XNZn}Re>}RVbS&svvmvzA*@kKs!%dM3-MLXX zc(_Sq6K!O_`kU!w#G7L#^hhQ*;G_O(V`O&>8{t0CRKM+vpN+s$DWZ7%xL)rlR&I7Yw^QDz^ zlDCvpz#vl)Ai`UK^RyY#cdS!QqMYU`r-9fp-odCrCahMe8 zf2BGWa$Eh=0l@fO7Cf9RvxKE2`S_8hxmP5{Pv|L_H1X-flK^X8WzF+;fyQ=qF6c*B zaao24QStdCGrh*&bmvWF|3X@M;U8JUJ~~r`I7x+45jOD)m~&95sj2=x<%K!&Ddcgi z$=`AV)<0m?W_RiIopY82zkBiVkRSPkLX3n0BIEH7%DsoTg7P6)pGt{xCEU45Fhvu2 zpG6>OYt-iRUn*RbPHwIl$)s^Btp!rSe>joOO8}4Km4KL3a112`3H^Ox+Ij$ak^$e= z)C9+_eo&HQ6((QmzX4)i4b@IvoC<~gag%*@DifYxetVxV#}}I-nGH#()Rz=Cej48N z5T9nK$bp|OJQ?r4zp{XcsBKyI@L2K_j(q0s8B5fT4XS*jX^#~~92UrU~kx_e3j7FsDe(DwDkwc`3xAr*t{>FBWXVhU)% zo_7n;BW!#0$B#_=$BZ~yMk~Mp0+hz6P;6D6#EzA+l+7ZQyu}%{YS{0-2fgZhOEjIB zSkE8kTTc|CgCu;5W-cm5=yS(2&W?Nu7)ZIi{?OkO_-y*6V}OBxX+g+igO&^a_RfMm z>=~)itm@uDD9u}#ph3h6HOJq;%Be+^+r1uzp+6BAC6{wc%Y*KdJ&_%A<|Dm>xCqjQ zzYPv$RvroP2E7L-J-NRDYVUIZm0pf6lB8c7-VH;0+60WZY6B73y0Hp)Gqg;SEj=fP zpo)EyRLaCkc|K`n9Uq|Cqov+$eymfwP7pTWm(@-?QvGZ!Sq)lt;P+VV%Lw!dqod2x zw8`piVaZejAL(jCz$qWkp%|amE3SWHxr&Rw@E&SHSENQm^A=<~_Y1*tcWqDPuVePP zUz(geuUYUHdo+aayzdYBgmOO5&-d zP-G+PI*R9aizhiBZE!?-bhP3n>r)Q8vf%enhcEgkRSk-!cx%QS{NvJzk1TE8q(X4|;%!WBJXL>HARzWPr2T9DuiW0C`B@rH z9v&sR9+4S*Xga2#ik@so_pv{8=zJ3^k+?yu5oOi}Mh_z+=-Pv_Hn&sdA7j)kNZ30g zdcQ~f+&{2c$ga-4f5B7_FEGB$e4Xxat}HnUFQk%#3ZyxzC3domq!P(?!{*wRx0S2K zCgBa!B4&crRbg%gSEF0Qp@lW`12V}n+G`PSnR@lkTu5V6qnCuop4tiCj^n%?fhS3g z$TWU;`F1k$-D^I4{^fM>msLL_D!XF-np(Q9X)1y)(t2=t=XHvU>9DHukJfex18e8|p}FXOvkxOI$WxDt0fIwj9vS;i75 z3U`akxgzC=(U!g5U(e6HMmP^HKQa1&a_+~y%>=jr$A29DSnhBC6WseL2p<=qv+8WZ z5k^C*rw8wT9I7n~dkksl9{6sr4JLvK-gIvZ6X;1^enRIUd71R+&XmE zm+8%^09ONcB{vkg8_mB=lVH+u&)28JRaq?k(tB3Q(p0Mabni=y1LRYe=tly3QXzVS zu%SzWw~~6@-J-ifC{ib>FJ(+?;P0VL1sOi2{x0RZ;(Wm88w`<8;Y!A% zcDIoLx7KR~sk?e`XOKDDfkA&VN!eeTqQ!HZd1qgSqang2Ody4Oer~}7_l){ngx^!7 z^6L44<}ssmaQo;psDCo9ryNbXW8;Gjd?urWtvc?v_)%Z1c-xE%Z%f=L1kiYvewky| zm7k(Uo=}nxb<7>IzBt=gathpTg%oz>Q$AUZ#-rCC4E?U1p*b}hM!d)_M6WpSN!pw3 zPu5BLlFbrKH#755>hqtz08+-8&@!ge1c^VxkPl9c1ll4KeXT`YkLZqyhv2o?I5Kt9 zE_{jgH*o%pw(%6y36r%qF3g_Ee)6#LUa+|_&}&7&EjAsUGQb5wW+H|Z98HRYKrJt1 z3DAD`@;2c}5_2!4vg!Hbuv3|6TxBGMWKvDqlsKQEu+OQlF|W8Q0{!hovQ2kL6d4l1 z`?oOensvPqsz#&Q)hUPfG@K$P{e;iw9s=4A{ElG*E}Rgn?MvRP-l1oWP!bAWAhDP< z6cvsR?!Wi2;HG-$=Oo_Ym5eW08R*)qa=Op3fAac@5RC-V1Z z1TmvxN4oM&;|Nv(nEH%Dlg2xss52)kM~oFktuN4P7w_OBM@2rfS03HDcZzXnS1t<` z7gXjzO?J`L+ zbnvkKYc{&}a$O3=g>pCFg!)EHP_DM^gtXP4;l^jkTy?87l?V7ydzH;G5%;P7KxiN3 zNE3*W+D?yK7%}Qo_NnAeO&b&|B^u1BqI)2V&0%5k98Zy|R zXdN_^oTX6*y48ZGv&K9e#C0x5Q5O#)6(NmhKk=xY+-BXfy8%vAn zhrIUB{&PtLt12QXfiEH!6`KA)O)GonHW#m6U~`bvr!u$inh$jED9LYDTHE7qk;>u% z)bdZH8S0vdCm7IebtCiPldQeJNOmwT$)dSl6DNB5do@c`QZemiGBJSk=m%EMTn9xV z3$e9*Ygy@Kz-k9w>E{ds%PJ|9p|1p%t_VC@MXf_cqoX0Wf5;C9JX_|u)Y3-XW$ZQdzEBM)}RxZ@3P`izVhLxw#TjybVsK}#TKPKfsY*H++mL$L=Gn*yq z0Q)P}>rm2b`;aYFjLzbX36mt3#s|&N#AEyb!Kn4~67fqN!k$-tleOp>2oaz;j7|NT zG5cn8Fje>}15VcTkh{8N1liXg`gJ0BQXQ$N8e?|+n$VI1^@SHyEuQJDQ=bQ`Y0EB2 zL(S~+KV7<{2V^Y$`a@4rn1=eE5A;LcEhpv3L@FqAjM)1M+7C~Nqr;us^}0XsQ+<{M zhGLmA9B6~cY(qmVLbInhS@lJE+~D{R8-kJ^FXbLwa{KO4uz^#kai;JRjX-a)@RHBo z9}cnaSEr(O=N5=P`3i*j!i)>#9oc=0i^StHOF+vxCXSo?&Q#lwNl_F z=!4Ya%$oZnpP&!}T`yOJc0R?IzF_2yLCj6a!iF1b`?IB_sBj5%{2WSg97W5Q=B>#i z-@W(M#k<~AkRn-fR6rVR0o3$K}|ZDi%&U5Q2_yqQg z)w_nxuvM`TQw+|Sax>eqdqFF$9RXL>^swE|TL#1nnCdf(@5o9(joSlp zoAU{12X{MIN;3=1tz7~&1g$L|_e)I4j9caqrlBaqpP6WpNLkm_Rv`dq=S~+dpeEOe z5>DsW%0p8;maVYXERu@&>7Kcv5HZeW@Af}DR4MS@W84wCGBIfz*%7YOlfog1ffkg2 zF)$`8WQRO<{Olj=W6C=)nE7;fF?J<^^4o_A$l4yWd_d&|KesL*Tq?FXh|TwffCOU& zuPGG^AJW%jWqpos?L32T<(z?p8F`T<`KQvC!w10JQ{m3hbPqyrjsW5FShry0?C;hK zE4>2s%b-!FM_FRa^46E zej4p0{Nvd`cl)E$Xw6r{yVL5=qCwbd@J~M1#2^90*?vz@z3<*d7Ko;(1^G(2+)iu? zYMC()V+R{Q(TdPDrCF_D9GoN zNevj_=?@p84=yjn9SeYtej{b$cf;%KjW=s>y0`et#jE#{e-Td30rm$|$z zgnfD4xboZ}Xd>s1uEETZ;W;9-Y3KgP&rrl-TT+VCWjQElEu4RcEZq)!`CK%6o95uj zyw$V2E8I+_kh(h?JDkGYQ^vv6UN#jE;RPzId9xc?UOYjcZWg~(86>D#3gCH;xHWj^ zDn3+OHuVD8*&9Yt7r@SXK+e>=eo!HT))xLaOtK{Ghdsw%RN#gO+!{AuoKuO{pr0V+ zk)S@Y-YF{VgiP-!)AL(RUX?rBB&_rWCVg6h5dD%P?2NfLlP2*gCB1aa?Qg#2KTxbL zHXO29IZ6Hh#IE8X#*N2lBxt8($vUDWpWtN>oYB;ew$ zw5?$SNAJMA-mGAg0!CP36cWX%mmRNRBZCDtbA76Qnpw`*j)-za)M)Erph_gJrKatT zn0#-nIJ^_ZvFF{TqdQX$zOOP?W{s8oNW%t*VJC%IUOP0$%(C|iy<7Hr$gCGzaE4>1 zO0kexTJa|_IApEm&uX|F#8<>Z00c^DI1l0p6-agF_`4wN09;l{mfDmJnQGUFk!r!1 z&WncYpIsgY)Nj;HN9ul@#g=|@k~{9j%t4q14$NAA zv|4?#yp^t&=fR*OYWI&X#SvdS@h;vp{chjaIwf1`y{G^(x$3dZRaKCIUTl)h4OZlo z_Funa00IR5%o*7+b1}6Z`zrgjaorTCBQQv(5E=rDvXtJSt9F0n6)@X{ah~8T2*<#3 zx)%+Xod>t1bgI#hyU1QFU)vcmpM|40Do467K{V#}g!R=O$29T19S+XFnW{l^T~l!G z_;-?5VK)x5{W7Z_v^w=X^8Z_&F#5CM>z)LnD{O@{0w2vvF2l@U4)ubF$LI-%eWtNb zBQJw*6zTaAiUwXo8}_p$D~o^QC^1dJL%wzEK_7G@P|KQbtqYO&P_oA!se%meXjE%Vg02k8M3EVQX%PR-0zSy!X2cVupRK4gqd zi(UP=R{~yD3}ad{Pu@)Coy1*L9;S%OKRAr8A#d7!9 zz&(4+EjaGTRjdBG9CFFQBoa?EvVjumh_|tAVq)>8oS%yVXmR`34$Rg{ZhjA0a%|=xE3{`5S`na>t$4Uh45{ysOlk}96-6df&o}+E zKY8`eiKwo*@;tjGUhKLIIvjoWIWviK?m*7I=}yZ!l8L`Hp5=2sGSgW%zovzetG+In zO>zuW2I{ivU1kuEX;<-0Joxxcfy!z*C;JoM^5^Q0B4w@c1@6pfDJTp5nVM;U-}rW5 zS7$_O&hJiRphO*^v@ck>&_)-P{lR)cjHsM1vYAHAe2-A}(+R@>rNn5EM0T-d`8?TF zex5tNZOL$;7U81YmyVy_sdn7ePjlj_ku!Od6H!4)aA16DwDT|y5(}e~=c$v{NK2>U zUhBy6UygdJA-q)`0HNsFFefGD4YCfJvn8C$ptm1AtoK-+9yNab4P~|_Ee6>`l3{CI zKAVGugiSTtKbhky#1TtYn7#Z)Z%-Nc(VaFV>s2-BQU;*zFP0}r5!>zYx-!=|g%tNu z-PiWsjz4ipI`#^d6-hm+&s)OL7N*dB*<>qoehYjlrPD7jhr7F5%)j)QTCxmVh-`^rxNb2f!MlKb~d&pMVMp6x9{B@=+>iW&wPJbLO83N4w3K$yd z7ENR@?yHq6hmeSV4-6mzmJ9(qx6(2>sfMc?h2b!99eT~rcpAyJqAqE#e!pu?x#^Ty z6BslASq@)a2Xsu%?Gf?j@sjquKTW z7dyX?GnGoQ=F6|sr-#0k8;!M`sE>n@L>*c;sZ!oVxZ!8HXUitX%#u-~?GNx=(?^{< zIVx|2TB9;#U6{+@7d|y*wu+aD0-_$&Yi#DJr!yx4Xc~Yn3-Pw-_mg2lmmO3~goBu) z{5uOAqe^eQr-WEjGziPP74WN~qGBt^kq0zj!}VrYz0M1TEuRf#T?q}gi4#x(gzY-S zT>T(#ks|o%TEqUBlrFk$VDb@^hein@7MFGli1Dc6F-`?#DG1jyiOk7F?U7#-mo3c3 zyoZj~jf+%`X~iCic$#8X+p^e%$n?(2NoQsdjf?S}xdhWtgdcmJ0 zPE&lV&OHrRKHkF~Z5qCA^RxAbC$$k(@=A-$u(6rv6T;y4U_R;;6opR9p8TTSu!vm) zn*tw!5=ltu8Rb8H2N8WVc>|>-6tb_CQIxNfzc=JDx|fLNnw@wvVS|q@3r~eh=VFl9 zP8!G#nRV+vEo?D>Lv-GUK?i29Xrm(Iy4c>$g}&cwCf*XFo*&5lN#n@_-Jdh3?#vx0 z_2rdePqK9dV>k=92Fm4r)`A&EUH5pbVM9cSVV1NC4v+Zt@!ZMg`hX!?Sc4_kcDk*G zTMzdsZ^N4%O#|cjqitg9Z{PBZU=NjOk~qtXUb$#9s@i2}!XadjCc)u#JLs;SCYiW* zVh##gS|Y?4khAwu!tuDFKX_?2YPOa^Q`<0PHAXP2A&|DxuR0c4TX0vO>)mNt19Pa` zzx3SCWe+M^B2-SWQ5f|3CvsYFGG)3^Y`ZR=LKKP3d0)FUMA>RA_MADq8bdNveE&rR zN3w2@Uje@#^x$Sc9ft`Imd-@cWQcul`3Z=o>5(w!2NR3S{$tJ1 z3xnZX!7KaA%J1GH+f$C!m$o;1%spWlh`OEVWmjI~U-cjm>yC5E_aAvQ8zR^NCZ}?c zgkz`$jgH}TS>i11hf?8Q{|t$8^fgi4VgO^Y6s6*t5@(r{@}6z>9kS8`pLpmtTLz%k zaP&q~Z!9>yQPoSeVfa`ky*x>T^OvKd_nPW>8JvKt>^Iqt;}wzUf{&z*gSA3rZ>SX1 zG{4Li+WZcjdRy$|q-?Qc`+?TN14bor!QNL6Q&6D{LE3(s6wZZ}J)n8Vr8!pDUgN-~ zky4SajjU4EEcS(bn$y zmmNgVoWp79Y>mx?&v<&B2+SZTs*!j-tI)3_n{?q?tvcQM*GK+n7x|0enOu>XtKBHq zxAn{N1!R&kK2oLgQKN%6>;m%OTV0mM^&NU?(z$qcQp)?kO#NsXpuJ?5v% zJu7GU6z>|A5nNc$3eUhJLk)doWk}Mv8@^cm?9qK(i0?suYj~jG)4H{Mp9l;0RHoB?2^y)P3Q!B&Cn=WLnwqSWl;?| z3F3)me(SW_xiA~{0j_97fXUm?HJkp=5@*3*57geW0VDKoQVorQI*y2`e>!=6c}7`_ z49{TPZbx)+-w)zX1c({oTX4ecUs^!fqNLQgMGCVrp9MaRkjjxL93-X~8mZj3_kv3u zPRMo)E4Ric?d_fKmHXkJEe0|hm6c%1*L`Wxs$Qm~spNCmGYpVKw{7S9DbB{1)kAG@ zWaZPFm8@qMVo{y#65)@+$y(I^Q}y-_7&qA{^-1E2z`6P@mH}4il;`>Mb4B%oQsz5B z$BQIB2sDd#7Tfx^8r4$@?_QPQEqNasKd6Mo>6%L2^HMlvB#>Z{3MVlsYLU1a4gy*B z^n+?|{q&ydz-kxBK7_t9Unm4T5Sv}*!j6i@Qr9jS7R_%`FLLX}1(Hiyi-e%a4AA9Yp=Neoi;^$!fwqWRr(^ z1xEZ8_QLFX&6DZ1C9vzWs*(u==&|u>)BuJUCcnEVNqlp_e%BKH+l7}<^73JS1RW-v zsW-c~HGC@I=*;Ve#OOGG?kJ-q6v;5~tz5l1<@Be}ZN;aDxE&q6{;PxKP zN5n0M*x7#Bg0IF{BQf(O$KAu96Yjrsu~hSqaFdI6F_(j%g`8_L87CW{<2*w@7U_chp`k%qAO=bZBI>?G&m+5f4rtup$GD}A6A z_iR4#?BB9oSEY}`aP5Vvhn|63Ie9;dpJIDOBO|`%^<95AtR+5|1|K($|GCJ=4 zp9o~E={8}*XSF2ob5?u+GnYtSbMuI}7Wm&*cI+yz`uA!68TS6as?qr$z}ojWU3WglxjDp{YlQCA-rAKd*y%+8 zoDL|2jx?DyKWOQaVLY3YTVV&-^AW{MDhzHdcjvtM@0SsFajai=xS0MSIY!)9K$hGu z*cGM3nlK4o`6H)IKGG~o3ee#YAiUi^cK9)?N_)HfPuo!6MbZY&SjC#nktg5g z-Z^9_NGTxwNyVPxp87WMoLafcT_tz;uT-cEqqf%JytQO)(9RLTBDW1aIbT9Tg)OuW4;;q%H& zBz2)l9!4DXBRTq^o_-HE#lXsbh4Ii!Bc%60&P>Yu;x~Qrxr6}e*q!y$X(ry00K_g~ zwr?8B&&S)b+UlwH197L>jP2-X;P;|`k0$K4zpqe|X-cilQTV69iRhj0koibj{PwVl zT^h-Q`XiJ)7Ky5KlSerYVpd4IkeM>d%n`Ye(zRex5r<8Q>-&2zaohec0Qf)$zmJWf z#ymp5Xo-amJC$iWbXuOBe)-#u)cbmG7MSRHolib)vWN%TJplMFBr~n zUM>!`J80I!z=Z2zn39QM&k?h|okRAr92UnAhRa^8nAu0pCDv#9h1=$OkD2u{yvoFW zW&p%$DINzd$4UCx)`k7V<=CXF8`KkdzU#53@R7maTzx2~!i;cH`2>HdOsaHirM9x+`Hjmbw{pPC=E^`4LF3v^KgdZiN^C%hfBI$G>RO*4h zx(GSpD2m5@sOgx*$mTlCHI^djvghTvqtDZx{u$K`Z?7 z%!RFG*;ZDG`Kt=8Ho2k+c+9%1%xkRb8rs%qw(SPY{+z+#K<%+{v)qi?jswyBTto{` z4-FiD?G0?7@SxgLin_K&G+eU=&b_0+%YF77S*)Ppg8>xpILP3oz8J_gZDz>DKVcXNSp0tY6}J$GCN;#f8;hZVoZ@ zMUWeaA)ezxq#zHmJePGh#+u{fsO+`_Fkblcy&QtbN5bVqq%hYk?}jTDM^)z(>JE=t zRbmT=7M_#YHwIz6vtr(S2o=3E$e&AvAqIym7RPgNeSRy-kZ;>q|c46=CVK?X70SB4-ABp608#9%cOgARrg42SqShcz;gU{Jz9g!nwSk*-MNTwEn) zI}C`pE><2fl;U!k7_>0_;r6+m(mXq8VR*){ih&b{&z%d~%qk)VN(^(R&9ZK@o^rEo zkNL`Vv&xBq8N(U|C#+zy0x0tu+gXuszMAcFs3HS2>zFTo?ktLZ!1c4gSlPsYF5mPO z``KmI!}T)EV{pU1+iTj%HnDHnr`%^A4+dAPcH?V2W?U~rIxFxq=Qi8TfRfMieZ}T| z=}I*QV+`0BLNZ9?T__n$a=mPa)gPu`*_L!w7K2{C&fVqu%h8B!% zs70h87rEvlo)ujSE4RuAIIM3Rbw|h1dvhyJ-qnt&_DW2xthCn0@Q5K=o-c~=bv4#| z(v@4zL;^F-WjJ#0228A}#!Oo|Ce~IXCmgqi9~Mpd;jbxzueJyYx66DjvDPuZp$5~f z6_{-)x3*I@G>4)|Kc?F%5Myv)F7z*`a?7v+Gpk~3-r9F>eTnrvgSX)8yK$4Z1jif` zaNXRD_O0vTWX08%TD#h5eHE~#0=TvgFz>fQ6ILoQ*eEpHW5{HMLFV~#^W3e?z{lEw z_HuIoJa!nxFohuygQ2yRc4ZX>>mzmVra_|xy7`B{k+xe z3;Ti9aqM4)Uq9;vR+{#4+aGMR`*5{cw#Bqz*RUOIF-+t!G0n8XB)*T6`E&VgX5V={ zxsU8qhD8jy*mvANR#?5zYxnb~O@DA%wq=!h@HjS^^M&iKOZ6#(v5&2`*L|Zo2HXes zIoHMIx0!Q=$Cc|~|L|Dw9AWUb-7L>zzyNNyY=*bF#KAE<;P)imSjAF=-dE04jshN?r}_;1Dnb(MMOkI zL`38g-~{R%zQ@ogo|l7ouFI;>iWIr6;du-~PE}ehaa~+E!Aa-Cn6D{@zp?D4%id7vw|hHzG%K1;o>~=)n174VFc0j~nhyld8aNP_f7>Y3H zVxYzCu+oSD2Di(hYYdgrc&Hfoa0ni&br{BQJKSDPksYF#eX`znE(}XJB$4aqI#`*- z% zEywlFnB~SyI~Yc-EVBc(^m6I2iNo<2>aYTe{brqarg1#4xh#W9F3Y}R-*4b}KNz6#m@;f* z^%u`O_B+EVi)ka@%kz!loOMji`nXQ^IV!u<9lk=`v&98ugh+rZ(U3{zT5?K!v@{;+C^b8j)^V%ynQ%fVpB3hvB<0TDwh_FX3K8Xuha zogoz4Tvu!dKxqtk7*??w&21KD;Knv{pVGK~21Z=gVwPhV#GkqUTrc~Pzay;bV*ha{ zBdfkRNjr}Thn#ZR^WnO<{q!X9>?eNZa8V9FWT?k|=ed(Ptd?y_hiI9{l)+W5d5!1D zg$~1ArgX2!I}!6EJh}%j{_Iga{rU;?nLk$V&fyZV@dIok9zie=M%4V_dDlL}nLnn< zL>#eL6ftW%=I7K)mmJq^_AAb9nGY%aVES%u%j}rhmiZ85wiSOjw{@A>=LYx7Jj^k( zcJLN|NDR7sc-!)c0BSh_P_QPdb|2CGc}7) z#QxiK!OZVJEAk^=^ImhI%)bFw1^!)%1`(e3A`~_Kve-T)V@Uc>;N;7XW6u*m#LmYb z!oF8`Vqnr-oV-URgFP7B^$YB?_V>rw{oM07ITE*u0Q!o`oWz>yhp;4=H>lDs+_2>!U+XqfhEN@lMn0;p$!Ofrg zyTW#*zGr}Up+mzMnDFj{j~oZSx!0ZmJsoT@z_PYu)_b9UlUd2b3M7VZONAjkG~5P5 zBL*+KhJe=wPCt0JMpkjLdM;fR#G!(BuCRws@-E}|9^wT2m(CPDv|7A90KI{+S$o?)&FyKlpb7`F3P9kA0@WVdLjE`bs z#)qK!W1L8&LJaeG=hEA17mAv{m8ZA$&bODbt<*(=cV+^U({q>) zM67LDfypJ=*5#9KuYJ6@Um^JCrZ6=*iRrn3`L~|?mD!J}H(r$VI?RLHiiZ*M&0>0T z0#kD#M9n{NRw$WT=AGgD)9d24A_xb(n4TEJ#H`t`bO3ruwq>N&W9?TgV)knqN$Fi~Uc09nbu){~JI2gMW{o{{7?V81k9F@2TJ4)T$SkS|$-eY`!1; z&-?@(y;JaB@v5g(yW&0shK`{3#iwv$EPm?R=nP5oiPJCny=9)^a;eboAf+({LtEV`JRwk$Un~@Vvz`?A* zEW@FP$qTq@cAJZShGv{Fp6kywpV6yNOrm7iYgK!7-_~l)2R6E~)|#s;$f}wP4UQHv z@8g{ur(4V6j3%w1&*O`rz( zw^F(a$eg=Y0%3h!CRXuq*xzgYcG$sry6!OBxw*+6+E|@$SMw}q)#h5js)*wIQq_8k zV)OYrhfy-fxxEGWX#45MFRij4A8fU&nb_y7fNC)N&iSG^&(klN2j?JT7{>}H_8Ehy z3y}`tc+N4n;rq-vbQW$m9r6+TjE_uw8-qH&pZm1bFwjia=FIxjxGe@yoMY>5a~wXi z&i=}3HCAzPLVIp|v392M&W4Yu|hiyB4FDefCV&z|S-_&5I) zfB3t%!sqV0AD{i~7x2rUx(}au z;LG@D|KWe*@1EX|9`Axb#up`yz}QjjdE&3}$N&1*@cDc1#b+PYquznf=EAT-m1V=sIMfAQ~s17G^&efaDHpT*}t^C>*|na|@_fBXNz zpMCd59G*&8L-n&pEP%ksLA>%0{}cbs^x>C2^GST>QxD>E4}KP(`_%oo|NbxFxBk_C z$N%}sP8=Nc;+j89e-#{|W#1uYDe$`{bw1G5Z`oZ_bZTJ@}jWcYpjn{Cv*< z#)FsQq>N#tfj?*V+_mp_XK@4X+N`||JNKYjHPJab?e^Oy8@O+?Nq>BZQN zZ{X!`{yCm~bO#2;{1^W3Kr)KR-+vEJKG%V%`SZ<(nm}~A0|PI99Z&w( ze}hMU_!9cZ=C6W(4`K)ny@jI>|4%&eXa5~fKeY$b=ELPu*CTQTB_oJ=yU_pSpWx9y z`Y(9qu^s3ip4W6GmylgS<*+7NkLBiAzt>j#PQq~!zKngoJgHR^Gb5fhAzP$6eh}?(}}|YSsBIc zTvrEdNKGiud6yXeoUtu?FRd_))lcb{_y>*w5$_y08L%fO=TJPh-|COdbr=kQtofbH zatwr4SK5=!vkiRQ)M)QBCpG6BUR*z`%ouQS&MUsoz;C;G54SsD`id1`44JrJ4A>T% zlPry46)VEHkF09q&_)iUO#h+gS5`ss!R1)lMZ7~L_c4uO6DM3}KeA6amli9qE*7`P zfQ$QmM~gkdJtv3fT`oCXl;=E;M>+^*$eV66u^k+WXr8wEiIr{)ws<@_x7w+;rQUzB z(%ZKxy6kT+mhP5#Q4;X?@51Xp`w{-}dq2jb&%J?NM+Y%s{%}RZUQ7>m;n;!Q*!A{a z?CThVKeq~%75VU(KZ;5dB-jGsKS4;|AE6g92Jy7g<&T3?F1@B~J> zkKypa6X+TaAg{R{jm0_U-;|5kcp`|Ay-(ri5B&h&f8tFX9Eu>XVKr8-U5&QJ3KYSM z$-d(_wEqZ>bj={AWew_z-N?Iuc@)l)2x0R04!r!x_waWQy@a<;df}>FiIuBXV`Xy< z%G^QB4Rx4p9mKvvBjz8z6{smIK;gnt;j2mlp~)^BfAvxP-Pga1M_=5Bu2~22%IneC z(u$VmdeoH{AlH1j8Rt}-7aXM53g<8`wx%c zXRjW|K+uD-6>G3=%}TV@mB8sAL|?~I96EFogL6p~G_;_pWck}lau`pb8?XQP+xX!_ zPvW`#LzrqGiJtG_GEQ+U5on^H$^0AYu_# z{<0dF!}umKJJ644=}MGVl%Xg;XVH)0iKzqV-th=tefSqR*)t5UzYuk|eF)7B=HH{d zEBDJDA9)%3o_H8?O%62lMy-<^7nP@ga&FcxqOo zZrj~hRc|ih-h-0<Gn#@*A^qr3+{}6 zp!56@l$eY8nhx_BmUl~JRhP?&+&~Nkvq97zGuLX>MF>_DSa(uaE@ z(7GcdtG75AJnxq1j>HiybYpT=m9;JgUA(Je)80Xpj?81atsK)U%dKIJ)m@X;d|jck zeACWqBy!F5pjkfGw5#sO81m;rm};xACb8!nUwOWWwGCG+acaBgVoz3|YYoY)>YlPD zz<0&sI62dgX9wScr>qD!Z@C`r&MG)K5jcY%-U*K(5dWw$+&D01-{Fr{OwH!sUj~B= zbqag@e+sutcYk>M048t2^)87{F3if!kyU|_>)D262rcNmbc>W6b% zae0OsoV1()3Iigpi`(Wz=$s>rZQwdt>BhEk+iY|CZi##k+s8@eImZ_7#>n-hho*90 zxeb10K*r#R^N;c8bkM}gD~4p;9=~#**(cl{!$HpJMWv<<3vqd#I31>44CA<;=>Uj- zrx{vtUpXAL(mcm~<1kTc`=;$|FL4rl&V}|$pBJe{bMB;LojZSlhqT~$>YOq zvW@9>GPvV5_nC8t>$}#hhkecSf%9{5yIcp`pNWAQ+sNg37eyXB;&Efp#|kmFiPeM` zN#WMA@XSgg(DxdifAkUj^yhEl&}ahrjqTX7!ikZ-X*im1!p++^U_-4F{?Tq6J9Gpm zPWGAOm4~9rGL#f~&Kg=Pa<&A5W1Tqh;t&3R_TBzhEB@QGze3U^4K-;bMd3+cj4%ndUT8ipiRg|(fnc*<(T1Y>cd#vLTtHB6#S1( zZiNsGxG_@oI$r$wOL*t>2m+Z)anqgKaL1OLux8~_ELu>BiVBihJ~(iYNcP#wg7yNf*7mWfwy0J32z)~$Ec+OH{5j(w%xiOYbCG63m0IX6Z7KC5QTlT1Fc72#{d5B zE7;#=Le9#~*mC<;Y+1hsE0-;nyyl^zJRd2l0d&^ap}ut(PHikQ3UiP&r-fk%e&-PS z&%BH$fBF*k)&>z=@5g~gk< zV&2+yC|$M^1@jjpw|oJz%PNsoT8Yfk`N%3NK)h9jplb|vy9c452j0;>j5|%RWM&~g zIYD&#k3P7;;qz!e@gB|`u9bC|oL9+su>Kb0@MX&{k=zRQ>__$918At}g3GUmE;buE zH%~!s$ylxOjbUt97S4&8NX#ondf7r`&s&I$gkMeN^ZFyV8qj^Vx_*xjl+toBT1>TtT@He zYAh#S#v!#5w4KD0-sP3U2eT~H6Wd1Qw_&8!^eg2eiPgR;7xq+(+@pcc6Se~5IhNfj zpRv6*#f>nbV^k^UNNxh0i6;1Cbc!2ca?>Ep!%oG$(I2CqGJY7XzDQ`X{?fjabp5nhLOnpIOG=l3)5#nf6AC;U_O(r+-8X= zxiuGrq;Y+{IQ`0x@$74-&=kx@#l~&8=eE^Ympy_b2bvHlzYh;ScpL6qHxJoKW*B^f zXghrr4gMmO&MQD}nl<|7CW=`g1ovP&+Rq=u;XVs;E0$oHDD&$!Y{Z83^H7xJLF4Z8 z=(JuPa*K-z-&h^?{p|aA{=fi&h3l~8fd_H_?KfaqNjB2cQjwaTg`C1-6y~NPK|PM4 zrYame+W~LJe3Z!K9~YyY)k2>^!QpJh;a~g&FYa!}P{Ia<$>iThHN@?Pt-5VywLPF?{yn ztynWJ7rB|~l2-;Y^9oQ%AJuRN4C_lury3cCTijDZ$x8lljt!zB*{XsEJ-E} znHXoPUdTIwzS@J>@yv60?qmRkTOPrKkKB!0Z&-wR1)0)6q|Gw3MR_krVWtJT@piP- z)}y}H2Tf)f7Usvwk|R6^&xDYF9Ah1)vHPb#!m~$QNLYCnwmozo?z(ve78Xf=ki1ee zvXEO`io)y!n7sYyYPg8=qC5mLD=|OUDtgOoNT(12?qQ77?!;5ydjWXW~0x{o}!p|J+^)Sg2_O*eeBv%g-* zt$|Z$JaZAaH z44m7Ai!HM76R518ZgPuOPo`lf;=97`ZW>v1lTf0?5Xr7z23Q7KRHf9*LRk8|!Qp{< z$N}?+Qz3WsvLL6072{^PXgMRw2X{pz`QsN|)hCN^l2#;=xI>~=(xY1<)1XVCdB6^% z-J_63y$jMYP?icGi5n#ypR&s#i7|rtnIvEHpj{!*+;K4&EJ;T1{0t>F7$eFv_7*L~ zm^Q7&=+dYOFs7GZ8HZR#mWPC!-x!VqMk0)a8woXMl1VvtSx5rBp5&v}>sJUjx+xwS zx`+!6XB2DI9gsoLspyfYh>%+xqxhY~6)lu_4bv}64u7Xr5lIX_tK5B(Wg}@4 zA$;g+NOG+*C2W1f^m*_arq3?Oa?`z%mRAHlQApyEpdkrIvWbKX2@{fFlqKs-!i#mJ zTOb3s^BNLG`belz4zw&{y;zRP;NK*bD6;{{D?+r9v|(LH7SZa9 zv8yNpUQ6PQZNlqVA08}oNn$vkQu(A+82#f}M%J5FO^jj2-IT)P;{7tcdMX*uRsWW(YfgSPNS zEMJg|Y-yltKG#O^DTF-OqNM39>BwDq8}7aDc5GO^81sv>ksj}Zqq`PIwx2`CRUx-z zk?$J7@c9?<-S5ALHq#1hdh}u3vt==gVkb>x3ZzW2sYppOBi7%JGsn)Lx-Sl8i;Iz& zY(dP7ERZZ*d`>v(Uc!%myc-wXMOeP=0X%f?ji`vfG%r!y%?U_IPDYl#8)uFjM@_#K znI#3t&6dT+Eb`*vXm|s^`1wv8>xxIwru*>O&uqowB(03elkZnUYm7y#ERIU!`cQT1 zEG~AbVa>=vQBgW#ud|0XI97%CUVa(dkMto_z6Fo}?iX=aMZC<%A9a0JNj+6MD^l_c zk*XcRNJ}-&H;-b>Qi=7;(!eDi=lH1+f_I=A=iYlAPrXu)@q*j&rLTMj8!FO}@*z!~ ziD(d$oR6$H51f7VIA7g~PFE6^-cX4Ine%40s0zV9+Jcs2uj0Rdd<;XGoAJ9#U)Adn@*YEqqs6e$*m|pgpfRA_Fi;v z{{c?d48uW%-jIp(Ww&GH!;fO2DExX+#HV|_RoWQDq~s!A^euz41?>&}@NzovkHMqM zLqevEQ8{rRd2U0tM)cO6N8|NIZVjG6(=5p?sHEIlE6m9mNXW=WVn!C?lYVuHE#i74 zw+7GeM$NAXx#hJO6w9Z={mn>f8&-%N5<(<~7|i^afe7Jd8FZnqG7Y1-2?&Y)!vOP) zk41OBj0=4VSv6FYtPn;XYYfISVin6RPizbvqO)=!A!#*|7cYOC6k>`*lrzDEp@Jl| z-jJ`v#^OCBkO;aU^6#O-Bn%ZN!Il*-=~EUug`i?gt^Tr?=a7(?h~=wRqHIwm)O0r^sX@yr5-cQ8mZpbeFwq^6L;}eMo+oL=Jn34OE5DQE znLOT59<$(xkA99e-!K5gjs;H(lgNAd--aSOAeQ;_u8S5_gStVZCY5M6!tGV*3g+IKM*@rBC(~vmpQP4r2n9F#q+%vevh2j$1~ zC<~H*B&(PPzq8F5hm7g44G5Nr@+PrIGD#siMK-L9(l_Kgf8+I)A{!E8lo9h{IxH7u z$~;(S-p9NzjeW;!*f-dxG9=B2B_7+C@?iayvP&6Am@;4Xy*Xf_Mp4X+kP^FL{PZ52 z?RFqoauZgqT#gmlCS^%?Ij9YBNGMu|hyLo{@z=k(0n6l}zEb@nyjz$L9+v}-@p0H} zHaW&&cR1nlFt$W^As;EH({97KUCxm`o)Qzgxws`wy8|x9s9+L26Owbv?qm+w$C;NL z<967cZg_kFe3IMnM?t8S*hx9d)?@REB6JsIRjmA-L*~qPn&foyHQBtlorM%S=#5Cb_Agjz{6@wW8!y zBE{Z=y2FRj;1$J{a+(eyF9sVf;=<80Xwzq4!F`{>I+<{%b~V%@alv}5SiKU9O#|pX zvj^`qdX$$qGq;%!W1TIie(xY^{IMv${XyJVmWfQ$)Op2~EXTqdHsHo&Cq_@djd$zD zG31(gdKz7Gl-tX9(a9z?ZxbV6}ST_uppXFxxS@ww?YA3F`76|WN z>7S6J96l*iAgqI4Jw)mo$tT=iN}Z(-24qpFlw;!RYX{a{%H{V-IU?y_?qK-rFZ6dq_+VqYr(ET3p;r4m7T&Dt=jRG18#EZQSGABJRbpoNt!BNqLoDa!pli!v1A$(~|X>K-As z2$EI~`JH5#J=u&gS$vbsVxGP8(iK-kl3ni;AM(MtTkd$HzpdTD?8#zeZqt22Oyck^SqD5PlP1leI$iQiqV>fgb9fb5S`6d%<{K|7ApLm{R5Yy-VOkW`} zGr}%{bRQ(~#yGhVVu|1)lcW|ct#~boD-u>r*C^MKK;(VwJFEu-{If3n&bl(KNS^Gk zY|{wgI2n{V+h3l6FsV2J-m8#{(xz+=%8TFGm*$9xo)yK+2q}|%Z)+Fq9yQVn3z43l zikU?&jirf!K^_FQ+ZDs_fUT<<$6kLDKl%3m!@vIh-{GJC`QP#FpS+B>57(h{JTP%# z2)3#>@zVGI1^@bkm$ALtKJokI5RysLj;7sD;>qtmjkixUqARRRA{6kz)q58EUile* z{LO#CH~#Ve!$1Gq_weIiyo(*ByE7^sv<`y*6 z4;DuYTwkQc%mgxs+bzBZo zOV?myiO90!7|yhep+CBPViZwaJ%oIsmyE$-BiRuCNn^6WXo;Qs%RyZ-j7b&9FCqCK z{wXvz2q#gZ@XVG%*1X?fFqQ7StuB*C5wDdKf1CHKC zbYDD)=2OyE#}A?L^hvbUH)3dT922VXHAVn_*9gWsYteaL+Tp|jDc4~%o;!=q=5~xq zKe|@kh*m)ZB}t0KlF{ZtV#^RRs(X-qx=RV5o>tqB`1VmH9+eX7>JvuHs9SOI?~8X3VOw%vic)?EGt$ycR8@Ew7v?vAI7{-fU%6chxH)Q76ErrWI4yvty88s z8LS^I=}3ez&C7y>4v7~MPZ7c?LP(InB3Th3oe2INvBOkn8qdj=R@GVtPig(P6(HQ)=!klrC>cs zG%C+mFWG35uGFTtVgY8B6 zv+T?(k`~*IL>VosB7K5jS=fFNnNaquJKODY{g&m4+%vhHM5KO{GcC|q&dCJaED?1} zoHZDqWgMet-@^8D<1kii!mjYlkNw>t+Si7POWzs2>CSya(p|}Rg z*SW)kk@^GJ^NXM0zyJGbyt3yw&eXJ_yT2Fh&Go1|e+nm$9mA1R4d_#+AuH2}jy+G~ z?VX}f1+q}EYz-EtOGmmaLJEo1yYI(%erF8^tcA!b$VA*o6^=glEqvpfKgae1XK7vZ-YARD#B?Oan&nx#qKaK}_=6!F8uH_f9v_ChLBwfQ*KM%y zX%QU7V0#S?UnRH1kgFTL7x&|Zm#Z*Tau*)CYXvH0qBdMQQB8)NQmeesX!>w$$9eRq za#6Xc07aq@Ot%0Dc}8Gw-G}F%Ig0MgP1t(t8mufzLd*<#QTa5+^x@>*i)eQwBB!(v z^Gj2wt#iolLa=uqUU>Epnv9FFYU4U=T9gU%OnIr)Fz9+veY_UU{d&ab7Gn9LEW}K6 z+qH!kP4B&g!_}h*<*mf(O{-9usF|Jsnt)2BfwQ|FZ5Pj=YSe<=vvIeGUo`c{> zHO?M5fcL6B&@a9nzqM^XBs-bErjw%zTJ1PS2HJ7%NC$d#1=xI3AreIKn(mPc+8WVt z@&Ml6-vj5oZTQkXi;xqmhi-|`Zi!KR z2q7oESitB z%oLe-f}#UC(Ei##PryvIp7_vLF1kmaN?Dp;m|A3W&?haZQfn`%rgiC#v7uiJIf5(Ai^y z&yb7+S!$o3=M2rpNt!L44_#IU5eFyce zPWbexNKZ9^!Sh4I2XOSKKf%#AUcs3?yU^6s4^Kj+;=(;G+`wyeNZ4h2v9E=t#jF+0ydoQByji2Mlv(Mnv!To4z=zz^JLGt+R zaQ4=s?c`oucyAXj9I1vYZ2{tBf%oC^Nv{h9;qE++`uASJiI<z6_*iMXlmDD_} z1f6Hhs(?WoCY9#sMl@G2U_4z8Q|bpNNG7e4Cy6%(XwNy-1>=|t_B1Oxmt}{^o=-+J z*DdOf?MG*AmExATV#NxSm6t&sVfmw&9cZz|-6XktBI9$d%?=Y)ml8u!Tvv#aTXTWm z4fLMCJI6XPW+_EkSsu!>Ewb2|hTOVh@U2t;L+9Sc+b_R_mv+~n!=HwVn{LNlcW=eb z8`dd1y)7)sK%#magDn?v=G;ZJ_Vl7&Ccq0VJ{Ss?VfAJi&|;@4NiYai*Fn5{q!B}w zA|$BCFm~hxJoR=pdd%~&=GNPA%Plu!T#i37XIyH2$++Qn39Ni z!}UL4l6*fk;>RqnLvB+LpiK5~ROzB}L2#)t*_{`h**^VZt7u}6pZmdLk zhUNViIG2ZM@=p&&!P~u)H8{ zhM0FeDSbh2NAt1MXlQXllUaz$HRVXVZssyCn)bhr6E$`qZz-1Fv=pUN`qEtjm9$vL z1vH#Hg|ov}6mGl+E7LX864c(-qd0c(D30`*k-c^s9$A|y3(T3wdO5H0V63l076(;m zvL|8r9V?J&jgbZA#3h%3ue(Z=^#j;Z<3+;Shw$i)*@zdVb*8?kQv2X?44`)R5hbt6 zjq*&S$HOvZUOo&ppTmh=yKtmG8LJ+73^x>7B`>wo1Ez#jS}lv=kgXQG_Sa)HZV{Hv z&p~0jIcf%dg@}?{QCy0k+kr9BeOmi{@XES^d--V139#grAVub;@F+bS$k?DY!jP1U z&J z9T@KJgj17+guDtA@NIX{3ydDe=~s53=|T;9yL;eP#lu>$PR6OrO=2O0{2q9Fj-mR^ z=WuHGF4Ueqhwhd(j0{TorOfY_eRL4xLw%BOI|kbt(A_=?RYC^L+y#8Hwa3SSe;9*j zci{Z?mvHjEgJ`Z5S@!n9J}UVu@*9C;SlWd0>uyDVrwtmj9sM;880s3FncQM$5*@1l z*vmM*{WYA~FL_+Nh`x?aj1CRMA?@X~eYm|GN_&aCd)m<7)&*xk>YJDbt6BR&KlIh3 zY1d9%Ja`;kebSHY-ih`SNPBt52Vm=!ekAQNCVFV>^3BLfHXxr0&Vm{_VtYjH z42~^eUX00Q9QPo;YfK@(7-XIECEe_l*i%;-0j)+M+-N1HkwJo_TWrs`vST0vtJBhn z7FcxYQ(|j)9>JXpbr`GKh3&8H#QrmF81hXdd7Xos zICQ!~I5ZrCL7Ne5_| z6Vxym_=Z;M81Tc-PCaV`hGsNl&!Z|dAQEA&ij8ztenTloJZ02>9 zUKXQ_8<*%uV6YvXqdvH&Eo%_&(J_qn55ui9Aw4e_F`~RqPi%#u(pnJ{n}dQh`99i- z-cc9El?c*PKo+$2@e%a)d!(G{$jym?(J%wCr9cHuj0x6)Jf!OYXP@+Cy93j9`zWG_ zV)jt!pfM&Pv$9wg>H4se>g>kQ#l1NF`pY_FOE|OtFxr|s zFg7N7VN4R@vP%_fvD^hqkyp78Sw#g%h&My0;U+F481KA*j^lFgYcHaTOzRjT7hO(37Z}JZXn~@VRKs>VVhjgxBpwUrN7}ajLhuYA8VLvYHd>+T%cn6J5 zy|7O=-p&S$p%t=YxN0Y=cD#hs?;SvMeLHO9UIevqux5p2nNu+z898aNXzdtpJB!Bm zUc`xA$I#V1!axKwAc&xM3?pY=!|At~$9^=`G+}te3BQs@3DV0JA$R^VX|F}dE-pk; zO1!LVLyDXneGTY3{VvYGy8{< zgDd>-j<%ui=u0^K>Pt9#=os3iAKC1FsA7^4pIe5^iY3Tfz;Z1>WVOl23+)DRX z=|`=H-@xg2_Mo|`8xe!C*??|@@tvbcX&69iog6j&NaJy_59t?r<>*63Rj+bnp6fwu zuWiEZ@WZpE&h1ln_e*XZMCSP(WS{C(To#!g(`P=6%N04eb0K#;RNDOtz?fHqMak%$ zm!<@P*ZBO18Feb@(n^bQxOx_5DCE{9MUCQ0z-D5*Gmgc_eAGX6p!*$N6_;g%-SK9l zlt%GOMbvU@4xm+!CN37fwrVuhHKKjg51%m+$rcs#qv!CZvRmR+u-poXf)jM2bKn2s zsh3WoMLQ3x?|ukR+_xSJC0&CkG0L+g6Q(XE4v86gC@3vLVL|{y^;Kw=$!AQTkMb3( zuzqa`lBa!X5|VMh9Vd66MMFyqItE?vCoICI&;15IcS{8_VvS0E{77t z3ZmZ=!iX;fdq5OWxrRzG<$c{)bzN1;p16p1bsiROz7sd)&C&%=rG|U33!Ufo;b^A@MH_F&{B#TA zr}SG5V7TEV&Kx_Ab7P69yyFq9FVG=oR_v!5KKm&8Prr@B?HZ)7ycv~wX-J#Omozwp zu9{OgvgZQY^b2tN6B|$xtDn}YECQ9v3tylQr(QjX_FxXmSItLBLCQ2%Vo^+osO44^ zABN}}^8Xl<2Yt1T7#nlQn#vFV_#kYZjTq<~hRZ$<_xLEB{B(JgO`xFBy-xtmYJ7@7 z7S{o{6Pkp4Bqp1n4LD#MV*E%+OBIi_6*r@B;bP>KRv@!%0kVoqk-=cTL~tCV7k1$M zJFm;Q)d;&^?$N~~v1BRomaIkbvehVFvK;yI7bB;99x@6Fkd%@Dqc))A>2doI40zz_ zYlk;=IWn`85o^^e#ty%Msw{)%4^#&9#4!2isc_lIm3z3|j z0<%mELHh_ivbbk^xus7Awed(OUx?Jmz)wQaqk$nl192(wFu1xfI66VMne&k`e>sX* zEJseUv{&&wWLH)qi7xjt_l7(J7^!&=XJ2{-C#w3TzlWeUXCSd?5%Q&6#mm>AXz>cA zT-l}N$jHx=z8bIeBfrB2H+K}3HG_T730L++|`$^RH$fPL~5_d1* zZZaRo@Fx`Viq6r3L)#CcaWDq?Yi`Ddn^(v}eu{;;N(X}|7bUm+2EKUjVw8yDrH?Ve zXfjQ`^Vb9v!Y&~haWQ(R$87M&WFh~iyYT4Ec`(blkKV2pF<4~r_z)hrYb_Qh^`q(3 zQS3g{0y|&k%(C9JObf_CnO&=c^FPPu%N3EaNzaZ2@zZu6{_KJqzkkk!f39=mg-$Py z4f|1V52DKz#E2x~mb8@JB01oH>LIf6crYr;T1XR%_;?+(vmp}{(CSBzgaoT7Xby~v z;_YxuCzB9-J}-txq@TfpSW)csvksub>vVFD1qQuG7B^1VY*db>g7mMTAA>_9qO2QX zwipmIr#-lJuvjc|PXHd*I7UXM%j;SqEQgHZ5@e+sl|@nG#deI-B5KC|DDod|MrT_q zn#U}#=2W0EMXiw6QzM9Ry8{ka09w5naZ`;!mxU}W^hUYI2vuMN!$UrJr+vF0g45}g z{JhE-lMt_&!K!RB)X->+(ibg~>lnsHrH@Rqg7^qzA>eV3!{*XJV~t0=PBkkFLIr9q z)OuOiSfzZj;IX-+-~TEM1yMwCoj@gHjlOIfmfo}!+1ZIODArPb`0d^3KlLh({P^$j z`hWft-ulrGaNyNfaQ5IKG}qLkucI9!ef=00UB*por5P15HB=fC(%1h6Zusn1am&MZ zV*Y}xiMU>prz!>6n?8pdKKCcs`rChk%@5s=CEQ7C0wG5OT8|$=NF@Ggx)o-B`To4lLSoFIL?9o7nW_zrfbdJcPwd3Sm_;qEa%9&eP{) zj2wkeDY6EJggoTk{uONg&97q1y*D90Cvn2^*Z_TOF^ca0V{H13uPWub`L2y9;g;!h zg6J`xevC96#K~88p?6&58xnocnuCm$_hI$tzJ@z~`**SK?)$KG^ENEI>od6N^M8UZ zpZ@~Z+&CWzrtn-4a@3*e@Ika(Y=dJ?R>YGK3L@kk#o*!RQFW#X10%A4RvTbQE0#XXC25Zb?#KM14>sjdSxb?(?qO_@w%qz#e_p6n3o*8^m6hJ% zgU;oL*6mZQw&(l7KYY0`BtvSZUaJ>{K>Ztqr>il z$7zGz>5^wMAc~bt*wTMyTyuU1a@DERtI>GI7slY?u2?F?`XKEw0%SxORs@ZW|%EH=w|CDs6YcttSox80cDGBy4FnxzWH|89nwBV#E9}^m{VLhHB1(| zs!1DrXCO*`2{6rDiFu{DNFJ`k#e;irbWjv~>3Wy%fBa4QX3&k^Q^%FqGM%P$6t7*2 z3cW0qrWH#N9=97F=^k3Wj3?%~$xx@)OP`7nCD#e3OUA@$S06#?KOVS6ky2|-h$VS6 z>+Yd~R-==?XqH~$mi{aYwds6RLLPX19yq;{hdCCp(&uO2?X~hR7I790G(HzRl2Ld; z8buUQTouSO26YPZ@BTfk*>WQav*Hvtt`87^cccZqXLsW4%iqP0fBzFa{ngLnM_>PQ zyz;#t;NbQ>Xlm?&%|Um(fbzmonV%=_{`d%wslMhD=x%96pN)UZd=^`PvM0WV<;zQu z9A~(^f~}25($c#yZ^I^(=Y=h934c!uM(uV?gaQ5J5t8w?yAFLPccZpnWW*}Syqvt| zQ7pgv0W4pfg_uj>Z{YSPHXqdzj3lE9jMivZlUK@Dr^ z7TouHe~o|mhd;p=Ze56Ua7*4G#?Sv8-~Y$I#Ml1jhj@N}BL=UaulQX~44ycNW=9b5 z74wmsm5JEdF1#YpX`$8WX0fx7T%eATg}(;cm=q+Wq#`GCMl~S}QzaJ6FGYEl9m8EU zI8`eT$}GY0Zr6Yxw;Xa@v*WfS4*XNS2OX|C46XtWEp?)_J=^8OpI>m}?xPN@-e<=n z#~t{GIyYXH-_MT)FzmgW%Ja2?Pn3TTJPKhSCY$DFwXA|cPgjH>JYFBXY}hH`Mwq*N#*&jJIFUaF{13l;QQJ+z`w`va1f z`(sQnp9Bo0Sh@ZNtXpEl$eFkBga7vo4tIML()IFiqvz-|ci`=8vGZzx5>}%8Y4=?0M*n%@vHzmDoCj{3ER>+0%%$+CIdLxhBp=ab1EW zQ_E;rN#dpzm&6^M79-NOZ#M#G`@&?(9EeeHlZtqG2vW^JQjFv~Cnf&Vi*_6EZcBKF z#^Ea)Qx#AJrp$M@0!O>TzePan6{G0p9Ar{Nwz2a0E3^aT9C~OJA3z0--i+Av5>&3+ zhKGOSxAA9RSdDCxUWpZ=b9STo!1MUoxBngg{H>R8w8snAtj)D$a`L*++Ry^K--^uq z45TDmX74gH0gZ+hIJ32U;I(R~<$B&TYqvDD8JT%G$jP+AH`<4Wx^6{DnoUi4jZ+qc zo$k;zJG$f;7R_7R{0^u5Fr7^_SY$ad1vo-Mldg}a!v}_CRerPL}r-Wm>Oxps|UVgsi z4zjICm{0sZOEA6zcL3uo>y?J5h2xZ%$jcv~6>6ABn|<$4$ymog`-}(1g$Ie$smZO7 zw5igE=z6V_elLA$_IZWLE$R01jTU0GNc)sM_fbUgX#nRWtLQ-UH)F{oe}X%{`Zw72 znTN4>j)KnZ^^92704O^hMJL*ZCMbY{G)-8X)BC zgsry)y*&|E6b%w8*B~Q1Th=+#?6xQ4tU4wI*0Ni$;@&54<7fT|xBkKZ!KQn*pfqL3Kv%(DJ5?D1&zuAOU?~g z_WS>d$N%A5c=*r%26sGiJIYGap`SqyVSo;mE*U0i+c1d~oeBmg z;~#$$PyGGA{oJ$2>|* zu7ZPYD1WC8rSCQ0el81vmyEXI982(!E2Yb3)_y?b7A+6@HvhbR*`2#3UF5`K(}`D zua10Y*+Zka9Js}_DLw-^MWt9!mJYKhS}{3mWRkxR_upKKbXN@yzwtc&=SQ#NWQT2b z#0P^?dTr?J7=|~Lh?I0IVq+(5H#|#3h^pD$C(4RkIM+OQpE*Mm&-5h3h;q`^(gto| zHyh=Q7UpFZ6@ITkf6b2Hkz-4W2Jv%Tpc5u}QcBD!Y?k}KkgLPjOAYu-IX;)G$IU5P z%(H5cYLvzG3`){<2ZaO*%HmwUi=sGJa*Mr&1Q1CsrbAc2DM7aoIj`geDv5Ko+zRKV zh?178)h5xl~N@lENwXFt2c-uOq0SwPvDl`K`F~fqQZ9as&ELyo((# zJ&h-S^xydJZ+#Qr`j7v_xBlz9`2PPqh38(`i9^j=q_4akcRzRsHZ9IUyvT&@HZ4L7 zpc_I^A-6Qp&dG8soIb}PA{3BGdRmio_77<@#;)OJ88kbcLw4c3*cT`AnvUF(b4q+f zUM#B>4hgf*ise#E|KO*jp~zXodg4-U!nn%8jO^ikL z`7hzdZFgb*s^!Qj$U>}HH|d3*%rzbxoc+z{y>JLM@BSPIpML?>RV^5CeBAMznaF&f zQjGM<)hJzaGv?i}9u-T9U>4KdXdfH{ zy%3z8 zX!9sB&tki6iW?{MV|+6bfaU=Qti6;`_bW=6!T? zW9CW*5U6>ZX(**R=zQp=FLStj1c^GxHCq-O<^ zO%d5s4*aCcAb(^1d7kOB4m>*L=$Ocx@}Rd0+lS?1-6<=kp|qitlfOs$BJ03w+N4g* zgV)mfE>cIzg6$Zq2Qn;S%dN?zCF{>NVtev_wj;|lAoo({EbDcQIV~-tm?-lB?HBF1eSuD`G zLt>_AN~;nyxHm(K-^|y`{RaHL98Bx?<@oIa1MbPx;l^YgDq=NAmv)-f&TiKmWUvg+ z0UCt_qP{p6L%}6;FsG1WbAF5>c`>OO z^7>_f7I!``JTjm0g&O1;93L6PfINrY-CgMH>XOeM^bHJQWZWH&HK!6K*e~~RhcUTj z`ql~~97~SW+&?FT?+7dRGv&pFiR7i$%6Jqm z<6IE#_e_U{4*wQK6j6LakTF4Hh=V0-9&(p$!u(qw#L9b~z}owGJdQQ@K91G*JdEX= zH=%4%CDPIp5o4Gbu!0_V`>WCT-b*;O^F1^*_P{k8MP)XiuBD5C!4eCz)hN1`%sZ}8 z*atc=*iwV8i>J_h>HumFzJqf+Uc`ylpT$wp36JjHhx*2$NkhlPIC4$Kk`Vm1QMktB zQ2v$)M4g6&%s3dQB~>Osiz$6P;`2+9lAVS4M7hs6nOK|yLLT@$BN&kdcEqw*8=ne` z=$6)5zKGPM zwd)m}e1q-va~yg3dDJzHz&<&w1?R?zuOAnj^VpA!vB@x*bh6G2!0(iP)Yp!ImW$}T za5CJF4s4fx^b$@g{peYoc;_87^#(y+D1xh3s!tX{of?i5GlmKh(OZ#*{_+$!6JsXM zUOyn2WEyoL{X#GD4!5CrPb129)+6s|JCa&Ppz--X6o-tvNoG{{Ah}@($xVaEsOmxK zt_EdC%EZnOBdKdilW$*%_mhgwj+qh86A z_gj0$p>vYR3MphD(=I&Fg4ka9{Sqr5b3l!CmJ+N{_Vy91Jf1S{?BG1>nURU}sY}HdengY;|<1CmaZV zT+*%Mwe`TW)xh!Yuyqm%n6tgW>+(Cv7Y3|n@OY+oTJ9Z_e9!j*hdaafki;TL;+&B4 zr{!LGCY5p=k!xO;vb-Yop$i_HL|5nkZDv;X@bt3lSybTh*jg}WGx=f(%}ya4fux&BmTM4h(9bc;O{V1=uPTBrjCNj-h1!ew#WOGBrQ>$Q&s&WfZn+D0KlCUb``qX8`7e9{pZmB!+R<@jCu&ceL+{wtbKO$hAss^)>uN$z%_%e;+l33eU&X1n zp2Lxseu@J>|33Eq?>DjId;f&DzW*)ke(qVEK70mUeB1s@K@dKd6JDpx0m|PZAWaf1 z@doJTwDHPSK*)oj&j#l>=Uo1vg4RNk%{s9=p1<^^EmqQ&v5YBA7JlKzl9y&|5t4P-oIn#(@){}u6^j}wSQo_b=AT8 z`=uWZV6>|NJr_@)@#rp8vmcRQd+C3rAAJvd{`Wue&VPRc+kfya?D@qnaQ5&i^xHW1 zO(b)j!>`xEmTpDok}TA1DaFOxE6}z&7o)ie@H3{;9OwOO0ZX4<34kBhZBqj3d#pyd z;$sx6s*LkJ$drRtRS_8MUc~l{BdvA-8P$D=?-+wCR_;kK!e`PcmRk(6pH|a{yko71 z>l{N!qlPUr7QPrQjH7O3o$FRC+Cs7hw5M7SluufVF-}=h^RN=&Kd4bD1Q%U76I(_U zYq80&1hiOZDnY8sEbB@6K_Qd+fCC+2k|fmxWW|Qv|032vmoDH)l8~&*v;b26_fazd z>}wB`9JH>YyQ0)lAx%isC{{)j*Alb}BKu6&H|Yb4(#1~8v`My+1S8SH`_d(^Bqh85N*=NX;OE}f!9&){Jf4KwTy9&&H=^ z%}43b^NE)9sRk7m$7}FdwjSS@7lZ#@YQldkG~uyaJu2h0GA4(~tY1B-z(Cv_%N24! z`r6#uF9aXsJIGD6?3tE{75S-1Bydub>*wyu6@owDSI82DteTefl{^&dMY)bOQ>=z2 zPR$bjfG7{az%25*mS81B=C&rBc1T{s;c?>%WNyRwg1?vjZ>x&yVnfcj_?W3-9(hB_`U8U7u|{ z*EXa4BC*j_bdzR6Q{scX=H5TpZ$&OXE?A{6N_$P47waYcL+Kk=low;Z@pDp{r-0&$ z>+{0xmId&fpRXvQ`1OTiT{-cRVP^0-)FCFV0(m#wkF{U;OWgH`e~Me~S&!T_)BCP= zp|K0-t~rmU`mv9!sRpn|M!3K+V{SL_g;7or*`c` z?a7m9Z*0McEIxxOJ#;Zv7|muF46`sb`h*aUTPX`Qf&-Y&1ik(WU#5O6$ebP!8H(~4 zZe z19pYLnoMrdg^_ND32np5XF#vPaA6`wv*O^Ay0fDj#=Q!8mC!yacZ4vO8H@h16u1&h z3i(C%L(0sS9*e=^M2uy}DP&egb+6nr0=-jo9eG&2CY^i&Ni9Q)by)-?z2X8I%rmA# zdM&&(h$Aygf`?XGB#;=-sxl=^^jIe1Uf^S3CRzVEF`o_6M^}X zPC;DQx=R^Pq);TiNC@#e?=4CI%96rva3o~-ERj^B+Zzcg5=U89DU+0opB#)xJdsFY z?5K#I#{6l;MK?&ric0<_F;yyQ=SVqekwnWbrb{A=_c4t|sXGZP5<(=lR%M0D%`!&_ zFW%4cMqDVFR-V+0Wh$5SNlLx{wqy<)sXp74wQYN$b^Iw2NtHwb|HyK_a@e< zAU=F8$t99fB%XMXkj#~Gu?;DA5?~jkT_Xe`iDKqM`E!6EiN^Am%k`NeTRv-SOUj=F zBJ0n;DPoeGY!6zou}x(D#5}o=?MKp4nK(a*f$-`TV5f#hvZh6NQ=acx<24R%R5iwt#=ROtiKdX z@BV%K-CutOHy6jjI+b#-wjyiA1Ng!p|1Q3G|579moWY?de}JD~^vaw+U4x5Sq_is+ zn3Uth&$EPb&jh)p!~mRjfC!>C`nCUz|8I8!#`WFUoiJjcN1ZdFdSCuIQijMi^np zl|i{jZVH9ipIPRKQQ%N%B^>tvNrRDuy0uJB(9l$TL+87Q^Ncs_F# zyztwb(fs_^@$$d?BX&IZ8fq@KV$2bqqdo++0s6QsB$wZS@>@TL4Zr`7xN&6`lIFa1 z`79v1zN7sRs(1}PqSb=)v8#3kw}ZUN0K~C_Q{wd>^XT|v=5-*C20uoy!~MYgz(rz!%?3=L1RK-m{Uwrru^jwl*-2ngCQC#H{EadpskT`DW}YOuNOnnk zV_PY3UpcTs%05rhqFiq)3I~WMF-40tT3NAPJkQ^G-wh&r%AfUNJ4fU{8SFnKw&;3D zIc${nxJ_irbSNvf&pnbq<#HY4`X5z3&5)T^qctKeJpp>P2QC>9W+tli(CQPAB@e7t zJ&3`67shQf-7^K|@Z4Q77%#!+fj1xvMWYeiQF+?+>n|Hpwla$vU(VO#$4g`I(`6=n zslb4RaT>(wR4~Y3rR8Gvx~{}kgo;*oy0AGvcNg>o=S(=!GKUr{I=%E=WoNvppn+PW zhmkLK;8F6L>n?NLO^;)*R?FQMS?H;t5oK5UqmgYHwt%Fi&0IioOPTK^FP&k!ysjN+ z1r!`TihTzzptdIg#j7^r?g!Q&UnWKNTM{}S>ACF7a;T-9V=|Uu&4x|5dwn|m0~hhy z3kT6N=9+r@c?RK?Nmi#}TltjTC+7lMMEMj(ObvrH{IqcZmEAgZ&}+kQ*WGl@oD@h%!*bR`tarmQz10khPK16;YZ(p z6~~5raL%#MM-j!Z4T3`$seKds{{A=cKfnJVzV~}i;Aj8+BC2cpXBEryL*Q7*O)#wK zh^@R06@>=G$lOB&9b<5hGE7AH?<hZuY|?GxOU^FQ$TH-3UI{=fgmNHrCg!)Y-sM}nEhMSAgxUmRL>x&d}rRBx~w64iV+v+^Dugpcq zvTXF1CM(uR(}Cw{&sNGtj#M%0&vT}WbC!g3~>6l*QYgTK*=O}T>lN{mh+w44cM_>DRx51!|B zB(XH2x6-{ab;7-o@w+r$KeQenbh4f#k>yM>D+HHX`aT2K(?yYiQye@D5=Z zPWbgIglI`c%ODaP@3n>93Tp<#mOvzGSjR|5;!myjF+&1~7DOqgur&}Z zr1nW#=lY>oQ$^16LE+0*qkJd$H_0d-OlNY3<#n`}A|Xb%Mc&VJW&+DgLWtxI({C(FaO;U~|rJS2!nicwBVtgW%|H7wtx;?D{! zE6FC}P)9iK6=hFSRFRdOkEG4AGkwaP^F!8ZOvXoJTauU~ zcz-0_$bC#JlK$mDInf1_P^qCem{C-c2b0#0uGVf04vo)UvAaeHI>zC&jl)J#E-oI4eEufRU2ovHuqr`| zKP_Q!c>}hj>QHW-2m~)De{Be@$zYIPodE`JT0l!dCzU;p{<8tehX7nIH~c~FZbx!r znjwV&Q#E?T$N;N?3oe;-CX9M!i6Go=QF;R^$wv>vbS?<;9H~@#FfglH<$;GL-m}@Q z^nC>35e1vpIV87?9N4c11V!GpCvc%{5VqtBlrLI>6}eUzL=>~>zABvs$z_#TuzE2{ z)MMyAdqXwQz;eUooD1_w>o>o&nGYdaQOLxo;X^nTl-)X|U1i>+ zn~Kvx3$BTCGlG_RA{(biT23cv=%Ae@FO^2l>!shTWijWJelLpL?7O`zJOb>$axViD zbGOCm#`S>ozwu%8_6}j(KZksxh~n1`@@)B?Ll|v5g|>@T=xnG(XH7i@2ZkY!+ZBN? zIW(4RB&8VN-|aQz_aQJrYF`mTQkY;rI*;r|!j zVZ(!uV&$#3WB%G3P&j`+G7F24lAVqCJu0eUCs;t ztb`(Sf4~d(KpTep24HuH4sfNUy^1b~39!a<&gKsx_(ZSt$hbV~DLY`3>lv7S>Kj6? zZj3b@!s*?o(LdynHK1H;%tYFX`>^&mzm9Fc`-j-@(BoKj+g(_2VNcC=(sabL7aLII3M3s` z7}2V$Y9O2rgO4*-6=O(|NSX-|u`qTN-Tg?S%oZ#cyC6xQOF`m=YY|#((PfeP8Pn#$ zJQ=K=2gy4UIV8bI+K~L=eY~GmQ#o?5u8c{=0Pd6*zf&$Gk>;YzF+1r@YLGcuMgMXp8qSZy_0 z+Pg6%{ds1{V$$Q4=UEnA6Tf`22*KOmhvEJqjOk2>&&WWW^r-39ufI&NSa~Kj)+T8& zKTd-L{S2;4zZOtKuhUDvB%?46+ZZjJCQi))bOjq9cglEbM2tx<3j1{Ol)kOiBR19y zb;u68jjmm@9e{aV5Dte^=3E{0F$T$R`n=Q{En?$n0qKI%!I(#L8SF!FIo<=2IBwREMNMoLQu8WMnJV({y@=)kH|$d`Xw)L- z7{nN5uJbyjt>-2~zt1Oa=0Qkpfz?Waf4Z{Ly2}WYNh8X-9b?iLxrUq_!bBSTVgM$X zEn4WOlZ)C2y}<;FAt2p;3^q~dX5Z~eZrL$T^37<0Nw1rJ3;HOch+=k7$H;igT?geU zn^X+9%fUqKlNRL)oSWux#@dR4iYP z+|p8{W@jR9V)w@nj7LO1fk8NJKA8t6e!40M$sAmV{-!!~4Y=el);|VGOSfU+E%##S zhK(p)vKZMUvog|Qjk740)bGDbQG)T0z&NBgWk`rm3Fz{d zd#nQsUcG>%<`Kopiq>vT>kH7fGFJ)uu8;y(0gRPJGHd?p7g2bi8F5`UWe3TDvJ}O| zkz{00`as0}P>E+|(qX7DQE`PN3C7(W&BI}GtJdA4xFx=irRl%}6~Lx~a7--5;QHA) z;Enolyrzf+8i|tD(eQ$fR!o;#>d>W;?s2yl$-+v`ciN!rHuzFa*pi5@i@$8JO(-T3 zODrSnL93jZuHHxXg9Sl9AtvI{=1-$n9ZXBuZR2G<1&nE$R$9vIp@euac z+Tn{YLt%CTQmr$ITo&a9Gt3q1u(But`rb1*fBpihy2htne9?_3VDCn4bqfZ@=J3Yr z;~?b4a7PEayN2MiBp|maSEl9gGjTnFiY2YHqJ-9Ds1!mWA>J%qb{s>4E_l7NXrDMc zEkd;Na$;n}0bfA+taT<5C~R?+m=p&M>=+$!!R@|GY?8}?1GVHeCVkuEBas#Zt9ANz z)Tp&cPDzwG#SNR>=ak8I){jNVr4S`9w+@CF3*zFhhoO=RtPg|zqGWs3h)+opS;o%Y zQc`0@j3o`(>2jyH9|MCP#Rc&4P>Y-`(yki#+;)r%(K3o9nFT_0V{~G4OjZNx1SBMC zF|8-5^bfPygji870^V^94!FV1G-j7q0Dhkn!_udMh?DlxOTV6`f2ho|c(EeR?3Zpo zgh5vTzFEHM;!BQzYZxQW00QQC#2O6~3&bd*h~i^GWr86#4QWLg?+1X#cr$uytI%5C z19?p6j8MRdz-SZtdfo6&C`KAnEDUjpFikP6fABa@F(!n92uhkGL*sBxyfo2p=f?TB zV8McH#L8lG+LwGX*V>2sG1NOYDF+$rrW%4iq_glMIi|XHmQcr~!<>?#7-f*GLTDI+ zjcphoi;zFlK=fh1y#=lBy@E4uJdMN8{SXJY??rvnFlnH0GzG$(iS6-A$bY};Ts#lcvlzf5v5%fkL1c#$XhTEsj@(vZiZLJ z{Gl2Q4vEeZc@d;ER(O88Tp2H?W`6X#Al&`E7#|#jODPu@lgY?jb2IXab7g+gOz{HOeCAwUhrUc76=^$Zp>B0Jv)S{bQeAlQ#q!4tsv!|LB603G=8ES7W zMc1NCWkPoF-E(6nu6S3Vk zC0-XvM_RrO=O-xf$rOt@t@{18jS!3sDnD49%wH5TEN0XVz1^dTz0T8z5quD6Ua`Pp zka5PPTAwRNUO1iB=%nhQl|$9NhijZqV-s0;N~X-va{}tHMOM>xJ3?^CK$;@WxaEmKBWYDACR_@YZwEKgcjvb z;x0q}X8J^AB4M6Nb>wgUHc_={!D|?to?xG#`{Sn&m-N;srbNI2=imjr_wsXi`oI1I z|MTUJC*jYaCJo3JoXls3c6y-THu zfhK-AHr~7tg>k*8J9ZFz_f?}!7H)h)80oiRgb?t#;23B@SZb zJK%;7@raKz!8(IAmEK@PN?H>1Di4PH24QzjnBmU|xhl|)fgvYcehaLzR>V(B6sw@o z>XDj}3ZpK7vB6>3Y>rvxB?t^*c+?KNCk7@<9Fk~~cpZQ$tJmX^`B^Kn)X8xr&(D_pbiwByl)mT}@>vadl${I*&h181hX)=-G19}7oPosb?2nRLbhY}>LM&|Y_0jC)H-{Zwe_&!f zl(OoLl5Q#tYQ8{Iew+fLBib4<&{mJ`&Pj{T5G_@i*JbLaEK(-NQ=BN*#9vneRZJ%0 z(({p?sjyoDABJmAps%|J_Gw>mg%I?P!qs~Qt*!2fII=RI#3zX!m@MDs3Ym{gaY(FK ziX_I4WF`IG7;ddY`^6qv$4yfb%FNq`?&=y04U9~q_sTf$_rmL@b<_k@u`tJ55M$9z zlIvx_mw4_GjGQ`%?jidmgA_@LUJ^|3(jv0CCdZd#Ii+1cT9cs6=b}H!oDv4ftpvoT zn3cJG`f|yfHQa@vs#EB)hm^H}0x46tA5Hvyl|W*Pu5xibV=#_+5TKRT#14Pm3+d9B zjm~A+iggix_gV~LQtVd%mO(oV;~orgm&BsvaGb387#JL0mt%T^ZM=K^?8zHxtH=jwS!yOD2f-70l$pThN zT6$RpwvK#2{6x$jnZ82Yg#WyzV0~C;)`{g{eHb&0vAGziopN9x_lR30uV>sUryQ&| zcQjljb-O7yOn@=A7%kOk-9|ExBoJK<`8ienm?o{gC|}00qRbg6o(F&9&X2Oj4_i%f z0Fi^gPj>GlVaPV(#7NSOR(Sj*2{+ZFri?>!lKHc|QdW5-xm6;8hXBmC{x{|mcBfphvrS(r%a69ES|nXKLI2XX3L18VJ= z$Shlib;VR`W>vu`t{bSKvse+EnT`Zemb#i6VRME_)R_2OVf{#i%Xp(lHb0ju-N_qr1-ymp%oFi3v!FnLaPIRu4;F9+C|j z*m}D#I6O4#7%L%f7y3nM8Am+gl9G`z)hqsM3@&dB43@4Aib5Qa;|dly^85yZkyuzp z7)6d#$HG#>kerP8gm`G3HgvYOfSeBJ$(axejKX2-N6&~Bn$&#c#>xU{2Dd~#iL{g? zn7mH3H#Eqc>Yrs^4tN|rXs2a&VlFa8@w84yq^S+CSmTkA9wTdnb~H6O;d0GJmU4~T zEn{CNdi^R`GqVtHwJ0zD<_0c4WMLHWE3TV9si$9*n9DDKW`f)k%j5AW z!P`I5U-@0>qjJtK&%$Uc`p&$Aea~!1uPkgtEtkbgI+6=ZkyD=eQL9(FD{8b8o)}D* zp(XtCY%w4H7J-b!MiaEWe&Uy)=wt5DAz7PD@eC~xcl&~}cl8i^jJDC%eyp$j34oxEBGm4NqF9(sKGSIdU4d?67(e0eVYL>F~!86c~ z-UB<(JQjcwp@TBbD?~;?%G@o91?rdt7)mywqR;}fq9l7UCVF)1k-g{`5xKBUFR3X1 z4*6x=Z+{2pk2j#de-csn5im@F4qAhLLWYDLF1rgJr^s^(87ku<$$|beIQiNE42?S8 zf4RePFeGixwdkeb4%FPxxXgNt;D(_@uZgV$2{nOg|VBIi^3lt7bMD@-N93x#{EsEoj{GrSYth5ir7iI613Jfu$V4`%$^ zuC^F;q@<|ynJ%WT9I_?(UB`%Y1 zE=<4Hf)IT0I>r%w^6q#Eaeyc}ZybHr3Od91|Y> z#LS=QoUVY|DF)7tUD3vq3{T95zE|1mreqJ z#xZ5c;W>V>Y)WJ(B6Obbs;uEi7&Jciq2%N4tbT+@h9qO>a0XsbuPH`zW8Sh;XmJJJ zBf~!kky>Vv8*pzQV0IQk*_s9~ceEjb;UyqBUW4hhKlFa1H61FXKOqW?9M&EQy=j%TEVUZ{5A!M0h*L>Ul4t}9^$?3BBt~6;}KCmelgE!#+6gnE#TTKLb8L6;U8w`MA(?ejOkbD=dX1qf5%8>nLvr zUZTXw2e_U4Q+-}v3oD^Iuo)_NC+7co)@M`rbb0w*HLW%wWKfW%*-`?WROO~<@r|Jb zC#Cu8hc8NgOHbpo!Cmv!JDrSXNsT({Fh5Lwgcn|6NA2|ARFbU&dDaj;RJ|XEJig^t zWz-J77tSqLB>X;8eyOxYaQAd5FWF)v&>w&Jdp3`NS|W-fu-d4Ws9js_%lVwJUBupu zKKlEWKeLO1qhOUF`P%z>1_oNcM!tYNa#i2^f>K}eF;#+Kt2^7}M?NgE8;YOpjO+oZ zj;YQmqwH-XgLg~jDUU(5B_LZJr*dr+_V?kRE7%8>SnGt|2>U^%9hTxLhJxRMW!Rb4 z|AclFo<-Z2&@GF({Qg}g>ZxZ9;i)!=Z&-m#?$(NdIl`dsnR0zMVVjAoD2}`Q7uj10iaVPDKNT1aD&SK4UCXhV(&jwzW05|)vl3jHY;PdHlo{y=hSJ=t+*H2be2m#z zgKr=1FPd$9_x!111k%oO-Mh2~{~|s1qT((TzQ9?e;-s|PLPyJ9=Y`2$OCtMPyyCpZ z#5x99&~algY9vEtGSuRa4-YvKjC4?ZN&j{AjZ;l%wZ_tT3i&B+iXog>q4O54ikZ-k9qWNL96JAy0iy9t8%*S=K#i(E z-Q$V-&%&IgCmOoIHx?juYMBer_<}kcmAYEdHwk3rPb<6cjxxD{#?Ym}O=*3pzCLuQ zS_Aw#PVSYpN{h0m$REI)Flu{G?)WEM^rD;k!O0{LRr>9gJ=EKwE0a*gB+7OQQy=%3j4L7!mS2+SQm3sDoX*gN|=drV3KD&y(( zl1MgUloB@_Q=UtCV#|n9 zAx=LbF*o8dg*08c<2jEFPw{6*T_>);qTqI~g5!q_m7gWdLyRf%=n9^^BKFe-j-P>^0&{Ycczd~3nW$93QFD$i#<3~KXFj>E~_zar#VP_ zM;q+F9u-{-I^P&j1fKApCU|%4R&ko2%&8O^mAVRUefJ}#*jW?mJ`h_ub4hRZpyJW8 zEQRzJ_6SD44i$Rs9GM7Ru=P*IuLThnGlMdR*0QdwMC{9JOGQE-AJ(J2!%g`v{Bb#7 zU+6pT*S!s7@AJEl2<|V$BGLPO(yjB8Xwl9asIuUPg09@C`~Q4=#m?~wK)%nhlYi)W z_g?gii{qO|NBafEiWpHwHC_T+^xCiA*FD^;-}>KfocqOSbDP%V z|1tBSbQ*KDWio@*4a84BH8nPF{TfX=JU^0h0F$vSewBjgB$qPOH^FDZtgQ$6DhwUf`dZjq41pUJGv{`(=>MeVL|zq$R|?6RMo=KSda%UCP` z{!I0ZgwkDw?e;ep(Rz5Xr(=KL9(|;s*(X2sSj&n^IFrvO=2{D|cxZ&tjGiwBroy`1 zWQL(Z5`Dft(O;HIr2MX%!;7QAnn}j^2sS#(e~N#0!n*;i ztbn}(q0Tpw718ib4br?AZmFFc6*fut$nT!`b=P31_2XrKspehbgE8Tgq0c5pIL>YR z=6RX?;$#00(H2T#`|wR&dRkWHobL(Ag8^{-7ukcGktUzMutUn|L1t~ZgQQ6Z5*gI| z@`o7m>9SKMca)bSmg1YWy|aI!gZAYyZZZ3~1t3?iSQvacGUL!@7srDWAY?0&=`f?#YIR}3_l4KNiYm? z*ko!KPgeHeTfP04+$p~+A2}`oNrW_-PqW~IIzlBqW?A{UluOBbADf&44xC`KV*yQf zvXC)y{Kr4*@mFwmxxL(8opS+HjK)^~NbD-*hZScvJi3CDL?80XT8XjZ;TQ}KSyRj1 z2sI6GlC(qn?v!yEE&rK6C3BwRdihRot!7j?{|R4p#%?TVKIS@33GgA2sZ~y(i7`wP zM*JK|ET2in^>e@py-#u_YPJh*Nxt}BM*|gsFvQL3$5BN?FS*d&!nly{CW%O!{1zsu zg&G%V4JIi(e{2nm&Pl2-vJNK}t4B7uhSWut(&HjbJm{9Na+iwL4ms!jWwpEchzp{a zEr>>>Ui;_fNX>U$0Vy43X(yN~DDP$?yyq(ii(8r6b-?|#>N^jv`Wt!Tq_4etHp6qM zZkdRg%D`$(Xk~{Bu^=MI7GrZ2ROz3p`BNyS?}p?)Otz`Q6C*)h=%?0{Y}E>qE#bpp z5j8)juI?WUhs7-^&414kGL4ReM8l09If7Uj@PvTEAWg1wqP5jS4~50%``ViCRBQL3 zHrXC~yRg9)E#MDO@NA~S5JK1?bMgtHJrfkEvI&J}-fekD9B2#bk@Zm6?Q}c)o{Pue zPJ5Muk|@i@NX=mwp8^a+C4Zf&yD?0SwN?SH6U=hj&22~7l%ms)(v2lJdH~aHp$m*W zG~U0&0qBYRZkWFm1BsSdHkeDXpdm72!xt)PkF&)I+~etzCxh7xt@eNu8RHLnr5_1J&}@6LprP|OKP9761Xe@#3$W>cpoTd>zl4m^UUJK zcn^~HgO$>rz-bC?M4D3_(+m#^Bm5Sc2R6^x#Az`dO@(9u1C|jF?!aT>+tW+^QtE` z9(Qy^M}y}ktxj^A?*U3gB=+4c&uAbr~BQOEn~Yxm3Y5lEKk^mC$=06XH8el zh2P5K@^O$EF}t&_#S6RJ>l60Re<@4XBPRNyoCu>sq}#Ggof-&9JMeM2PBLk%sLTe- zOry%*R(%W*#p!Aqsd}d04luLa2`nGajA{eqxOpad_vk&9kV&42QhkfugIvjZgob)5bPHu}P8l_qgS4bp9rXmyntRS>Yz@d5% z!uC~`&!5?>dcx0thAh1ed7OUL0od$(zB-(g8)-O}MA`IX))ui945w4PtIu%H~Y+CWFfLzL|94)W2z}&ubLuw4~m>P%4 zFBR5?dv%+5VTQWjesnGc<>wLB+L40l)xb%QINF;{uRmwey_^;eZjb5{5_7^;47gMS zRD$E)Q~2Hxo(glGB{jo;UnHP&H(cCY1}HhoxiJ5w)hLb+p=UNAdb_6Za&TTAlB0&o zNzWj6E5A~u3d4blmTzp**ClpFcXH zYLorABTC0hGRMF&uLwlsD8)B@MmY^Jq1Bs3mc2OXa3-rfD1OoTJhQwMy#+8xFL$3A zNGY^FvC{XMN87a?8gH{bc5(J`uF#DE?W73llWi~=)5(xD3l~=^aIbGfY2Z1kYNp0~ zE~0=ve;+ztz(^_)zkUk>1~;WfBv|Z0cQzyJx;jI=*%MN|0k@5A%nR;Pxd@I&3RY{0 z9^-OuioT6m!r}Y#3bTIqMGbnD*K;eJR_^Cd$h8g9nA1O!hxb$OO0j(G$>wx7BKd|Q zd;_r(+J1DPJVng9zd$M|>8TyPwkg7_zVV#(fHvL*KN_hgTZIjdQDzrUX8j{MJk(l< z15{&9ZAm~plye;`q!rwYjKLtuFV#+cGqyNw4M+&ekGNTNCmO8fpqLa-@Q+Pv>gw6;N3G<02_XFIH% z=Xy8Xt z?+XmB$=nWz8xyB{j7szfw#*+SF`8TM6GVgTP}!snv^aj3{%}qz7O~Lg3IeGNTHr&FIdvMhGG}nz{Bd`4+Lw0h!V|#x?Y%y`J?tW>&oEhBHglNqbPRz zgGbRD_9Qp7-J}QA^LXZc=Vg6K!yG7t!9oEXAv;fZ^Nn9qSB?m5QdTs566dLCHSXQU zoT`$w)7y6K)W*Z(6#(^%Z+V{Gjt#|&;!Si-4l$Tgy+uOmh?6B5*W&Od9AQ*sDVglK zUX6vqZP7hk8kQ)wawNN=%Q^zz^f|`9Ju0x3lbkqo70GyWo$&~_SiG}QHqhYch+lB+ zh<~h&)z?kbPkxJ5DJwL_Z&hFp@ncjRYWkUc!W?M0TM2z(LfG&1Xi@tQgiOLiXphNd zxDBO=0mRgkIbHmWP++L2G}5)W>u~d4??c~?ssayg7E$1T4~BH$B~RzZM_==3v*`!Z zkEdFW_Ms*3k8g8w$ZMz51d9*X+Itd;@P{!O)sfg26@J|K#{e~=CE)5oySa{(ohk!5X!nz0Nd7BMA(HMQnv&#X#fgV(==B-0J=nl(-gZ-U4 zV?D7NEr52U=TCif`ewF4I+BGPd;5dKb_*?{K8;myZX7-+nY+*mSpvJZt^(TGJUxQg~@*-eN_3wr#D zIDH~!y&bXdI~vh_$s0jNZzK}UUnS~nRB}eI6$b}1ZsoLUC=b*|3+%+?0YN--c(Q>l z$rBj8f(vosg%{gri`d&m;^_9df8o#dvx4|Ea7s?7U|A?=?NboC_NB06gh>=@w%(uY z&6eX&d;0pip~1Amco7=DmqKJ`E|HTQeJ>Q_d-ExS<@POv#2emdl7FmZ#(w^BK5434 za_h&N;AEGT0uZ+rk3?fzRGecRw;4Md)T!$x`#riCT35{u(lGO)o@4s`rr3gf-?*0=B-7VG+Jor>GDLBp5Jb|SFW{r*y{+J6vSa2ICE}<3RhLhLA zxYd4Dbo8ck42Qt&DfApbH+C;aFShUt*2wbXl@+9WrFS{INpA$5`ulWJK#Exm@;RAS zDmce5ouc>Qn{F-rk27D`dN!|!(vzZV!2jS)F-#orAo87urV@XR)x5IQm_yLdVPepC z4a}k6rJEEtsK{@mv(>*fc-w1u%%ADk1n`43b)1cb;;OjojNlMsy+P~=R~({O{X1!y zyItr34=`%B!WLN7;i+%Q5+YurC@(L?%tA!WveREN%05M0FswPHXjeNEO_f*RC(hwT zvEv+%*|V@11zp62(_69@hZR3$U$q;Cc%y7F?*&|1z+&>=FQ4_uw%; zVGQSdBQ`DFJtA6OdEaks>Xc@=ymt+H1F^{8&rRw#xYJiO2`sgVaPPN@wGO87k%b_o zCL`L0P$_1nx>Nm4zZYWfTQXH8v9%vE_e^U@Qy{vcO`A($GVo<3+VCM}L0cvH>M-Pd zfzsi2!;@}4ViwY;Vn==S9m-JHSU+YSy0DJ$Cw*l0Ov^Go>=}BiLF(GrO0EuwaXpuJ zsL_`jCe9wX$oWyNx6)H}TR7{8*9`n>lpJ7P=%Q#TF?X;*^Y2iA&5$!!SfGKbda$KG z<{R5C|5ZlKKF0@B`6WwRuwENpf^)tcE;jv#J8h+*Y9?vT&xQt%mTu80DIeXSk&T4x zi?;cMFp;u=gS&=?Oce*_yi=x+!Ux3vm`|sKUE&gMpf4a=pGtWzw(y6&>E~b(RiQPI zC}`0#?{T+83fL6e$9RMV+7MayFq{=90Nd}OCF|&psMC&7C}L8rvZN4cHw*wQ2Kj_* zoEK#i`7sLA@Iue1dSxF8$En&BSdK{g?SN1&=mx>u*KHru@n^-Qg!HY(G5%}v`EB8S z)knEwe5qYAMdC2F??TnPoPjrJ0KH2cY@4Vskc)1uJ>!9;+E4S^JNTz)c$p!|ED(ghQ?idw>A+p(_ zV!+faWWO!u$HiSwF%JOH%k~e_zN$!v-02(@y!^)vEyB}r_`B%O+1w5a&)5Bf8byXV z;1Npwh*DcETgeWuxkp1`Y1w=CvqF;l?+LQOQ|34}+6XC3hg8p8Pe#)_w_TK8S79Nx zaR!m9x!&+bFr8^%tW@nxeS=@V$Xs)#F`4_OZo*axEYTfO$HhqS% z2Exf}`B~0O;oQIe1TAFlEIq7@F9qbi|GJ{dR?K?ikDl;}K+ZQD?PqMkw{b5k5_{R- z8Ctd!{Jqxv{g&KH8#7}CAOfCl^tdgm2AzxHKb#$52#sn3Y%Qdo5@6y58*)NQD*>?f ziA}zF9mvd~Z}9lWmLf5LJ|e^;J2Bbp$#3beadI67Px+>$hvZV#2u(p)d^xL8*?%6) z7YAuHb=PA#0~`^Qk|6VjUvbe|bzI+HP@KtrczSU@u59`GhoQnOITn$AffQAdU#095 zMvg>eOeYx$FIsH{&%b|OGD%X>PI<2=rcblvhZQdrIbLpbx~WAp_y6Rw2n_KFbBb z7R3`^d_dc3PE|*o0BXaGXOqp`_K;+sUh_M`ty zBhmTp=y5N-xx;N6j6Y!~44ME%=n#>UW#jtP6LO~t6=Q$j#k+Lt-~SzX?u^#@HpkVgOP}iU6S18 zN|ywKduBM@q9!t6IK(~bV-P<*x+2V9HT0rm5m*mNF%qOzCK4OUSO-oZv4}&My+LDB zI&Scly4bOY9S*LA$zeQ=z|R2gKnbv(!{FRk?7TWQEV5w|KLBa`-g@#259!4Mt*F6@ zt7b@fgZ!bTYl1;y2uUHFNQV6_&mxz}0yl#I98pu_Tp$t6=OcM-$2R_>%)+rgFzm@DJIy5nhBNS|Ea9R7YE#fxV!&!4xx zrQ-pzHh=yV4mW_&PGHYz=$TzDd@s@3_`f1Z%;Z{3u%bX(Ac&R-G!cTx#-4T@(a#ZX zU)N8hsugr38%b2+$}Gi0DmH9ykh{#LRK>8`K)|&xN;K3818srHQ10h45oMvLILujR@PDgUzU4 zI^%p+%*(Q1dSFrPazHNp59zeE1^Vb#8rzGZ!=txBy|)15a3Vicv)5Dh78}J(Hnb{0 z5vdFuaa+zC{>$TA*3d{W=XUM1c=&MYIgSQ2TTJ-?Qqq_`Q{H zYz6YOi?dNvQ;rxs+a>gvq>o`ttRVP^c409p4P&_ACbe7BrI_3>j~QfDxhTbG6e>nD}p7 zt%H2)yHBew<78|cpwM-}$SU+_^MW+{%Cb|HCg)S8Zt!;`#T{)>mjA}i=wBxAwa#te$6OSpw;)J9$@7zaF^&U8}=eV9?8=iV2tL-d1y^x!VEQHO5KI;3; zGdQ%`G4I!Pi>NeI&=nt-RU!Q8r8+HJ7{6swAKij$BPiTlD zSX+mzl0DS^Cy1v}_LqBs&)qFf-W*`bTl4E9kIk9DSE>NF)zDwD@ms9jwOAR{vvksz zce!%E<8pUSHYod=E?y*8%`zfynO8ps7b;w?JOswA#kw%PzU1!2J2tR%o85o;3ExXa z@w6p)IT66f7t_2YXoFG42Pt@73+&(c*Fs(98G!ZRXcUohbP+E=P5%6F){ou&;GZSt zPqu7>ez|G(ijK$I3W}$Nohn2J!#b=;E|OgGIky82gL2OqM0g>qS>mJ#Vv%UEH=kP7(5plO80mj}$rEOa5 z1(L>!%1`1#CPa|tz52WH773Iz#&;>}(dh%)`a3)3+uJ)VG`o}$u0PO2&c2e6l7$SP zq#?x-mRLjW)vyvZ@i)wf1L`&%!#G-ezRb=8zKV-rIjJ)~sir0uq8tg2Mu+`jE3Jv| zxj2ldRB+2Ur&@AQ`wLHIt6E!AL`*Bpitlo@k4>s=OzL+D0WC+s(p9 zf^5k(D%#}1+cOrqe7xz4{WzN0ur zO}1z=5g7az2F1CM>RFF{+6Vk)GZ+~I$riXj^{nVo0yG9X{FfdBCF7kgGypeS8^fO^ zT#+4>zW4Uouz-~XXW2oSSL&~MVQ?GQVOky1{ZdW7$aG9?00ZQ zsx9J8a}0A-)L+m|;4SOUdp0B=8C#fnVF1F@$gO-D#5aBRxUPFPQ$KIw`|+ML_-2K) zkTHqEUUIF3dTUC1(F&?Azuf~Kb9GdVu>_XkogdHz0Crbf8$>S23-d69k z&WSKqf5yuw9T_@!-wIw8x9ohI!|rQ|EmzpIDu?vqSg~U87Z&i8?}&a&70; z)iJFMNr~252%9%rNnwKZiDDLZg7)ATFxm*bc?+78-&?y^z_9nPJJGFTda7r0ns?nJ z1~#Mp+m-QKz0XdA`}7$#(L@pt_le-~^nL2Gq4tmeNH{y(2}+G@p!DLZM=@|mF^R+~ z8B9i=*yrBrapc#yg0+d+{s9iY0e`u4+YzcIZYWIG{IT2-3NAqsWEvjHXOHx(GRI5t%066lw6`a_m9(14fhJP~m0Q^iI64$Cg6iWwQ|PMf_Fkuz=Nkuro?BRe@9Za3d>q_r~<+?WDJONJ<(duR-d_K?EB8;`N5x!xUW7cyV&n zMz!V&Zs<*dOquduL8Qb0p?KD`lU1D2Kb7t~RQA3pCk(u2JwRr*7 zRy5PH-;5fy>YL0N`6Csa)hzR(8SA5hODA1aRb*wM(xrFlA$$`=pMITqjm+%%UUDjS zwP25^G9BaqJuE=~lhQ@mlGEeE-6IzDBeVBX2Bi0)?SPw#co^4^arQ2*w!5yM6Yew8 z`vL0YkO!{G_{VG7=<*PzR(Jj$!Kk{+hp{{y&6B*};ly&()iL!3(#}{IeT9P3pJNB< z$q)Tdq#kf6?l`yK0`8E7s@A{xcD;~_c??@xPg-yDAhkBqDoMP}NeOaJ|K$>Sb{Kn4 zUmu{ZV>sv_!7hkIbU!HUF`(XqQ2G@yWR2anvbr~HMZhh-1!snfK|=yn2gsAtL&*+Uy5Nt1^F1KYQ5n#%^B}c2c%q@kNj|UbO>>lskLl6VQxWi1| zW@XE?o{B)+-y%e6NqHO!FkN^<2F1Xi4Xr42iULM4RZIb8u&}}+b4%oH!)c%L^o5)W z7k06rLmyFFuE{6m)^GMG2zSVr`yadFOYeoDzHfS$%Mk+GC3B(ULk^EP|Ftm77v{k%+ip zS7aE!JTVrDn9FzyotOU z>C3xPg_^64S7bBOPjW*Feqqd7)L(L6c>O+q#2M0gP?K`Rgehvqc%8RP4*N1c?A(y^ zm}fZhzEkw9IDe=13z8>!5b!cAxgO)7==vlQx=C3zIC{pp^H{n*0P4!pgb+ZeJ z=_CJihur`L>_VX6KXyB;X7pSgNmfB4^$J6lT7tIa%P!G8V{3oUDewo$$cvI2czuHp zer7TwXsnf-2DLn6h6|%19?lyqZh}fW^P==2JN+)t-7xeiuLEQ|OAshBH*thcjUoMZ z<)2dcHx&14{t3yKY_zUf-Cq=b=$w*wyf3F2i#0dSgvTq-TwG#!Sa>G>h{)>!a39}p z|8c(G4|TI9Bq(g?ps=wSWExSF0Xt0S0GlGC zvK6efpc!V6ZJvFd;65?K(e!HCTDc#2bBB4&Ksxbe9Jyi}B~v-121M;Y(zC$3TGZm}SpfH1yr82wh4z9Ho0lmM7sY^ul0dA>k`9}{|OyqlI>P*Ffp zJlLQK(K~h@G=VN8ujm8m=juG$WOrBHN@Yy{`fuaRS~)KT<@M4|hwn$5Ey@2kL;stmup5orYQ&thQE#4F zcO5CgL6{cGcg&u+Pv#Sp4AJ{&ZTMXGI%haDJY)6hx!-v^w7p41_-Pe zzzhwoWA;Q zFMQFd*xrU+wbg%z|CYEU@$KqkX{r9F6N8KxZ7s(ZTt7b9gh}!zA958$9_7c5ODPVD zY3okq-s?B`AP6`vLyUBZds*iDAj=VABws6~WMl-NFunC9B;Sii+~@stN9nKXVMDEh zS@Zvx^tKju8(!1$x8s1#z7I?ACrTeQe=JflL_Se&wV2k!nV_CT>}s7`IRCXrJ*Qgc zrDE8fx(h>?VRdP%;sF^$dqh~hDbC>IMqE_l3bSF%Q0MhEu*9*0Age5C!UUZ+!0Kk}%91!k4AIDEf5HlQ6(Q zX7V~Uq_}$@s(eXs%xdt+bN-UO?tkAH417D-*yQYb^8I_p`Nr|O`SA_=^?su(_U&mZ zaFg83m!LV>&<3N;uu9>7HxOez^$c8m{0J}QcTGV7LapV8I+||DHDBQbed0QzO(G0u z(`pbs1McD2Om4WkS_UCiYt`m&S7Z$kvre(X{~blz=o1p47m0Hx553<6gW5tvg3fWN zP5ziZfg<+@1H2kGgvj=>0V*>ho*w_n(DF~MyRNPhH9@kL0?t|-HO23in7V5*j+fIn z6kv;;1i!irc~a!By5B8!C!H-9Fr+yQAX;N-%E7Z8+Y*cu^uO))%gp2HA^RSBO2GP} z+Gy+cezW6?tQJbwee>0I`S8q-?-BHZPQ6cTbZ+~6Y|k%FTl){rxx&N`axxp%Q|vq}M2i|G)+g#BKoQ0{y0HsEd<9*!VE zXf#kMo-%=tK+}dN++=G>cgNJc2eo1RVF-HZxgiT-#uJB-k^%JorpC0BT$>K{TXrzv z@rkw3Qmv)dAgw3N4f)#bVpk3J#W`mp#VmdLOY zOC+1Zke!dLQOp%G<6gWeWB-l5_}z_eRqt3z3X@t)2=mj+|2P_c4xtW|hZU7WImbBr z8@{ajgLW2e6~TA|o2@27>tw+KniywIw>_Y@v6bxOw0x54}1PWjrz!uC$g+F3df$P2Q5TSxc_87%$yUt98eJdWJDd6qL6R(B}cKu-{rjeb9o0dB`U1s0LhY}k&( z)w7x~s3rYwEAKc$q`$UQ39rAM(uY1#X zuD>F!xw*hY5F6zg*2Z{?CU729Rs5aa{|phA!90sIQDY))Pc8k2XcQpP38Sq`4~ss# zip$O>O>B;R%Z(ODfzUXA7w;|#+_M%}+=k9)8c&UyQ<4`=JQq3o()*_ZOt0gM^nO#P zqN4WmUO#v!KIavw_C8_BXa z2dwoX%YBvG@7U9K*#Wb$O?@zK(EFdUG>%Z0N=pcXjP11m>aj~bC_ea4Go8+NP++v2 zImi7mca2H(FhJaFe8_isPVzb%VVXc+wAlOk$fU%_ga&W+^GCzgh>1V40GpDO$|Cpa zS}Qfte0Bj$eWPQx6gBVJ#;Xh^x3zT?H84c>x5pahyO+5_XfZrtwVV7o&ASwLRlI zMt#e6Kr3;S z*yKY@f3Jqa_HNd<8rk^5bE9Jo0j3OSkp#N>S8=y~HZ}DO`sC!;9$CYmDd zyDKk@+63aMe6{}o$eyNNMAMxe_|HaSdAT+PBf#xQp9pU4Zscjndi?CB6#do!BqGAn z?M@`mBd1XKl?ElYjXJ6rS6XKZU*zfzqZp~HcF4^*FGfGt#9n{Wr*Ou8jPX1LG>xJe z8EL@lJNp;FlFwS?p|3XWFUKuJ%F2qdISpab7Y{#lHFJ9(H{kr7=&6{`m~;aCVE zN{B#HL7I$Sy}KSmKMR1)p@quoCbkAl`;&>1OScsX*3v-PJpwF?+VM0z(R!QM7+H%p zN7^46j-ANS;zb$lS_lvV*`P}cLh#ymqd6J`U7iuP7qRpoT1|-7L8}FqAL`_M@k$%E zBPQR^O0XzCFbY!1Y0o{Z0byEO9J2}`>?{w`YM`(S#y z(M~Sf+#Mun8UV*B@!;EWdF*j2u@LO2aLHz&{K6OG#l7y1rm*3I$=M9d-wrpbZQ?;* zzF;=G$e*FJ>h-BG>BcGk$wA?LWYb|J$r6wjMI8tG(ir{5L}H{wEd%m>sl9~X7Knps zi6TS@QsXk@#ZfZdo%a)3V8{?tyr1ljzYu-6$sXv6@B=SyDnD2xvN>xwvR*G_Vt9+C z6pw7Vz(O=zkp)%E0I46^$wmnrjMAk8Cj@nA7Ks~4AOWG#KT$Lve`ssOi8V5n5}+Ca z)n;=XQh^BnObsG4(SF8@m4L+Pf+9N_M^2&;8PE)hBoaJ{qP!3+>9`?dv+|O`OcY5^ za>010M!(L{!^FBq>|=)<;%r5|gZBRH-m81jN9paBd2sv?-~BCrR(L;Xbg; zG#H2Vl50XPe?i7cS;o;(#DQ#(uiJ!R#!w5IniH=59e4Zlelio^r^EWiEiJR>ZZC5! zBJD}1tybz6(bqkrjXtZlr|G{3f&Z`quD>^H@aHM)XSTasgm~^$?J>{w(OvLTQw+g; zDy&?rzOE;@)xaHNf)dhF(>&SSh*nm??V+!PBNzf!!{-8jNBMItV1u1LEt$yQqMQrg%mbO`clB;W__*}>^F z^y-YgVb_YP9}4iJWVSE5lLMo{U$S`x4}pin7^wQmoY7!QjVk6bYPr@nCLmNS_3!}> zRVfLe5hV-2n+Mqz_}G4Ig%wYMW11ePuJ2*vR~hx%Pnd`#a(%n9hT8EPt>X{J!9~G+ zg8$Emt=;^HQ$|3a|L;Q_(k+C5v zsXX4MtU(+0gj``HI$kv?%&@~-LqUO-gYvLXz8!)6kElRQ_d?;7mx4HKSMM60mYPW* ziZ`%rP-2&CKs^w&>D0T_Iszh^?|1ZR{}ICZXL}uo-klE|@56B^C*; zo$7DB4j7AbO!>~T&<`_=N7I%D)ec`B`~W+cAZdj6JyT_JQU^Av5mhntp^V-GW_7>d zFVk;DhZdoNUJ7Ou>SS0k6Dh9~L?lp7PZH16y|1kc5zpPkqkMLS-J9|hw&Iida=^Y& z_G9!g>ZgIL&H|3HW`2&LgRMcZI6lQ0!n$1=Qol_`0i|WdboepDMg3c4V&~C0OWH{~ z2b?%BD_$btzuC`~My6P#$+BUze4izaLzy(rT>RB1C@SGt^;czM5un~nf~ZUWkl`7Z|;#Q*&vhO0&>CYez5sk(eY z&3*fT#QUUvG^$t?mD<21&z5>$A-*#+IaH@-G)2jT5Y!bSn(}V03DP}+Y5OeRC$VMvda@u?9Jo) zfY-q!{4&s_aeMV-xoJ8}ghpJ{25O<5J)cMahI}wy&72{M`nj5Kbaa1O%`dPOfXz9k zDlbhQnjqF$2pqT3b=&*h^i85LvP|BI- zHb=Lq$b+Xoc#!?SnfJfHR`@8}-_D;6aA%qGJ&_VtkG|RcV$F6f{f^6Hmj@Zlb4AX- zion$!(Ac@?{jeHKVkZY%Qu^M@q%k$)?*Kt~kFekTfN#q&BjYsd{pSguy8@X%`8$ zox5yXxHJQEl*DoYcyP3*55oXL2ek{u+lcY-Uz+hsS>BUB5C8Q~pFAx2&50%@Kum*@r7>Vk-O?4w zJoaZzpMI!Yx?HOefvOdF{YUQrO9(MKs@|?R|2Fcm!tU-Va6ny+_4<$&8Xj6WYHE4w zlRS{A@t7IqXOetT?(@Nv9(rxAI`t@Ls;WJxFP&A8Fh)>#dL`TX^WJk@xMk!d29f2< zM}*((Poe-@jj0Ms%ATv&&>Du76}lBg;cAcrUA_Zt>vIIEcQZTQKl+cnWaX4+Js!3E z(f3J9a#phco=rOaI_4j@B0idi{ht~BH;Z7#$OLq5VK_+RIx~lPEhg6n06Cn%P4B0X>$W;F~|csQ`KYd zSv2>WR6cwyzRoTX^Y(-)ka#;y)*{%0_nP?Q;HL%Oef%B$BrD1^D;jpK>Lq+6>ph0+ z;qZYdI)HQR{3x*>o;eE~ka>FME&v>w6;d>{D4@i*l9Bn4pCsUdS$XYspAPK-t?L83 zX2+7Wq^x9iK#yaNEYN2~Q-_8`x+oV|a&X(;vpnjhomkn$M8hQt_y2wu*mHsq!Z-QFTa-6}4G-$$X4WmZVprnrMg@f@$C`iV;{Auml{#w33_5%1pV~JMkZdd)e$`kZw<`*j^{H7{Cu5xCqn2(6BD3@WIv(`_3e zgLOpLPLK-nV0uXi1=Pv(5m$Z#<=Fh9M*CPKX0jXRA%MS)kLvzwI*P|FOVtuwBttyQjOQOW{0rdblSy)&-=H8&lsSQzJAQ3bI zKg!VU40xkSLbZ2QATa0sGk#1<9O&jP1O8LS5xgQFO!Qj;o_M)L{YIhQ2wcT5pgwmS@1 zW4IDO6eS@gp&pWW|3AFFV|1PS7d6_(wr$(CZS5G1)!4S#G&UPHHg?q5ZtOHR-`(>+ z=f2~PaX;TL<&pW=7zTX;HB72WxBM99D+{fXTqNDa-azU&^Qk^IG6yi$5z z6hEy9r60C0>X+)v@hXCIeCO~8Ra#aZFXp^79<|NGUZMz}lAD@v`R~zwEYYRu*6F7e z7y!hA>_gCV3e&1G2+Yp%B>7=Ae?d&Z_~&N$_Z+w^^zUH(|MU<2Frb-9s2|d;D^fo$ z3^|%|cI!OQEK(BevOU|$Cj>^)tX02sL`wiKcxo4|84M=8=-W(sx$ZKY=fo@>HLCo07r#&-Mf!7G~G)A1^vv*!V-$4EacGX zK`1v{&0@e-!55xyiy|(#0UAd(uyuqpwC)eokjnTmT32RCPAyFQ)OIX0ON+LoPs182 zfE0W0QBYI_4L*Pr+W{U)<2IdTFQYUjq2=%y4*sVxb~8qFOg*+Z@S^|G7<+ZKot4Ip zS6?V}$Hq#Li=w2MoSd4N(&ajd!LA|=d#wdIhR1Y<kYeu1F0{vymyD6UN3@9J z3g8_A5NwJh@dj?sKqF}nNvJo1V{-4uaqrK5$K}0VN|#sGNI5)#tv?)@)ma`=PO|;o zRW99~uQ`r8)9}g#t;G-lwG(&oZse@?W8;g@Zb6 z*6@q9hOxi;IVkX%wuUjh0tdw}k(zgIw{w=e4q4(C+{{MMv6_LtF_I{ncuA?+QG>SJ zrUTDuI{KMm8oN(xWc5g@%u=hi&c2&UXM#=$)PM-mQo(r}R(>*8n!C{v8bI{^DYxm| zs$}_y{O9lOG}UQI#{UCDOpFW;tV?v20VouP>jJKUb$ z+SJ*lVhpRk=*QcR1-18jq^WKBM}aDS2_UUu?uM?AX1dPa!oUF#uC8^p$B>1 zudyGG$I4jqe@P8KpVoVgN&NsAMkTu)gk%>xcAuvdlbj{ zd{eBk(%_c}^V=gSAF&^gPf7&DDt&tG1Xay;gKqd*rq+@~XZ514i(1>4L3*ytS`49y9r(k!{s@~suZm~ZNFpg6DD+&81I-h*^)@E3vl^fZc*5bC5bBv} z;^6Llgpy;a8D_McFV+bV8#*ZOeMO#60X=clhRYGV6MizGfmB-(~AgBPVhARnutEre*^k~ueCrY zv>+mbM%6 z`W=j~RbpZnK=@n@@BBuI+5%+vUyk|B{Xw|-{-mT4cW81<-5n|X^sIe(e5!41vM&It z1dGMQZ!x~>)X)LX;c-5Kh+l{$b6cR>(`h`!J;xgCoxIq!?D0mtXa=Zu-pxJs1l$~Y zJ|1Z5G#C>dzyih=?fWwaAxAXtclLsccr+HE*ZL(#WSjcE4YwUsV+s7>riAVYa;xI| zyRU_O{{RAFn1458Ri%$h@4rgwGsk@9_VBpxL8mm5#ujA0FU_hry&hO@2dK(cMvII6DdyV@nhQP)Z0 zZ9!-ox_L@MkEpGMDl>dVdOx=uX31=TeRw+txeL3Um>o9jFyQIvRoko}7wtMwtFHT< z+!l9%^;Ea58Y4QLFkuHbKQZwxq+aMh!X4jlQC2l#oZqnDag6--eztmyv3W5u>C;8o zRLQ$WCNypVXZSeWp%a-7OuLVL#pFU@+A^;e%>ojJJ>2>=J?YQiXSJ^adcGnz*XTrg z-5i*_Coe2JZQyAv4_rn~?IoczmJ`bl|I!Srv(ik?7}DnfE%Dz-SQ0kKc%TP*gtw7J zk>eqd5G?dIULyG(Y)|dvuCtGkpExJ*;t9crtn!{wM(Xei-cOG-|QG3D3-9&0tWr6i`O z#U%^iGjZk2?US54h}?D5?yMXt6%KbIAPYTPwwif;zD`D%wncwaixIL>tlLi|1uVq8 zPkx!dlR{xVFt)aUaxKhV@gy4T`eP+@O_l5Gi>(w!?=+S70eim6gIr=wg)_OV1`qJo zVT(>IA&#i(!7W=gz)?RinV2Q8k`(+olf4tvIxX2@GQ(Gi1gu&Pq6eCs_(#M6KNy4FecVm}C`k&a0!OpiH=)rA4&c^pZ)hbKCGu3g`<0;{<1rqkmQbRpeE*Q+t`LpDdB4;7%G{F-3P9N3K(oSSX?&sLqP^{6p$=^;WxF`r6;4 zijhOP=n7^tO(rUOD}9K8;b53zcQ4Lo8t9ormS(2|RB+N}oHmv5MXag8JB$xolbDbr z^)^$P_;56-Hb*HpECFlwIxRHV_cL?lysj|P5rsRQ1Y~@Is;%vKDglq5Dhc`a9NsV- z3^l`(HOOx-)Y*@l;P?PYzZQ)Wn&{~h4;>{patW80h$UJ0_yNEBm^d!bms}%EjQp@` z09`0IGRx0_Un@fwlY{y(@$21p;WTJ`W^T_!DIMxc_go1h3UrsHY6%PL(nh}RHdQfOkg;UYX1$IB^Vjw+kJemB z_e_3jrk~!9Xy}_@`ET7grWVX6`EMpO{bI$6$L^(}s~N%bZTL=OC;Ay(aplz)B0fEi zSj}W2jl@mR%7ykiA@k9aI_-r7E8!J(wB|uouIx1c-Oxr)ZaqAJ%I0zI%3_3~D+b{m zV!7j2FkF}VgK$imhVoJr!Q%|L()ALZZgZl8(npqctr&4PGqs*C^IuGNj~s2K{#5us zR)fkfU%ba#yk9dLv%Z5-!4Wrzu4hlJ3 zGSg7fymeg0RO@XJv7%TB_?q#X1b3YvbT&-?$6{q9{FO-#@TiG!BYcW+HSZiGlCe!z zUJ3S!Pbg*0h4xkJrCM3_Ifm)V-sVf1SKhEg+ z^7&dVsD4t(wGnYgZjO299jUS9A%DzT)TYn(N3}vSaLW4PI~^<}rUgw-O6;Q!^NDif z0QEEHoOj!m2(h;h-;6+h5U(R^4Ir6}@-FXpI;QR*WqC#(WRByfvUrXGTo^N|!)i|_ zn1MI@@;{LxDNSIf*d^qHh2Kq>GLtgZBO&RdP2vy=3)*pun1spZRtpn>q_G@%QXznd zR7!kSVSZ~oQEe$}8F9JazCW@ogS^i;Yybo}&XQmDKZgDxFhS8`Kjd$q7>e>4-u`1R zIo68SK0BC^VjAW*6siSRu86ga&CpL!gw`F8b(_Gqm8(i~MK-+_S(*fc@pPk-krW+^ zi>^nTKYwHlsDqH?5-jw~0d>3u#?AyMp^yod&2XJb^K?P9@ve+4Ox>nQ+pG4owV**( zXjw@;PjAj44r3m)V-lW=d~DGWv?CN_ek)j)Fr8D_Ee~a_{iOTED+9~NI=vqGP6O$n zq&zi3;;5lKkctcjH=OmVqBvj_3!Op?}|B zX%zX+*Zzf>u-~m%6_SH8Y?yJeECf5Xcx1#%*DIqAIGNDV4Uxc)jKyH{o z{%Cuu128^{R93s>gQnuh(Ta<w)jFm=rA0+ss*O0 z#@aAfT?ZAujdLV@X!n)Jk4A-m2gV(gNNkHO+emvWAt}t)>aMew@S@XJ;Ev3DZlBsn znxVkVB;?aZkxB50ZKVfT{RO;E9R&St;?^q8(ENJCfgr%&Jq@Uwnv>8vl+ z|Nb0n6FWjj8>C5o{$gi$Y{ycHmCqwNKf4jD^bL7;t}SYCz=@CW=nN0MQJ03Hx!+1< z$`pq7FdMd_L{dgunst6Ap0&&tikbBbmkc{UmN*ZN1P#j8|K1(BO>xu9hxz=2QR%C+VSd&7 zw7f0l3*Gny$W`6t!o5Q?&s|5M1mYfik=bgae#@S(#5M9+t6Iw|36s5yN?&NVT!r zrl%iI9|I!H$IU@|2yUwcRJf6MFX ztvbJZd}iP5XXO1-JZaiC%GQ!t0>;Bd}DB!f5(1VdbX}xBvA3 z@%D6q_-tj#P_cQr#tBgOAN61oJI|ItG!L87T1h_Hjwjd;M`rc}JPcGM~npE8tTRj2Be>hY=C5r;AYZz%L+b%Kzkx z-9+9=9|xS}3+vg(d){`fVZ8B#(Ho3Wo5kmJ^T`9z^c}Dep4&X5-jB}txRK0=tLbmT z5z6D=PgZfj;+Y6OCJ!%<#y;-}JtjQ%`vIH{3aFg@sla&NfA#>C^8|zM*ZD6;g+KU& zjCj{FNV5|-p~a)WvKIVlTi5FU-72iZlxa;wQ0|vYmK%N zu&fhpGHk9xXRcp+wy&s-hK2U|)}P`Ww{5F#LBpI-hkB!0u7*55K7TZChAY1wGGCS; zw$aFDu4*lHB%< z3JnUxyXHSHZ0=Yl2quIatX)nR1rXw^Db`KctnzQ2_&_H_@iqzi6B2pe%C~-mhmBYW z9t3Cn4;v!59E0-zw#C0BKn)jDMJuM%Mbfvc>xXy9P5z{qm+lvJUuQ46>(XmaFJjiv zuA=g%_kqWbP2^tB8>1Z;-l4o^>8NV-2ipUkpQ z-2AVlA0NtWx5{4$h#qIZT~3Qt`#yt9Y~vsI<~nXf1guzy4u1O}%Iok!U;Hw8kL-gp zG4)F5|9G0iW6|~5mtHEs5tjPDf53z@Z90%cbat<6uN5(h+v+~svf}(D{`QA zf8Qg%;;s#^+t14#%KM#|a6MmfE($YI`vIf;@w((W(S-5vG3;?gX)?xUro=@Cr#xk? z@pkmF#hiO%{I30h9pJeH_aj%%8IF4k#C2^)d^8i5!4vhi1l(IN$a0zfGRaUFhkTe8 zeLdQ)-EAw_Z~ar-6AkSEi09P~zW2gpBXuAY@`aGfLiD=961QZb`j0)k<;WefQihHJ zjB|n>2rmB|j!%5Zoq>nlZ;p?;bNasb!=O?ntWuI;9(+;K_{gcCMQWlxZvO>{!2`!F zm%MKLybR$q7>s_W0sqeHYT=;(LdIT$k0+zY@u9EdIhq5W&epiqFZbpcAn{gN2n;$SZ>*sV}T(8O_@Jdn}!QM-%gJ%o6x>Y--n zxkBI$tgPk^50n3?#A&VPdq89@A|?t4LO`xzmR$MK2|Ti;3(vKD2m82vG;G{oPw*l3hcME$OjgcQ z6o7e9AvAoCfxdd%mDG8=>lrG$&GxIWr*;OL=$ z;O6VC*O|IQ|A4SBLf*J$zd($DjyXNcbZBW=cM$VOC*%t98}s{K%4wa~WLwx`YZ;zT zt{>XRO-|8~!7cRTv4?Qj*DRuET}2LJ(ux zYC$E^wlE2%P*v_#2=WTYv>v7()w?KMuPF4oGZq$r*W{|Gu$-h7{5?5?1zU=TVH^%X z*Hp)uW$`eXkMc)7iWp2zHK9%t(|{Hzw&NdFA4^|COn0IiC43?3SJ*u`_y!XpW*S)f z^bptTt6ap|t_{j~9TC#xN?fOom?VHW$rH4)%h50ZxXhSBqn|BQzoNYg7Hp16IIykv zyDB23CGxDgqob;(t%?^!EgfT4%hJ}$@~4y(8nZs)BL9`Hh2(VBO*3pWTlWwsjz7Vr zQ8>^}-T*{tnN$+n-AW6sBL~qX%~hqma+^ouDa6Kyv;^YI>$pu$eLwo-8YG8gUcZkn zMkpxU(i2hl$wu86>BK*$;SA$MCCxzR!>pNp-H402 zB=x@*!&^e@dKvYUqR+~_7I&*!r$NnE->^`6I-Vzgw^sa5H*;C}E40@*@63Kcz+G#Q z1&Qzp*i!Amp~0hZDhBx)&T=zNr^kv_njJ*itZ3=`P9(v&{%+oJ4XR6f+)N9Zr@hK! z;Hj9LT2P{z$ytdl&`%e5o?Mz^3gU=wsQ&(AbV$PM1bYJcY0HazC37;vHGY-c4hAq^ zd-Idhh+f;(Vgl(u=K|LMzKA(8WqlO2t1JRvE%jY10hkmv<;nNVP{QX$UPpcjf+Q;p z)~_i^C*MWmWg=PCDsH%>42}BJ&!i|EwGj##ErA&~L=x7og@s10l(772e(GeK>~v&^ z+g@>I`VE*-(NCg|mKcd6N~Y-8;|!gO;XsB4%(U7fe?^a}k=V!0@3gK$r4#@RG1(W1 z6m-i;Nr9u_pS75r)Bu*P1k!Os!~gWS(SN?J^+-{a1c|qK0;&7&wvZhdX|l+uGT%{t zIW+lF5w*`6$y*YzYx~oDPxEJqVI1vBXw{`ZDdn-%dT1aN8{7;FgX=IU0jx82s&i?n zp)O*L>MD6Ou@}Bjs;LQT@#W>N!o~iIW#cda3Nkv%{gfeAXW#V1Esi97#S#r!GhWXA z_*N53JH?dlKl+K~l3rafZMoKVvTtZvI0>Et(dDh~_eC^Nq)KhgM|w|&3f!1x=R&tR z%R!rhH%v-#(kMK&FaM9wW|wh(oM@7fz@NNa94w_63`S{8T~FccfF%G>%D5OzEh=G5tRNl(!hAu5iJnpm%LJq={DfC%2%Dy znKk{SI@1E&)G%BJq?&EBCUn(IxBji;N7MJnx zHS$mDqx80wU{qI!a{dOs>Mg) z;*1DOsb`JZO-o9uSQ2I^O~l@>b?FOP#?!wIQ&{L{6Q`wD;w(pTK}Cq*eZ2)6#g*Fw zBhf7LO|^n^`goE(<#|6ilxBuGCmtw>_NN~PYRVFUG>qccPCA}(PAlb^l}utWFOA*Pl=3>+MW0Hf7>VZeiL0q^X>LrT zSfSpyHlx=aBzQ9S!daPM6Fmj2pL0pMxH#CBE7<=$U7-E=4gW7)k|_lVVspDhA%UHj z-bII$JgPF^rcR|fO2f6}*IbQg>B>{}a1`-qc7-1O_TJb401YOn9^pWzP%Sr#K5IUJ z91VtEh5{cA=4Xgk3a6ZeF$Ii15tIU!C_n>=$9{g0VQq*Mp(GDRsIZgJTXdAI*iL37 z4w;~W)b+Z2HXrp)?n&cL_Yex=%l1u90^>^6)CD}Llvq)az)R@^+MJbat2znRUEgjA zCL(&-m(YGj@>%^@(RF4)uQf&L)g%=9gD$%84CQej)V^2YlvnB zbqC%>4GoS$|49bbvKFHv0pF#HoWkqu2}k3~x{`|!Hw&G>kI*CRY^nv^zI#T6p)FXz zH>o#}JqZKDs)F=3z!2?0c33(alsVC6!3kZ$4id8u+NkN}KvDvORG>nSg`(^iWzIH> z8u%G3@JGzaCpeNmB{B6!XzSaYDF==*mn{l>+cu719*QonbmrW?BnFmq56AQ|df8-H zKh0#838w@Kp@%U8-tbwO9|Y@_uCrHRB(6QnX(LO+|7>cY^(ChL3t~9q(7Z!XX=$-W zU2M&*2t;WcZLuOFUOinA#L4|bi?DR6p)wTb{7Pu@7fup$a}h9Konm7Luwf>c2x1%h zqzRp3pHN_MjXAPHyN-LhHRur8*wph?!?y5T`gdW+C$Ufohg;{s^NgUoW`g&M>5r?D zCHV0bpeSF7xQ7TRjuJcB;emqpPx^(9g;3PowQ`i>$`1?-+s zZ~0mIu!6+CgZaRD1yWAtpi?S*VNbS$k{BRDK#q^`qO3jPA-^K&FJMJTnD&*EQcG@i zd_ERv8E3(%S3L%)DkAOBaTo_2wZgB7AjPUc7bg_6-AUb5Gzn-{wv#Y^ zIYSZmVn9uCtsEu8v31?|JD83kmU&?opW31$ob{bd)fTALVud|w+Jf9>TREIR?#p&x zdQZiSJTA^sYKPY^y`))=RK&ighGbJ9-p&nO(3;fgCZ#4rC{9P@-YY>^4gLbISVujf zTq75Fu!Ohr!sE(%XAJt~p@n^>azSHLTZn}8nVym5-TFf702u;v_aLg^b2Q7IXwGHA zNBCzQh;kikoPUQ=iF`hAE-0-!M|!SkoZf%^0yDrr8`hjj&eQ6F?0z8_yn9D@!KkakUL(Riwy&~qoQHaFH5c{| zsgUzYgTd(hOia&=jy(p#o5&X|_Ufp`6a2(V3SvS)L>}OkU#dQm!S{|Za5_~>%1(+R z*zl^t{swCk0oH!zTU@rB?~!0!-T_bk)3q$R4T^=2BQz)ywsf5gBjzPPhYLBKCoeZD zHI`#JSP3+J#F&L_$daqMliUgMu5hg?OsEIpX-5dB><$gxWrk^pUw(Zv19?F44hK#O zB{Pn(Cqp`f(27u9rL&}#GkeL-MGW6Gxe#hG(k5j!fRu$ild!I z?|mJ7r9b|d%)&1W{vZ9ep0+O?%UAcOp8G3CyWBl~uopzO(o>#J&;El0Fzr674~veM zFW-=NT=UQmm8!8ovN{sAdso=fW`)@9@{bbJ{}9vJUk~9DkDM9XIIl&y0%V~<*)&L0 zJBpS4Wd`GugTv=Vz(~}qq7yuxYCeua3llbp;O9U|$KI%d$W_?o2=T^V319_^2_fW_ zi)E3+Sh#;?W1mYBu&<#pk*^Nn^Z5L$L4hUSBfqQ#+2H&;(TJ>OWUs0RT#mRysQS;O z@83e^aqaw;%V(pLvU1{Mmtmy%vs4ZvqtmB~BPF)aZN!zOk)@hsM`-B9oAEM~z{xc3 z10&ugmF2|HWt>%v&@xKNtw+?Pl(B4Glkx0oVEn!Mb7@G*HqY26R+~x4zz?~5sXvUAYxTcByIS{=>O2MRDaW2J|%u* z28RI$+!cl3f7re&%NqnpXU=#bi82s<&A%CO@4Ol6SXSqc4E&n8Aoq_y9aQ)s9{!hC zUQ}H%R>}>bgtwpeQQCeSb#O(7EWMkI8h&+(bKM>}N5_d?QDN&r_--wmp$e{sSHPNP zcD$pZL#9|>bt)p?f<0HlA3fX;?bA;+9H!CaLATmnm8|OWE1kJDTWZqR07n6cW1p1NRHI8i93Swt#W+v#ajLY$5Dz;3>N|*fhiu$>3UQz7 zN}k&Yb0eRUXp@z5jhg_8N+cN6lIM%p!8cCRd6#hcZS$4-b;8JxFyMaa@BF46wc`7; z{#*l1p4IcL)ipXI^glR#)1%l|yTskGd<$%LFV`Ofn|`Onmq*+Z2AhxgzNbAPUxa5q zSZOKu)ne6!srJC*Jdu+exWc`fo!{e}j>Qk@g~KKF(-J3jRlvBT>fkGf_Z{w}ddUGjCTM*q@z>9rn-A}@Em*r^a zh#3!W;oSEb`trL~75`Iv9ibMK@2e{-g%d0vSo$sPV3?Uw+3R<7xxP)Rzq;I-xm5(t zfSyYxuh+tPL-n62zjE0RMpI&rYl?D%URTFzi`K|j(gDYIpxRMM ze5&&xPkS11lWs(*F#O4@D;IyF$c!rdfxCz+cNZouAt&|&?9zeC+;VvlpL*Jb(Tol^ z_H?YOz<4|Yn+!Fk6s91xm~8vJKE^Rdm?gsA8jtt_X@ydqWq9oc$IE_y=5cs#=}^V|N69I!4aPBSG+u>uv*lAmOZnuwOt3J5leyII(1p%~BOK@aDA0PM?i#AQhYm#Kd#Z1_qf0PI-Q&^Aa=7V<*`nhy_uW}P#1 zCJ+wF7HwP#QA3rPz@Ule{=CZbs!hATYY1}VSH$Ia=$e6OH2xoYs?vqs-&L#>WE!kd zoRRW73}cl6#891ZRufto2zuqHfgL@toG-tD@;!b?%!Ef^SifUBF4{ihW1A1u1?uan8k+5Q#8VGn`+l(G=`2kcp8U=1j?rVM zh7ogwwc_Z@_<3$c<1~Jp4BH@!z6%DTz2Ff(JV|jrR|ZKbbYZv2?fm%wVCo%R?gH6|rMG#x{%*ejF+-BWCxg zRyfpv`JITl+x=71IR=JZ8*zZsrcEkEluu>$gg%DFyxtK*h0Fp%QC9&fWA(-n@eMh{ zLF}Ln)|(p1*_q^8y~ou7JoA(L8-s) zxN3V@G!?D6dmFtwE)j#3p6KA2AwT%y0GZCr82ShN;}s*UtPH8?#eKV}e(>?^kgG^Q z6M3Kw?Jnkd9!jv&;O^+0IAoytQ`Q0HXz3nWO5(75iLzM3D8103DMPy;4Ao)5_;NhA z|1Ctk&63$0VphG0e|jU>aY^CNpXkGtmD5X`$hJ06blm#LA&-;)FpdZPRsx;UulMDm z4IA;8^ji784!o&y_tqvt?|-qPHjT3~Gn7(SRG!c~UVN@Vn0lE~fz;}W%I0#^yDB%B z33GNxj#WjKvR@t6A4B@D+MCfn=UtdtbY~zFTZa2g*Qg9#gp}M-j`@rzCXQ$T z0Nmz8Z+eBvF87DjLZ9Dqj}P|!nQ(l&DCC*EGKYkbG@xnTT>ICNb=LS54ZO(j5Jda4K3WBmbEzo!$WO}5bQ5M)M@ zC&2O>+3$`8Hu??I3O|53h%3tE%pYA$@-_n4802_u_=FzX-?h z+nwK`#~CplQ>SDB!qQP5wq)p&tv8mMf{0TiIw7N}5_d~}bsJIBaKcWxx%~K`cpQ$# zL80B8ee3D{X-A&!|Vu#ZMV-+j6B>V(}1c&Z=k))7laDHJ@GS=ABah^WN&JuO>@X z%rbUr<#BZ-mSptQ;G^DbzdYEbJn-DL($b8wQF5 zIy1JfV{(Z$i=yzt0!42}e^73Ung|Iu2Arf6W#|F%oZP>I0L?Z14ZQA=+d_^qu4+~( zi3a+CjTGbv(I~HGAxEcnX%bEM@%}twe9Lgy#!qf7I|uk&rf;1bIKYeM6*vH!>0}JC z51uX3wac7I%Sk~YE5V7Rwk^D#-sio&--b$Bf0MS3z<}S^n21a1vEzNqo2?8RL z>81YKGz*lTQbG4dkiW&}nl*i-6sud;JngW>a0(ZKf*JSh)ed56eyJ_y4rF9sQ^%+f5>HqE!RUl;466kN(9T*>Jmf5-Jp&_Q*gcnpzToc(T>6vU(jJ;yR{^?>K zGJoo9@apm^+m!x(vFX0lX<BO^O7ZK&fc>x5{t*2-W` zgTqDcW1kHnx|TV`>&p-ZYnq8~3}zdr9Tjztwq7*7f|xmf%Z&tJ#nylbH_0^ekVowmHV82ntUG|ZiQj6T83Mx`w1cUv37GO&;pYy6;M!Q zejPQZUN|M9^(43MwTWj=Yl+gb!zwX!>U6npc?C=`5>o`mrk;LaeLP0k*0E?WXK4!k zT=~nygK)II9!M9f(Oecz`Wv={AedH3RSLr8s)PD$BJ8ia9)B&pgKa(r1aRKmH!<(r zcrc}eR^xsg4G+(BJ}933-h_R4^|{hE(uK48Ks8)mi#|xIqZs&)Bu<0+_vditvxwEU z^xDJ^9NvrDv-O!b_4CbrLET5t8#S}F35qkXq9GVI8jc4=;_30h#VKF7)0Xvw2rY4X&YPyeT114vb-M+RkcAl!FgBVrP`Vk%u~5Fw(C?+vC3VHV|r4NFNAi9k3w z$?d+I$_~jp#$|H4BUWs#Z8}EnC}mxYHSBRdP>?C)$&{q{A9jOoS#Hdl^}>n6^E>IApiNvz#xf-D_lNvbK0Z z&f|IIS8pnS^2yt96AYpwk*769nvEH{lM9K-DKGI;&XJi$Ah}+iB zHjZ;NbsgxQ)woAaU_w{?B8|}GLiwWEYip35WRqQV&3-#~iQ2D9Jg3aUU5*$QSp>c1 zR#ivvdcaeI!r$D0pb)|STzHsiM+DVHTnL-+Adj^mZ2X|2H<3Ims3L_*a2Z zB2?&(WN{;R!9w%^RW zq7ZGIwLtLOnqjCi%d!93jyYWUybs`WI&*5__1E+J9;2d~ zT*jI>tjkOvExkecPTusJ*D|;pNp>sRG(Wh^IUf2xp^Pn_nD;KqY-WUsBYucmFXc(R z2yUF{A*|eCd3@|#NL>qm@iRMKM3PG(G;M$GZ_LDBO8F?Y6NLuf%b+Fjp~7;UD{`8N z+7#P{eUg~$u%K>7=2ih^nS!v!PhSPFM0t)v zEPWwD{wP}6TZ>1CD?xquN6DwgJ#G_O_5L<`C*Y50P^ou=*J7j-gQEqYr54M>?q=IB zw_DKu!l>qHdeiJwNnE(%0hIfnIH?_Yov{4 z@=>a)aAYAkVe(;GAFhl(>?k{Zn~MTkGpMFoS_@uHPr3j}5{2!!_%v;sh9SgZd}Sp# znB1Ta!3%Z98bzHp%zzmV$&I|W5_*)-ObtK*B-X!PmBV(YH*^&7-euXLgCwAIhp_XW z;UaEOx*K5I3TKr{c>J2Ih}JTF0a*zh=&>5Kq!$P-*jtAzGYbxN1>G}8>*L%t4?%F8 z2FX05r-x1rEgt_jm;5Yhhk2y21{wCG~CZjTxDt{%Ay+-z?4O$7ve;@pX- z+RMgFAUnYqRet;2c{dg?AngCA>T#~;?Q`xrx96k^XuuaepZf>irk5Me;so;c(0&Px zJm0V~co^znmSf-0T}j;oLGMkECvMr`g=w_A@!w;UfFA9sZ)ZvzM{YG^+(?1R2sp@h zGehND#L7Qkgu7)J6CKG<62rFdssX04(Op5WupNnNR0DfELA~avYc@1uyTf#0Vr-N7 zhm!(|p(~v*z%g-o{7&^7?P*efsjLJ&;i_4g`)jsftjgq?S2`ic3hvh3bx=6gW#wNv2dbkH@}`@)*E zJ!P79G#IR8XR~eigKcYLfj;zs(Hu{n6DV6>K&X}Dze^bYR$SFKr2bOM_bw}8M|~{q z$mdS@vL)d1(|Om6p}_5^kJFMtUjMiE3y@DxkX&W52mHbBo>ZWY=?y&Ka`(4Vqt-=l z%G9E1=boW}=aSg?D3_>P4JGCvYgj!*%#ve&9KITAjTh{uUnd-s2a9UpKXFfrLO&%0 z3w+4MJ~@&@FB?p{fH35SjfL#@%Nf-2b53##Caekiv~4vrg_j1RR#i54Lj;grSSz$hz024ffL7#>EpplytW^lr{jT3 zW=;PF;aCZ)G$Ujgujq|f0`}PgVZ#JkERsr(0u(7hM=CjR@Oy8tYraZn^HHEHISaQY zR%?2+i;H?77=EI3mS&@_zA|r9xbForX)&u>v=O#<8iN)i3gEjzO^?RB$;_|*G$lj^ zY&Y#lGtB-;GLx*6vQ2ygrCwoO7PAa^>3`W0(B@+zg^PnN{h>B)RF5G4t0AdSUBr*+ z3P$cI*+oSl05*M`S+r&YVxlb?76XnFgN{()9>E+ly{OX;NiNzYDJLr;yC050r<(}B z#eN{2F{{qx&sT;_Kp?>txIVf6Wp}My7@VF9)K_{f9nGXT^ANmB+A}pVpX!zP z+kP*dMqfxR1_nu!c37K*un&G+OH1l_P0fPZ9^%D$1PzB)BB+?hzJHnt5u@rk>Y)9Y z&6H-*N~DO=1=W^2UwOEKUH>5a90Nh4+>RSxXbvo?6C?fs?tqi+?`%NTuDwTEsw%sb zC{p4Qk*LWXn2Hp!H!5jp;@_c)*ZIu$%UEaGUtDP&;I z+&`21_hN#jn}b=KBH$d5wOtw&|HXg<3Nif|!KulT%XQYQ(c^SSRBtT)$b6-tlmVnz zjDS$cL2H)Ws$~k9EwvN%MD=b6dhX4@EQbzsk999Pw9-I6(v$u3a9eV$f6IyEMzn||L8`blX z7fxYnQ<6#@qokTa5{9V8Q&fB(DVRfDVADV%RYj3ZQVJ)9s!l^ORf7^Gmy&-?diB6# zH%(TmDz=L(P8ccI|DAb|hH%L{M2*brdWUSJ`!{WToF{7I(UzLx^)eZnp;fY{B-ujS zds3W02TjD{m|`z-{O6tu$a@d)5F*|lELg+T597j`(d^R}@H>Fupd&q5#cZTKneeyR*Wm{{ z6L~QVQc^kEup8RqR~>Xi151>T2N*pPYG~m$%BQ`d2SN zp8ButeNd+p-j?6coZ=0%_j|d1T0e^u#q~&&wD|3ap$YtTo!D#xInYV`)nzUu*Gi-& zpk#abBC27jhQd#B>~j#Ci8^S47s2TDb}A-MJR)^jbsMYMBh$G z9BW)ehpme+F?Quj8mUceK}|@`UD>Y_+)aMlx|RFxb6^Q*hk)SUV{)7tj77_P*8;w! z;~obqTQfZt%z;HKsXE(yF@#;?F6(#Z=8oyI4})0qkc#hx`p#4C>D}b3p_# zNBMdRa5H&Pm!jcqaQ_xk|AXZ5!uL=#X^;T5=;8H&7)TzIuX0N`sh@tcoh5xWk|hl@ z)sIE$;ZUM-UQe+})lw|RL?}_|v?jTV31u`&Mis46stid6s-OReYQM_`0lsjPua78P zHd~`C3zZ{pxS#Hp9|<=VxRYl zuSdOme?S6Fc)&ysix&{Qgjlryt@`{63#1j-kvB!a`5wAMPg)E+?bfaceLy3%z=;Jr z*%ZL8MG9FuK!8Wa8b1k`T_JWLbS|@_B33SULL_~EC6o~%paM-c+iE^P)>h-1OA`KT zZu?h*oP{MtlJZ!Z{h*9Xrf(HvU?iL8aonXP8tj_y>-}-CS%xui&R818{QN7VcJ? zSZvQuJK!#JPO(FRxc#LK_3!yWdG$4;Q5SSg7>kBI+3^wl7HsFWra{RF!(doC450l(qc7=B97_z5eE=#+FcdZjUGO9KX}s zt;~z+g-H(j$abb>A70&+cDTb|(1xmLA82Ad%t@O)Xo+>N*MfVpZI+c+v?-4Y{B^Jd zVV5Kr!4gqG2=(N5X%<%Df@>YNd003bNm$I{FZwfP?^662I~BDT4vJi6I_^r%m~8@& z>dEv;^=M^SrZimjE3#`YG=V?DZdsRwJU?y?Kee+3mMxi+T+0y0Qrmq8buf$ZLaU+mKHonY_g0gr~4lBg5a}7}~SAuptJ3B8w=%dd5w#9s* zZduxwzIWrT=fk~wqB$q0lDMO@!%h`EzN4!D0#9@fFQS=m!pRWEBwGlAv8nbCmtq{> zmn><;H?}VRfjRTm-+$j=W5NsjGvrTBde;EF$0?PN5JuYHZe6W;YiN@Klza>6oRTk5+|L!w-Q{a`R8wb!=ytud zXT*cU=!#aaQ%&$S z2r>tXP_3ixM8^ZZpp;Y0Py&%z6~UK2s#3N5sYkKP?{OEk^Ej7z$L0F+{;8Gp2hi2; z6ZEUlh(ve8b8h|S42n#F=k;|OzDe zaL6l2{n%xD!J0!P(|>&+-a^jkeJmBIiYaD*x%KkrmN+%dsyE3+#+>8rebm<^?`ovO zcv^7MHc-&=y{X6>*4fSRh7pU&aG?usz{QF^k<7r++XIuV`0w%bv-S~me#x)!c|Ks( zlVI^VNG1VH4R@1ZCW{OxpKvL(_N7qy@jSIA*>f4`ObEYMZ320zA;H0){7^x34e`rz zzOBEUYLWmv+ijGi^^S=Ih>8vrG8?~vahTWJi_3;9PYReDH=?H@F^$-oo^+16Zv#O9 z%aFuy_69`gt$K_!LDc~Xs(Gn+v#ncjNvETQ`n%cl5%*8fCeQ$iqL`>d&YCEv07=o8 zDc*6!-v9G4GQ<`5lLeJwx*7?9dEHBY9B)Z~4^yO~5;v2n2pa`Q<&3r~j!lXy+}{fL zs|h#mPs(}$G2*l(z0J%4TtQF1IRU$ZLi!6~?^WQJ2rOPA3KP7}A7pIyMfjxw7;i4; z)5S}$CBszQ#?u?QFDk`#uGJmJweNEu%eDSy=gJ~u6W?TSJ`BOF^90v>Om?Rf(C?x7 zzMl|sF0Ur)k5-GQFV6;#r@Z%Ssh3N#)tx*yb*1e4U7A_Eb1og*d10Z^#B8@fOJkZs zsNYmW%3_AaI1iuk#R6`Wbx#I}a#m)KNnYws7m%z+5J3xW{T_b6N38~Hd#HIQtj!58 zPf94{2y;5-9EtTCzdCOJN5(s@@Y)|H6hxGgTrHX?e_0cBwcFS95FPLjBpAoX-1-*$ zK;{MwtG%(jttJ{AKBe5AcJJwXNl`nOatw!TPzPMh{P1;3_EvH7OiWcDJ@^eY6>`fk zvA{jE1a)1R#+j+8?(f{r3ZHLKrmV#p73csdRNZ_vKbvX`A9gV@b`kpH1Q&0EE_D?8 zS^^SARgBYxB+Zq{9?PlJA=S%4I^D*MAmdcKpx4eZ*s|_(++3XSmiHsbbca(-f3`pQ z4#!?ae&fwEnlMt@R4>KHmhAAC@$F!N$xheE!Kczwv&KHNaav0>z9BQ>v!d`!V)H1s zp)4NPeB1omNKU~!)GI;z?D11G8@ zJ8*YM%wVu6d#V#uz~X(TwbjTg2Gp=i<)P^EHV#KEWt3@VLj2tb5_Y9f!38E?vOuyn zoB?*F8#9jrZB%8xxW}1h9?Jfb3aw!#-&x`u2|7t!H@YvUPAzjberx!RAOm=q-Z`#^PKTRrtfW zZw%?Wk~lY%`22o$4CJu(b4-PUbJq=QMt=jkm_5JE7p3(A(){r6TOh`c4d?AhU1JRA zI27t4++{MJ6WfaTwi(5M_4$`rc8F@!IT`67>M_xj>L<}THjruKdqz~cV>w*b2NU)r z7$DIm!@HWWXkg^PEGfPKNE<()5W$0!253!f(JXFC96{L{2rLtp1|HHH#^cQr36wW< zJ+MCoEov){PC?VVAMpQ0yRg8Uur`}PKvT49;%lOnjG$ZgPMv?;VZ2tH8&+;e%HVxdpIiYt;}26kBY zvV#O*aZ`P30!_0u-eG({Si#6kRnkrUin^|n6fsIPnSRaa(PTQhTLrOZJl#MrJVua2 zXt(vUY{7V#$$^mk5J$L0@h>S6WCxcmTTlNiWLoKMJ#Z#f|U_boWpw`GI63 zk~n#w3*vx(Gvaa+qJF(KceO}!YMHP67B>_karc-#z372zQkDSO-qCEzuaw_E#657T z9+FZZm486mAi1T%(UbnjC3P7J6BB-Y!?mUr@p;(~=B}jt^S9kA%@R2I6tz*oK(%54 zpG|v_@W}_it7ZX^gAupLF+k&Lq?%Nw?k?frgOk+lVbQ^dk3;3WY$$J0 z#S{4Pg$)xvEzN6F21iq-hMND{iAC;AN*h@<8M` z7^8DLEbJ*PvYMfpj(s_up8MC8tvwMP{YW#W{#s&0i;&h$1QB%#z|n~I!O3yh5TU%W zWytsUk!p;R$741S#zGR57QESEF!r63-^b!IvNBDOO@Xr+5;%u@p|R$|p3xLHe_gRK9%9kws$2EwU$@nxnb3_2-i; z(Fciyn_tg%wIZS4xTW-3Ubh1&1ELu@OHo{7FYvk3DNy5!eyhVctYZa8dz!9wP-ArB zrrBSgid~eArb2Qco#5J`AKy;YN&fOg! zHQ?P}g4GIfrNTm79*7#le+~6fGUL!&&frq{gqE@U?=FP(a^j(u*Z{FrqUs!E%weCDMf4t{$hjNn*|;V@AtJ;a zuq~!(Cf|En(7(+5nQT2HEt^2@$|$c!3FsF+gWMWVYK2O~33i38PEqt`NTMG$EE|XR zE&Qx^{E4GKvMv81jK2rrklq@mAqX82IzOPf>A<7gO`|F*CNrRSPx_n-H;0#bh2M}t z=B9voIUe;oUU2uN5xnG)1*pY<9ZT|tbM>k9&u++)@==%{CTN+2W+TRz;mm)sgB&Rt zK2s+)L8y0yXhV-;BB9k1nA69v42Ph$JYb>Zknp+$*Z0nZ^*yBJq;ER)eDX6KNHCPG z{>ijyL;G=v_g=S8*F!UKWuwgcMg+}dKaL^yfxF)WE*c*j9zvrUUJ`zC2k)}rvFk^E zlB?pjy|x*z7>+=3x*Jm1ypFN-6+Q$FPX=0fo8l)hz3SjZ@>P zC~m0mP-)BA2XophG_=|QqJzI~AvY20TRti9)U%YS6~jN=C<)AZ@JoDk?NV80>iCL3 z*YxHzXD3^tQSr=1hH9So*33&Md%_C@pU3KZ9+B%enWK$=%wqp|5$-8|oqg+L8}MQ|e&T;0$w* z!)sYBx0ix#@eD`n}q(4L%bv%U?WNeo>?m z;vb5WlM42mVtCbUh-0TgSYrY;fY0wjeV}1dQ`9+Y{^((0DPlc3hUGO8OdaPb<>kX+ ztHrM^f)L*PnrT0x&#}LzW~$KFy!%=?<9qNir}8jO>){oNKEX5v3=O@{{9T-h{oMh_mXlmMR?Tp=-qx2QQdxWB3n_L!2ORkX$WF!3Rm0ayaZNZ$ zcer?gsf^j#U+kGl_N*P58R{UW~$bSx4W=fl7=RiW^; zimgq?Ip;?I-`n`|Jd)zgwnW?lxs10vn*8b(wT^Y9fG0MKa~B%E@@P_)W9o%m4-)7# zOF8=w#q3sW7*DAP!b3=cN)?x2d9Va`b%WkZp5+t$PO5PJn|>2^TJ~a50TcWi;5tcY zl{IJOnpns;Zpzn|ss|OzuzQ7(`vC7mqr6bbDB*&AvB=Ss8m#gQZS;>g`40WgXcNT! zokUj4XNa{jx1r$Yf8EE|Rt{+8|-vRoe;do3EZKtB45#-%B1}iif(540UOv2%c~XtpkJNJdaQqmq;l`T^zI!U6Y8d zE8Bz;$X67BVYeBkjCim3s~~ccFv?<>(cdPQ)r??wAxlk#=B&me<+y<#|LR$0o15U( zgE*EMYGbdbgvL+11|;t8-zhYLZ|RDC0m6-P?R}FNWmdHYc&^f0{Qt&!QG_N1rp-~T4H*kSFKh>}z?VUF_!Rbg#8tVIITLJ1ykgtdM%u_vx?8ji2$Qbdj7 zD1@^%bT%Vwh4h8!=jqRor)s)`$sY+^@?L+4qVgW`Z}L4*f66nVQuHxWKBJE+@x0F{ zJaGd%pJTBNs$FbAxapiZToVv5{)X34Q$D_`so48zTg_Tx)hX$iDA+pkznniUTm!f$9dwDE)y?F7);T>dk8MCxQS z)+MXfBB`I85IU-&|7NBBTDXLVt`{6F0=LUubn`cd@zkb#_JW6y{HRJ^_Ii+fLHkb2 z&ZIsu1OfXFPY#EFl92)y;0QGE;U2iceH974as2@wGnr!h`5mLPAYSZ+UoW+Ups47M z%K$xb<23(fmz;b2g(vw@x_FP>w^tYCkV^qgB;UZA$>h4sUglp%uJG5iA$F1k#qf+s zp%~}wr6LEinIGQlmBz3mV%;90?^u7QIpzn&d@S$y&=<%w+1Tfj5MaQ=eJ7GwSoT8Kfg~4|M#2RCZ&hR$;bsmC7qb_y zk$}fxz?8EK!_YdB^^l(&7jql#uq}Ws$qK9PtyWNZ$Wls(6eMcO=@YD%@^Pn2jub?n zhmN*FY%@|drn+YGLl?;A3PK9N5y@!+AvxQsf$<=WAKL|(m4_p*7-N)>+H+B*dHMRqbHvqH(E(I2?KKu%%VG| zpm*UaBFySKO9jbLCVY?|$W@Gx&I%~J2tA56eVbknv?OY@qfcy~q4|1{qDs(Geryfc zN1<+?3!NpeaWjJEfV-fnT*3iN!C!$Fvkvof7yrAkP?%J8@W5o%0u!>loWFO=Su#*Ybg-WOkgq0A_{{0ou!C zkQFX1BL#Z6d7k4GC|7g&Vv0?&4D*K)1*JZ92qDM>9FOozNY;OZ#wf>Xd{ZWN}QfStWZHl6b$7Sv^t$8uV%qeC>gJ^gi zAz9ORT!U(7QbXlF1#~0hS_vy)nVntw+_WPAimDrd2dX3l-t&M24-*0js4t^Pm~p)u zzEn2JuPG319>pnp&= z^rQm=pt2Gma`Pfx9EssE1%fb~t&B7TnucTzI*Jz{A2^%~)kuX$sV_qvXK2k|mF5i! z1+G6Qin?t$?{bQZO{Ade@qm1xSKd{)MjCaC%8|c}Ap^)@OT6pzjV{p2&HBg2C_&w! z_(!@npudR15YxEUv78BPtup>fnlb?V;Rk!CkXd*;Db^EbrhLjP5&o-S_%^B%_qq6e z^)v^Hw^hpEp&ObJg0DfQd?(lk5J-K-4ns=hf1nOM*1kZEp>u4P%YP)ag7{l^>!=;2 z>v_?IGQD2_aSJMYf`|T=2g_x+uh=K$=dP^}jB^ubwsd?3o(mosSG8T&4_>tFsDF^? zC(%c=-LQ{iTPCwDD7YsK&V7envB~;-s)B`#rn` z&Ca;zU+yr^$DGCD@`D2jewqc~PX(Qo3H=~X3+DhXARFNT~*rAR%fS^dDNc3nnjT-WOu{-oO_}QwMsF}14 zfQvWE(fWF+K^!QvfYdg(ARh`f?Ew{ccv;e`&mZZWXE?_u8-(xc^w_67Pm6HDKY zvxlLf*jux92^!Sk)1!Z!Zlyc~Ecj&rW<6m>5d-n2#Jjc0b#M;z=1QVWr;02OCab;CKByoxT6Jt8fuZ?jaByLIae&M>_x&PW zra~S(?=J4bYU`$d+k@bAf*$S{+qm`&AF&ohThz4@ zo1Alpqi?0icDR=ghw^yhRV@VROkX!n{JIYh&DnL2xYs(Mh$NxMEkSqR0MY0mTPh8BUxn8PMLp~h>96BC(dX(hVe&G|EMzrAzm3@Z zxw4BbYFCgh3(QLnXLyfpY-`?1k*cU(1}A5DJ4Ob3WXyrbgAyv#d1jilBrikY>!9h| zD80)R4(=ld0z{|b#k4VD##nrDqiZJUwErU*kl15NOPymm$Ex7^mZ1x3G@^w5`pRMP zvjqbY@qxK0IhF!PLaQZ&inv zN64osQKQpT$0>7EisMl;o`RE;NWh>>VM;e{e2S07$$m!IB=IV@6eRS4M~j0GmC|up z0IA>lPz&ciXFHJT=NcP*IU{zGn@O&u#iTNg(bkEa%%>a?Jh+-lVx7T_xCg8*<5_O{ ze8x=>p2NjF4cyT)=;akEf1Z%q?g(kZ4FZbZobR~MjSr{U~Y}v?i5XFD0NSVC~oH*UjaIk@XfMr``|@B?vV!EI}qTZ zv6MSW9zL^~)_2Ba<=Q4jF0Sl0-PnNqku-*jOHv!=Yeo&Dx6y6P*r%>4yO#vmG%3+X zP#8Y0YJKuk5^{#%BXQEBs4AeM znZCxmP|8C+H!tw#`HIOH@lMN$>qp8Y(K}kB>^NxI^Q`z?2qEU%?M9{W#Y*lG?S?PpU-$Wsf=e*@Km9 z5@B}@vU8DYl{c8?=AIn*SKi}We4C2qNCPMCuKGVVEdkYp2-kM7VNv<>fOaSLlA4OtoCab#E|iPwOy-GXX=(15(I z4hcw`J;yPdKz<{-u}djY-$V2W77ozjDoY=j7YW@b+};LT{&xgqh*F8JZkm9$4no9C ztb6FocS;#rcKA`}T>I*@!jSVov&_qU>_tTiAd!NxQB+P)BDy;l|rce(q2= z&TncJCqgSzF^01sYRv@;yP<5zY;TKNliqRORQtYZZ7AF&Yl#)gr-Rf#Tu$28xk##U zuSKrp-9&Y!FL;sQMSTn4JpPEmjFc()gDRfV*BJ4m--rBnb5VWpoda%(*9D3J@*{gWxi!N=;&i_5!231^X_?~n%9PI22M=jBft^i?-GJ1b z1eu0bQlA<`pUO8rTL{x92zGLcIJ=GG7Mu?%7W1Svy{r?xc^vPb*wm{>rak)z1a`-$ zL+Na~z9V$935*6_e-(Le8&%%Vxj$@}liB9-S!2EU1>`mJT(C$EnGgl!O5&abcVqjM zkuR|>-*+4=ZkqNIes*`Uj(*`tgx$1<}Zsd`9{nB6i z#!PS<{IkMML0OS{!W%840#&0BL?eP&TeWK>0*(|s>Ie+OW~AB|intpLYAg&|0*`Ov z6a?vIy{T3(GF4iR@S1{-@tha3>Q~dD3R!-l>k$gttQ=K+pJ&~1=BZD>Dk(7n!2If` z()4_(>p}+fL?kRd19N75P|QzB&~7YGAui^CQUs2Dm|dMnWZM_`u3XD#s+f8QbZTpy zxDN)3z*d>dZZ9bIFL6-!prOZ&-+=$Q3wbMzrdHr^d+igop_LAy^<+oV66=L`i_)L7SA?0FpWF0u?!q%AwJB==oyJ zl&ZO9=ChWFP*vuoUu|Hi!SU>@u`4Yosx|1VS20{o;ISqvg7O&-7PLVi-A5fZ0<$Uc@0q zZ;2dhWc{O30-(_T21@QOY}Y#YwNQ>Y(Qws3mhpYVj^uWRf_oVnbwJ(c&ip5!pFuCq zWdZ_?$iAvzf4aTQaB)ptJvowNEb{U#pCBY1GN! z%EnadxyN8$O=W=7G%Om2AW|8%%ziD$QZ+bJB&zYw`;|>LV*9|o;>bvdOn@4_tG&4h zRjnv3Q}VFf>+Ci)oGL)zFyEMY)K%VK;<6%zDelk#TBO7nU63Z^4#k<7MftiImgqZ+@($>ECo*GG)xm2Px!kWm;H(9;#WhUb!BQI9ya!-{Jj47p*k<0 z4F&VeS(hlZP{gDA&e>qgcyY6P7@i&w(n|VE`JVSrCqMmk-`4 z?_r3J1}VBm#Z`Tp6JVh14}l;%A!h%0Gw9AyLx?)!GId+&)YFq@F#S_5`big{QXsYkoiGctAZeig~i6I@i|_>UIRQzph*R(_Gh zJCnYS7GTEREn&s+gbwg~ZrEXFInOvlv!r7;~a1 z+qP!*y$ol^JSYquilwDV1a0xhlb$fv=AvImkT9C4*X?=%S!vbY7h1+KA1exJ$tA25tDRF64;TV2reTUFN1_1WxCl=1nJl z#Ed_?Z1c=eJZ+e@_Qn;uO+*jd#bTn@!wQDr65Y{HvGulu+*M^{5|yZTYrx=6^*oQQ z<{e-9y~iIGSlrZ71M{{u=s?eyTg@7!i_xs5gD%JI>JEnV#R^1{F5oDCALEu$>c6a!SdtR!p!VHJG#W_l}6o*c(G*CG>V@P4+?Ri8E!2lF>d@e5?9o=c2!CIqm##NPi| z_AbGaUOEEwZcF!40_e!3(OJs*MC>MjT!t#s_WXK^JD4aI*nnrJ_8Pi!7{r7qZu;=8 zRwZR*`{#cc2%GO+L}FiUP!&a9gi0YRVInqCL1+!76*1{1$V z_AytP4K=f@V+Au;rv4IanDw9U`S;cT`@7x#FIVBe%i-^9`2Ron|I+iQ71(lxT51Al z%mEN?=t1DtJpF!u)3wA_v>a`8xGZvn3^EL&2tHA^&S8le!iq;GO=f~LU{;w?zJ{31 zE@`eq#fySWTDn25allJj^CP!O%u0W7&6qIZHm;@OWy0Q@3Bv!J73Q`2e0g}F^KM&3Dc*iNqlmJc z4|P@NqDJj*H6c5zW2Hc&K5(^g1jH4whWy@fxA-b_@BqBd&AgSp=*-8O;{dULEAFts zp5Z(ribPW2I(=)G;3`q5SEe6{mjs+??eY1UC zEOx!elPtc-HN#Dr+uMm5mFMGhWaP}cZsKy5eACTi;TWrQg52A*3mg<_Ez*_p;7jJt zmLiPnFej?5NZO=?l3PdFOoO-m5y)r_ujEN?-Y;INZ=KtEZMjsTjb2&ceV&wdbs}-J zr_AY6Ef+Qo+inijup*$Eun7nHM^q7=b9Kt93SBQxo%cxkqkL7n=`T`Nu$dtnXC9R+ zeFqv=Wc{U{3s5z|T*;!ND=DE_@PU(b*}_Ix4Ycz;V!VTa_qS?j$%#Nl$y!24CGMz6 z*${<*PIz|D=5p~>P;x!S-Z-08jK9~~bSI|h%**Na-9pOLcAEJ0MduXZWq0ac7qOB$ zrpQ2N9W3anLLPZRt?e*yv63HTdl0C7j zsghRJrhN0vu=9CGO2yx}@}`h~p8CxeCk*l@eMZ3(V?jI58WS`dZ=7k-+2F&I1bwN73+ECTb47aI&DL0 zU9TrT(&HSO9YE7CFo=Pu@Oqr;>W~Y8DAGy3S34G$>YHnsRiMhFzZA;Pp9I161o?^H z+%9XhUkj|b%n2B`n)BXF@T%)=jw<)ZLc1P zUA?9Ve)2s&Vc9)pA+3?Df17CRH8 z25cQ)m6-*-?g?~4N=W>X+~vsX)c(xixXP5c`J}`hIY7)6g*3fxoVbj^7|=nMivP1v zkJ(_OL-F4NY=$zd6g}Sj5BM79$Lq6kDje#af5#oihDKY{EEE7CH8-!~8+wy19q5Yra9(~l6>)O{ z^S%*{EXer!<}#Lj+JnD8xHHr5; zDx)UN9TRhroL?jQ!@OboF!NP`GL=&gCal-UWghRu@4gw+?VtkR`A_IMn z1l6}&-)Z8R{CRcyxMR}h`fQ;?fMR69VPmylSWx|%{s%$%s>y+!os4!ca%GMi8(aP4 znlALM?X7F)<5+&Q@0r5I=+A-99#U`P*4MF0Q1MK$Gxro22no_!fl+2SgpKd+8q|&0 zVE|Hd1;ASpIV>fZJ^yAtCRbA!+575p7TCI7dX1);#keQ$7nuQ%$i%@eZJGs#YAd*G zZ|_nmm{5&~mTb8YGnMgLZ{w=X{y!b2|9Ja~jS=s~&?Q8FCG6rq+wgX7?$7Tg5nKrr zZK=%&BfI=TZB4QiqifkeA-MhhT9_*?r|)R0Gi*>(@=0^lh!58l3YG0HI(;klN0(L} zJx$;LsHV;LQU))GYFruK{gj4n-H-INy@BjQ_uSIU*OT%SiZD?gQX_5I*G5aOB%*6V zF%;G)ET45OX2{0m0)U$S7Qb80zSW8kX-e7*<#-BiulWlU@yNkXJkDd{djNfz4BZJS zUXBUIo6!rr7EQ^7XCgN@2Ck1Tuw-58-;`mT4V^Ayv0XYtZ7I6Zs$!1o4;d0OaFm)+ zD$twYvk|?Ji^P#j36nAUVq8kJ@J&x6a-)N$muJYqV33$LbEE{ui%*BJ{G92mlyB2J>TM3!dh>)YE!Lz9pn2)q(!h}pfhpGjumF_Xt}|+=2r!$ z<|!<&)&Ym6%*%cK_xX3yLsm$3h7??WT?@_#@nPILhV%V5%H?4FJKvP1=!hU2#$GP6 z`KEfT-r5~|R{MG`N|HGxIZZF}+TpSQqj`I&&`W3#o)-<3n{mZ*V#(VM8SdnDwW;=} zPsW$%E!B!@oc-TFT63}byFB=azCjX$+1BR^x>6b!P53;wew{8dh-oI>H+O2drs*l@ z+v<6v^Um9HIwihwv|YcG$~sbnf2#+_afy#oxFYDPsimmh7hwkagEdl-F)K^%r{9(0 zgi$m*>6gO15B2juGJ48ir|rJ+iTtS<*o2e}t@r@CDXOY^+dQF3G)K7one_5K_heF= zNm>H9v0cAK2oYOP6yp@8C?(4C<&al^is4+oq#~hmpVj@;ioU{IMR%V`$ob?}ZIps! zT~GebVl7eBHt&|(R&p>aVRJZ%WLZw|{YN0G+xVOz&YT41p>kcb z>FO%{om_B7#JNPb?Cim<;UK@luY#epqUk2k+XL~Gh_vRCyId$x@ukt{7hobk%-6hP z{zLGVzi(O#f)oR&Q`uy#b#Z2|d4Fh0IW$na-{asNZ4)_v91Q7)W1csC5+5dY^Ak)DX>N5 z7$_SU*bP*mW>;5N$0%8Tx&`Zpw21o?M?*pCy1E7F=I_q3pVf@r_1x4NVvm{U{cV#g zZ)5i~Wy4ROAImh(w8P&8o_r7+eMMozA(;*~=1c%GAo6CeTJ7t)oX*-GS72me+;a1g z<%%`o${R0s{RsP7vRm?Li#5@_)p404lixg2nSAv8anPlKxpuvamhvoKWfUm zp8V~8!5rBMx?I0CI)0_a$$g)#A<4bTRs4V_(LcQ>W2_Zdac&!j>%V{g>CP?-BRp?@ z?Cy`J$G9kMd(rVp8s7V};U0X;cH)`8!JGd~McGvxQ(3rG{X1{T8MB zhOo7MSmI`fn5yxT@o6!5eM(#Wi~fC~8NNIFbKLV1 zel(RSJt!;BXXpo!`Pc!#p=FTIpszrJ7&HhX5)blnPl;hs$ zUSHdPln19;5e5v|g)oS#=P_+2e&-ik_ClO*Iy5os>FEO!Z9N*iw{s zcjs}gx*`d#1>h2lA@qcxoKsM5ekW!G!j#4Ew?WyIweDXIc=Puk&}_5_M3m+x**hCQ zVm@MebK`(;m5UXP$lBbL%B!>cpGx){Ee2@pFLK)$lo}TUj@$FX{HI}U{F7)SMbZbQ<09ipFNM>D?#T0Hu0ji znlT#NegdnH;@vmdYI|$vXfNkC!sD#jR!kY6F>om)dYyZogoAtwBHx)klTbcx46x-| z*)1NGfUZw$j#7HIhkBM}re-B~f3CNEju@a=L2vj|+|Mq~?aLX6`AjKs+aFlW`O@=r z4)g0{S1uEp=l(G`_vbIkUhrjIXI-z#P1`ylbL8Tm46~<;pwqK*>+AiV ziJpp%@510id zVor{iTA_~EimZ%mtgZiUo?4ZYeYU-S$X}hDsB*~EZ6>S}CUE-rqa&@lQ^ux7KYnhT z++X+afit(z>A)(k`gVRQhk5g}P?chv=O7T9+_B`o)ofq=lmv3h8$5KfPq^{XFM%;H zAX7D$AclpNiFy2+C9D;N$vZu#x-OOEvPQ$vvkPzRf5@}d{uf~iaQk7 zKyi2XLU4x=EQI{>e&6@IGk50Re==t#XU?3n_S*Yd`&rLgyG9AhuUbmhMK$-QNp2p# zLmBtWq6Z}MFp_ft3nAb@wi#Y5khBf@uO%Jy6Iv9wl1w0B7I)*UW_tHcsA0xx?f!U2 zMrB1ny*m!)!^6hyZXFiK<1XYOr+m0{B4-%yBV~{qY)jN9>RyK91^9JlhbPsL;K;HS`O{o6ExTb-r3~4e0mo=(;&u8r+=Dxc!sz7{ysN z>04U#;WN~^bL){B8HIr$hD}kg4;5Ri45ItxNl#w)-ZUSf``vT9aV#L0IxjTKI27a) zt4=1)7ybPbP|F5GQvT<(;D*{LQt0?%_Al?XYR~f&_lxp=Cl17lVTsonoo4KhOts9- zM%A?obT7|c zMaD#Z_YJl7TH+M_p))?`5qE@F1cZeDy3=~Q=P~}jpq2TGz%INlI!M>zm)ft;zpNWO zs{V+l;d42A51F`6ademVbGnAf!GpE}RptiafWS;_K3SY#d91E?hDLzaS|;#QRvPFRIPw##Nphe0q&mPvB-i)1_&;{QTSH zYuIr5faiV7*zxI?gr;q>^_wX?=at}w?NVDn7XFi|+bVzhKhC~b`8VOXja6)oPSHAt zb)(x$qaKwEE>gTlmPb=>i+5UbV2B)40EXAD<47kmRaD!G(Ot$_iT=W!>gxy!@zftF z9xvg4Z|HNnn^aNujx$wy5^{o-ecRP^SFIV@eCO?c(Fcnx9m> zUOAw`pWvZy2m^jpr||6&V*(rE&>z;4F>YpdC%7l<7o&}jieohA79LG$;VM>c%I@zxx0EySi{=`yH+2db3>QF9V=Z7y@EIibhaT3PM6G!w&N9Hc>d1h*iMzr z>%5!MRRBjX!#kiTVkl7L!s_So&KWepA8z5}5~FInIy)?)9}}z_E-?GBRQAK)Qkh|2 zC(R)kEtK_I8BNvtEo2;TO8img_`4u`U6ACW9;aA|S2|*^XQH2PB-nn)0lP%<%Ns{T1y3~Ke4Ih7^Jm-22JKJ(PTSg@gnoAXeC_5j#{XEZv$Reutpc@a? zV4!muv%;&5+!Ss91yL9YUX;V;#>PaBzCfU7AJo=5F)$YSeFOQ>R1uyzG0=%J^sy=m zW?Pv(^es7h?|phtW(X;c)y_fDxcmGS;dp2Nw0RNC5B9)AA+k~|GZ9#R4tvGgse8t& zQ*8sX7?@Y~dn7riS2v9uTZf%U0CmfO$gUipAyGAKIa9aVF26l5Ko@-e#0hrUpZyjc zBK5j}VA~1pt*((lx?2^r=$MEp>niRFG`6vEt#4pMO|Rrdqv`jz$LFFhgHCiTmmTN+qCGo& z1{7su)_$rb38jFcLCi7E)js<(-v|ruGOfpO(LFoiMZiI{_<-pMaJI8B>)l@S!XKAyYOi*CnibeNY^`^S z8tY0fqUJGj+*N^GTCLwKRbMu6`b8)jY9zE6XI!KY^{H5f7&Eta54pR9)6HZuO-Gjx zJYeld76JDaFXZe4P&QGQefmb=2GHOJC8o2zchpG_mPy-2qn=>W`!u;Xie)gXMx&YB zc{RMUD(u6|r4zTcA2A*x3M^?9-lxx}TPp-Fg8U4NDhRVL9Vu8xDh_1;_?jcn%^>C# zR?SX^jdEo?XjFxu)fcLy{+Tjt^Ik zBDDKLDG_C`qz_3d8+eB#Z;wn<2z)3LydV-;7UeqX5n62gjv$3T=@RF3xl*Ww#(-lw zBw@77cu`$j$S0ytO+WLqc6h=4qpBIHtK)I$P};0}5@~2p(P^P{uR27bus_?ou3o5k zNIW2@tkXQB>}K~Mv9NJsTM2Hkp?VZn?pk_AbTPjVtv-qzv*PPeHI0)X)<*v%C+LnI zrmXY$roW?E$0e}Kikr2pX?6svL+7+*)O~T_u6GGnEQ3LWORgj}PL6zq2mCtZcr~4@ z+}96+E+#xvV_hc*%hSf6hN3ynnzL~h&sh?DnbRGJMomvIWt%TAlh~@p`O&^~sA*{- z*jK$`{4n=8DBMc%sz6QE+qhM8K}lrH2)-=eBb|LL{ch!cLteSVm8mY7Wzah);PbCUtITplQO!pq zq2N*#mwyUIW{;+J1Q29nNyu#eDG(jZE9G%paID@Wli4M;7&N8HZuT`<&NG|0hc}8`RS~X8M zyL$4YK`KB$#RWN-x#*&j!e?Y8Nhwp*e+Z!p5suyhm>skVYRrpoCO`TdNOWpZe#}~u zhcH3(@82EjB7t)Qdul$X!T;`E(eN(YUCExRKKEl2aM*suL}Y=)B85}h znyK%L9;M>kcmHxo>lI?ga&1t+qC4_{LMzZK&@Xm9W{6(`!Z5?%^p56dmE?@$v0;eI z*RP2JG?XPlr0a;+oVI6lTFqg>Bg8eoM!AvtnNjPU5a~l$ff(Len~hEsQY8``MED}; ztXU2@jVSbP)he2EK7s=fd>24(ef>=He=Kd%gktX9F7IQY;~?WxewfFUeycv0z$CV{~B=X>Zij|RADH^f#mw4VX=7UqRdnjnanE? zUC8~xw-bgkaswz|hs#-ThvYIwK#=n=GYh-fDzl>kx35IEP*YkV@a%Xi%UqE}o?1J0 zd0i!RDAmJHyDE(yNPIYp{Ln^PykvUyGLqD2m^n|eV@PCtauTZqhs!J8^fAN58!&Oy z520F4Vs<+OKs2IWJ`$}Lhra4Zo*a7^;~+vr)Uw+$>9MVbL<=E}F(x(%j}-DZbp_Yb zzWe~1=`k{m=9)UXYcQ;D6YjdiLa}FBtYp3ar?)n z?}>VJw8TFd{or1h7tUR_-Nbfvdvp&!qSPn$7*j2~Z54~c{d@Ih%&9p1Z3{72&k|a_ zCwP0ILK2&Ew#hiM`mjU6NL^812iTA)^a`B9HciKYEzF!vysn=9)O!^oa`5+qgb4n^ z4cEb@1)*Ie%g8%Fi*{$W5;AWqnd!9J*^DcG#Z{0@rLa)Y*}e{yUq{l%O_p(CcYf@$ zO#2>^xMd5<*XeVQ2TnZt%|-Naa%Ips-k2P)q{VA}fh)i0x!8jj>Xs%PED(E3xF2Yi zt1i#+9U_}qHic(x)BXOI{%TUH&os^EF;q0M@W%QcZZOw(OLPQT=k%o*SQkLn5?FO! zoj9(D;DXcyNMrHCd%wsbVDxA>L(jj|2%eHY28Fi?j3luG(Ts0tcF_!^vI$Gp2VPz| zuI%33A(b<6hwivAQD=4?oPP~)fezw^K^Cz>c;wAW? z{)_$B#6o_h4YHMrXH|~$Sl6z<&*G$(09!`8Nt(YM%-^bZeBb0f@K`ji@V1FzS!=Kx zMu%rYt1_fCLuszTq>Kmg2j>36zXK06sb;8Q-h@=^SQ^4vH1(vT|3 z^07z$7yS0-K`*UpI(i~GG?6z99B@mmIO|ojC(9KZ=!2m zzQ%i6l>t7bvy4<7P28G*RdaE@$OO*MN1pYTRqd9k^UV?q7Xj&P%Ggrb6!a7*pZ0|f zxSn%E)IMQ+JT%D#lkmGzz@G>amIRvVl`4j^V9^Rj9E|uVh%vmG$<2BZI#L)j@tpCG z>406`*Sh_i-so~gh0s&{w*my*Jh;U|3xtIq`{#sg%ht>9vm*$B;a>Jv1hN@CaKC`G zYx#SGa!Qg$PL0;=yH*g~s=AZUb%Nbhk&-$?-!R(w!6cQ+vJjp$aC;C-Wi+CcspRA} zp!6>+e8%JkqV1a>)1c`ZXkROtK~-ehuCR8vW0Q-g+=0Wv{`qR1#GZdHNQMDKPIM(mwWRxQ>I@X`=sO=SZW&d^-E4p%^pMHgh{-E;AeoX>d_?5 zS@_cz*u>>x5CsSWvNS9Fg1=c6tmMj?$JH@;84X&{&&whOvf_Pb!S@UEcn4P_H0z zha^ArW%3|^gNf8F6c)V6=dp$X+Ose>^|P@6j#Z8tDi}*@)|(yo_}(g# zkg}(s%s)Ty$^~yr)73$T_Wl1>N!1UV^WG|%K~`!EGYq5rtoIT3gf%)mIIH4ve7hz! zmRDar+^0eHSM9o9gPS>HF6Vp=K7JvAv1-dL?SsP)Hj((>ASOoh8T$qt3afUk2jbu3 z;tt?NGDQTpNE%nFwPuh|X6iT5QAv-uq(Z_MqWR#p9?RoW=1H+G7wz!GXDKT&Ge8CH zp--*4WQ!dKn1OLjn~l*Th_<%7oml@~ML*v0OQ_++q;vH&7ZJmu=qPLF9jQCY^tdHw zZ34=9Qf$3yJ15pxVY=s0lim{);5D9q5d3jdDd^j3(A;S+6sYgIDL(2^yDDfSZ5)T$ z_2=rEl{lK<6(g6n$l>iiO{s}u)=%v$%@m>PlC#h^k5dOpNnrz6FY^n=v^^mAufhly zB=XnW*b3AjH)xKwY=OuufLEU+p={l|cJ^jn9KL?6Hqmx27+)ZX4wGCBD@vy~HU8yjEJk07OMW%rm8=#J4Y2mUg@D zrX80gnr$QyP+2|h;^f?t<+((>TDIwX|FjaC=Fqlg>P<-p^?Qk+rBj1?%k0r-DVm-KDb)G-GaF-uFGV|)&x<)^4(bETLlsFvXY0+*UI-(bBskM4~^ zrY{2{OMPE$-V}4KI5DyDDz0Y8B<;Y><92G^#6Bmbng-<;=_FJ2zccP7Q7s>$62yksKM z|L2b{@wY^6YJ~}o@tZUuV$$*1dbL@&<0Z$c|5-M|>V5|4o-wB}*yVM`ZpQudqz%K} z0PIOTmn>`ql?8nRKsi`?kA4$SQ}*w{NMRH0DQn7mT*SVvJr!8q@tGXvBI|6ImykDj z(`!>wY-yRsw4$Hcggz?zXC@YYf6!YaG|%(pEtTxZc<9!vD1GC*<}03;_S;m&QeCoL zG3hOh0!ItbuKm<;7+|5wYeHIXfB#QYJBp#I^Hiq_a;;O9=Fk!VOBpMgwjS6m`?_AJ z>3qkRwpZ10_*8?fg28`vD!{82-MrPTPtaCc5+n2-C5{3_)7a^It`VFxqSe7-N9m_C zgRi>IAp0oehzb4H4Ywed2;4xbR5{=Weu(6lR#tE#u}-Rof+x>XOUt%L7F~D#75p_| z2e_m9=|e8f%aG|*iaw&96$iQL$jO8gdI14!<4;~f-o?siJ-uj7PYK@f^FajT6sxC_ zP486imGd;67{~?QS1jV5mYvVKz980lij}JQF-CVTj_1N!{C`#tLtCA`)izlHUvN2( z%(F)v;z^W}0v#6dHa6!;KD3GeDO9v}&98`^@iMOaHBCY4#-pfopxh=CL$t*uZxq?& zR^ob|Lob-e<`NWMga@~NJ5op(ug+szu$2oE1@knraW3r?ZWlsb`1t^2uXvJJekw?P z30_gx#@f;@B-$}gsNOpj!k5M3ldakcG<+m8MBXnHH~PS_eYWq_*_a%lgJ~mu{#RyT z)LhM8#{(AFV~&7@5wmGjLs*-Sk4T8^wpEyvf-Lhg>2SJ6;r>4Gc8I?C*H6Gr+NFBw zL?NlBG}he`l}ZOqtFRE@$CcW+w(*;d!8gb!j4@j>R*=I7#cAgeWvwrEejM|EnX(Ss zBrJqf%OZ#H10KPxA(dJY7D`FB1AIfj#oKVFBd{F?K9{XiE5pIueYFAN&(`X1$~uYE zRI`uLo7XcaJs`lun!a;G`nktk6`u%FS>x+%=oH-OOv!RB>wW5*+2HN{?zMu+{;{?3tJcMR#$Tp_o~UbAhx!YqN@QFO#((iz5J|V{ zg*S!DqdL=@U~SU@l9lI~&x?##I=}M;^;@eR0-NNng_7ASi_4fk@5Ke$tav5<_Oi2z zt{|EUvkH58B|fg|8=D$6WFY6~NC_)bp=;%@+xf%P^J6GJ?Hw&7i^9W|)7MnF$@IyK zC2hGyM_KNr%k%9C^C33!a5T}7{p0QdMI zn}NiJu)8{^A$k6(X_v&1>&3-7=oAj(eW-waaRX;qac%nHG7AI6k|$ZJo~xQ>l1d!k z;MWzuMyeRORcQa4-2BZq(NaQTW+dF!7kVaDCE*-b#c7%9iOHJEnnZMyH3_bWo}m1M zzK_cHIvK$FCj3s?*p?BJIH(fby0-SXuxxz+(8Tfyovh5jyCqHg452@-wl zn(pebINf60K)QE$?;%AR{IG==Kz}AW;5QEleQS@M!GAWHec}#VIzLcWpD&^w2{-PG z&n`AP{UTBtZA@;8EIjr@QN5x*Q0m(p4E~yO9ZgL17{hlPhzqw(Zo z6T5UYa;n9iQ`nssz}w|lNN7X=sy^T9mt5{CoE=Xa3P@{VoZ{KDHD~^1w@$G;>mBYw zW!&Q|ydPc6NTHBUp_X%!g;-j#qiwPRld!Rx%TP{_0@Y^Apuc~;gH7Tac=-@g=HoLA zH^tLR3D&!F>f-e|CUR^?sGFGr*%B5coQZ>5*Ew^3FwTzdS~N{g?`0IMH%+;HBRO)v z`|_4!GcV~ak*URJWO%Ax-}VCAPWPR{v_83Z=LwS(;wtD0Dot=`nd`aY#Sc$CXKPI? zQmB#tHQ`8kUdP|pN8?QY*`$wKq4~*!E#G=- zuz1f8+?TYBcG>$cJxe;{)~u=ib}_n783JD`wW z`QA-rKn$<*Ph;ahxrF*aMMm3FOfh-grSsW95s!@?5%@IjH9UxZQAk}*L!^&y6o?`i zua=u`+mSX{cKJyQ`J}*m<|@Q5xA!<^W6%@~p=}v$K7Xk(F-kMR7yPlyv@1@(do;3o z=RrvL2hw_}*^$v({n?GUvsxiE|4QJ*bOhUaY4+fk%T7Z@x~@!`dpbyK-Ht*1PF{gU zQ*XQlHgf*@*leIRMa%!|pHzXv=pT+kq%Gub&s^{1~xe!A?*LAmskC*Ew6(1uYmhQMP1lsL2JDBYkx*oVgVusCFL>J6pFZ?OHlNq-jLvJa;Qx0;dTn%91aLFV zWS+*Fn>@7`fKL3bu1kq|eE;L))4H{gq(rOsvVJRovJ=3uX)a%ut<}UhIR`@MfpUn=z~c?)AU|h*JL`zV>~q0f&IW2mVk$G! z-;vj}-ir_05Ruz$e%vTiT@VjH1b}#0h|vtVIz6Coyz0&!&|ACp?0y z+uO~KizkchWlwS<@X0h>wKXwukQlCVT?^*Cu-WwAis_|EK~<7|P3Zf%MzY zGc3U_-+MsH-3$Vro>ZA-Y@zZ=mBX)MaVKZ$voz;L#t0`)^Q#?zX9%dGV_)XyS9+?L zoJC@MB?$7H-|i!JWKh0}))SdsQ*Grwxk&o9H|`ZVn~g7V`EMpkos{+BZ7IYu<^r^R z*Nt=WUkc+oeua^p-rYed_$Q3|5cYfN*tv&`-IazTH zX}#U;iXD5SSB_{{M@kPv-j?^72B8a+>~wA_p{0l%Q(rO6g}f2ZjZpjTJegu{I!76^ zCH=)!?J^F2$FCbyWEkoY6*yRU#dcOAL-{QKxjN*`X0aDL7BmLaZ4H|%^FpP?I#@;v zy__$d_2$uN=s3=^2^d2F>pSDJQ{UDxX6VHVg&ZRIRZjw=uA63>ZE>u#{o?lU3Yc^} z(Q3Wf!ch)~txQur3$OaDm_T7qb7zd9ujFEV3l3}YnhrzpGM7<~?N+*Qb?Zpb>*K{g zKd7+BYlX)3l_H?e%jbU>pf=@2Zf6D#w9c8qk8vYf!1AzTlLYvY(!$n<>e?6rRg5u= zbW7M$iB{|PLr0FNuK-wUnvxF_}tN?yhRZ60od!t!_ zOCn>2zk)qT;vJ6U&`O25ln-&&&?AvQ*X)RIhvf7`$~g0zPg-?xYb|D+MPTQF50ChB zOs{rS-S4O`(iHW&?&>0k(lwwxLF~m*TU#O#Ha!%e-=X^C2F5G7xXW!`q!gs)9=}4f z``2s?QLph<;j&aKt1_LH$%PAR{h{D%zpAVRTfJb1OUc4if`qpjGqQJ?Eb)~X-sbI=WJbe{jgY|nA3GG_~>sR>n&UK`p~^@<+KdvsO> zw-I>heiJSk7Mc`S^{2=f3GT^!FSytrr)l_iXhZZ5l=HNBrtN!D(^^+bRdsQ%NtO$^ z&{9}pGx-#fWT+g)L;7`pQo-$Xx$ippjd?M42J@%c4Oyukjd{_FH#g|S$jZYDbSFMs zup`tddETR#>P_d2oLlWBY?hNlAuqaZvEk(HH3cPoYW>%`vOpqAqhBZgw9SLNtNop* z$(N^1IsHWi)|@~KoUDL=1m?5!p^%XbF=aDw>FyfEYFpNtJo0sjyw(ZvX!t)J*|f*H zH-eprKHqka$xzF2bv@({-Mh&{r_31^**Wi&vYR@VD6frX#RY^ytE8${v=_C8YfaL# zYLUL4&XybtLt92AM{tVIdBVd*(wwAXS?RoYLSM|BYX5N=9b`;Ou-PO9|2uB4I>=ck z8cAleGSIk3{r3^Ea6bJ5b19MoI?w4Fa%ANi#_##dI!G5M!_&#+8T$|5>Sdv#!&3LF zh*-rcm*H<0e^*dXz1#k1fCt_kB6gK`ED6LyE!W#a+UA8?t|vq%B;a@3Ip1o969O}h zc{+9j>l8pJDcSDDV$?E^eGl+BrOCZNwKY&O@mHT{JJM{a8!MU z%C)5{@?NR1Oq83?U-gtpQTklo%6Wn`+Ya% z?fEx%Puu-h4p$k4zJ^Rvl8PBHvP8#?e!+j=#AoYpX?rDkTARx^!>>1i0rkV+>STg>d6wm*YeWpigS+qW^=!h3&s5O&vFp(i5Aq**sWCY| zL#0-)wcx>a{0Hzl9D$LnbsvEz@%(8I=`d0>$}HU0(zajYIP`&*fG52ZR$knu{;xt zdJUgio07J$;OxOCoL>d7Sj=+QRb_Usia6C#EV_un*y};lWuG#`o}c5ya;Zj+=asf$JqZ}~)A)?GAHE*7s!e347Jz?YZ6dW|=^F(nSoeMv?pd;pV> z)>_+6THx`1)H9z`S5;1xZ5((^_EIiPQ2w0X^V+4sK@CsY_FJK~pxpG4_KN_ew&b!e{^*vmNwIciEbt(iGhfw8OFe+C@M7h8RtM& zC!7*6tj(qe$XSk1k+}plpUjuu?0Y|>B#4iCX9jPi+?wnXqW~_CeC3i}&X(}&liTG? zSM-&CQTW23U13F+=?D+rQ4N_Yxe0ZFP)C!(!G;Tn7qC=yU3pJs+@;fm%9}LmA44TM z%5dcJl2cHBU|GeyUr3L1#i>t(#i-f%YT9T8d$AzrN-Bk6hKysH!%^`=pT1NYe9rK4 zEQ2*Q4%MGqwmjlwxHmmaFyw0OMbc&C&hpMIWo(cSW>&GJSz5KW5W65Qir8Z z;bhf|zzz$I)WykYS(gp{=6u>d&gOiCaK|4;QAbkj3g)@>SOVOaSRviwgk^DC4$vW$ z=r5Gtq98~$nZBN&r+!bnFu6gh4Shd)c0P<8^;zD zv#fg?Djb@rH}_W~+MCiQo6PI!G3MrX7HhhXO6raELlJA0hdK)UOH_>4P4U07_*-w3 z13VT7CMTJ?zGRB)OhC4~v$DkO6o?hzpQd5`kT%nfe!@*>4?T+8RgNq!= zPSKk8vqZgM&nhq9hphIgl%T*t& z%RGb+q(jH}NwQZzM~sx0lvU;B6?|W9VqTnf@znP0OVrR%)mIgBHDjiK0rXG{lnJPG zPsfT}3vBw8S=OpOnk}3Htg`^**ADJ~ay<-SA4@E?_C!yT@zF#+3x)=DdLr|-^}?=X z^KR1`qY0LR`qr5HCFfbcvAmAP_}ZtO93cF=wpxQDTYe+g9VI1=v8c4VG>`>uoyGXC zGTvCWwjClr10FN&OarG#*3v3XvoZAnM-588ofoEUHpN_v(*QWQ?ff}>-8te2G88bD zByUhE6jEo-W3j$E%FQ;!cYRb)4jGDAN|*oCA;eGU22z`lzj6_h6rp1Hi${1M+Kui? zv(#dOA>6O;=2XJ?d0JoGHP@LfIWr0?gArRXnNW9i;*ZkLL|R#2ezwE*7|8$Vbe^b( zG8S|M+Fp6}23-+0z{_#z@cBUQV1jb92{p5gcpy zMSBJ+ax=|w?Rp!&zghL4J>%z0BlO6lFV}J8sPw8Roa*AEu5a*V3cqCKRY*^Cq$x=3 zFI_QB*xTd^xRahCwrw+=A5R{p)a`@}JyKJesD3Twh?tqZ`VT$rSoWp)-%NWuc`AiR z9d-%ZW&AfGaTBIb`-v~)Ew?HaVSi~eEbH07F1^)slny4$C{A=1MS60u9+;xi_dJbE zXgg*XbH>J0Co!AKfwct&q@V;dKA|V}$SGRkLu{doggY?{U zz8iie;&tim8@w71YdJucr^YP~G?FaTn%}%H{-ySx_oGoD{huXY5H_~I8Ya#hIjl8k z6s^ndSpz>Mq>~@|^8KfO;?$tWT4j9iQwT2@X-&1p8|6JEk?Fqv`4C3g&xv_|n9HC` zHCMhqP$>J#(bdlAB;D2KNY-?n<3BInOZpKlj*1(4n*7w<(C690x(D-!MM^xYg$yRm zxEF&I_^x5kVGJkIkyoS-rU)7c0aac_^-nrOif5QrYTkErXyBfCr0r4Pf$%^b$OXY-z25WO z>O)@ja}5*yXN0$05(c;BOlwO~ELs;`6BknMtK)oov&zP#-U?`y?b3+pK$d8rnSfs^ zIdRecI=ZnS=!!`ZjQDUgZ~He1hZ8mpn>fFM#-8s#yMdiUCu-bQ@RvjL>@gePXJG=S z8$&B6z(XcdPHEn7oC*Ua={xH9N>MH#v$hM(o?&yUb~1mX$o)G`-q!>%BmYSJ*H7-H zn_VRVMk+Y(6#ekgS<7uSsP|{1S&Rz~W7n-w=DfVxFp3PUx>HQo&kV=wpCcl$nMd8^ zZ?o)cJsp#)ck}(P`M^Goq`N&64k*0GXnW+0D6D$pkstNIxv@8JBhoW(hf!D#{$ooG zNzzRw`Gtz!cI}fw9M}r??)u_iYWjxZ>GPzJO4vbN8MiBp*M}op?uT0vgYYi8WygYg z03yo&$&qFH71g5&m4B~`e_qgPurs@w05Sl94|4W$%>dDmnL~**?|W*&E8~ZqAOply zrJYGWf_A=l6e}rGZ zwM^grL`PrQy69o=UFpRv9W{r`7UBi~a_2G*1_0GOyZPwiE@e5tCwOhAD zIJ8>AJRAD9TTT{T(+=-Us(tfEp@)2jBnA-Qw9Z%%Fvi5}&@i68-%a82CE5(eQS{mU z;Pm(9JON^B9ptG5LQ%4$sNb|ff_3N}%fE9!GL22c2A9OH2_tqOc*c$rJGyqm>Gyi5s9QT==`6vx3Pt!xtw-LN6z?cDo(J=sp@g0hUs$M&iC>w-SYK zXW5Yp&H_C-3(B`Z^8c^T{pR_DPqA_{*OgtopURtc^qQ>}o3%8(;XD1vgxSS0OGG<= zg%`NBW&!i!dK&$qJsJKzfr|FqJ0V8X$rK`md$6HS)H~T)N>d0%EAiob$xs0OiX`(Y zPlPj*!mv3*vMr6$DevbhrSQOS+0o4_pD!tK<3}%u%rc|~Enktt0^w)|<%(tIiKddR z?y3n80vaK522{ovrLWRHF?j^6k&l->+Ns1Ak6A9?w=JzW2Ys@S08+Okj(~v*&4qge zXz_FhSdjPm3HZG4ee&~AFUSJ!9Pqa|Qm;z6$#c9$;FY7f7oLyAe_$-EE=wAZuU@A{ z!E&mH03J*QIFs*t8s)66&e! zEU$JWRO?q1msn{NZOLfbfI<4cFE;sEA)yJRhOBWzBldLecM*^Y~Sk zvb^IR+8#`c)bGndRu5q|R= z*N~!(v((N+@B!=Ci&Wkio35t3k66wKr&PCLA6Qf|MU-sP#I5ckx7I(CO6WC}t0+{r zKM_GSTm%WuZM)B!cObLZ#e_K$?j1M`rNV|6sT(-0{I6iG?kU*`N4AC6@@LLd9G%M{ zbX~6)^Be4pJ^Aw=W+-he`TgTFce!>leEWg&%W^?3|7b!#{Av_Pw=d3bz_I2UV$;Qs zsegeEsEU@`M}K#l?6H;N*5g)D2|d^Mb6EarfX}8hvih{-ylkP|_qu%8!Q;&pVq|W@ zVl7`b#3mAbLWJzUFxzYS?U4zxAxipf>_ocox3-bxW=|!<3PmO_^`!74GvPJFKnK8BmtX zckXKnX83~1j}WTbB0E=ZE0CqhV~fenTR0hVe$*Q-qMEj{uwMKqeC=bNHE?>gBHF&- zQ5o-vTHJ`+kj2~C>PwSF&oy7`YevPSq*B=0Fd;ZPGPO#-f6w*>!|wuXx2m;4d1 zTX_$suOYkr58Qd1uIF$(I9cw-`6}BziD$I*_5{)WtAx8lpFPS~T!5LLLAItE)7_Ud zj67pKop+S^x*2yacYUK{rM;l)*E3SYrcYU`Y3uUv;bSc=gno_K$wWPufQH0CXB#{A zHEVS|MGBQ_YbrnAzx2JNGG71L4{p`}%+}p9g`nF51aFxM{C4ttF-H$H^@I>|tRLId z);SN3alY^Tof`ic@7gphH~Yy`NzQmW<+;JJvWmjyf-s)}U29%!ZuOaymO^ip10$=` zb;0!*%tnV{Ommyu=krQBYh|4nJfH@bYIZ2lzvw2pW*^~oaj^= z#@fM#G|Y@xze-00Vl~wSQWnwo3Xhv3?OP$`ZX8;vN^ay@lA_(N4;iw=Dj(?X7aC>6 zY>1hHlu+bL*Uk#La&oMxGTU;Sd8JGAUrU^1oGvA~=tloKDkzwdTr9d-n4zR^0#-a$ zRsZ}(W6Ku{*HPuHGY2CvkEdN14vZU~BHH!&lnQ60t%x%w#y z%A6w5l>K1KHW0#lcs`T~ApMk#YabIV(f?+k)TepY`Z(XQR+qM4{K`wAYMLD!;TA)N zC}qsf8Mf*#T(7v1!aJJn%2=23efybm+l(4#(>C(mW;SlYRij0AWL%{|#>N|7ukp9X zH{?y8I8SItXA-84kfQ4~>sI|jaO(#lipZbdf?pmVzb|+GRvr&eb^V7#fqdc!zF#hL z==rBR__{{3-9XVeF}gJW)_E*-_PVx#m1khSMqZ{QA#ZOHa9XbGTPW^ZetqWZvZ$W^ zg2R=ymsH`u$cgEw!2^87R8?WNeoXM_0Q&u==UGqG{pV_VZeIEWx^S%^hp&lK=+Guq z^88LrTn&0rsi2gjQ2eE@JLn<*cD7Y2WNwR`{!PT28BG~goWD&B@+_o`#JB|S;H7Xp zZb04wHGL6ThrR2`Kmh@F9t~Qedbk+%602O&?U3Cb!TdE-*wrUCt8Eisa;_z^%=u=% zNiipf&e7E-@Nn^7(x<<*XR4Qa*GHJtL{5IR7C9)NGNh8nXI*(s>_D> zmb%*i#xwNuHWk(;#Vn*SK8;Gx9y6h6xZrWWoNoCkmWi)W`}EN?Oygi(OER`XX}Xy# zmp-$5jk3@tAvV4eoWGFFE#1*$9AxN~A=4N`wwWOYL2qwbdX4+9Nlvkq+SjC}PURFU z3T863`w!^R4HFM;4&Nx;9AD10gjqyyB*@HZeV{wvE9=SF`;O~x&Xk8+Q%?bxPbsHb zph0y#S)9WTG@AUB`sPdp!1kY*y*L=XA~PO|_Yhzc>-FcQ>s`VN?~BKR=qcZC9~q;n z+yVxu#wznWs?xRJu46q)2okasLX86aC?l?B7S=vV9VsuzP!%>*Ms^s9ZfXUHtMCEU zSEib4^RpKufsU%zf|hfs(H7l+jq^8wASvK--n@5x(shhNt2f5G=_kr;(g1wMI2CzU zsLan@X2nm53T98)DO;mnUro%WcRHU4KIT z!(kM&X}S#e2ugOcDqLw4?$yDfX*m0is>GWti`^x)_!$#Oy2Dv;MwVrhpIi(}F$A3N zHJDE|U+ismaM$i~Rx(A#_(O&7V%Z z0jJYg7eb^qZoD1=({6DhjVy0BP2YruiuYF^l)evSM=Z8kJ|l0U{#7H)MZ8Zr5jB)7 zVK7HQT-ln4tvR0C*c&N^0fZKD2_=rK$_5Jo+fUv$+OBo2_v3m=8r$zznxnNGat9Uk zY@o9b9J=a?Rcod;od-cL==Rqh>5dlcjh&q_6NF;}VBjGO&WZlcBTEAhKf;xm*91at zVWZlKK>u6e+EldHn>C}R?-${YQl0ueH#Up5aXycddHR6a zgSI+%&ejXwNp?c&+kUbSv$he|TQxu0X3F(C!mfUj)gPrX6FvNj$?EK-f3kafpmm8u z>_L)iN8f!?#=BHISduxm{-SEFC33g=s55&2oOT_2+R~`}?f%gf%9Bk}%xD1ULb?=0 zc&QcsQwJ?s05xg5Pm*g+v75WyNb(XoNuhd|*WT|qu;xYf93K>pSA2x$#!?Z-_3Y;M zV+WTd1`0`fw#1lMv+0qBs+Fm#KR_JfIvjS=W)NcG9aVH*E#(VFng;+Vr`A^f*}BlJ zy8kw-0NLG2o0tgt<*#sW<~jrQ;)|1Bk@>2_mPq)VP?=xl?AZu$=l4=i{=)i-;}Z!- zC%3KA(3bVx4`s%W4D5&XUa4TIF}aJW^FSGbb?P1Zte4JxfY*%S9BFNQJu)x zAQLK*AP|us^9=ijW3v+<3GP!y_CQ~hh5O^ITll4aPXh79`1BqfqTfhP?kUmX{Mbd2 z|A2%4HRmD7S@;eO;A82t<0yDDdkbED*d-&=Y7O1$_<0_KhMWNCm_rJd{q*SW|1BdVJ!b@QlcE5BQy&GA%o_h%J`s z;cd`$`yDe0-?+TT(e`vmXyH_^?uBDMqo@Q{r#3;q(yM)XR>iSAY|F@emFPDDSWrGiE-$eJGB z-kR_V72CTV7kGQ1IfW$riM1o62+QkK2sg5yKfbZtkK?(iDkmx_#=v_!*@~#5>JE(u z(g!@(fBM!TVt%}k3@>2fI~yv_Y!9Xx)~qqPGT9m_&1V~qpdq3mA*qF!QrCb@i~h zWZKu7dzV;~E}onjyrt$2SaG-wCsWj-L5L&6o**otf!RB$oi_;F2wG`vfLY2cr^g_A za+Th#BlQPT~mx!di?ai&JRv5Nw%M1A~R? z(EbLI$0xj_t4aMjA0?dZF|Sr0J4afls#{T|$(Pa$Da+yNxBFr!BtCsJ-B5fs=~o@F zLP0Jvld81ne2h0{Wbm1^Rv=FDX(Br3d{dU@oY3GDG1lZF+>{HC23)hoSey?!R81~* zX}rypfM#inbSwojj5jxW_klGv8dVuE)|Tk;_fwCvJWXD^7dh;p)b zUNkcA%ve0JX9$UR8Po60B%;~WF%6cwv0A+o+H2sQgb{$b_yi@DhAKlFi8l1dRIejK zT6m|nF$j8GU{SNp;n~y2n`p(Rw#3oIfL8h4eeqLUahDZXwwfVCS;?^qY0+Fk5U&}O zsCT%C+B^F*O!pL~hY?=}>SWYS^!X7x;BE$9+5=&pl8pODB*Jx?Sc22!`=umWs*h7f zK9%hmsY?{1%o(A&Bf4rw9L-Q4<2Z(MWV zb;WoCAeAbG``S#+Ml_KYN%5D3&n?rpyNur$=#R+}UpVFq+akJZwxC8A`~=n3=U3%I z7VIy!#>_9u)B3BI7KconvlwvVudnz*XN;_F%oQOKICpgK9T@ zxpLVpVPI80uo0w*WCboD4=iVWtpt_H01bCu3?ex1Yq>RslEVC&c$`bLy#6R8eiuQn z3)UG9s8n%dQQ(W*D=}=DaXvu_f5peyU-vKEMAht7k%I`1w}SwA_Jj;D9L3%ke9?Qr^vErbhM zZCfFZ?%@yJrr9JBaO&*1qy+G4Ky4^K9(HNMfsTIo_e3nB5}4}?rY^Dy37CPdO4YYw zB2XuFrHK9D2-5zihoBf%2hHhJxncHnGb3aCH5{ozlo{gr!0Vw%rwt#HjFfk0o~l_z zP3*$yn5&2lhR_An3R=@>TBj&#$ID*nGGDBZg3-n)*L-ag5dKEQ*vz}#6t!39M%HSp z-0(zEUox}yHT1{l8EFM;??Y$I)fV=B0}$K9zwsnVt^an_j;Wx{;v)!`ixBf znzi%8I5sK_q|IYGcC{aMt_t6Cl+yW2N1jLrhnF%M1PTNV2Pj)^RJDW9#IwA&I{ z+cRh0!Bq{{yRrlX_9F0wwj#?qhVY-W_YVBMcTDL>9auh?<^<^ zTt+ueFZG8DhT+O;2C_17Iv&QaBxuYN)jz80YlrbJNNHZ8-aB?TnV2pfep9Rc)ciRu zM=5Pt8iz>vru~2htnU%_4^n|;=xxrinlJ1QH*Mx7Www4zj)*sjh=^cdVJ^xojfkjO zmv&8OjDx-AxSMb%1z#T!b*jhAGo6sJ!BFhino)>w;IH)#9P0i9IfW{_$?y z&$^)aoAS!ySCTtqdUWWG2mvXeSCpeQ<61J9&5#w;4*G7+wF(UOl!0elUjB02Ye5E^ zi6ADsFS;eT*@}K(_24OqJ4EXG8YY(5RSv5O+Jy$)?E+?@Fx_higKZUdQBd&jOiC~x zGcrL+w>PquZ0)dMOYO6aaIc#zty1;uF8G|sJW|I+w)H(=*><%pKq13pa(vMNVbE>X zzyF=06NE;f@4+u;6ZzeRWEC5VaU}B#v*zxnLR(8~^jbbzt$w@3{S3@`Sc0jN;q8sv z(IDFb%#0vOCDpX=&3;aSZ>pt$gePBAS!we6r08iDsK$kKGI$4e%BA}zbjH1a?dP;= ztLN)ELoKCbYt0Ubl@*6Bgs+C40W!HdEx}gVFnG|PVJL5~V~elR5_P#vlZ7$qZHclp zTWKm~2vlXUIkX*Z-W6bJHhNVXp=XM_Og>=Nw>3yrRUCGj$)_KhK@>xaEG_D_1;vyz z0+YRdi3vU+p&EqVCmbv-YXU3=&7aZ=nmyYW%*yPTGE}6zI*2c|K&Caj&xOS?kG}S$ zP$$b`_sH2BGjQ%j@U02034C~gIguB$bawOnc!xg)rasLqkfL4&R4HHF1|5X2wcGlw zy>=(t?=Bk6>Qk4V=q_tMpN&k_tn8`ht%8-0>MJ@ulQ2+^>P%^1A)hddKUT(8TDFyq zIQ^~yhw*u^3kL)tDb*HfTO>{Mk$}Z_1U1`}d(BSjuMO%Qs)nD-<1@Kqd8u=Lk0B{2 z^A207_i zGNHNmf?y#GPax_lm-5+lssFA9GiZgLbadM*$E0*+myMw^S*xKhwHE+3r^y+b5s7vx z5Opi-!8cVI5L0rm^roe;+tsjZ!z^&EqVg>x*!WOynMXO~$zolx9U!ccM z&-}O|SZ6?<(7ptYP$xQ{!O0S1&w~{U@PHmxzLyvzERk@8(CnHDa`5Eb>Y_Cw60NP= zplzforp0-fGu=qA+u4bv0LccmVzsJ4csP#8UMnGs|8Qdhts2Z{a0#0P zw2AHtnrK!ndb;_X=~sW11_;-m(ew%)hzq|#S{KN*?@1uT?&?TNob4Y-NA7PM_|pjt zT9*NPU3ksf&yNOd8HA{BFz4vR0Lw^2i@tO(x-{R4k*`f&(ctZYWgX%v$6de7j*@}S zpX$?|ckIh18~XEeMz(pn=J^WI(s^;aq}H17pSkq=y^O7&fK4kXIcUYMaBlDYlAwI0 zI)pnTv2Qw4u1f#z|TKO}*?O%f$mH2Xp^J5D5GqlUbEZik1 zyc|Z~^%sbJAeFqb(UZi=$+Q_uzP>k6FJzQU z5v;b{zo)EMh^&b|AkzC`E^W7J8O@1{tx(;Gq&PqgL)^5EjTM~5`?Rn?3vSx~cP8l$cqvuBo~vqCjzHmPbU=D|FrSEC;+=$-_Lnc@!bdF3#fdDT|ya4vlz zv&wT>#hZ)2yp|c;|-K36PNP+d%lmB|eYv9daHkLI2#kthtCkpRic`n$x%^~oy zYt#Nid>*U`7Y3HXY*aa#U)3B@nGtvG7rTdEeQ&hO_-U zt*KiJ0{~7^3d7X9etF{e+*{Dn7OkCOo}*U^6^q17$t5v$B5O=WMx9%I=4Vs%fq&VO#q!eTag^&fM zk0|I7^?N|KeAUVUItjam}1_jBo%RO>;?Hp4Z!-faIk9YcGh6Qw%yvaK4O(!KwbIAhr z(2ub*t%PY=L~n|{DPN9h48xd0rgK9Nce+rKG>yT`a!Sx+H*vUrVj^}fu_Q) z3j)=|=~XL@A1Z!F@Ak5*<}xJ2m4^HRSKN!zJ4B7C4KGcG5$mU}15spJRSAm30V#*g zKMBK$0L!jQwQ4fiQp;tEmyd=uvY!FuPsFWluV9woP!B#Cow1_&8WMCp(=kSco@xlG zflzvy=AO7D>zzX&5F_UW9-otH%kU)$hlKKIbV%Te$^ zYi0Vanm?~MgYe}W`(M4Ju#@wu(*og+Xk^2SuL5J?%OVPH@ zPtZxAhyzujy?eb^wJqw3+n^@e^-)tu)srS6`h!tlz% z($c8X8mOLbi{|Ta#TIq3_k_?)!3^Ds*0y*Yx5L7kOOUXhJyu^|b5&OBuMezZ0gv)Z zp{|tjNNUi556g^*Fw<{v-LINoN88ym73|8%`U^wr ziNqey-0y-v$lS~yi_~v_l^HWNXCjMq>JtVKwHUZcn_|d)j3g^9enJdbO~lkjyncw1 zz*-Z;FWmRd(wo1O)B%5>bVd@eAtj@83`sF$9;fM!2wrwDL->HR$FqL|?x)!8t=W z2KoUff0-iM>;;+@7tvTrKG$1M^k7|Hm?tmq-VfXDLcsE03s$RAWOgCgMG61Yl^55P zW779}r)l6$L6Fs%X%5fKOLpOGgqJRTt}SLfHB#zB_M#FWV2RFF)OCD4t;|`Qtm7*o zl=89+GpOIHt3W0$hO6f6=Q5W^tck0}B&$LQnk)HM*9u^NnRB5gA|wX`B3%9Y^ttY=7AEnY)~So`VE-_!h;da>Pj9Ps-a^ zkc;KLTBk+9EP7pLo>@#wTF_{Wf`UboJHv}FxsyoC^Iaol;%Auf>|g3vD*nh_+S&ykj+#SC1ivL(D86OFjiUnGge@aWTfvpE$*% zz2LFHOo{}m6>F3{kroqxI>L=F+SrG)y*#dB>?qfb zO`JNR=t~UBNy+75_Ik5mwP9B4EQ>%WWm=7z!4Q0;u(TyHGe7nN+`wREKKOkb0}0X@ zGC$0H24(t=QI(lW0bvTZvCig@Ceay!TH%`PvsV&q*%7sb$k4nJH18e_g^l$YUc6 z!F21KL6Mrt?WsI`gx9<_NmO0DeZGgijzRUOtOS|cKx^zB1i3Vs7u*J7IrWu3V*;y* znVYKvOD5&&ow!>j*Kv>_dq-WIr=g)I130~bc~1k4DP;uR8&ldyE~)K!XVysp& zNgnJESg5jeroB(@TwgqCAdjTrND^=QD|XuH||6NRqhbk<@sV z?tr)79HY#13}x6Q#qAm$inBWo6WcBzq%RD{YYIGyq58dcHS<( zY~^z;{#^N$MVEOHyW`%zMMtTv!ogtLui<>-g#;%ce_FtnzW{HJ1*ly=F(&VYoY@d~ zu=E97E%|_}yHJLx3bI}kJ?@^La4+K4hASgq`t1-5N#%dmFb66qplWv|T^S5{iPFC% za;ECLVxHMr9Fwl6-e90E%e^Iq1u?%9X_4%f9OL#?AKJDg*SDME^T=98V1|a13wl^# z6`XCUaOOn?Zlc~dw70cYL|20ZqC?jgtaS;Xx&5g{Hk6=uGxx!ZR`#|(E#au_Q{Jqw znvi%&tfD~Qf2h7p;MVLJ=manpu|HKYyi>kJpqC9GZZ!mMy^6MbY!popVUzzPvoH7s zEN`2;M`14JF7Qy9O`h3!2~tTaRba|%Nbnq_FLnZTly;MsqV#je;Dz+9eBN6ZC}q`;(8hWv z1nxjLi9-Qy5gFP@vQ~-(jUNUn3L~CQ&&9voBKW56#wOQ3y7!%gnqpM>2-f(Q`2f2p zU;(TkaxR2#=*XXf<)X7*9Wo%ef<~VERsxK zu;$v*vut_u(JUQ90sOIPigrI=8u2o}Wv+|GwJu=oo3pfb0{)N<&!wJuN*SvB3Eb|z zwNQP6tqC_3@GJX1XT`CVJ(uLV3_|b$&(^akG4xoh1};*`9c39TevlP%i{;BOXK#RBpv>Q)jofz% zuh&Uw0KakPNFb*47_(E2A{P_H=$koH=^%|^=-Z9)c0ZwJuf$?W{o;x^@C2a~DdXo5 zLLy9#^r3>5$|&2Jt{E}h)#+rpB59O23-uLoqd8wEZU)wme=t|()Z}*+575=)C948# zcsQ+P8TdMM69z-xbp{T#fPka)G-DfG_EQ1jBdKa~N_-A=(dRRG1I}4yTwT&*Dltg& zR@joNwJmURU;cr?~pKcZp|5^@GY4h8qmX*?2o2 zJmaw4Y_9Ut+oHz#+*Y=2wB+)`WL2#vB#LKZW=d|Z8WVq{SJhyPC0nM_dQ&%?W4{!QB-EyaK@r6s;xI58HrEVr8{U&ioN5y-4?DLQpiGFJg-=DfIkT9(ZS&{M6Vn>tf4#ON}Iy@&WeVdZU$U>@sfhF6%4 z!uV51=!*TXhr$Im8P$b7EC{+Laq1Jhaok$9n6DwutWCCQbLdVXoCQgcn?LZMqf|H6 zPN>r1?W-kZCyPoyTEnId%m}$@)@f0B%8Ap*Hej6|u|1vdP#?4ZmM-6Hf3F&6{8)+% zE+y<2*YhOpDg_}>GX$^Qyz}oZVx{jdc>{LH^d3%av^u6ayviP!cP zFY~z&YP9|Uev2*Ghp0MqGNe0Tj^^U!nVOswwehCY52A=K;VRkit8d(z;oNAubQz%2 zRQ|eHm|Pe8Wb8Hu4)zV#^j5V_0ca8U1b5y-ub@mPxq|VTZ_rwJ?qe*ned7o)pG}8& zvZWaGW#48KYiXqiS)~7@7Bt)(44pmR?+5x=(ZytH>z!K|!gqC$jnOfYlv~teLN&>N zyxl$^0q5$&%lCfT$cEi9bE8rMM6>_|j_|`_-o7Pqch#u=AymEG=K+4z>)d#f-q>hG^443JN$=4CTJHCuDm z8ra&B#f_DSeuv$`<2x(Zm8>s&etV|nyKLw4>&$Rt&;Q)x_8|M=Ripm<>|H&$4TZW1 zvO=ZDdtNY+ws2rQEt4o5=X=DrLfQ z3^QxCkeer`ifE!Ey*L};2!>i_;77I(^f*K>>{bQk!@1lIyPIlEkV*YtHhMU=XcUSJ ze`-9Cm`v?Hvl=7!Efw#z*Gw7Ovf+XW&kHvEBwH^b?jx82lA_|PU!=GFv}tvTo_Q(4 z`e8d(CToxG4(y_~*P_*A*(Q-RTv2trj$d;aTnfbegHt=W9#UNe%rRII=WgSm{D7@l z`)jc@c=TF4@EuR+3y@bDir- zON?Y;+x!XQY!6dKi@#%b#gIa6v$wBAk}`X%1}N%ak{3dnvnuaj-LCPa*{rk!HTw2M@c|JA=LY?At(=JM%Z(zM~2k=n=>^ zFeKM;ToYaNPqMPo-VrOF!1{uyFpy=2T{*zTmTMwR@o<`f(JW*a_M*%oA5IObMwvBo zWF@ax$l+}nfJCIS<4XxSi3b#Oe~@ECO?g26PeUeQB&-&Z>Po$ULv;K zbNHz&#|!ZNr@jc^wo=a;pEbAFZs5K!yv5C>q36xsW`I`R=cvWYylMLLLO-YHJgbS9;gjOeTXy#LzY20*xcOY~ z1ebb~`eI;)Dr!5_a7yTq`T>;Gcg0<_&?tHt-u^Y%gZXZ@uj-f<5?QrIbDy10Jtv-THs_TR2r=}p7rA?!5INcMMmEBk^B)x<>HH%$T|>KE-mg{t7H!TXR_pf$ zpqPE5;pBt;g%idq`^Bz?CkL*vBrmGssW-&xY<0(yHF-{UFAnx$ZlAud>sjc#lD$8Kwby{{ zPy}~~qs_bN6JEF0eoBkn8}wL!l!mI_+kgY!x9n7K*;=?$7Uiuv!8Nn%<( zNp*7;X|tNuB|O=M9q_?qvJFEM=-zvrjQ@7H7CLVpDRh{^Su!G;Ym1pii8J=~Ni9M{ zCkx6_)#L3jqN#UGzaC(>@*L!puznrQ1|wEIFA2{t&7!s)1z%?HI92<8q3|vFUFtP; zdU22UySgwKS=Ixjs`6t$OJ*?_nb107W}Y0n*Ytoq7}0fj?z#oU@_W{`am z+~(S8hEN5b@(Y|T)-)NBnBi2C_oVyzXI<}vYJmh5L3vTTZc-e3F_Khi=dqZRt99Y^ z#F*ogoU*D1;e82G_U9y9ueGan`!ArIIn_1b&v2GpnB8C^(b2|uKiqSt@1?E7u4ym% zTS9c)@Z$3_=kA|QTQbaps9c$ zTlM7dr|l!m7%@>eYTj#5=C3|0LZ!A*3WWY9TbcY!T6~e(+|B*Xasy9-7iUj;pV-XT zG$xIT_;10826$a;gYGF>uqrKjS~NY)9jX|W*cTuKEWm~MH$#!jRotcW_$bM_ugmo` z#3Tab?Bvqt$5nI;d_zJF=LaSNUP&5y*xvO+>_9(7$b5IO55!(g;@Wm1w*zjij~Y=c z>u(GHB*x0l&itmq&eg*sAtih4^!2-AGjnABgx+7ORLoqYR_jK4-{-}b@zMXq)JCs- zoHBFYa7uT~N3r6YzgbM$#mN&BKUiprut|;c*E=p4a)HB(=cG`P$x@>6_Ebc5J!U%A zA$&YXQl}NPb3|_BS)I|))9Q8JN9TEQ#k_C1-{aY=gR=D)zw?1gucavjz3Q0@kSPZQ zD<6W=O@e~`NP&H7)IIN!*pXUO3##QOVi+$=%)h39-QAclZQ(O1;H`-PUdQ4AC1r9v zB*U0nq_*4LOgX(f;o7iGnFq+pt8KF{oqt@w8lym-?lFcNm$TW!d(1l5$*HMjeQ^2{+>~VLCKG#jmh#2sj;EgIv+oq4k*t;&{_kNEr@$N5txwz}cISAFBm71og zj}5&5s%8EZ_plTovgW2%GrsmX%G1rtpL;B&#`BpI-+3j8fQ^$5mowTh__dM>OM})U ze@(h&5nm3~UP&GP8VB;w7_O<68L8Dz0v>l+b=>|M%H((!1}nZ(%uWp&Jz#gFf`>;2jE3#q4{VAYET67^e|wh|O`G9?;}~LZ-g^5lqHKE#bt)?elfB zfW;xnd6F}e-|O{ux&K}P<~G#BoPZYD^LYf=2lEJ{3W7k#A+DL#9kMwyVhd38(FD_wp>ACCnVi-@4LU+ zm>tqHQs;ibi9?ccHk_`obE}@||1(KXpp!6m@o=t&pwEoM)XgkTO+zBQB(}p(_?xBZ zflHt#SD63T(8F2UH^i9E$6X>ZT=kO+yz*~z4NPqdf^M%gozC3|oK_yY>5=REIdEqLmXK+cx=}5*U0m8Pc!L#Gl!47ohyx95uZK-608J1K5f&8f1>Xiz1yXj z-HMts@z1s3%n4xf>*(@7m}J(Q#R3gI10hW5S)Q`MT0{tz(?+SzP|bl>EY<}gKqFEn zE2w+jc0c@W-jg5WkYTeB2`o9~cB{5W3WdA9z_6)7?M)vS>hR4o#_zJq6b6$0mdMN9uav=LW zZpgjlo$}0Q$F<*CHA9yA!gOx&yO~Ft-u$o>^hTbZbcrObr#Wag8m}afn&R87=!P3I z(-kKljOt2WuwzNL3;uCO6XC(|1k z<=!}+A8K-OK?ENq$H0xIlP*4UfGk4FTvD<$rH!q;wqWW>DqJ(5L19<87yKTKTh233U$R%$j_fD}c^&5XXs$!)sD z%-9U5Bj2xb#q&%-i;3=`oxZmfi81>x1kaH~cs17QcQz_2zwQY_$kViAhIc7#w?fWs zEF=T89@&^w;*r>I$c&UL1hFHE-S&)~HEb2J!23ezk*CM=h@@9a9M5F>!=CzJyu^%F z0FlwzH4yEM4GmK93a8kn%%FZ*iR71~ED!xu+DE`OsxM@v3Lg!a%24tP5bz)yAgA5R zu5^w6NC}lTWEJap^sO4RalgrVx+OA#9s%5P^=2j0JQ+n|<1#D$(lH zKK-BMcbm=MQtLk-kRI7@?v6h;*57!I&c6=YpUYt$%zr5l=JI7|HhQ}$He}6C%pwx? z+$H{A=S=_el14!#so6P|K+GerqzYmK;KAA0$*l|P@nj1UuC(_ynlvaX7*~4qH|iAW zS*J<;IUOuYxOQA4K2DDr4P(v<-@5o6A9QY1J*>0->R-Km@^E|UKVo=)Wq8cHdP^pJ zfA_-HdK<`xM`zylm-idhsqhc`NU!$0EYyuve{$hp0}iLl@vizk7Nlhf{o^Zz)v5{z$bUVNxreX%k1U4Z z6=>eS^0@73{B^Mk`)2XpnfR#lAkXJw?*ZEB182R0y>uD0GaN`ad`KkkgemcX<5&Ky z79>2I;?E0px{#4Nx#WiI+}~(5@qtcXkAax0mXIuu$J$LdAWf= zP>t~kt^!oh>By+^mKSJdj&JV+EnCh^2 zQFWokzy}v#(bJQV0FzG5zgzjf&tTDiYp&<%$8F$Gm06k+AW^b1IcW8@H`CuLi;7u1?E(XBxXS%_B`hP{BA@j`l zsc#QP(E*=q8NG{Ebq4KTV?intUzYYq%@h+(DZ*W1N|KoW+kH=hef=H-1;K%jg@Cxb zoeXTo^-F^+lSeXZ#3a;j;+?|(EFRHJ`@b)yEWgJ%_Z#-B$mntyNjdFV{uy#&HH+2o zt$1@8TryG`{J+c!$npK}TTIy3C+N{?UpFoV_2u7~DMSxie~bV5t-=pPOZh4uEzRZM zB{223p57vkE8H_si%DeBtQ**9oId2EJCHh(N`gtUYtQ(GB1_(kjNso<@%$ZCWWEe_ zLca+|21LhB?XcdQEsbsAU>TJf7?(PmD3LkE8D$4fxY&J@wMR@~-mO1bKyb*|KGtbhy+r72w*xQ$lq@a(E!M!FqXaC{?-}Tfvi3v&rveh4SruNzz}G zk7P2YW0ldG{vF`KztV(gLAA<(lRI4D!5xchT$2?aBU~wCoTA0c)bH?;$n*Xql2hI0 z-vOpDqKuK4Wgu0SEKanzv;x)AWB^igJGrfHIvO=-aVY(r4}~J}9~GCW4s|DX4<(gj zl%Xf24rgz_ou*c!7Nek)sYZ6XV4j80{T&T<5wfG;?>)sxn?mfJjAFqtx_yjV^3i%f zZLr|n?9@*Oy#Xn}znf2y_21{quQ2KH8`R*aJihb&m0&gPulCh|Aab3UkUBB2 z9454{2%mTPJB6?*iN6~1HL}BZd8Q9KlM1E2ovV0)co7oT8z$L=gCs*0@&2< z2mc={bU3v-<)a$Jhr7%jxY@bCPl&a8?R>s_s-L9idH3w&rZ*%S z!2Ca#Y%;sCJD!?eYkY=7rjnm0xy_M(yrz5oBmuw3QN_owm1Wl2lV$>~xr4%ESVoh% z1p8;QcfhH6oBv1>*|GMwB;Ny3z`1Emt!wV~25Dlq<1d8HZ*IJPrH$;{ky2wT#Vbml zT#^9iBC$?>_{JVXFDO)J%9^hl%CuD1yUdf!{trbhO3O4VbPCrWyb*SOr^)R6%Gxu$ z$p6yD&t@j?;+vEW|Zx3{{x30KZYn?neNyU&XW%+(M<%)VMCZ+fWU7s}#Mw80mDr@on1On>+ zVc%=J-YrYi{CGMM>z^6e-3_t$opNQ@j}=0^MK(A3a0gBE*@+QsLbC5wYi*+Qt+y-v zb=zblLbnA$!68pVtqxCK$x zoZl5b^4^v7#A|&?-jm-wU;pk$WZrOpz9S3e$p6!Ft4Az=5-GGWwVcwHh$UeJ1xv>! zvKdpaw#a|{OffMr*Sbbd4i1isu;0s5@mb@Ng1Q@bUtgc(kqgDj-X9(EcSikpCO(Irnc}!S4@95}N-93+Z2F(%Xt8ru+Mp-+x)x|JM=zd-~kC(vw4|N0lBSe`F*S#VbXP0{<6(h8=?d literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0009.BADi_Z4m.png b/docs/.vitepress/dist/assets/mips2-image-0009.BADi_Z4m.png new file mode 100644 index 0000000000000000000000000000000000000000..023e78817f7e877b66678c341b90842e7048a8bd GIT binary patch literal 161418 zcmdqIWmH>T+b&w5K%qzj#X^AMTHIZNYjAgWcb5_fQXGm)aW7sd?i7bYai_(#p-|ZA z`@Y|^zdgno=f^kBpMB;SN!CoV)|zXsHE+4D>yA-Vk;TRYVm^EJ3|n3ftp4oT^QULe zkRx88A-=Jyty@8SAbF_ENDGGaFx^Zc=imZ|L+TF z*rnX^*|VpQ@?Z%~U*qF7R6{Kr3iR_0?6%Z`@TmBhwUgu1oR0Rdx^3>x8hi)UBpxDb z^Llm7%Ys5`$EVI%lBf|01_wctdkn7aZ{9HUZ}{X32^=iO90*@@Px+Cj(S$<(tGR=k zFWCV9)%Mu29{+0_u&4I_>kgB=+GDUY5{EUG2x&13;G>Zr5sx52qeglttg$iM&b?L{`#W9BOMhLl|Nt%+9LlA?35DnaPuP0Y9$hycXHF`eP}db ztT!gg$piNh=zD%f1{lMGe7|{X9vxyY#H=X(XAQvruvEeVn5nX~2(s~HAU4_h0` zqey24gTdF^*Vj$`V;vYyDMJC&^-q*5bl_{poiQQP>eh(H)S&Yg6U4dy)rvr|y<-0t zb`)qa#x|x-+#@m8g{V?#i<*uM25_F{y|vRre}ATSg*V9SQ5ETo4*i}yRGXf>{1q>U zuW(;)NJ&LiL{wH*My9=}Y@5x!P8#+H_leXgB{|9y&XO-UXe^oxXIp#$2GT|zedT{z zf=Zd;6{Q|9+RU^qnZ;!g`lapp;yFJbH2aQ%VQqzNmFKoc#=f{Bfw))155~G8C$7>&h*K zup%qhW%m;L?2p&>iml%&BJShgTgjwe@&4Naj>$Lg-cn8=KVwx4gN@mF;=fnjAwj8n z)y(P&2EIrt0XVgo9WMLt-BK;&*|TVbXR|MK*(h0~lDaj4JZKpW(PfQFU;*^uzeBZn z1kB)ruKpg$xHN}vKR+^?uV^!9(UxRRwOFgzk5QK8wnZw8#-cYy2Y7whN(*ErJ7zC! zBD_nxX)GW158QK+nVZES*3*TV1G&=kV*A=;$6n%%rYOR`M4e1?*P+pZG+k4N3FEkS z`lzXSQGYf_Yo4iRJNETTM4S#D&u}CHqXzCp25_VY!>+1^*<81Nxyge`5LJdOHQOmyLm;RByhwKg2 zWIqKfUB%u2$s)Lkb<|Oa_PkWVq6z)qd?whL#v8YzJc;v6u;Uva;AWtq=QKgs1(LLo zrxPu4n18MP>w2AD$JAFKi@vQ_DB5sRH;x~q$s@VrI1!593!Afn?mWNEQZWq92Fwy9 zqVcl?_VX2@zUSQ@=9xr%Z=WBrDGP@<9*A57p$~eq;<9i1H{xm)Dhi-CH3QMu82AZO z&Z?%H+C3rL4E{7465F{NdXY~`vw4XDG7+cZ3-97 zy&Y^ik8BD4aFKF22GCgZ3v3!vqo)S>*A+w#Kh7M2D*Dqe_1i{VQYJD37(i$1i6K!+ zPG!BrTDj~k^+3M`?fjbe6V^Fx_%I2Z8-9l%T^@U^>g~u;XxhCji6$;sz0P=<11 zd#_~|xfUzcrp5uEI#1LFjcL;zU!CFNELhBs5>LF;Ssc{_KFs!^_Pf(|j#qyxelo*< z$LlIiR%2BL@MXsQ$Xb4`%1rM`w2_p_!AbblXTW@8jsXVjHyMwrI2~(&THOAcaqT3r z^qZwpB@cLjtRZz7Ez}1}bK+sS(Xn*Ail1`M22@lB6-m0$SJ#+FH!ivm-uuzc$33+3 zjHK*V#GyW69{BWBR|+=yX!qV$q!lFcnDq|Y1~Rr>*B7@RXQe2U8Y_%jO2M2av^&a#0CZUgcI~xwE9_zeJ9Ds0+Lr zrM`jlE8JS7GFC6k2Dk=+_1uv9CUGP;5Kyov-#{TuEDO_ndkLrdH2mvc@-45Yw@=S( zB`@88p8 zBKVkoOb^KbKgRuIG#Jo5JZB3mD1|()n)*Z4adek@0J+D>vPN-RGC4|xRcW6-lYLnB zRTU=qt>e)r>s(1Afi`N}m$2dnA5=}8bHmH?hH6y2A6`R(G1=#%eKN#6WdHIWh~XxN z0fC@B><~HlJ(Uhy572eEK%DA&%VTdDN?C~5_Mz>@K$@Rz^s-!TZ~1ZN>ITi6%1KHi zvFkfSl$2$;RcU)lX6Jdi~^D$si4kq$D(!HULadLPq%Y%2TAmN15VFmCS2TbwZwX)-tI*%P{o|k{Oxf z5KBqjqF*+tQsn3?h$qZk8zMGpITST4vpP>NSjXw+?2zWwS54KDXdq1Bel%bIlRqnR z2m8KsR8wMM&h3PShD$p?7Mz{J0pC{Q{*XIQ&3<81rOBY76pf^E0ZS8ZVn~Hw4LYc< zC-g?!n7=(cYZF3Vt37PE^#%>)$IE={0-TE$4U^q91F=Qf97Ns~M&3)z_@0PkiHcA} zoZc5VFt}Z-tqdUQ5OW7Yu)3IEwfvS%K_b?(7Exu3_5YAsbqA zEy76wRV=(rJE1BaCHYPXJev_+5MozeLa79>*=BCZ&iZH<9eU~~S^10B?+{oX`6VBn zRor~>%A_3kI(}GbhBEY%pXi)Iyiex|o+a;Aq7O&%(=l2@&Nbb z#BMS;nibr(soyHUr7*-IjofqKH|^xb%_Q#V{EXI!-Q*wku`hQm0{m2 zz%n$&fSrWF&5UQ%-eTNlKE9ITSE=3PWfR(@HQL1%l-OfLjZ(@D*o51}`8e}CahK{& ztZ#qn-TY2z9V{%sC#V0u4%NSPZS>DlsF7F`7KhmIzWA z&KR;;xk-q%|N3`sOn+arHJG_BAl@G z@Jo&|ryRjF%)BTNd*w6J`eh4>4dZfS zA3c@Fm1S;Ve2)5K@So8`^G1K;=)C+y(&~5jps!{2~V0yOlPBFYs4m^KQGxJ(p5IdYgucEyCSK;uKKbCzU}B5uN#! zv4J1;MYed_ErjOhU96SgpGn&Z#X_6>D7bxggY@9q8xil3q_%+p?~45A0|OeLk+EJt zO&ZyuC(4|6F@10bLTr2|7rlHrPhrA%=V+=KOP}XVj7RtD>8@;xj$G_IBOEg|E%rSs zcU;s(#S?8+54qxB2!y`0?&F`D3+TDZJ7#zLK3%PPD`iFhlR%BV;c7vn&xcErzpR&j z1WQoHqZR+`*tAsCS+zfVch2ezJ=%?hX*IddRzm3W$<|p4`&$V>97E$cs8SX)SK>6C zt?zo>r1+UmV0~w}Uq_MMRP+gI*ZH<%<6jsx2kP%?Jf`z79L4p2HFEn{LrU)L9%1a* zUrd-_n=%vd4)xP|Xg9vHqxn}ycGKmxlBsN}_$B9Jp~6LFn0AbFp||(9tqr<%*Dq^T&GJf9{pfDhaVq|MC<5AAIo%BEl|AavM2@eI&_bDaQcm(ojhqfl5B+kEVNX!GUq|F=$o!?CY}x*kKXTkM#T`Zkx`}qP0gI|%@DTQ3b4Ud!v!`;KD zXR3lqkBjc;xCs?=;I}Z+!yYgj=T8B2-(|hB>dL+KCN>%+cUt8+)S3jGb?7CEF;KpF zgY%>qSjE+)`46fAd6!jm1K%zJ_|%+AX|32zFOMT_q|a^L2>&d@H`RrHTfa^m9C+0O zDjOa+Dy6YFs5s#{)L6%W{cJZinM1^F-!M=-=uge)dS87UZhCg7Z2fQ+$kH;PAJ0*y zUMNXP_J#Eak_z76y$qSgjWbvRYXjR%P0ob@X2UF$efX{H>Cs2DJ!W~{*d_Y0AA zeh@5#dX956GP3*yil{IsV!>^!^1zJOOy2a;AYXX~?SZU_MHi9M__1L2pM7|hn=WO| zZT8~_L*VOFSLT!DBaTel9qmJ3Jo4v94deTcEI&*+cYYN^;8fF*nKnVG{B^kGF;}h( zXh)noZR(}}1isL`l`Ybl@-BMd&MC1Qk4m@~NbR)Un+KdYBTJ=r&_;fMx8cm3)$r5v zxjjWf@53v6@(;=A7rR-GXYWYZ&m_5j9w!V@XdC_PBOfk2IDK*bxnwx+1y@mx4Fli| zH=f;eOS&O<`;SL|+D+DG6T%Os1m;H`N%({0oTi0}F}lfPz!4T4x-_?UVf?1@t-9e7 zE`6sf9EX&*NAWA#TwK{onV$TZ6ziN+><}W!JAR{XQkXG4_Iax)l*E)b^Lz#3=A1BO zTDUYRt39ms_@ze;C0Kwg#y-HJo~~w2cJ5^{9z7#|vSjBtfX?>}s+JC&t#ttiJ!q`x z=^_7w#hsk*9DsboppPlMi#{^Z;TO~|HlW;vO}OI(h|I{}&ENmKnblfki~LR(VCm_- zQ%ceFekpxFgBsz!nDm4Ao2Yq%HK)g(pxr)8-Pfb@1`4=&1uil96IQy3-YE`Mt z>&2@lFlF9s-xp83P~zp1zfE3bB}uhEQh8k{OOY}ow(+qjg;pz82oR?x1(IJcCV z0=YaFr){;$-z(;j1`uGOVT2V;1`qlh_Up{IUE&ZQofNQGQ5@g>2iX8;u};3(nzf*A ziOO`P;ygj*N~1Je){z+KhZvFKF)7vW?7CnWYU zLos8RTqD-rw2Vaz@EQc8IF)B-99Mn)w!EL{Zef~XJT$T@tReGJO|OJyp%qH@SaDL# zf^_2}yLoPL^eL4WNF)?^^fBslV-BAD15r)JD?EBihVPMGxJoZ3mUOHIqQevT_2plp zr!`8_)vlf#P@i9jSP9^#julIj1Y86G2|)APCe4w>VKRmjrNet#8s(ul)Gui!%bt(M zAP&I872D4(h7 z#HovE(J~)mKzX;u&ZH>c&hIeOT2A(1f2K!~`@wMFU@e~4;Ag+=8EO4?7zt#|f$<)w zvyNoZMV9*Un0grP$(_JMMowAiFm;b!iNpW;B7TUet5FiNCPb8wstRIB-3*`u)jZH- zrtzeDadN@Su3QH`$_S<^ffxelFzC8SNFU5O9%7NceOi|HVcL#-kBD{^@E%g?_kD@| zpEHb4Db@@gFHZV??S^r)lW}m4HhiJ;l3Tvl(JVO}X z#%{ciMy}0`TER2}YcQEYDSw*DBA4UO0g{q*Xfh+B>}tQ`Ez!(+khINjy;dRj%VMQG4Qo!*)){EskN~mmth(w7+3uUFrRu$NQqhChx$Nwetjej@b?ccS+eM5|_KFT9g zahMkF2~l6ydurbKdRYSgup{*{W&T8YM$`A^|8W$$F|QE>o(8D=G?y1}O3D)5p} zv?%Gj;{q+px^k9st`l+~!r(2WvTi|5L>68RDget(4=kf8m{yA08s9P07>{CzX(E#C zni47LApv7X>n@D!)58W`;YSQ^QLtAv}BVBDV`HT=vYi8;U=%~p-R&_=N!rM@8!>VK{Z z$$BWsB;?6msOQUayGcTN`dm1yZPj!f?sszGh9SgFxRD`C+iOC8ew9bg^`QJBCL{)% zNt4Mo+8DK|oPJ%W#~Ae!MA9`5BU%becgtl!dSxf>%WF3C2{8*Wi}4BxOe#h_P>;<9 zRAHXM-Jg~fPkf3WlV42-QTy+(E?1XY|2WkaheoB$URs2i_hEZAuzj&gx( zKG4q3lJNC9TL!MjNlXAF{ATukM2ATr#>0$#M?Vsf?fm0H)wZu)!D*s9U-K3|tGJ8b zKJSWWcc9V+sB#`M8{OH(2honmi5ALCT?#hIrM4^3;akIYUTqilgWW(!u|pSsLT#G> z7{m6y3*1|oA>d^b?fAQc%>s#E=C_BLvhk8QOuo=qjYtM+6lvp4e43v+z58W;KAc5y zHF_gBMthvvudVOEB-yf^8*eUo+OaxW#(JD2&>V481xzN+Dx2eOv^JFE`-&1WbW%s@ zlIS;5r~_HOZI4RTg9V7Jnslua7K-O?i5=xN^#V^jkO5tupsTZPnJD}1oG#X%iC)sA z<5Ih8{z*O?FSyJC3PyY=GE-E*X}W0R2@}LuQ-z__&hy`Txn>k;x{y$Uit2mRW33Mc01MQGGf@(75`xG>r7Wck~t}xY9cbZalOKOo%HD&Qqg(~ zplRA~Det5dXb$_gr>#R+Zex{9L~m}R=6JWFVO1i*HCv&N?F+A*2(xz;AJt8<(DD~| z-rM@z)SkSvl2%_T1Jgvb8MlBf?&ju_FD%T@PD4H7L2A4Zsq=;qZb8|f^&dM4izW!G z{-F}2?d`Qjq9XQ^Cr_QQ68q`H?Bm$Vq=QMnO=(>OIjIo4Dzeyn2yJTOqiZU-o73cc zc^&gTL;3xV$(ntKxfX}ltcMNf=Gt3Grh{E~<%QplA$*zo!bg6|ivvjiQouaZc7*Pa zF9t68P|*>9+Wwp85H4!6&##OK`*9WN!tRiV#Mg|dPy5Ck+s%!)3{~>Kk&>6=;tz_Z zho|iKl_~r0A{8P`^xI4`<%U%=Z~U*t9Q&rxaLO(2*k{zb38K`?;zmXcIS@ITRY(^3 z!(2H>eJnm5(LzC}mho-s2wy)n7O@8t+8hP#AGa3UVDyRdN-1-mZ>GLp^l_{uF>Dd)luyLBS7CC2SK{;E1{?;h8CNbJyilpe$cZ2B&qnsF z6YYxrT$LJNdg&*ft#?wud{l?b%CrWr{l*d|UOZ~C9))+U1KVO+#!_@frvhLHE)3&; zjylW=%WiJvm)to)CoVSn4AGnb`Ul@-8m@5jod9(aO4Ub>iol0m3QD(%sh`Y=SDami zTtiR=vr0tptAP4z^)?f-0WeZ0;a52-?Qfd>u-i2`@Udpbj=jT~)v=KP!AP7jXbk|0 zUowryBBO#DJ{MB|{+N2FS8iH1XKw06@7(1P;C%( zrE00zULnmdi=Y1PYRSUef+(&9sG)vT*&o}1b7)Nl$B#?ZYU3-1isMoN~oEe;6M4FgA%(tRV7vCQaMkEhxl>`J5hq zMXRNp`U_(Li%sFrkDv=Z=c=B3CC7;9&nVhF9z&X>h)Wd)OPs|DHmN!x1Ji&mX`f_C zNaL;2^y3rKa?W$38zqzO?jkYC#(%0Y@8MEYDMSHL?AuMf-JHe{`;PD#8J%1x1|hOj zZV!XU@PsASv8IG6+s@J5zy^N~+3KoFM5wDWDJxdD6E~W-X!U;eGn?HSgf3(#VEvYX z&6s&3{)Xl}V|kAX0QfjbTZdx+6xb$%d{b!vGF`4EqmrGwprCWBUc(kVGRU$vR~O`V z^@z|CYqrV}5Z79w^v)*-G&u8A71_x}NBJ=QiYSD4v;n*5+Se;Qq;L5iZB;ce9vUZ} z_h1oO9ys`e7W~ou4>#i()Tf3MO`dbd9!jq0eAFPa>R9oNPECTDbukgMY;|1mtq&vN zifOj3uTc4x9kc62q_4|PAO-{}zL?B zC4wrt8s9J+js$j06?H%rrs%D^?Tm3|bjJztCQPiHzyC$s99oXL)}aoY{cc9Ua>{|H zgLjB!@h{rub-kU2LbOZ~$NNly$xui@|5hg@$f*tpuuJ15O?4N)ANUm`CY9}ubC~1H zLe&t@C;j{3>I46;N3FW@0GaE%~5Wd6Yskf~)Gjh7b_``Z-GON8f?&yIVvzHEKm`6@>8 zs9)_;oF#$oj#dR#$A|2T+%<}$b}9@EPQ`>gJ$!kG0xZ!^3RXZR;v=(8{njIIJQ3MQ z%_S1cu`?h=y@+dkvQ_1dB5_kcwl;A3yqoHjmDRX`Jxhh&QJ zfcw-3Ri+TY;m^|jLQc6f-%cUCNiJw>-b*v_qaZ&(V ztqHhD+k}zrcU_VIj&2d_FP+qODEg*+$aDy-+e zf%r}BR9(2^E(+Bd-pO`q%rBF3nB?6FfMEweiUhE@Q7FlZE9B`JXEGKWDEX0aBT3(d zjH*ae^K!aKZJPybX-@AlyxGsy@R#lrJWUX9W%jS`B8$E#GCbx8E7>JhJXokRcb?&A?x38@s%~HW}FCWh9k0fof1WAUp4i zYPbs&;HG_lww?Ei*mofdn~p_*EK2osXantZ*DR5I6q8^R9z=c02z!McX_WZ33LnbH zeLc^FF9$^nLQ8Y z!;#+RnNj=QKV(T~N2hQR&$nGKq|g^7j&e&{{Y%9#yJ#?NL4M=&O^;Yfp+Z#qflc4kYd5TFT>C2`C}X%3%=7rFOqynIlThx^^UqglJ@aQ zM2~LZQ;&V17^K4C#453|g13H$V9X-grHbFoPJ}4OCFSKX@Uy9w6TMPhiPRr%F98)L z$;?>=KGKqs)*z-`l6QHI4u*}Z!|E+9l^DpTS;VE!w26dOwDSqP}W%x+XkGWRd>QAPQ4F;SNHtVl9n6;k+3+ zWtb8ix_V`9(*v9blQ$$gd;t%0eFW(C0;Xz|Z#&7r0%-~H>_^z}b2%1m z>5&vyM&?1|w)XE^5sf&$d?B-=>$@EJxP~#kY-4Y!_4E+a4{>*2|3OK#IBJ_Zz@7cVIN0{^&Bcw8hVB8+Bx zm>6}rkW0c0vghAPq#CsegT-^0FE(;J#y@V)v(8ehJWD!h%H@;xip1fFUterMKz<&`PE5P1 zd?G3*FWCfNJ>j#MvD2X$GDu{*#xkSiIP?_82}o8tPU7?n5z?71R2DQ~$?{XGmWElR zI(@FUi5L^tU+ze^*p;&-+{bsvl{M(fKCeR7;4DY{mOnIW>}S+uDXjH z#`BPro2Q|0(gt#QS|FZ)DHyy5XB$Mj2y2ZFjV4DxPrPM{&hGeG+8p|>uvBk5v1Y8Z zvr;Y~EWqF3g5x<^8bNZH3?IbJsZj_yE%heIrCYL_Dl=Y}Mzy|(h3>tLYojbtfEA>T zF`=r)biQyri6Q^6E&cz2%Kl_I%hXi98)vlOWxM}+qkqbgeS5e#$=Au{xjyt4_bNfc ztU-U0jpFm~ISKvgP3xx)d;V%HAAU#fG+S`MFVe|{q|E@fa;qtnjDB>>bhTrWnAH}> zSsqG`igrRK>5-%1TCVsc;9nYs51>6Jvprfv3zV(XW!MmhcSp zT85-yXxeYbV1M0FgQ%ne&(mbe6w+s)&~|a4J^vWn>oYM|9?Tjr$(pAL z&4-g1@x7C+^CjYhF?5Ubxgd|^H)h)Dh+x_>VmWSw_cUmMzZ@bAi7fV$CdzTx4`0L1 zZRkj+w$^eJ7n`{qjccT?vZca;{|>}L#NqFUB@P&jt~-sFH}i$hFWX+exwKW| zrNlg+|9X!G((IVA1@SOse2>e~n|N(E;7z*b+~lm^q|jtEc`Ep@LPIp!>Mo@w%QxWd zC1n|Hn0aftAEPti79uja1m&(cIbm;;>#M2PIU{u&lmQp(i@v>N3>gQedJS#u*S5_r zV&e+6OKc2cC+hR-`!7_Got($(%o-P89+z85cU01ouTR>ie*Gwcec|(R=6%I+iNY`4 z!1f$&TLi9lma%B2AdMclThVu+IAhx`ge=YbuGdMC|3facU*hPrw&-HF=(zf8Pqsq5 zf-}>&miQO1jmzLGo5Cue31KcVd7_y^>~6N!&c6DmDS1W2g}y{(bn=@ zEDKQya27r9_qcmrP89^Wzt8L4SGRY35iCzer|lD_D*e`-c2a!s-WklW#aRRNx?JA+ ziYFLo&%c_ z0jD;Qk8O-rOq*zKR_d>brmMbA@Vc^x@MHi#O5f=)wi3o)IY3ldiK;o5bMz5b(8|D? z+FMlVvAF5G3d}fa`)Ck2gO)G(;*`p6B9XN61YXl?S96naFb&q$EF;7XKl^<(BeRM=wP&dM|{+MiU!Ov}F0c${FS zC=73U9;pV5Ld%sP?@hhY_@Q$r3iC87$F;DA`IMI^{*$Nb;Z->FtG)4eKtBvR`b6KL!^@v6dUnVSxK<)$>iDT4RX~ufol4TScG`3SNmW4x$5$fhJkLFC7HjDNwhuek znZ9eOCBoV;&U#fpdX~zA@E~OmGaDm%tchG-Lpn$)_y@<^p125H<M4c)~ZK%jTujf!%`!0__ogod?*y%R;uvun4 z$zuQMxL=d-%K!nR%iqV_<8J))V}%E-LeR$ulm?a`$MstjS@0=buY8TX@1&j~xCb4Y{_T1bXtx;ZkHHQSkVu zHGNv$W}1UtJq>N?K4h0Z%lk)cqKfvMGmHI44!O>pP(dz3=;fYA53%YBsw$o}eklkx zbX?D!rwBP*Ya@*?K8ROEXZa>JZ!sp~@d4RzDoCl3GzH0H*X~MVi3A}Z&dHXsbY&Q- zrmX$7KxAG&+R>1e$v;)*0)`1wLOM+UTG`#PueP3_Z9_w5P4Lxea3x%SdvkZhHXbxI zs(5ePbEB+XlQHY`&bD>h3X-n2X^VC|P4 z$qHtTaXO#2?(H1C6sY|>v$r(IZLgw!otCVpXN-n*lMxkPw5!uk#x+J9cE59T*jl|I zR(h#e(@58QU`QiqG`$n4C1rqvnS%+H9{w|viJ$(Ejw|HH(n{o)DlPTr8+DScD+?M- zQpwk}X`KxNtqbF`%W%Q)>5ERcm7FeQAlrLAm!9o_7GxmPF0*N(XiQOyS>n#L2B

eDN$P~+5{!G`7o=!8`Q9|panV}>p!=8|lKC!w#bZZsz7p$r;wOFlf91U}L`RoQ5u z#Fhmq!7s-GUVJ$HIN*X)Mybn-3xt?EH$nWQpCgm)vLu>{qUDiTe%HaLA1l*`66gia zx*VRX@Q#OHiogDH%eQTu{H7$bjh|ZEFi!GH!;Rm9%o1PPeQ*_6e$otYNa7M5xhaX3 zd$?VHWpzFH0^fNk6Ht`#iurQM@-y9f5??-He5${(3Ns>nZ4|jXO-)I%4WuG;klLfT zOxgeJK7D5I3KAvSQ@wY<_|zokvMh0JQi7LOvFnwE)xp9fzb=$|&+lIUFbPcc(3YU* z#1w-FTx-z%8L4#_s)Q`+Ve`3F{z0f4Ntii#_S%n&{+n88>}qRx8BQ+Mj43_H@pi8~ zT#pTivE7FDT>+hmM}}`S3d0YtBbSugd}e}=@V*8pW`B_t-<7+iA(L(UOy8~cbm+~7 znaFH_mB30BWK}*YT##VCh{v045i4Yd{iR|*!5M<4rK29LNu9?Wv1oXByE({LadZ{I9wDk zlhAw@b2s?2&vm&Lmr4e%Ao)jQ645I*M)>H_+ufw@&`@8)wT_3KNsmxfdrS_QuT#&w zKwwmP4DWYEnp<^>U94;--j>P}zXIXa8YO~1dX#$dr^wAsM^H>>@q_|cL}=37E(zJvVX;KoJ^n5 zo_tr>AljVSZo*19TeNC+&AxT-UM4hoN{b`nUoST)Fg+i*)n_oACQR&Z(URJF)qE3C zImW<@&`n8dCQIr^0cmsVn=6=WTRo&Kb@;#tc|$FXd2(I}2v2d*SwAQnmOy(%Xh9gV z5z1f&#Vn3{CGP!q`ct*PBQb%^n5_C2E|Lf05|TZJ7Mz;Y6vHLi5 zm~r(5^_1@!&6sV#1tPc4oXTdEDf||{o6_~S$kdAOFU!}^CWo@VLKfB`Yr%d~N}f%T zxk;4md+vHs2NzOs89H&L_C^~rJC2b{{T0)f8l7X7h8WIx=2!T=Crzi1?9Nq{n{MzF zlnY`VnGL?H8bH|txL?a~g%>OHlUENDn@=@4CJrmPum$AEp3`nu?JVj!j0?0)e)d8R`hzd zu(4|B(#JK2#DZ;5|3Ag2m7nd-jYlI}MpeI=yHUqF;ZymyIOloGo0Bd}m;R&kL`>8D zrc?KSg!TW!acY)M-hOr(*Uk|Q($}L>=w5C2pyuY*{9C1&m77b576H-wTb||L;C|qZ z@07xB6$3q727y5Ao=Y}k>bFT1z1=bRUm`{=!M)+AX*^&%dcMmq5yc+#EuVZl-+rw|O6sQ#JWP3d;F_%?@E>cgJZu(^Zx;eNpG1 zgHd+AWEWJ+ORv5KefP)w^>xf0Xp6ZBF8e*WP1ILsns_E1X%8#%{;YpKKTt>aTa_bv zd$v}j4bLob`(~xUKkRAt>@&v;;SMsP1jE7Hd%*NQBeaMBSm*>}IyVJ~gW2T&U#BY~ zB-M!>{wtOf&Gi14iRo%l@ry;~qr7RqqM7>*i=Wd&3_YO}%(O7Z87G$Tn81sZzL2`71jWPvi*HKVDr!nstS&R^rscN2 zMIHJCZx6zq=u9W-+CLdg-51=8IsSUsjV%_)fu@*%M(qcfCO%X71IXuQeMX1`zApM( z>h&WnHzK6-Bm-(p?(vE@a0WepQY1DyVf_&P9#YRGsV(IsUsipR4do$8Kko3JW1k}Eh3uIM9Dbjr+xFlx@o>l73F&@SQV;~UP&0{J zyLiTe{8xU>nScBQ^~T1R&RE=d1b6gfZj39);Xs;A%_^@!<}6}{1@KLxEW?o zrOU8|R49h?O?OZD!rZxDev7ScE0X?=FU8K2akSVv3rg-Iopu~BQTa!EUH;!8C6A={ zcGt(76kd_exe1cBx+2V*(y=_tZwEedd7SdwM_;VU?GoXONZ_4*Twhx-Z6Oc3#Y+B5 zS6&srSSa*yZd--5Cuc43jeutV=ba7dJxghEQEmzzq#y-*Go1BUl9!XA($D@{-XY8J z&3ujrR_E2=&?P0(`1jDp?97O&`XA{SuC>wRB8pN~)v99{&A)%i5CkN#8Ja|EMK50$ zSC`@Phd#xVN9NU#7MpdI9zG0Zz%8;V%u^4XVlJ)*A1)}0Oz!t>4a>+sG#`iie1W4n zsECBh?U4}qp&FEiL`fJK6zi>Z*v>amuY-Q0Xy*pQC#K@Rd_lPyigEfmja;`turgms zik1M!CS{FWK9qKl+-%uk_uu7%2RVf5#`ZdGbhH0FK}eJ8$$@-l;SwfKQ6i5O4@^YC zs0KE1L(xH>i`E=&rVAuIJdbaAWgZ%lqyOM1BG1$GzH{371AO`8T16l`3 zbB}&WCeF4fKI2~)%C6H1>stCk`cylRq-%w6><~fA5|#I&{?GH5BmMBjB%WALW*W_m z6h+FV4n%!@;B~qo>)LCu!@)7_UNMbx088FEKs3h<`F8**NAR>wCbtKf3hGLA1>Q_Z zI{CpZ`49>O)1H}IT=JZmh4p{B1|`9jM%*1(o6}^zH?dB8Rfx%!lr``~;@r>)W(jVQ)YNTx8V#J_DQG zDwOJeUjD>q)%_#2YZs$a;|&MLPLUsI!Kg+9TV7ljT4%Lz$GiB~wbA^BCLCBsg55C2 zmo1wmA>7Bgp|Zhc4L*Zs#EV_jqWYerOqq;Z7wt8=&4H*bdDuM_BoWgN=f89t4)DUM zsQ}wPgaPTniN89!qos9H(6{1S7fp2!CgJet?uI{^6jUcyeQWZG0YtydzBVNtZWI#H z!3Q=v*hD%KcF=LQr+c|xK+{9^V1r#A(XMjFKAXhO!i|r6Q;`KVJJX-7d;$gS$XoJW zzFTf)?^GZN_n08dxipFrd)Ji^yyK&PnMz+WY}4R1=wAKZ9qp0cW_#^4g2U_1*bpZ{ z+_Iix@tI@b^h6f^*Afs{{(v_ z&4%iK@%ENcar|qSc7y=I-Jt^ncPD7@1b26WdvFi#5P~~28r5fe^&dx_O3?|n{ZD7gPVkt3hJG*X`FDqB}qM%Qf>x=>d)Ql%YIHC z*YFv+me3pQj={gipxw#yL5H(0`7?nUgh-+C$Th^?>En3tU39)rMvtpPpO-=TKs2vH z9Z5ablfrp#OQKBm&%w86x=^~4<`Bb8bbt{w$yr_#!ws!gh%k75(d2jQL2F9KGn_mr ze}szpoyqi25^dBQ8$uouCl)Fe!hPGvt#m&}4rXB@Z$5yK6q?asMK7tIw@~(yt%?|*rbiO>L#i35E+!yjt+_qfWPu7 zd$1$nes!4m&Bon(!Pw4Ls|kr+&jz>O!;F90+w)54cI{LSnzR>VoTkYQY%pjzK%nd> zv~uD6(qALrSN-h0xmm7m~gMm(l7U$Mj{ZP6Vqk zPh?yYpo8h~kFhU_0=%EYO}=>Tftu8`p0i?GN-nWWX0qsDC}L9Vch;iL9h-!6p|8nvVo1oE8TVoV*>uQ|e_} z)i^Th_I(q*{A|1BNDg5wQJpm71IV-u;mQeu0e*!#nvd%&yc*lYj9O6A$9eFtkq(TL z_Agk%mAbJHfO(NPZ;#qT!4dHU-vNGbCj$@mJec;kXRpG=!}hUO*Cmlk7t`87UwVQ> zepucT{w-hO_%oL6$U#geu3kFeMwm2^has$BX%>9bo{q6KmEChTJnArN82U5NZ;%Bv zAMiBWXA>I`9kP==ZToxC8=u%WGuFwn0-TCjCKQEHYgxoL7aCvE*Ifqwhi7INlz=8iFZg>rvYb@JqkO6HH)R`s9EsC7v1#sX zq(Xj#syq5tj_J{O!5zz7glbqFvUdAAHWg!exj5&8;@xxgeX&xOD)&Oz)UVSf*K=+9 zSYkvZzgttAn3llZ)dj=jfPT$gClVgA!h+q(k0LY;CU*~gdLGg4?$h|zc;kaR^$3m( z(pt}q)#wh-_h@B$HE^YkWCQz8f1}wYt^uYoqN=LUd7zVX(8#5R^qhmsxT!}p4xxWo z-MWiZf^Ug{1=rsDIcaZ%LRlRoHHHIB%c-a*|F;TWxr3y-{w|CCe^h*~0h)rArjw%4 zP}|nrzoAG2#5&bq%HuGd5M6DSVjaE*8fR{bq(c`9vMEb$En+WkU8gpi-@(LhzCA;@ zmfYvxEUAf3x}Ef@X=Qhzq9R;Nnkp?2G23@Natt?qPi#aDLHUbHwRWGt=l3BYF_5*e z6|4X)Fnb?$8M_C3=l!&>yN7EgN7r_i?D*HR z{Jo#0X-6z-o>!j69a#fFj}ItTDxXrvkoYz03yC>Cs#wvZEo53@bgR~$MqT##O(s!e zHv&g2Ip=&+F6N?oRCkpydM`VkOBsMW`ZU;8u(KO@?p1BNg5i8BNQhv&Zgi7?DZ*d4 zGj1jaeoXc=9+x*Ld$PuPRiN4-_LkhOjnt^W)P@wE#ak*( zF|$mMcQ_T6k5uM zt)69sBsZb>7ZQ0roPzKX)cI4@p!OO*Hrv#@s{1_;l7~aVQFjZ7Y?BidCqTSiS*3~3 z3a@@WPgDJ^ ztU(*UD|M3nm$0iwK#|{CMnbWRgXL%Vc0CgAs4o#G|=bCYNt4L>#&yVdnNDexb2eT#2dY6ZVSD> z0T0it=W$N0l!0?`Lc`$&IaZ-sj$$Grs$C6ht#=rh(@sM`KL3?&LP)FtyM&GfM zdA#t%SzjzEa!-Xg{>4?!#s%0rb?C^U(;|^{K22>VaHkGbm(}v4ZGk-VvG` zsZ8vo7$}h+GOf* zRdK6UZRxxfFA~NBh+KFyzH6yeI@wwzxIcG|agqEII)Lh-yMHDn>TY2Xu_z(%8mls$ zB&vCyM(nY4n^(5;8~>u&hK99X^5ax-*0nPGC)TYjs`E5E=3a9>{yb|M;#g*YVf8*9 zItSTWr@hBvZAvd_M?d8oBn?WdIwY@UEpZ;E%e>H;Lg)yy^G0`8>ky^2;J>92;sIQ? z4&LP>eME{dxJy7{z91X+eAX&f8@V7h`nJ|Bqk`fy;1hUDMM5sI-j@|Dyp>LFpJN?Q zRIFWq$#?S%r-FArn7LP5kgqq#WdnuyIRDkauB<a^ z*Zrn^8KAs>_SS8c|MM-)i#HLf7F*UYc!|||F;s*v(f5X{vq#wR@Aqv)RGD@-jBx3Mw@FxUDw?nQDqn?{kzZvc*y4Jtzp^($ zVUk?LK$Gn5`yWTi_nvc_vKgF&Dm1VVrleFDRmyT!Q8KL;XC7ApWT4nh>3&NSh3JKc zS)i06#f%!RoH){vm;`c=P&q9SOei7emSi*oda-!vwTZIPAsGRG3!rS{iyj2zS?$bR zXIDQMNo$nieL&t1<>SS`UsUJ{Qn`VQ3Jd30(@`xQ>3$d|+N*~KkYRRG(>)W8J=lFX z+kE^GZ*Uh=iNTOD>f9e>DYn(I?={9dqVtO`bSmlV-#?c(Mm_KSVCL6o>=d-0mawL( z7?j}k8(Ks#P2VL-zdw&(%1YcT-Sv7qQn&AEIM)}R^83W(osgAU-{qq@R^r4xAbn1; z2&YTNfBGk^d`TZNKtpO4AOA_qcP7b@lZs`L)AA?;0DFOJ`T5NHs?CiN-!ZpvSA_Lc z+$Ncrp`iB_h!L~d%lbK=@gc45*@YkD`o8PD1Nj^I*$oDR+ln`~nY`IAG@v(k>}8sb zABh`n1~#)7FsK}nICt9U{R)RXL)pVy9Qf-hcR$y(tS(hQ$U@J?3e%T5p5LLw)K53a zof@qYM|+wYm9oQhX%J9}%S?;SH@)p(>Q`HMuQnD1c9- zFMN|VXHao$&GoI3M@inZju_gsl&9F}&5D(JW` z@qQx0Ie)?QJXnwQYb+EHY^+ceY5D-_ubgED9yd}>GY%8TY2(nVU`jZ{2d!G%^^xlN z`@OdYD!}Ng=6RHKDp($QkopVvz2X*f--p-mn5&j5ZT#dn87ru5N?Bo5D&*(&JI-yo zt)o@R3yEeM3)6>3{n^^AJ%XZkfn>1?LcR9lsFxCUE=gs1DWA-l*oW8na%Aos6&gE( z%{cq1GBn*ZJ-V_dkW5GtnLEs%2cF%DUUIr6kw04v>i3Ho z{LP%W&3w`}t&warHdl_Qrk}+vsjJ2M)-N;a&E?!+@@S(`!Tne+$XOyX#6>C3>O;aE zbEGKqav@)Q0-vz9OECg|%2uZdvix9pFQ^fhpP6V&wTMZZns}1!^p-~mk1-r(!$wX| zKTr}A?_x-6I^(y0-QVxu2^7>NChm7{$ zA~LRbz|T^9hcvb}hzGWCDHZ^EMv`ypWEn-TYfMXj9PO+V$1hwAv`m(YFa&SUMfqE- zTd|svB@w?I<9NOGi@yJ8KI#`j2T+%rOP`E5roO#E7O!uxRb(y5Gcv%GBRc3Wz&2Mt z%grCoM5riSMI4&Nw&$v<$gomugE87<-ADonpR-tZ2BuZqbqmQ(Jo4+_RunJhPyiJG z{RMMYL*g((A{^UgtVHJ>ER?nA^CIPoC-au(_pQvtZ~5)(EcBGy;%WJ-#2%zg89T|+ zFV=n;(0e%P%IZFjuohj?GEDlKqID-;xiK~BX>+WFO*%D8sGs}@U$ls=?!x~=m<@9?CtQXwye;5%h;z&fQCo=Am!(VK~%+eKp#I}35 z--#$dwD4D_`e(aUx;467jUoNX0x$Q``PmzzWQDPTWed39U;s>JARfpejawANpYupF z8aqHu(S>oFb=+Yd=*cV0F<=e)lE!GgxUl^^;ipYsu?={%Zt~mKN z4z2QFX3V&hDvMCv$y&{zP|c|EaBVG&zd4FX3NnuXsr1(WVB70(LvSO2=8=f1)X;2L z?Y~Vt=3bc44Stips7~uT`9f@dL%(PD!tI%ClF0jDA0VSrQQhzzi%x7mn+ z(^=*1v{bc+bxyP``}RajJueB>ZC5ST%6#qorhuSqQ~M)B+eQt!cIj?9ApOoej-ISBMX z22g-VDDG@E2)w_`FuHej`Sf|w^B#O9|IIa&WLhSlc?K77eHpMo5>SpU+#A(P{+-_L zU@AW4>H*H?BimQCR|zy(+WOcqmfpV2_L#|xR~WEsmL-j)8Y#e!fNhrTufH3P=A%tH zjDy&`YB)uF$#nA?c(FU~OHv_w$K)Jc`m#QI_ip9=C;+r1> zHq*ih>hDSZ;Ho$_R(t$qxrn@pNwm(Hi3jY}Wzo_rSWpD-j69@Q5pvHYeSP@ZoP#x~ zztVxJQU1<;cG2f&lQ1!+hb}%0C4kji$~_`xHA8)rBf-JpBRt=e&KSS;GMN zM9H(u-$1^^et#bQfstd_&h2d5LewCQnaTYTsv`c4ES}9+3nw%F+jG|I*@2-*hqLrc z^1?@Ws^;fK5?A=E5T8IUe=OZopD3fuOLLGk119FaY+Na8Un|N;GV^1?+oyMyD|r#Y7l6Z_I8bR*TK$6U|cCe9MbK;#X<4q2E)7T^uq+_3QH_7Uzr za@=L}JX$?kI&*TM&E_|v_4oE`f*~H32`m)FK@a;nP@SA?ZAM=Ed{BK`Xm2E{Y z_nWkU@Ae5*%e9Q{XQjTszW1I`Rvx1@c(0<$rCs-hQVwiC0Sb+0hR~;4B@m+4obmtY z=%Em9UAKdj%@$sg~u%!lHah z5lqf1U(Yw&B+!?0ucMg*LB{a2Uu*`PzarO^SPnc2apW19R+C(t^-sgh9_D`c^kR@V z=lHhbRS6%;Q$ki6N_5^o!G|uk!Y!v)az2>NT;xhW8vYa&F{A8LZ z1X!Qx&feY|f*bdP{MA>r4RYgtHYWHczSAy5z;cPdUWROMT7p7*Km3or{$C+!9GAu~ zq$^>j$asd! zE$!fV>AagzVUy*wzek#!?R1)ve<~Gvg=>XGN^4>ezciyV;Is5yGSOfF8A7=Y#`^z+ za}Pwtf6?eia7x}i6p2<6#Sc)?fpxEGIaS-7ei7fVj1<~r>Yo9v<~bDVsZJEL@A+v8 zf~>DS%HcTO6bzptf>ZcS@Ln3diRA?yM1oxbCMzWm1j<~F8e&{Xw*;ZX_G*ExFVwfp zSuqB_&<}nS8!^`5NZxw;hVjJD^P(lb)sFl#a8{b2q~MXQrFE1sXzo zxPv`74k{jtSJJBu1*uMAb@pVi!2FSV%oQ$~83T^+vK52H!cF6iIya@x;`heyVBN1J>PM*o3{74!nl5pU;ROWQCspZgkKq#y&deJPQ zt1WX^X_A@1TF4^sW1-U0mm&?M&VUqQXpQr%r?EovBZma(WWrCvuKs)usukWJOAvGt#$R6iiBb593EVR|JfHXS}#&!W-%dy+bSHQ z?BVoKTq?zh*!S3AL(XQhT}{HRvGS7(LurW^bu*4zEhTBYf|7DNM_w|huNokG3%wE@%H zey3pDz=YCOB^uuz;%8XMDL65OKgXFbz+EyUb%o5wt+9UuH9OB3o}ro${U!Y50upWk zTljLleu)l`+X&IW5@aM^BH}=r{56>`IeFxBzuEs!Ofn?mBYRA}mgb z4GQ-}GL&gXOUdKgBrgQ9fK|^R3eJ(+DDpI8AIN#~zN3w-pEvg*t!S3Ksk!)GJMt2n zInIX73IT}uS}aMnyPPhtanLW!o4B(tRQSBBylB^Lu*>g`)BEz6z6mAkmm9|lQ`|qj z_12~0`E^m-x*^ogKl!S(B+?N%DZfh}8t`4T)Ujmo&5JU;!WpXry> z*0;1*3n+}KQv|ViXkT}w>!-?0k=xYkDXch;V(is7C5AgpAf|_9>CRo%mk25CTPp4s z&qSoBb0U#R<^5SPGokNY-nL>6Tw7a2Pw%nB1iQJYa}|JDuNmR*S1tEs`ZtI84>v5BuY3)V zhWFs#;n@GAmd`|*ycERi41%g(K*<>Q@s_$u`O2hi3qZOPReDIkYX%r<#$~d|_&~H$ z=~*fEf0m2sKQOCn=>H+C!|u|sIgCxS(;XN(6i*ZTni6y_D@n<$*AgV1$iVOqfpqif zGn}KNBdurS}< zzX0|BS~rP+b;!ubVzUF$jwfeYrl^?hC3sA_h@GcAtQY(bQdF;C5)fbJ1+zvZvxV0S z67c${v@ZWWv0YTO;(Z*({_lJc;NN*=wnu~6Icld1M@!A||NN;vJv~!(jwTs=Ug}z6 zFs_3k9o`lv{20Bqz%5$bOFT$`#&JaPdKI4lX(GO93-22LapXEp1?4AN0wvcAFr)fA zQ)_NXF?%*6VxaUgZoDs}}76+$)EKf|J; z!~^+U9B+3%yp~ewWDl&J`bWRX_q4Ev`pFO+TZ0M@d+8lRcQo#HBY1kE)XTV-+ z)(|<&HDTKsCvupqyAS)=jc~a2^cU8J6*L#!VD>XU1fBnu@fe|=wH-U2B~nq?lK^>iq^vOp##!!aLDxDE%=AYi^{;M? zrLQuG;5o>_o0E_M@C_m>Z7JN-BDK*b(APmD>DYtN@nR6|=;n}X?_3Za!OxP6J{YM_a^D{(pq&>2davcRZjrG~Lzd17Hn!fE`TPi7>=2H4 z@L5ZsIr1Qwd?K-h z?0amNPc7K8v$FcZx6qr;R3lB<7wvZ38*z+XS&s7v%== z?OjA7LvE(?eOTJ=)+uZ>*+_15)mY)ndSm^_L^3Y*N5VP5R;x(3XK#)nzBV#kkLCfe zhI8H`jl8e5+=geM7zXGkRu& z&af|MH+Lhm^)GKjEA)EzWv*&$D}^b58Pqx-V6xp(Rqj1f_YMOek3hD4_afOwGh;3+ zId^&_6O;Ei2DYyKTfs2ni#tlT>qxfyhit#|T1rkLraEm@UOviU;T6j|3PFn$qStdnf(^%k!C3Iay~UP7 z)5-k%N_?U4R4?S+_B)wwzuC?uPeB&G*z6EcOXA3%?x%5bYlveyB#f|u%;^bVMw^Ze z!MMLQ6P#y;!##cy4oxLxj&2Tvp%R7}Fei)Obp$(}O!|PKTRsUbwI5F#bfq?!cfqgS z1+XV(EnXk#z&##lYatW?-092Wi$fFha0kF?_-IfEML8wI?eE&xVJlIWe*P8JbT4D> zobCFN7RIJ-4}FK5%`Z1dPC+uXpQe025TsM$W0HP5#m6Gp~{ zn%#bTgU9YNR5f%_QXzsn&sNB{^iXCp+fBs#W|f7vwo3vn|oIo^{SrETaj{eFH~ppDB8NPiqRA&@beuW8jxM8{nl*MT z6HgzueTy+;E*_N1cC0NH^YHl=SOV%?Tv2*+mxT>`QL)Ef5UOu;g!7?XQyp4_yy52a zb}rzBunXEJ=T4xb$)NDP*9{s;C)Te}C95X9OVRqm^=ZGaQmPKM%mU+x>%s`a_Dz8S z<-+~H@tQHKp3!Af8}MkL^%hU7+_Dz8Wysv^*_eUp9WcXTX#h$4S#0PuQ4=1m|A-vl zZggX7Zkm*I^wc%!Y*e*fhqw5Bu>?QzSrB5z{*O6GMrKecE5@k(wN1%zjXHXbT zRPD5k2a43dtQB?hG_h;8B^X4Q`MdF}lB&hp+jTD&(RLu=>1D|)zDRn?ZMa&o+vIrn zmQ3Qi!^o*WG|N<}=!&0Us+rt2Pa6~Q;H_~U&*xj~0#Uq*Mo9OsLfSu~5KI?aV!UxZ_-yP&M`7i_HL4Pz#O58u4gmqWe(Es*l~M3yCI6OR zPRI{>SHT4tNN(TC{Om#Gc)pok4R+u~s)xdfY2($6Fff~p&q?rN>b!05@WD9obKL1=fgVjST;Do)an2o&=YVc_%Qs1Mc~p4XtO@y zZmme8TE_=Z_c~?vme$-v%NwPDUJrYNtL~;;zS<5baSv%bfw{JEHWL|~_6ma z6S56#d)r#|W8j^Qknj6$HoiT4Q;(-cp}y7ei2UY7zCoy$%MZ!N@Ahd08R4()h{$8S zB;Pya3AKB889cvGUoL^18kQhW`@lb&= zI@;Esr@ylA0DX@h@l&P7Cu(%@-@z;kkG8-z`TUA*rN}o z-wRser>VBy=k$hn0%X?+DWs$7;>fc57jQ?bp__S#HOs-PL9J4YM*WxjORl$X|GXgK z|DQ0XH!1@>6orVyW4QR>yb;1Rzw{ai)20nkDuhk4Ab%)To$EA zZ_D|P?3-i8x@oGF7)MXl%lJcD6$X04T4U6*T|^tZ_ zS38V;haYw67zl=FP#pcuDWG~mVdphU{?h+vp!v1CP&|iJ$ z@UkUBf^~{U)*dscemLuHjT+)|5f$rqPrMrYKt3bHvX*(>n` z)2#)IPvNRU*hsG-@nQ)MlXPLV%UX{%Re{et^g?#zT1Le)g98!7b_j-S9qzX}9{a;z zTxbjM)nal8okn-;-jQ?W2^$pg3tA{vNwWn#R`k_;*=4hP!Tl^$KX4k!>N{qjST57`j z5;J%BbgN16$=1716uh=~g&TIgyC{P~r6`#pFXpV#=CtiUK3B$(ZD(ZQC6)J9=kpV? zP(BPf6;yJ5TVzwCbj$iiqEk;YYBt`}!q5O(XM3>kSxbc(jz91=@iQ=dP?_SEJ=#DL z6iy3z(3v3bJB#QkXj2@bdu4Zhsy$a&uf2TUd5g`s?+bn5-f;(@);PAk{)Wv4<6&xq z$s9a&qAk67n=hRGhm7uFk1tMvdbRC;3ow6NC>V)vb4y$Tz~VU|c6Z$=E#5552vVQe zm(UMgnfm9t*TLOAr6fope>^&_EV*Ik!iDhFb=_Nxy?`pJM2Y(GcyrxcPV0|V{9FM%-3=rG9Vv2y=j&~_qYV22hbJHoL;5r4r%jME9I-%NVpbLPpl zVGa6bo*ey~PnD6bp+4z)I_)WZBN;0mhm?r%!zOwX6u+I)Bl4ML@rLKPOfzXQSO5`Q zhZ6bq3GAp7lVcUmdGZb1HZV1^8Pz=PZjo~y6k9CIbFdd7eMXc8OO7?IkS%WJ$4>iMhPa~RIXI`?OzSYY_oLAm8 z*HL|j#0@X8jo&x(d^7j6U`oV3ZhUbtcr_g~?Z)RP=^N{u#QwQ0QU#}9d>=(nPm;#J z`*YGMsKV#XvmG4rn`7p3`#itI*iU+qgrdA1cBTprf=n1&el@MGq_X~2sj$wxF8?#G zPui^^CXO!=-*Ta}nez)o!kCxgc}-iFQeQ5=al?vPt$0}{t>!i#hk&kQ6@y-H^~K5C zlUZNH^UBW+Ya-IHtzMi+QB9~LF<(A!QHCeW+uWwgS)1f326t3?@V)OWL2?1>Nx7l%x_gx? zpI$EXTdhx}Ol)5+dysw-w}Qv|WoCi2YSKoApP-SK`0|&^k8G29M=gtGU(t|R%C=A&I~iH$|kOJs30F89aYf4l{= zlrGbk_4bNP3-mecKYM3EV(RwF@2?bF4^@Y0jz3WMZ!A+sTZ5Q{8WZMz?l@9`qRn}) z0_W5x?5$!I7ihCc(y{6b;)Y|~+B7%gl;3**w0&7J<=d(!s|77bih}x9%Q<0Lp4#x9 z^Kp-`Glq2~EYGt*nVBTO<2RRm>jG8mW8%`5_4u~NGi!V4_i2goC)a78pS{?>Mkt^y ze$LL`nbe{K`OXCJTgsrmYKY3FAfyqj{U4L@zU*mcbmr{o;}m|3xs0YP%Y_Q5aHw?+ z7jNA0oIZOGx=1v#t*Vgh4wJOuQSx8+DInNDCrr?~Nnx}&;LD!b*3Pmoi6YBDHt-#? zvic8-kBX}W4#birV_}!1v1FM8Jv0Sx=lVLH`GJ)B*`A78BG*5~0S3Pc2K#P`ZpG8> zqT`MRFJv)doK6poCeD`cPh&U1qi(%yO)5^#FUF(+YbCqomdLCvgi`UoR2C6Hm-Lb< zd_wE{7p5ibl2`4+&UP@y3Em>2?klkeigfA&n>_lbi9jJW1nW3$v`wjTJ z&CU0Y%W?#DRMUsag!g40O8e@Xf_rRfqi8k%N6tIV@DTMDRR z5Og(3k=tu%2AIYFbW3|n8vZMv&VM2sMSMNrV|!jbnc9?B#JAo5NtmDFrDJ+RX)B1h zhURTP`@(8)BdD6cIn%C~!3kMrqtnllk}UbiX=R5UbEAh<+08w!^MoHhCWY^oMvMzJ}sCc{4SaVXbQdd|7^9e_anUo+RM3n z|21bH7WtYt6*f~-FSTKOX5oKOZYJM^{q4*Gn+&@Z@&rvQPPXk z&Wkk}tU&ngRf|P8lj+M&K91E+`*%S}KV{8Dv9ibHo^hF$UU{UYPPsMj`_;+RD}fux zeX$fLxN#a8f5K<6zzgXjLNY-l6M$d4+L!SV5V3uWGlVSAgkM%R#MrD<>iZ$cPA5Rl z|9Hc3&vu1m{yKC!eJ2LgeSu9sXE*)rbsFxZg6w;PFe4q-izPc2dcoV)sl<%`&^UHl6ZPrxYjLacLX)uxW%Z+drH5t)|z zU!47?ng0i8|6qANi4v%^!ObBGT9b8+O!T&C+jv1fU1aW>Yb-IF;mREhpFee!tGhU- zzw24Ou}!G9iw4VsMu6U2TbChzj@0CTfULXIVl>XAFfQ#M?uzSkLX>EsNw7%bOLE&O z07zBAS3GwjmU}(DN6$29Gf6J|T?xx>iV#ihTSz*TpwV6sPsdI|1_(b8~==R+)ORfokQCub?8{B3q)Pqo8UKE72FB*JFn}eCW zxXzF0K0_PvB}`68gtc^SdZ%2U7Q%UQ8AKG!eT~I z8{GS+KjrdDUSMMNok7$E%A`59k=LC2owYNQRlV4WfW@OaPXgw0)I%0a16lQB^$HXb zowU{HtT<^X`HVlyB%3`!pZ%$2D9ZbD=J9DNyQgeD?RqXL{Yv;jzYD-dF#8%g8~Vo7 zpd5AOGm)Xf`7~-LsZc0KXkl*pIWkJj#s;ksUC29(l!ND?l;mc?$6f0xNJ$0(eeNOu zO`HH%Q||V!jbg@*RR@pv3p5HS6kqlvPGduI)NA&B12*=N!f>qqu^n*xMp0nRr24W` zy^iZolVyc%7-=)^CUdQ{-mgh0s4!yuo;_&^?eKthEfv*pzA(MeazFaS;O(u6yx&&! zUrlr}{a)$v{?|;Qvy72$hoHds7`V8(jOKW#(swYTzzCAQdpAkL;|JEb%=}C~0~OA4 zqF}L(P$Q?wyQiIERpN2m3tpuK4g^p)ItX>}LH94|-mUp2IXFVpS?FECu zlOG5;C8o=K>VKdr*9UTB?1jkw`2PpwnJIy7VbL-RGvnftx{=RXS8y$Q!W|#b?AglU&!GN_5S~2>QK;5v!`4*us(Xf7AaUx&R^Q)G zN_Li8TJ2_+-8)R2w92)7NTcbeend1NDwciDew{p-%pX@lMKTfDMr}cPG<(&rE4l$K z{)K*(qd@;|+Atv`^jcs-_?+w-E9Us>XIZf4e1^ETPZX)isdu%>_?^T9+`WIfG(76#Uiv8_)$DgAt@b)M4aC4NoMIB9sn z>yajtfzu+#muk%_hS(D>TR8n6Em*YrLuNpkWv7Br_uG90(vXrn{nRY_k6mMc z20lZ`Q9&y`%!g`DhCN>Tofm?P)h}{+mKTK|Vl6WY)CF2V{LlXj7P@)_Svi+^?wuTc z@b1=x$uI*$9Kx1YU)Tv#8b#%JdvsuvuaP;8!5E!Vx4bn%o)9v{4Rbwsd1s$M?+U)K z^NtejSj_QcbDozdC4?xU1tX2CGzk3M!JBo)E3uOU!Xzl=k-~0f|9%}Hp{Erd_Z(t) zk&4Kh2nq$jh{7KgirAuhyA2SwGhgfrP9YZxt(XPgqVMn%?AP(1H@va!QON>gI|%}_ zlOK1wVhG(!m6`iC)&hnBQG?v2@Fe?+L<)6QdZc_xOpyY0xUGpt*~9k4ugm*am9j4@ z>M|SnR3|I&P^hmIXUQ@K=@ZHTjaX;jV%~u9PX2HT-vf^gB%U}OYfLLG3I!3RiKgU_ zv6ub-%Sdr+@^2$W(UK$1DGy#AU&60EoM4?+yq%&-A#s?zoKmYXZref!AVgj}>b;xI zvuc9KfLD_>;hA2r_Xp%~rYgC3762n@UB2O3JsY^KFa=+_Kpn0~pV)mDt zW&=aZcj?I1g*9>fPSYOVUTpedxq5sYy!Nn+$enDmpXvyr%r8z~ss$r>bL^oy+fopb zsS)L>Y$~vtPW%3_h|jAq+KmO}$+V}rm+Kj{-EAvI5j>y{D5L~|XcW3Z>CcRo8h>nb zKhxtkdF?6V{ZWprGdk`xXB9wANV(XMsJdrO%tN?rE>sLvS`KeXzjV_U)bMl{4W$P} ziNI7e&Ks;#An)V~X+3NoB&H zLk4nUS(MUR8iDFa zy?+VcPq)k)jtM|nZvrG#;KtKDcejNMGU_5yB}gy(w^QgXR_1zdC!{Z#(CLfo{{oPr z)u~1r86TsE`ia{+3?eg$g{8R4gR0^=Seqmm+U^dG1Y~^%mGZSz68!4WTmOJ~z0n79 zeqI%Vht$nee|GS$t$~>~j<~R_D~W%1b}No)Uy`oi&C^egj=8(P+J8xevpNRr5!Bns zl@Bz5q#X~>*rQPug*nkFod+?ODEl@Z(6V5L%uq(z;hk=)7b z^lHm)O_YlT0^G=^9{mbyB1#Nr2nP2!VPNB`Ywr=$PnPsCLviTm4Is*Vm~^#iCaN(H zlNWueVU1eM%5fGfX*oeiECB-PT(wkcIJ6)hoH~K5(^x3+PB%{gP12xi=45)!z9|i+ z3^!bC zovHlrWcz$czACj(WgBZ)x2DXj9H^Z!tBNJ&jYl8Ooe|BTkoTR6MfryjnJmolSXMao zk6F0>ZyN% zv0)?Q(`AOzttBDaRB0h0bEPzrfm(G;S*;#_=m*<8wkcwt*nK$`d<@XtUcAw>$C@)v zSQ69zFiqtq_QB2AcIp z9CHeI{;uLh!V0@<1(C;|8PHOi87J)4LUE@yb$#X@)FxkuG*o&7G8e3qtA^@^__3x4y7ol{B#bwXB+=li?qgX%z0hBd3 zlu}s;5$NqSncncClqwld+{`36s5*4Mj^|@THYgtJo2kUH+~j{OTzD*empWEWkk-b5 zB+RrCCTKpn-Pa+f2|aX2IaVgTNRrbJVN+?OupRCSV?d_fs*K0Hln7u+w(pgOXo+ne zN8q8J0ww2>XhC{-!Mf}Yars}FDgt#c2m6C5I4OcDsR$+3Wgqy<*{KABcDGott9Fuz zvZw@%%+b0R0DgTjbAIJ&-@ZZIe$bI%CRLnUH62XE|HK&6Hak-ZnUSI1=UQe1cubg- zOl%UJpEQR>cf8*mFT$Xy@aQZTYWm?IdhS|o=yM;PjBmJ877y$j3G}2)G8rXuBnfQ~ zWM(1;%8!>6Z=FZ8XH8ftU@K^DfIlDo&Y8H)JFW~bZ4?Wp-S7Ja zMwBteupMZFoQCNm6>2@&SJV5};3rNXXVS(Z|HX(t;G|&^PfnIAG+dEn58^?FAUxno4vZtUY zm3GYx+YC+US^iR6&3{8Gb!A3{SvQEEjO9vFM)5XW;%>0Huljc5H(3BSed+^V&JDt8xq=OSLU^qq8Ct2 z=b?e&(1%h(TRdQ=cU99Zd6tGMl@;EPp9YFCA{I%+av?h$## zAXxDVvI}hEZa^Y_Y79Ox;(HYI`@?tbbG(;21L~)Q*>2n>1VtadpMWTO3-6yTE{aW6 zc{be);^!;pKjVxgm)rXmlBDH!u%sBU#w}_L`L9|Bl+L2i@o)%}d2!C8K7yxsk-R18 zCId}pn6s64M!s~M@z_e>lFNizKUmtbEdqVH_PYZgRxD>E>&R&NBnmKvSHdnrcf4M= z*+>Nn)a&@nasyQZYit!l%Uk|9L`lPO*pl!wb+$-K7?Vwnbh1jl(=Bm70Q7Ys&Q@GW zu2!kE!VGkf*P<->< zZBey@F4Qo)mG5@Mt9%m6&el}w!>{LWFLoE7v`X6iHx^#}D_2luJa)+V@^Kc~J%}3c zv;sT!GwYZj^!yHIPBuvZP}BgWVr_YKDXwg6aLsxVc&?Es&XB;Jh3#DVbE0+h#4_Xp zR2&L>$M1VlFINdMLn*cndj_ZO34#lusDmiZs4+M?c8#{Lg|}nJ+i92Dez$TkrA7aA zZwggiz3&Pu?_vk@{15jg!}rkg);8=s_XfcobX|Qh7$hj|&|%7CG5WhmMs**jAkMt+ zBciq_iR*`XIMr+OWw(VqY8`hmO|o;8vo(Cj?T47H+yrU$#qbn%q@ZWofd;*FF;v8P zpHLI!lCAnr*EzV;a0D|Ena=>l6UWI=L%O5ekor_ynSb+#@;##({@Wjlwx<%ikA0w0 zIukX#=^^~fIrwfWLOjc3c`x#YnD~Zr9J5n9xhb)3*}lhO?PW|PfzKG!a?|IQ88>Zy zIrpj%{vd$?x-O@|+Ba`M?nj)>8Tqx%Vy5l8tI!lX)_P=#nC@zyISA4YrCyiwRX>s| z35T+%et0WHb{oZ@dn&^tvg_+K%amwd8*ZePqxDu2?9fbR$n)O9dYmnXDX3)N*(C8& z=GVBU_HD3y>if@wHqW1XjzwBxDt0TVehOYl;K><70$c&yzTon*UsWM#?~ zjQ{Zui;#m`Y-Rg_YivQjs@{km+*eR|XBp~zTXR=In+woSD|kmfL8in}=o*qpxDxfE z?r0r4m9I8NLA_dm*A-}{oY{Ga;%m|@!AkQeK>noYk*mkQ*|pX}rRpcL$MW!LQ@-j~ zO*g)iKuXXN%bWDQp~Ac5Fn~9Gcu7L3MfwV}uehdi_H!icdS_Oqcaor%k2S^JI!$09 zU8@3uJF+o^1LrvX17_Rv`%mrf2)}RkE7htkX_pfRfqpWXMST1?%b3l|?pj&T(EN{H z6xN~|81R z=F#s(m$Y)HOI`)7KR{sLe1dNp&2Y7$Q11cOU@*P*7)DWKQ;h2NZi zoD2aXrN`F3UtC%M6+F>GsP);KWGcmbYL;@<3Ci8wOdny%PeSOuGd6})3^j-1tveZo zeaDy5BAm_q^InX}ONzWOPf1u`2`l&&<{D1Qcv$?Xe)Hx1+PLiqk zbfVWJvy4pn0X`J~=HHiEV4El#_v;HPgw&Wq*|Goy+d}Cy7hDIW_9lHX{m9Zh-Fl z)udnnIk!olym7vDDRsV*xhv3$cqZxufcz#tRvNE(#C6A-)*H+9bK>>k@t4ioAvfR) zjkVd}s_mCG$z!nq)wntXf+rQ3Q051OQe1TVJ)UwZuPB*c&zyiP&pWOAwKFHU%*|e$ z@;IfrGsHP>#@nu!KcdJ~b4C=~heEuqecH3-yn@|~H8_TfS8Q};r3rd#Xmm5+Tw6vBCvRpRXhtcQ+VKj! zUu8r>7>2lL-abO3IwCoE(mQl6$*79J`uE4jQ`jI+P-6f+Yy%>$RrBlm@S^ZN12j|* z`8{X-rT3^~_;Sw@Ow`m1H~Y$Qaunx-hbG_~0VYO6X~QwUh^@AUmFgR#w-pkv+yn@+ zhk|LIGwooXJ1=>?<23tKc3<9n6{{n_U7~S!tGNSKf}^fT4Y{ayAI6;pUr6hpsYN5! zM})kVeqfE}wIQ(5DhC(@_UK9~;S4N=VApylhtbLuv9z?Xq4ORr*0C$msmDT$El;(% z=sr1GiwL#EC6o)mP(Mc;Hq=>Z#|VeH zG)bF@m(L5OR@;KpV8{Z5SFn{V8euxjNe<u4HSV z9Zywza4gRjf9vxh-%cw*d&Mz<+aWKb!M)3pzDFMFyk?zASIW1~tAN8|iX&QkFK~bK zNpW07F&uG^NPA#VA)v7aK7aGj4jko9O%wA7Qm9nodOM#a2(Cs+Wi|gR^H?R{Bzui_ zhCD~@fPYqsK&J8i0sM$j=N^=1ammXq>T)($aJ^YAjmwfU?nE==IE=N9t*PMiqJ|B4 zlZnn7m7H?0ms@iI(YaCFy_sFp64a2V zvgcfOSK42-PUf@_Tk%1^k|;v^$Vgn3_+!coz+Qf~smXP6!gj`ZzmM<9 zR*XB=vn^;R%is7P2yO0tBob-%C7;=VJ5$uSFULH*!hU{hqfA!%zFFTFp@ZF}HdaEb zWTWsknhdX4xgJRy5)L4q>{C_Af?9N7E?vWJa`RJC9(#p-77>32F_VEQcA>Z5b64y- z@;yagF^?BrlCFKd-4rD`-@Xs=(^X~aQbV3`S1;wdww^}MaUBkKW*?18mFqGiw^F5G zaH98O^r6VmB zwU9u)K7Vj6#AjjXqUOQA7ZWa;7{&o>&$loXE ziM+A60DbS#;%LtXNe~l;BX);s$)c|dn@?9W<=;!ayN0mVQe9cGZZ%ZN>b69LrD5cA z61X^`arZCJKEmv#L@}c|AlX5U2DFmF#BUxWMFlLC5v=1nZ@1PE_FakDSdd53`>Qc& zxfohA{&b>e5LiR4H`mEXk*I_o5Lf9yQ*P(cu7n1sN$7v+mq!q2@982z6xXaE&bh{g zLds*!2D%k*?Ck9Ioq%X{Y+j%qeJcoce~|Y92dJIPaK<`-7OT7$L>SRL)gB`z4Iuu} zK%Pn_N!66&HIr(q1!-)fc=9_Rd@?F40mq!VMx#Lk&A?Ut{ZR7Vqq-O}p_5n!H$rPj zRThg=C~XmE2plamH5c8{w5bL)jYAqMbKdg}4$FHllf9rCBNI(YqY$~D!8Ecw&UU-Q zn$t?>124vHRAmIDM@>c~wZO&L8QvJkB0TjDPp%Ue+?_0T8t2TyD({moC4h$- zD6_N<5-Dfe9G^U9BNe_LrwvgR`iucTG8|6Zsc_-u(rL4C6Lgnxf^s^*&HK4u8*f;xxEE-*5pTJBvFwlx&i$zZFH%dsRQoD>9dFj?SMq1PQ5 zH#|43RVnP5jkHYr;eVrhwj1qTB=E8#CY+iEB8vJ?kDFlR8QfxB~b}tVfM%vIlTK z#-kiM$FQ;VZ!>z**3P%&(oRJNo`}g0O%vCV#VnItErt(6SxWN!1fMHwO&^FWz>A8;WB3<6(J~c{e^30hCKE4n9q4<^ zQ^z>R%#oa)%^JV5yB*x!8D77oQ3tsqL=!sLE1wK(JCRVmuQBT`dY|IHS6`EnU!^Uk zM8BA}U&fjhA1BBayz`-@B-RvZO(Py{Fb+uti%4N%BzWHc?AW zIt_7)E^8R%R6-T=HTej{$^D2=Fuu6Ls-sHveE{*=@>PKi^r*U}H!yoSz3WU|WQDzr zz6n!jKOxM#II6Y!Qk7c`T3&^PKhM{=z8TDeTEcvWw^?!PqnvimdI%=8Lv&@v)h~Sn zb0QrH3PC691vCxL&PtW)Ju%4Y-+8%!0mUry_J@TW&@ybTH!5+g-w8ouFf*o;obF42 zY2~SQP^KaQwX=udZO5ycLlfgjhUkwM4;+XL{RA&5Syhd$!md6?k(`Ovj=@>?$fYb7 ze2@NQp^YIDZg4kT+NW^h|o~~P4z5~}B zrpQI)Lj7?0vdDAidc^GN%?2M?a96RIhPz^}V1I*sr2CoRL-gX$K#(epAdO6Fs>bdp za%s9f++KhO;mdw*WQbAF$_Qz|Z9GP+bH`Dj;6Cr~^qsT3 zVpjPMf=o2lg3+`F3+OCO-=(xTxhrNC$p|GzTm+2GgA4wy7ES752w(khj@PkD2)R14Eh0m^292{CE z-KESSDluhVSJCBLjvmi)0IB$=*K={(AY;yGD!kA$w$??CpX6;5u@0TI{cI!8`X;46 zJvb3SoiU2dm~HyhbB4@Xm3Ld0OKy%9)v2>Ws*EMytrdjLz8MPKIWtIA^0k}NVOQ-= zVoHh_Jjb~3KY*7A)UCrf>)U72^IFzNt2+ts&Z|&HGRa+uaI*G`U&ujSPT4@eK@$l} zL(x1?1ccm@{<)Sc;=VjTLQYOe8z=dmVvWhCIH5u|o*cedrGY%}9991?x0(s(VMZ}EmPia@6zx(XbM7BcNGSV} z@rB4dF1bQDN8E}a;3Pi{l|d~e_o+ZllbsiOcl7rafzC-XObiYjHhPCQb>ohAmYNG`z`d(f10+gLcPFwFQ3a~nrdjcP63e8^mz60~Iru_-_Bt~p zN?4rl&AH!AU1A=J2XzPq)amkhLjTAwT=sP$j9U9?y2!?2I6pizcKh#Ah|y{Meg09$ zUg#3(gy%*QJZ{!r?gG@dz>l9@o=89o|4RdcA)Jza|NQpfH+$ugUr_$>>p$;_qvAHR z|DmkEZ`vh%dH;Xv5)hL2r)mH7xiBtSm%x81_v8E9lz}P(7x!`wm`rgjMRNK^Mn)e~ z%hgSh!MAUJL8Fkf=6~ozsjI8YloeZAS{jb(?=m`MP1klaE36HuoluB`cZ=t+ok1%W zu4o>@78f?(HoSL7GsB5R!m$+sLRwuw>r6(QgoWcN*5XKlFU3)~{G-=^3Dd=Ri*PK@Auc)z}EwOB-Ei*sZ>fDHmhrFozQnk8r8DRtaKg{Q7%k{T-ob= zh~Vh;e$`S!Z&3?N*dkG5wZChMgd`v!Ac;;ho`|ym|IvU*OkS>Zn&?ui_^TZGz4#x! zsXFM(`!;%HGK#;2lTm!I#{F=}sdht6t(?x9uE2zc5RK%GfOO2(?fvhXx~;pdt{~Oz z_pS-ZcUE5QM+WB}*$bWfVM-T{hVxU2^Fz*0B6UBWJGO^*C|cQvrQ6GNcclD<@}{Z@C;wJgtNyfCc*nMP0r@ zfs9(TDS<3Hkbl}xut5?{z>@k6H%%4S&KVm1`q?IfN7y8#ej{KrA$wvhh_2Vyyeyh@#oyix z{7dNwHb$V}Tm{2Ey$5pjQF%+?b_BL8n>Qp2kDJlGD40n3pB>e^O%3fuv4eMnI(XbM z?L=_WK}@vayeq|x$NT$9%eRWLv;LoaCUxr7nSDFYGi~>bg=K5w#}!nz*pq==$)Djt zk)MuLGc=FBE!3>suYNnd9?l>-L$7upQnhaI<2KL<;6D*}394P{0*{Hkn4% z8k6x@&Mq=mQhhajNV6iXS%VZK{vV**G4=-PZiyCzVL1R^`ya} z`hgEZrmkL#sjwiW{K{E>ggshEj+U?1c#hj|m4lOl*0psGQbD6S0~KD7Tn+;(RsS{X zebf-;1-7X@p@B`KX48aOQWHR|Q`4%M1laX;E8 zzLgZc!K+6s`|qb`ENRq{^>; zflS*J&P;fWNXlt5{wM%_RkbF44}`mU)@k;5%$q-EGYKbf@Udo!{YizeiWEEJjpJNl1(tSbF9@n>pkhMdCMOB^tPP% z8H}sW_)~?AMxoG^%F?32XwZ+u^HL5%+eH0-zRr3n3L5feqdJH~%xS}JBnenIf1~9| zHCoN^@`o(>snv5Vdj*v6BXZ!IXY9)Bujp!Tx9*Ql_bvj4nb=VXGCM#%=m|#mUnUV&KE8 zf!KxI>rbb=LVLD*J(WsqksU#*ADBg5Kr^30%jKcz@jh{zSlS?G75{^`GhEfABK^#W zjqxwV(qi?ZXiWUoD1eE>cFQ?lwVlqJk!H*$Z#0s0^l9ZEkrIlz&|XD0M$cigs6%?+ zr!l!~6(!+Thu)TM#c}ozN(U8+dDXKs4^DeRs7C}n^Se$uT}5p?!px5F;mWe#I7Z7# zw)&!`r0uVINqsDyI7UfpTi!y~ar+)K7=~GmtLTgbyOA3brp4ax7H5G_-!!u*(*hNyd4(p51A+G_w&Ucq6X+a)SGPy z5Rp-LvYiu$Qn{g_BLyam>RX$Ea`!f6fP>~DeNgxl7KXE>-cC@P*5wl`T)^@*vIo1h z-u2cBFAsn0K0J`+eUtCyvzM#;HEs*QB~SjDY!zX4)5{v0?!-}VIf}-$-6wb<4<}=l z)A#NcShda<5o7p^N7G~Bm-ER~+2ToUuz-7jn0M`C74dElRv9=%Cw6cbiNq%It#08h54p^ewVj-sj6W zL%tf_pUnb6o|i+Q*Aw&n`UtOcrq~M5Dy3=*vr*@1`~C`F6|vG#FrC{`%`VvH84O~( zp_-!Jyuo<&s;%iGSg)O+s}~4)fPMTIVC~pZ#|_0zh042e5$VOlBMc=OS^wF_i`j<{ zC2!bo`z&~-*>M^KvW&s7#)zr{%t$8DX@>6Gb=z|%j6QoqBAT#3t9=w=nrzd z6m#=f4P|MUR7k4M`{AkWSImYcMJAFn0;=TUxmGs>+L~>g8h5J!T4xgT#!^Hq7i%MZ zjrs2g?zavDbVfOEVWwA@Tz8mc@z%!>4is_M_@gw`oj})oqup-w`lw%hvhLQ@3*na{ zMFtXaow){LYcV(*+8GLHQCs=AGB0Twn2SM@ekWsk_ih+b*}M~}ukpneX3~SO0CG9| z-ji_601h#+M&k=~m(MfH%zD>U^cl8BufXzC9=CwKwK9p9W6XFScz~`NVppB7R;IvD zb(eBeHZPh1dhPDpEATtI<1i`v`uwa2%J4nnGptHEnT;w4jkD z*MkQ7GxULhxx2x ztkcKd0j>i0Wby*5(%HjgRmD^I!OiKMp2wU#0H-{af_`bfqem2(2sYO&zF^Il)7@!h z%)pSCb8dU=gU%=la#T}+2$Lo62ZJ|g^Ho(jz=#lAVV~yY@bYX7{TuB%u7E9sKp;4K zL*D~qt}j*rbs}l#XTJ*kUiA$THbLyHGxdQXBly&2H)yalrCi$zY0`I2UcY-Jb}oL= zkBCXPL?~wJoPk>=C8QErK@s>fDWfHNtL&9qrf||3`1V7o!HGpod5UJ!WV1ynG1EY> z9bghEk#|NGcLqsw5TlJLyglihZaDR*{jA~Que5vx^Gkk@h>2`taP5qV2d8`JsN{GR zmZF(LahNr9i2h=#IYS74Y6`JyOA3}GoyUfe@FyaQiIfWv@0yEp3!#~e(?*aya?SFc zo?x;8u+1ZQ#JA@~eCP50Aj)oBOjU4DE1bT(OyX77XfkB?@lF5clwawIM!<*cYOh%u zi}ueXlKsou)sN*;lNoSCXpSa55KJtiLVcSde6S4^9BhH*BzPc)&Y98NyupiltvBxfIwpx!0YBxl%jmqs{b=ymCh+RS!iDs$-QGOH(%^P$uz zAU1BWXU(h&k3Wd6a@c`Er`Mb~_aAwDezkv!blNW8%G`i*3p~IPx7N=ol?>>iL@SQ- zJ-AK|3OF>7jYV#DYw=-g$$n8wkxgW#F;15;dR}tCU2XF%kV>j?&SsH%cbgbq-?2rw z?<6Vo1|~~fkH=T&!&1LRVd3~l-EY*#0GKz) z!CDX3)|umTcrHq0CV4CXAJ8D3Jt>TDy36DR1CCatYT_pC-!1Z%Wzk z_eSm)lc1ZAJz&Y+#_n8Vho8-v!NFdLC_E~$N#93vQq#yl)RV0hZ`GZ*1f8sJSR`JS ze*rh2ZZdF=^HkYVC8v^1XE$~O)usCD1oc*$fkDG5echO4^J{Z-9n?3o8`nvs<9TVx^H(A%D`V!oBdaI1?!xS}g0K05rT%2lpLC*-p? ztnRsO!<3blLsc|sqV{~Z=IBcV9#@lucptGk3f(3dQ^Poj%Gc7xy&Tch3g&t#ACD6> zABc^3*^Ij&BlZS0pDef3Z$?1ALQ&kN;%I$J0mL` z8~e-H8w;+G$6^&M^J_deHW4~uy{Us4Pb-HF-LyzKXWGiCgGqD|Up+n7+GrhJ{9NDd zHdABLGaTFsTKtsqrQ=fo3zha~$BiS}^;8nK-+7}gw4Mv0b$PGUFc9-POAT=aZuEb$ zbB6q4fV>V*_#z@1qkGR|zQM^uz z`UMgKtDi#U`mkFh>p0A>#1Wh-V{4@*HH%t^=&EQ2BNyLcXs?+_IYgJi`OCW_GTPD5 z6?_k+qYXJ8c^2_uISo%^#@>-f4~}$P% zfKVa(Hx!?Wm7ci1i%ETY@6oSDt=0BG2RZK=gLp~?H(D^emLvSFGU05GmTJ0>@WQ!v zm3_RFyaCO57V$@otXs(M9ITa#0?w>F*;Lh*%n`C8#5mLlyR&ukb*A&Yl2R)}Vor2V3fkYR)-Dg6C| z(kc9n0mab<+TXhEt)fynZyuA_u1oI1H3w0z#I33w=hl2S-x*VC4S}Y))MvE(aZzAxt&#rEn7ed9Eu<31->=p6!a5P!q7hatd?XCOn7Bdw;k2TjPZV)bL-3nc zyg1txfvz&Ezmw5GP*|Z1`t68p_?E-40Z(77@-wDcTQg@Pnsb9yic3GuO>S7kw+Roe z4o`nE9p9Xl-*Mz~%3xrw2L!V5U{;Jo=HQZfAssM@v7gTdh7}y862c*N8to>lF~B40 zTwBHqWJENV$GEQNew`w@f`(o#lA9j~!#gLz!i7tE%uKn>_iyAzHoQ0kuOnE?4G0DAlEJCfZ<~S_UiR@UQQ=rqb=0>I=dfN^|7gY@ zrIL%_&(DXof*Rt3!QH`v#Wb*ins-lX6*I`WQI>l;A%_^Hem(@Ggz8tll<`S`#VqXz zy2}{1Gw#}5m0l|dR-5tKCPiaOHPr&M6%|&>`0Q@>+asnE(#kquIA_*YSe)t&;iRVj8HphA_GOeeHIV?{(O_hgeN|~

gxka&}qKc_n(<6 zHqr*dBy54KS-5T$!kKk;EwW1TRZz=+_;;+p8_bWdNmuT6-LS8zd&rq95yPbwooZE6 z)IH}v4WR>*hUL0Eb?F{yn6}E^j?|!BFy4e>CvW^%Gp=K>9q8?D_aiCkDqxRM=D#L< z!jN@PCzz8^f;tgozF5dUUNfVRb^jiB%D$-50BcxXpoi_1^^g@V8|-$AvRCO^d?in6 zL5%w4;^Kmt9R6G;`;(!19q7~L^?cz(HyRv00DoNOPUz?dj!uBwS+q|l12t~RC=v6G81Yx9qI>bJ)HWJ7Tj&oSh^HeX@=eX=Q~ zX^Xks(a-1@YF)eZU*L{5pzHun3(lkMx-mpN6LwpxSmQcv5!bo0sBo^`4v|d#IdtIN zzd|ZCi$JlcQ>?z&enPXD?-(yLn@$UCr%4h>^z>S8n4whdXyiu4d}&yCz@L`o78&IAHw)#({6_I)dX z_0TyO(Mnul3T3XBP)UA9>@(TEFvx`yQd5(4xi5+Q+<plwED=4P;l3|A`y&d0JG?k0uEHJ z7hmzWHlV?vUEjk$$88u|iavEn8f>&O%&?aiip72bR?dXS2aliJDg0@SZB@o@W*2AV z;}UM7Xlpx~R+!ojp7V)WAhDi;{oaUyIO_I7_*_@VkN%~q$_&_!QVcuTBIt~b*hgC1 z`uv^IsoAm2fXVYaNtC#QvTrkYi9@+z!J&4X!6nRO)l{w5*YG|y@R1DdZyMVaFPsiW zgahR4+u2X>xvh>VJLV4&ASQAPHXi#&ovrOPTf_`-2kq)pUa(;IX88C_N}(b&hK*Lf zhNO>mBEsiIjJ+mbrCjhKn{)6n8`nd=_xtdNrs{+0apr?N%F?B3J8pcz(T*&l^_5MZ zH2PmNk9q*YkdYkL))P((m7iHg$_@u+(0qRVbl@cL2%K;IvKQu+ws$W@J*`q9`295{ z2Bc-nkNQYN#*g88#yF52q?b^Npsl$iUuR22tz0SM)H01an#Am=)pb!Uo=A@NbfLQ` z#DVX*7OlP0w>8Hj1lV{bOF&PkEX!V$=z%SQKlQC!!EgndDp7*B&;zpm<)gl1^T{Irn73xBo1Ly-+r!VgtNSZJ6c5kW%LsH}b- zA9!=Mz?nW}ecbWQ1McCv{D!|K029PeZ+r&@6#3$r%Q1S=mk2DWY%a11$xLHcnEX4> zsD;o>Q)kMqk;q^S1bcNZ{3UZWVZQ>0CX5&*#Ck zbyzIkP;cP^vcEt!4c8BdD z;8TZ&Wm*DGbU zC?*tI)^#GMkx*?RxuuJoY+W8nIj`k}U?cr4tJ_V`zvLh1P62;| zZ=p=>87cfB#;W{2YQrJyfp zX0WP-C2d})$t4LB7Wxlxd;}GzF8y?;U<20=64qFnu`H)x6c0-dIut{~`xl5At^&(v z_au?nA3?9BW!@3DKXCMXx1RLIr*PHp#Mc-7YAAM^gcZ-xms*CBQ!oWk9_#90#^#o# z$C|*Sn!D?9+*jYjY-&x1pgSrN`!gE)vh*OK4QofUg&=l1jmcJhuw&)g0B_T$H@$0JJ1#RmV7ZCEU(=xOJ%5h6!*Q{ zSgx5_qSs*!tY_R%!ASl`bF26(KIHb@GbyyU1B~r~%5~t2@d@>L0jYj57U74hCX_QK z6UO5SLzcjo>gx)oNA9RRk5HrE1ZVoLXVXe$3%l_CK+Za z67WmSK0o`K|As(?@M+w_%8nn7_vQ`#UI3bNcJV16K1g&C6wezie(B~Tr zU%!CD(EO`+3YgZAnJIuNGHR|?Dx&tco}%9F`7DE{dEa(`E&tQLkUi>y_S&FdJ;q0B zSJ=Juh9a2}a@OCf{=*G>REqsU$bDD(X8NNo6cv^@3sLm2*4Pa%gAp}C$xP)|63o3m z!NH{{JTCbA$18PmuzlPMl9<^s}LX>HCw#_VjVB9TTbACu+@}bUe|`d1D%> z2#OpvW`gk3Vur=asX+W5a@L}6VTAa&>SGy0@hR*UNU|>c7U$M!*>@w2wWdEvENgzd z?$>0?(4aH>tShjew}hzXt|}cdr^AnY#6Zd_g#CLpnn_gVrd{t$m}fU)ckAo(vQX#s zVLG|j?h`P!!;aDz0AAiPv&KH0p)T7N;Y0w>wSfi}0I}ONHaMzSwBGnUsT6KQx?pSf z6Y2|W9d{auG{TsBlRz_8(~rPi3gQ7( zZ zBcv}*b^Nt~>o&+YU;i2mZG%(mC?W@wiZv~e=e8xD4eYr%s)-MbG-wwIY;5G%v$Sk- z-3$9T$G$Am_&4eXSt?*v-})6>y3Ihg9xF<^R3Axp{jg_jkI(GLHiY9y)Ao7MR_IA} z)|pbu&D|kc)$6(y!VpQm;3QQ z7|Y3oqz%uLQ+?=NXLgp|Q;lOvdm;(OM3sYv7wryN7x2J6syR#VcJl(v$GwEs$yDnc zPg69q=W)mfa=hKn>d=W02QSU&;PH$nJL zEoDU4sJbUb42$t+&K#9Zj0R&rIf!^F0P2ZFjDSnjii#Gaw9D$axgLEAN+n7Lv?C+=9@H?c$ff;Utu}?jJee;cGa3CY3d#u&;TzS2v!G5P$ZS{n2 zOxB<+yNcm(aYueH*-UOE@yD*DSUW6mq{l1(8#p;9tKfqn)Cc0eB(gXi>fJrry;o>K z6I%J6=0A)N6t@vL>^x~_JyVG%499i64F{-4aNIw{IXm;Wf74nXb~t`2zZ$O9{WHJ4hyHoO2cB?# zhx38wY)_DWfHJ*e)f^8+MO$NuRh&YDx=FdzuTXPsB{Z>FONDY0 zIvym#{$BIa{@^$AXzBhw%ooW*-JQfK-jh795UY}Nmi^>Nvz{2vtYf0MH+m^{XOdox>WaqOEPk2+?v!2F=0cx`NKhL;v? zFNe{D!a}S*XX)?s`9#DOk}5x0qS9Ik<=hkox%A<_w+wJOzQPY(EhUT8A;UxX7cm=nX%b<4wf>*ZgarHW_$XhaW>>M=^oP$13HdLK@$W{A*~|`M zBYnW|Fyo!5_Pn9o=h4}53L(055FHv+EW*eOW+jqC7Sdpa1vdJgM?&u0XocQhkd#@b zvilW|@LO6dCwvO_gR3ObyTMq)ZJePiiY5Bq3n35l=2l){9NL8&-8=CbYZn;0s%OU@zjzvG0mGdp2}558Z)JqcmdvOc!QgR3NjfZO4%a;~Whdr>5C1L(d7PAnWHU4c(~ zFaB*M`O}k{)RwL$R_y*7n=k0mS6793nYR8$)M~%fmjqV9dlxN7J<__U)L5i9tc!~I z2V>f~&Btj=7za0e>SSweKItz1NDg#_UQDVV zw^CPxc=NnBakHjFyH2c89!U_l&ZCARaM>e}w&=h-CL7#r*qcu@Cqe|`_PGG#>vNfO zlC;$9InHVylqqSSsoFI|MBTa;zl*<~&{g!zrh@|{iiXM#=o3zJd=s*es%);tu{Z=2 zEC0iTnLIf&Nobu7I&qRh4l{GyKbGZyuS~Qm%HeYi6E(v*ESdYjuQ#i)vE?3&ChG|F z?GakyQYbf=$wCy(*W@sH?ZGg+%d6IKi-w}a%j#MkBQE!MONd5OhQESrG1!{Z(F zWyGLRaT%9;k-nRyOP#EWeAqDsgMylb%&Y$b*&aRn_ys#{G8AIdLaqRd%W5Z2#Mq7Y69znfXN)?e5QP-9r{mA!Gm9S$KR!_-aOJkJ zcq89jF?*m#7JBR~D>3M%#q~+b7faG%Hy)4NoGo-bz6^RcEE@{2Kpd^Jn83Ppk80&U zth@{a^LQLEl?jm?FDDixc`xV^bO`iUF-ZvSF{RQGADW!yBim-03oowe_y1o5;(Qcx`Xd_FBC zPdA84p|47dnw?1ZN~xp_@6B8+oAJuf%o%L5-w%R!d;i>@!{4WODEijOR7Y=mx0Gzq zuS+*DS-VK0GPc$?lG0=jW?fb01g|mCCc&J(Z4{OqA*ehO-#?NX8c8kRxWRtjH|r}V z{E9hHeju*eM*k=SXSO|&H!S|vO69Z=ki;<_uI{~;so$5(%79p7bnPUFYJyrfF2pPJ zgg7&RvjfOgm>@e{<_@ zxcx5tKY})&-Q3OP=t1j){gJ`V*Dsv$X>T$U&5+F?oDk4VdWK7eP&5Rdnmf(c$a}6r zj!LN_DxRieEb*TUB-R;=gS{WN_lENmkF>O+1LrFJR}3-}_?(sen;g0;Aq71WcN%gR#s_x6DOEFIA}Zp?$>deI5r>ZC!xJIHX={V056 z#6yd(H4575cv8PFlL0@<`)kNbf7WctQj*=s{r1xX9zmFXGG~Jw1mB5D(e-fp)rI_v z^M?tl$h#9Luh^XC!C0yqnQNDWN*OVuw^k>h$bM#Ic3_a-D2^_~Q`+V5?i@ukGE*fh z+HOXg|1Z+)fWe%7>id{K8-jF4M!4^ZDpQRaQ5;Rge<#g0E@%Q**N3A{xPHrP_76SP zrvAuPhLj}xI81NrjM#r?)O*Cr@`-?L_2~ULyf)Qop-IO#ucllG$G@9mT-R;QsM9rob#}0Efxk9GoM~SeL`#8^cql2$V zc9H)d=^VF?JG_HJIO9qYu_S_)&Lb26r-wOSo7BmMG0+~L6Srn~ftOO!*A ztnK~_KlyxPFXt{eB!#p|qpI3HD!k2Iv~gK&7z|i)^~N4HhqaE;#3}-+R0V0L7mLty z`R@9`LHWIWe*?~Fl!tFV?ObGE@Pz&L1(7T;%lN)kR!uWtvwFjKJTK}niu)s1<0&3b zlK=V<<%%^cY{RL^wI0seTxE+CpU%M7wGNlDi45XQ^lisGRF4l5?iM(9%IO{i2AdYo z(=O1c8f_fg-nYF#{BU~ldT5ik)t5BIdFuI1e7NRD(S!$Dw4*|5c%P@ZUWg@61n*lxEOI!?*9V=psPZ;>B)0(j z&bll#SX^Ypv@Q*4B0p)UeiylDIL-QqIAh) zwPBCFJT~*ItS71}3VNX5+IHr^8W9H$?tU)#Y6yB}+kwJ&=DjH7T7C3dyT561obAeQ zEzb_pdXc|7QkR;HYO$wC9z{;Iw)O8LMN-;WN%e@bRa0qX`2?45w(3GqJEje#HIEHq z<2lSxj!9%5545CPw6;yj-Icc0hRlzNR?1Xk5A+ zz^{h?hVKZ8_oE*Nya1oS42(#Wrp}ltlJ*q^3;e&SpLDT7G6eqLqX|oRREcjr&E|2_ zX?G|$+bO2*EYD1h?Ss!5xCTySvkL5}Y*d9<+nIH3UL%cXxMp zY1p0oGQT<3-g~Zjt;2QFoK-bdU8BYr@BQA-$)vgzaev>pVDax+95%b+I2v)plO$|0 zghdI~KSo?1#k6k{J`4*ieLD?H9JDq8*u0 zJB-0`FQa$U$y3q{9mzJKgfO4e^!2G|G%RgOnX3k$I!~A<89da}H*L?6a%af&uefo; zcHpoXaEB!eaqdS(Pp^OR9S41v(Qg&uxSx$X`#LZlgp&9$HnsdR_#TrsdXXz1i1lO@ zdeQ?-zsV5s#WgC6P^g29{o=m@zsplWI)CCwN(DId8LYA>c-#zRlclTwUi`R(->)GK zcRKZD6a;?PU?nMp_%^D(FrP zP90@HHnH_0b(WW-I40CSwI$)8El)ZdVGo6x3}YM^)1tJrw+r561TqXa+%3H>jg+~N~otiMpgMlqf;Nu#`8~Skgt2m>3`#@ z;Tg`gDMzmnMriZg__bFecrlZ$Ph(D}5`XW}Z%hzua%URXJ;TF!i}!f>qP0nnZRe*- zJrn-O&t-$XaVy@5X|kU#jvTJ(uR}d;4uwv9gUr0c8fjuCi0U`W89!0Kp9A5-cf8?b zR8wtoR-Qg8fn*frvTYB7x^QZcP$%+Gl&*k-wTkMJ$2?7|rs9}Ek?VMhz1>KbQKT@D$^ zs6wbw&-dz)TZ1>E20|v4IV*n1XnRq;V$ku|p!*otGOVDa-`pLp<01QY8~W}=ldHuT zfXLR+TaYau@cTEhV--Mz+D?AoV?ekp3>j(YcrLDd^m-xCz+@$M@wj3G_zg3Ki9}Hl zcxDQyZXQU9LaL?9iHqE+5xGW4g`S*tlfEJAxwK%ToSlDclSCXu*~_NNQ6FgQBhp1# z=o%hS-N0y5K74bOg4e-+LzJN@aLyU=nIRrae9mtRDY@LR{c7|>L`r_%+BkAfg$NV# z-~+AXECSIv(g;t?;xCHF<1#5&bq+-^yN#1d0?#cXp?7EjS~3TvDn@V|G6oNjMV*|B z-s5qR8{|=EJghfXI6JP1P(_bdl)_+XOEi@%{=_)K@lG;D=$8pMe$_HpY0Z_(p5Dbc z(`&`sh8(85@wEN&k`JVZ*;3&BL>oRR982EvBs8(1U0^inJIs4 zc6E~O+T$*^EuopDksevy^=CdYN7v!4MCJyySawCzEQ7ZGx{?)UuPNB7e2@9XZxRV| zP(i^)P?LYh@By4BuZ%-TsTE7_$KC4ihA8_MQF!nh>E#n(7uc3h0r*Ujk9v?Aqv9EX zGwD?_vo*oPeDP81TwTO{EiT}vpawq@PT%R#({2&_$L}Bbm-x9z@eEMHvsEm9)hn_6 zvUx0T{-(gH_`O-Y7zzWeQ9`c|%@0EAN_8PAUC4MfLmJO;`tqv}th($0CpB%?YHf-y zk&@{?h(YlS5JD#Muik7|tPJdxp!;Aiq2Byi=-=S)v_ozi$OVNqZtqny1F$;Z0Uk(5 zxT2Z$QAirJ6U*NPa|G!iO}yHKbK2(P!5&BTe2?n=|3I< zvzF+ir_0PtOJo!Td@)-Ism-d5_9K)pzBc@{5gu5YDUg=4fmeNXi|9GLCt9a(N%tz- z)!$b@SD81E<#R^bbQP2jU)Unj(V z^@t!UGkNzX8Rab^Da&P3SsKDYvr2`OqHSo^8=Ju@RDWK}R? z^Jr1qjCQ_4$dg(fOEy5}K7-+vPASE1#R(9vNA{@5!XSlRgJ`T=&FlV(Y)~eY4MZjo zMc{WFyxd$n&q`_v_{VLFi~vQ3a(vgd#IlC^ID5m#Be>`4M7rWY8ObaTi6#Jp3`)XC ze(My!*FKMPe~>6k673?!bpTyk~1Hz0pC)ze>10m)lew%b5;D_s8drT0C^|tG3$dw*aCNbQ(;%Dp~@cCRnNq!f(;WI3@|~U5s_uAj7D5o(e_wd|Vo{$hmdh*f%+;-Z>sRo1CNH73v=>|< z)YYA;K=8Eh#bHr2xk{(cys@zPj+A+Y2wdn`9;Km_M}aBCc_Acp~zg6pXBFsUaCnNm7G_haHRiWzhUYkgpX2t4=0 z8vj|ds3YcwGVr;B`}d{qWMZp?5evAdfCjE)`@g@sNGH*-^^H$ z@NLdKGv(7G>TA>8LRe`7L2RP>OD%!Te0HjgBk1bxlEm1(dr;}qNe0;TfP_RoEi~JN zs<(TkgWs%-?1JT#e}@@P&1{&ue7Oa)pvyxP@C(2C{kHWNZ!$vbk60p~gPNc58Qcbk zF0E?*3n$cXBK8>x{--KF0kU%c6eD1@^lbOA$wxYai{HoyzI4F=vht%=9RV;OrtA%FU6FP%Z}9mJjaB(!zAR4Uiel{}H)5}LIsB`_ zGV`AB0ey&jMy8z=M~j={dH(3q!t#I$2PjpoPbpz7Rb-L z&ixm8JK?%y(Vf^NwM-I7qCY=@s40|Wqs)gBOk#kybnk(U*&;Ax*|UT5-2l1dG>z%2 zNUwl@%N5hdw^UU!1FJ0Qv4 zob5Gb$)dUP_tB+%QkMfu?^jy)8^_lQUbRSZ+rw5eU*WEVGBO-@uO%f5Awo79?@HO6 zUpBfx#T!>GV4TI0<0=c6j_iC*g48(WYOfcfPY2@R?7EoRy*Qshqw*D zm_nL1o)olHRjH`8THl9Aki$CW@L>(Q-JKB-;EXd6~C(V7vr_y-Ih+n=wN z&<8>Z;3A)qpKMMc2ZWvtU2pL4$^}84r7w}Nt0zf^KvA0dI<+yBY_6*-z6UJ?v~5_E ztvI@ic_lR(uEzUxL3tqXS`_gz#g=GE;iCOwUXg63l?ZKW*AYsl9m)!?4 z5qdWIE7zyv?9Q$!zxgtl-H&F$h{w`hp)bZn;!AX z84ZlrCcE9TDO23m5sYDpZvFe(hRLuqS;!YrA$fa62|Z-(%F!9AU0B09e}Zs37*wWo zeF?)?Xs>&`K{X~ue0Tv`ECD>Xr{d~`RNPYr6#=bl4f>jxFo0l%UhvS0FXS7AO+ zI$>-TbP#KGSoue@>6FaH4%{H&ojiT12d3>DF8Ja|-to`s$m}Zb62v#yATaC>hprwV z?@j?pv{r&V60J|0ni5TxcAVKhimsa~+Gy|cydDRgpF2gT4bjT1lYXf)Wl1;l9xMI4x5k5QBQp)+@iY05eU?k6;48ZDj-qaJ2J2sQx63=68w` z0xB3Vy<(IWJLT)nFW=zXJ=0l!KISG0QRuvj#I<1XOPjvGjp-(Cu8-`?5dcD?l&yN> z82yF061x`?@1Rz@NSR23a&>u%dqE*wvBn9h8GKlI?}E}1h?_2^@LR}P&^U4)+!wKS zt=>&T_0lR|dZ=7&v_&!~YO2CEDL8J*KqQrEcFRU5%J0y}iyWiO-t$wu_CMXnj0~X%x>n7)04j7`4afGLG6tbCki||j^=eXA`+c%z})wDdb zyY#n-EIA17E($_w?PU)}lDW|i&qj?3$QKP~lIx91ojSgGc!}7T4os`6dl(8?XL#U+W~1IIgkVa{96&l0(g5@@0sI-2HP5LBy`PW<*xJpJ^sa zi;{AlkEC9jCrMC8So@`|WAu>-Hs6|!Lejk%{>m?gK}{z%amnp8MY`3hpy8>K@!E+G zQfT{fl+6&7g=gZr@VAlOsNdGD0?pEJ4m)Q_r&H{N__(*7il>iyN7=CPdA?Jk9ul%0 zUFw0#2w5%lvDu~fKKy4RRD;QFtz@ZwRTzQzf=Y?2faZgSnf6H>mFg$%cWIVm(6N=3{KVvqkazg0xGK++7Q9$jIo$T7Q7m;xA!m!RYMGq^h@kW}81n@P0*> zEi@%fXYo^Sxdh$D>BJ7dU-*&5=D--wBl(vXnv>a3Zqr}}cf2Gc_nP}0@9#-M0olq}*vY#|j{|SL^t8_uZP106 zc^b}iLm-)JaeTFKlfAb5V7^&*GMK<5kTOjdI%p3KmDB%@jm$HYqqe0_t1b(2o7V<#zeG@l3}%zy5p=F&);S1{m9f}{dmmTIyz>`3@dFoS%KZ7&V#qt@Q4 z`g>e(QyYQ1N;9V;#&tkGTaajvuiG`|N5bWI;9TzwmC|M2sA3W4cQif%>`rqS`{-g9x70V} zpf$2opEnv_p4)jf7cbmZt6(By=>2g9S<}Mr+S58XbsOBVj;dheAZ{72rN7va)O7*N z*IChcRKo?YefKCOa#H3Ge+*wy_-C#9ZzW=hkk`sR%ag}GF`FI_94%e|cD{zZ!NtM% z!lQ^oUq~;rp5tr>JXveaDLS~3Xkz%dbJ5H z(XX5^31uda@m@8Yjq)tatGV!^@U?t`sHD=J32;^r@9E{?g~rVkWQ(&HNRFhUQSZe1 z%mwgULUkT7BLpP|p7GbAmP~2zO5gX2$8m?mlnUi9uH;YrHtM z_^Vr1HJ-1Tx{rDtucS=B>WiP_F$jOlSSDPDe3`Vd$>)@xm%NCHsV{|njAa=472o1W zh$oSe*^~*k00K^@cJj8z3HR~H3Z_WG+qr68f3I~CX#Ts3#l^9WBm&}l2{Qx+r>ie|0$=cp8YeVi=@xjOSo(R zw_sZx?~9>*Ub6=QzoW`WyLr`n0Lv*DPlUuK{^9h|<`a4QyWU6*A;MA5Uu&%3RVDRS zOfIJ3D&Ic%M^u|ZR!q+^e3*zvSKVrHTe5bLQjNRazp+{VQty%DJoh9jfzc;ysaly|TQZv4oa;^D>n50U(HK)qGv z$2QhqlN7J!&fn%p*w~%#^kO`~aG`F?{2{}S4I|X_8Coxa>v~eMT-0S3CU*m` zfNN~zO%}otiM2FF`N+|TRlUUvh3fPX+h5CJXi)?lJ$r1kHoY9qFm&K0&=;l&OQ9ln zsBghRgfdshD!u$;ehHIBWUB1f%7`n7h=rYkA}O4D%h+r@@u)YUtf$G@lPCT_4|Aji zZfPca^)b8-rFI0T$9G00RdK%%HFQ8 zE9mki729+O=u;OJgG`@hUA>1LWm3ndY{v7+r~0SOCo?Hf3^Yo3_-S-*@}wtYK3u3Tgb_qD_yPXnblv$uF0%|ACu#`8-xFrP$X-)Wq3&o1~9qC3kB-rHzV3SW;DTSqp-|<@DZ?L9 zC`Bc&F#yUBgM(ox5vC7eWXO!6VSZ&Li~xf9hhCg4W9Dkc^SYS+>u`Bf)@PUJonrem zVqtWr0S7}#M+^TK>Vn#$MI3w3U7;TA=d+3344=vGn#E2bE^okQJl>bTuz?!vb%jg(0oKc}h>tPqctEn(IbcoV(;9eVVXTIX~?6KrwuAagk?UwXWafvJ^uRgn>B`I#X>?)p^to z5SKe7Zx_L|lR0Inf3yA+QqD=_{%J?fE`-#eqs^vmMTU2`3VY%n)od$u2QDD9gbq8t zOc%WyWGhO3v1{uL+amtu+KrOgmzBO8gs=LH&BEtn=ViA0sSrnwbh@o*d#gwilwAn| zBpdBV-P_UR*Vy!}vI$iU;CqQnR!v1CJb&@71rl~hSFCn!{MGuSJvF9Kg5x#lSe%Oy zTU?hox3%Z-J~7pQEU36ve#>(O5bxv2isgI;88XKFnO=&9Ov?V*Y%A0g8hL8wBzv^u;H^ zeP(eHX^U1|7&(0pTMp*_&}pjX@B#9Z!j@*rAh6^^m;TL6+&gi^;wd`u&lvz;&SoZl&7f(9YzF|?XQ1*OY2#97|mVrBz;W~`(F9&(_J$be5tDG=2836M6-6= z7F_hQ4V#=%a*-a5Nj3xIOA-Zp!24V9+FkkbPY)*`?V# z>}Vls{Wwp`LM5ubWM@K9ni%;nxj#y+Fx)>ns6@Eu++Mq*wuIF5RB8liQ)tP)#Xjw! z*KX&iL+fT$g+jpB52Q`RYon1tllUCjYg6Mr3dvm~zxlB~A9KUw!BZ?Yz^Z6Q`Xb20 zPsjs?b-_KHZpsSUvUpl5+y#Ynrck!Gt;lZxG8N74*#ah*)Kl4Wy>CD9NX5Q+3SP9( zsksLU9m%hYWv0}8$D?k2Q)}bx=Sk?WFuazl?2m*x+YUjS3pn;Y7w-LLdo;KEqKE;_ zWDSJ-nAls%oIqH?!Lc_UfV=Y}G2gE7P0!hu?4!%kkGHj@LUC%XhK>P;?y1kXF@54xCG6rgrI-czfFoErDSXpPgWWxg%@f+l@ono2eS2s{!o6 z-N|4dycV{;l|a;V$c2`^?JWHtc^if9zlVOjlC@qy>(4X_?0~%ZpQi=Z+{@3ts6Jgmp*t+u9Gd56}oVMv~q6 z0Mc^NkvXAK)E`nqX5fPor)G93BD09Axu30p2z(gZ>z(_;bwxku{oeuTup?m&QFu1eD+lzP}hst7GKmU#Y z@PaetaBR-@SW?&F`Nup3z0g>-RQllY_i6w%*a2bP_p2+bPbMnIamm2r$|DCc&5aUxYH)fy69dOohz;?D<@WS z3R$A%erfu0qcu~t-`Hjn`Omj|r(zY&EuJ%g-odWcynV<#p?+AR#XPu3Ul_F_vwNae zmFcykTXfZjl>dMFCmTsSi1NP^NGbFPcjN|uHm2S3OAM1A#~109kokEMqkiBz9~L3{ zq$yZT9d2(%IBDMrrD+`+v>ACh;K$aPSlJdLv&geiXf-fp@K*;nEjhe#d($d&u`~ac z=Zi}0*b`ZQ3Q=5J4uyD8oHpU->m|S`A$-zpWx2fgr+^?f4CyDvXBC(5C zWfeTjW7AT)oj7GPb}d(T?k!X)v}n&%YK3K@6-6A{RaSVEj3He4q8KbJCzgG?<(wrJ z$_1)j^g7iqr);_N9ad}(TehJ_Uu}j4#0LpDKZ(Ag-SAd+kb$P9(LNM%nE%8`$~uG9 zAu*g!Fyy5@bE^RG_7OU2hF)!UV}1;JEWZ2oNZHRz(7}V1I7p&BorOV^Eow7|M-Qt1 zmf#=X<88?N#$OyB7WM7o$s6axF}GY-l-EimgE4IBO;8^a!Bx^wJb}?IGJ~c{a~HX} zSyiwOS)oH~n3e=QclN?zxA=lu^^ zoNlLP3Wf=lG8IXX@?qy32P6+@OIqq;e7~iSqTdz~tB4${Z=#TfrMN2qpj^;$&y{(N z9QPDrM8A2h>8U>=8adBrUO&OBv~ngAK%)m?nH%b6Fw1obcQQGFbCu-t&azh4XxI1E+a?>W@rjK0z<$4+@Pt7MDu)4TuJ zEJf7Kyf7dcZ5(IOFNT!m8gTIb9%_)ujm*4m<$Xg-XviO(uks^GVa_=yJ&PfZmS_FS zLVEl9HeHG``a<*Nr$3WvqmMc%XV;yU+@)E4{o+Q#ASm7Du_o@IK~F1~CZApX%d4e^ z(FQ5S`AEZVVJ&pAI7^DJ@PYyjiF|;k9LoWC{rmc#QoVs?m!&%K%-A!|tB*pDp!irp z6O0ijVylC}C(e@TzYxG-{Uu@&zXdvfw=a>{sOo%dfjaXiE5WiYrdBUjUm`S=Ti%^} z916N8?yN~(J!AjNzSPNMfFQ!kq?z_8rNwuGwPXNXaoxVPz?!T3w%mZAgmiF?a-hqv z+Ab1#^GZLXq1tlKb=pZ}uyE8`VQV#IxR+tB3L3aj-j6ws3!Y z^SAWmrY-b{d>=ZD`JmxwzhPwj@oF>;dAvg7*4%^|C%NoCy+*<{v#Rgx?duiQ3B9;u z-j;-hjfR^lVUEvORGVB;>p(DX1S)bek*e^9kP3aNyd2hdSOW&bseP)^l z>GVnhw466u)Jx(}Da9H$YEK5hov-&pADkhC`F?g5#o7VAYCobug-f598W9Q$#=j|M zGift31u1F@H2Cz1F$E&1YXQ?edRF)%-?E)5&9fb}Z4I-lV(4EPj{Yp2aX5Zx+`4$Zrc5g)SzeQ!Zks zl(ExzLF&nuy*k);1cD0S6}Haka5+;6nC1KBV5~%NP3bT(fO1uNo^^jZaNm?E-4&Ch zwk(HJHYc&=*ctREmkoTgu<|Bd_BMdXt^bo+SH#r-qm%1Y3ny-yLyyW-Rni z$lOf#oaZ&`3T3MLIrmsoisZeV@5}Mlwj}Q8gl8PaGi?GI z?{U79Wp2)r0Ahy1GNu^g)x4gOn*2Ticv{g~ihLTM|I!Ks^=TC_5M0dxMkR5h3`PQ1 zy*I5n0*j6!VjkNTKC!{hVznRBPcBc?&*WS;62lnr#LViBvyGf5G`PB zD*6QMW&?(yB2r)fF_xaaH9OZVQNT^a&5m#8yWKC*4KMevx2q6HOV}#X>{iJBS#W1I z=PZ)q&9}hnAf<-{zEyYPQ8Gw#)oc30&v^fh=YN)VdU`w6|L+tax7?hs|( zCFKW^R;B;I3nfG0A&9V#Po+Qq0%?#M5z;?>OPB#SntAH^a<8*Sj0mxEzw$}SZEhbu zO&tcDn~`I#GRqx*?zlsYR8&g{oB%L zPHj<)tmPe4#8kJEL!Kmgyjj;VE|}Y53gh$~&FZGiqFfw3ZBAFMMPE&-6xj@fYk_1t zA~;lF2TnCS>F|zTk%>vy*KzkkzH-YFygPj%HCL?0r!2Sx?L^)AG1EHeHigc+>KaaDh-zH597%t{M~wB>Xd$vZ;0y5V(IrkK1>f6r zp4EkrIl1vE>km!lNF8>+>pgGIVqFaV4ljQs`YYG;>~+9Gd*QQI`aXy1s%n%TVwYVKLqw#)Z}QFp`y;lI zJ!^dKsM7lWdRWJUA(SE-nrSGu@({HSn?^0d0!(+hhC5KL+PdJcY7dq%Q+ig zC6@)FoaXxustxex-@$qq7sEE;pG|H<0!t5Lglx^OZL+HUF6ajtW;$px97kb2Y{ZY` zgE=k>KQjPl-}xL~$=}ZuICoQ#K`@ADyQ(kSKt=+gmO3!1lD~6sF7%CGLZo%BPgm91 z(O%o$i*`iUG1qQy+fV__@F)fX?jvGne4z*v@%ynnJ9*p0-YmtjYxY>`389Odr#BD0i(rK(^>JFRN}F$!F!qNEOY^%B6C4OnJQ}t#=H+x^rrz-R<&QN~Ohm+Y?|8{& z)+_T`wWTDZSls8^ep^`0!p}KOfp$Qa<|I%l{iu}N68YE62gzI^=qaK zKde-H@}N(jjIq*a(et*#vXJuVreBCJ)>jR2F}3l_PtR9Lhi?&FZFTK*IqgUb3XV1@A$o3bk5^02L=!%17XH}V z`D>HGmrOQKnIL9Nz9Yi1MjN%XhCP|&<&Ko8UB1#~uMlLbo66A~>Vk;_w+0MDV$zYHcUoS|%O7%f!4yu5li=m4uBf zgQpFDR&Tc;Oq~)9nuhXH9A^{s2h<G5 zQs^KnR7^tUzw$hPmq{Hg6jo<*Fv<13d?Ge%PDD_N9I?4lbbU(~N%6#>E`?N^hMy+J zrov1JTIm+;F~ngVUq9kc=6>s7!?QD({3;^P>FZdIx!)oZr+^FA(QMXlYwL8T1 z{_~9|+hg`?l*M%^=l(c%y;`Ku;=R1-^D7QSb}frjP&v*Rf_oN!wfg~O2jSUsKd&S+ z11A~oJX2#qfGNhyrX~PUvhaW~z@TuV+R$tJs4dEC{L3uQ$?)hNEa1lkST(d<)yJxNcsuJakLMTKS z`UVeyf1wZ^&_+WSX1wGeQ-X#Ft@v{%!w3@#gf!0g`#*oin`?eB*?l#~6YA;ODIaW+ zxWCC$i#Y!Jpx@wL1mybBOGewGmL)mXfHBzdCRR48dvLKinwoN6bzz%jJe2@w&AE0F zln%>%VJ{_^vO_n0RulhAH4Gp3|642t*UqFtLl}ww;d6SP&8#zPiLwYeC`*6spDro? zv0ym6Fe|JYhczrJc_|7D)&9uEj;OO~!L*5}@~@7;BD_Kj>|6w*ZDKt53*X4aHM7=i zHqjsTPLyiq&iLSMXMV}~Muy9TB{TBOU6p}w!r6G9hh_7c13=<##AU}o#P4i7SXxfY zVdLwNZ^A1|KQKG7!7iL7D}h`yFJ&}aUR~6eebej15y@;XV%Pcx3ZOw)DqA_~K0*(N zwYzORU-HwUL(YG&CyXzqB?0lofcwSSOB+u;urO>~MycVBDTKHr*bC^DUh3XxAka=L z*ZfRu^MMhMuLj)3S&A*6inU-nO*MYhW47}{A88VB{R6LWSzrGtEB;8$*JtO$xaHXLjum8x*@Ww)_1+5 zsc_xgO)P07UKuLpqukSGWa;yG9&HvlAmBD3b3%2(qo4`a2oC4Mp-Gw~7W#Ufl&sUT z${G-IS(&nF{VQWBeOGH2abQ6!zZ4+QUCKM$al%!g(b+SrE#j#oQAZ8qqHRI{W}61` z|FBJ$-2PHZp zU-V{-LWKE{l~=D07N-m>ktR^gl}HrFEMV#qB?sBSC}bCaol;J{+iXj*$ks&g%Chps z^=m&|P`=wn`{BKBQbmQ$3N{A+<)<&V_n$>1OACCOQb`j_E}WW$-wWSBA4hnzRCXt{ zK-i|XyEG-OUVrTniQa!_sq?F&X$#10sDRhsk+N=Bsi2oNEFz>Hgw5IoYDTU|jvq1_ zF*CwUH5{sLS=1t>hXv=vkdyYMk0CF2LMJQXUc&{9?F$*!ADSaYYA^3xXF4D2d`9;^ zRmghx+xQ_Cq$qjMKo(+$*xDMs1ioJN?oajxY82>3DSL!@H(kVV)h=GWx$(p7L|R5T zb-~{aBQQ%M=b#TSb9FwUSBJ_Xfqt-|zek zUs8A!5Q^6H$kCjd9^^eY@h@O4QjoPrI(hW(07iG?83CEju=hisCXqL1JEdT5d(nhs zG&g@%Tm^D;|m<`qW$mWQQRv|c~R-wSzv$&7!ZS?ZW_FR@f!u#px4sbLWO2UP_q zE|W>#jG#9(H$a-(Vq*x&E5*Q?BwwuP4+(9ALCRG`T_afs+-E zH0pnitBG1q8JJTP&{%tXXw>)x3g)gc4z~+3d(+xn`-&D?T8gB0{uVkA!(d8j(O=A2 zmPMKvpq~^Q&xbjdf(W^AxmlLaDJxby$64E;vYWs8O!nGDlZw`&xbo$s?XiH9 zO_K&q#fQ3aSy*(SbFT|gGy38?Di@bxb%m$7AH$i)^eG{cuH3Pxx4b$R+nDL*UFJyi)o~o7{3+KsHG|rvxit81+7Y zJ1H?scjhM7Euhf(*I&<J|^pmxaOPoJ5iit}OE)QV7g#KucDMIU%D`E4qI#GC_cA6ZSM zVeMUQhs9V?BCDT^v?ads9L^4K;ODIDl+iL?*$3FveX^UOe17M z_9T~O+)MK2~v!C8zXdpb|#jb_0Ea>a>O$@%m&F|i+Voojr-rSTf(Wb2Z(vC zPEHpv7E$f^)PY=-P0^1K)l+RbJEGWgxe@;z!z8*3qg<(YSj5jkyUvL<7^t@W z?|`j4`!sjVjxW3-4G74?2&X<$->!gR2X_y*u?hCn=keDU6Nafs3or6)QjXMWyocni zq#Aa+iiujSH>j!Qms`w7jHO07yd0NWIyj8DVBS7!ZVN;7k`Jfdi{yi6dl`|Go?<^sGv8Ng#spIEt#* zE6K{5mw8mA$CJ2xBN zx1`&sh2z6Oj6kne@MMbGd#AX?%CgTeuh>9?)z_!9%43ehLQ)II%>0nV?6aocmTQL; z&wDOH4O4bJ#;+bxIH%jB-)e--j6aZA$2>Rf%{7@28++Cx*)&ji@Cr4p6U}O&uOT)tKZ6^B&>^- zL@@MuOHl@@NZl3s)op$vpr63dPMvC46~sQxCsXd-0Z2B>XoZHv+$K$?=QH|E$vo?v zj%G7n%#T}+?~NW|SOid5DEI_d0-)W7JzQ0RQae}~tUp*UaPHj<*q3q;N;lHRFeS;`X>bIt* zy8h`YBYOyu#2zX!`@5%1lSY?|MQJd9CdiDcWF>+@KUbb(gMeIS z!{v$!)?fiVwN#8lC|rZx4xih6@WJuO88xDg+~d%vL;;=oql@2qB_Dn!^S)8vO?lF= zh4!gILV=f|RUEUc#XlhrrqiJMu5q!INUg{oD68XsVL@fZ>6)NcN@Ahy9V-l&`fsBj zgIHjQR=@geq`}bRalLle&HDLr-FpKFCb%TPyjVD)09iMFt3>e+K+~C=(8p+MS4Q@g zj;*U??rdez-iS5Nc!#<)djftze;aODWa!vqK&QzQp=YU1TVt;`Ur`VLMiN$({Ez&` zKG1$DG6HwG@!8_t`-RHvuUX-}26f0BJn}GrjK3;xD?6Zm_}@0P_)+x^c_(UFY`F8~ zQr5`Vk$K60LTAV&yemLSs}P>k%wCyDH9&38r{ns1i@=IMmQwBLO%1BV-!*6oUPS@h zP|3n+yTR+0i&>6V;xShBIlM1|QPu9}DAQz+V6T^IoGd?7Xd;zY{Wy@g2s%@Qug#HY zk1@O*n3uqCqwZqpazMY;mbvLHIWsJ_r&}C*O6$k9ng&|WA6es4TH*HbcQ;dnFi8n9 z=6c5k0{WTvnxf20WcHn2seM+kbfo0}8b(MFd%ChGt@i|=kKsXIYP{LxdV*vuQ8@6A z7gYTmq{71#yoz`5{2BHWcsUL&-4l3R3&SnZcrv zA+~*5+WVwb8G_W8=}O;jut`OH`{l`)!qp0?0U@x)q;Got34Bt~0IYzESWA6Z2N+YqI6;aAr;Fw?l;CJJ5XhZ49fL3?!vO zQH-C*yYufOePVvYCuEY!|Hvp??}4#1ju!&_Df>(_XRX`%XbKe+8_QpGvuX+)Dvgb5UCcrd!%vN$kbJH5qN;#h~q^@&cP1U4?^K%H!crT-Y?Z$fhBAa zfGZ@PJrHJjzr(dQhp4PT-WBvOm})`jw)9F@0c8Y(M+Bm)%F;(w@eZ_+l|^b-4X>a6 zMX-gXV9I>>6wr;vwamlAF>b;^DkpEt!^rYk%^(-xD^-nSMG|F-e8zS(Yzjf+^0)pN-U4WC?(llx?7?PhPZ_F3Z!VGF zNf2e*Gi}#+YU{+wQQ~7Nnz{|NX%jqR18IIkb;5D~Na72ig~2;)qIlYq0EP$BRvO*_ z--ySFOj6WyQyQ2lF4ENPR9VU6&(8Mmk4}SbNoxToCcW!Jvz_TKlm}@TMLI$O{?ioo z&&%>ZP4)YqF@8v8QEMmJ+@6!xuy8%uB*7+f$*^8zM8viJtpOYc{aF~Qb*uKn`|Qij z$x1xE8qL2cJk63O|Gl_L*yyR^IyRMfmCj9Q*hM-<_(*iLijgK;s00()!pvXr2ncTL zw}|~(bTG9TECUesAO^LyiSW5?vBUU2*uVJ5e;dCahyfBa9=7yo-J*zFW&06MSNDeI1t;CeyZ z7xO))s=<3aN%^-_4lg^>DHH>5hA4@DY?VF8hwDUDCk!}{LY0X*0|a^f!t!<;PfrWT z`px(HOcN8^B9d}HKu$e%hvMD*8c(T$PAoqmsg=rUQ8*#_Xr43vogBXtj@;2e%XIEg ze$Hc9kIk{xKmw})v6G$nIqLu02xqVJ-o?*(B?ik61}$|G!eUV)ISm`%lXaaEG&gOL zn94j-8J_!39tvj!z>o^TI5yV*_Z-48$-tT5Ef4{Jyb#ZiJIjgT}?8hnjsVPpnGd>kU?)7YocSt1~CTw@jQ)ynM$-ux;yh zrW0>-y0K4aue#X*!<JmX7RMcF6Fx@+K&M$9%FFxzNCN^6V#?Y&rVfUxU!yu>Z6xMfsRG3li7EK8TkMvMGzSjMf$k7jwz zOsnb1D24Ud1k($vMc?ArqeQ=E**OC(pW}Qb{9&CYfVIS&*Z;s8|N8wFq%BVUZD>uv ziEj7J!H15tsqa&zl&^MGJtg zf8H!XVQf_lFguV>66~4VhbZ`F#6dFWlZ7BB@^Ul^kz0C1yPxvOJ_Ak)DWb|ykH?8s{EcBbCs}mO(+grIWQjCLh zA{>Pltmu9M>|a(4J>xD#`U*>^2uEA+PAWwLJZMqfAJo{AI_L~CqjUAwdf@XFzykrz z6I2by-Lu2NY-2y3_p%g;pZvjG|MIs<5z<7g$i+5NO)=(w$N_YW9U+{8-kDvBG`-pZ z#}u2QMs)H}A)O6;|42Y!k{|Ioljq{Z4QfHQ(qyRyc*2#2^R#=Of*@KvnajZK)FUC z(MWHQ2iF{Iu~=Wx1)#T;=zAbfPl<4-TxD1PLG$`$isF*+bGDWMJc7RjXt}a@qrHqD z;;9l&IAZpT%qYH|J(Kx)y};F&hj)G)LHY8{^qr?!Me{a;r`JufomWMsomUU+uNj`F z2~Yh?++1xLWCee(5S7@E>X&9$k6BipTbU5I1EHHmp2qEUx$hH+*B)rfrwq~yb1u2={+Tq=A z&VGV}xHzxLK)A}4y4KNfSaibg%U-kEEui}6-Xbm8S>d7)^p4IUoT+A^2Q)_8kl3k0 zJ_z@t3*}ATeu(hoS}^U4x){(o3|%b>WrZ{7Ee z0wICm4uRnAF2UV`ySuy73Bff;UR!J%8s~K0|GstZKDDcE-Kz7cKeVh~ zbIrBp7-Rm%^JqQdoa!_c&fNOpDr~fBNT#gUaM%HBZv=2$D88%Rq-<39{W+ugg~xA- zR^$hqfVK3G)2vx(H?UL4s^v*H(VVm%J9yWS{fT<2dzh|O_maV4kgpIzsNM{f#N;4CJUh5E4zbkaK~6uG`vWX$vu zJK=nuaRyvdo9hnuXS>niStZMLj|R+&r%gEwyl-SY7s4nlFo2d zuGBg1i7Jl0XKzDkX9k9nC~P0exd{&6^qW}Sjtmy1%v#I)bje_i)fDf5JCP!nwxt8m z0W8JByq)s;W5L#J={%jXEnn;(kxBHdD+XL={)|>Ho?AUZkS9fR`^Y)jFyCHYfk+#x zbh^XmqEC37(vnEb&HDh6W>d?mHFeROcK?DZyu>GwY5d& zVhrxK2|hFWesni+$x5BI;tK}*Fm9uzCVbS2>y59o%~*?}oLi~B_}*-~EO`iV7nm5v zpyKiC|Mjhx8r>n&`E*xWfyRt#q7^ET(~1PhnI|e+Imr78w+*+b zY+`aLpCZD~8vU)n?lh_7^Wj`_;a61uMG*V@tO@`)ARd}}y)wRy2ch!Y^3Q4MO3`ny zp0b;^zR7SI+#thioG0b)a7rJmdq3IkEh=#ei$g|(hpjzg=S1oxd}l2^c@dYE2315D z3nV-9Y(kG&EiTs=+LVoDvPEDIvekADM7YI0ll6l2#I2c6^DXu7>d(8ZgPf!J8Xfqq zdQO)QV_(0Bv3DwKAF_1_hFyXKRc)7k$f034TJwdw5-Kd9lQhctP+joA&ey?}P{)oJ z8J;WlTLCAw=cnhb@FGQaE51`3{kw0_gpyoE)nqCvE%b|PFQSp*u7z|#|DZsiwz3cu zm8wNlGT4m?O&-ehdmpCP>z{S(r~mGF>QDNX@dHkT@6uk>G7t`7ob`RkX$t1k6S}>U?W|;HZ<&zw42asw$ z=#aM@DW%gYf>-v$pGJNvhKXGthY6x&a_~MiLlHIBDu%nC>x1Mza#PfJ#8{qW^<`%; zrrG=Ix0 zeANA{oPqbyjVmVN3vl{+S2US7z@M7vL4x56HsD>TQtb7E08*-Nh;ty$)8_LZm$77?J2Pvf z#35bgtj)C*P`s6tmOcvgLBpq`^LgF9%_orDQxRMLj21xzy$biFlQC*IE#N*YeIQhn z2d~|bn!}lrqte7}n{bwZB{Y>r?Z9u`@zGaR-u4$y)64sJlI4cxgRF~G4N9CA#uxfNQ7cN;}U8gw6k8E~R*`w!s+TB<9gCT^@Al9==^q;yDX;$) zCJ_}M{-n%6bl5D04XyVTWnTkH-ww+J>Bk>xi+78d?FOmv+4wbML0{t9;AfdckY0hlD-9OQb^tMg!EXJe% ziP%;Dgn;D-uo7R@9qX=aB?L(}BP)yL4(G^=q-)hKx$c}=XHU^kDeKJjm@obC_@>6i z-o=>;k;5H!%y#ZwPN~-iGs)sZ>Y@91k?mwK;W9ifE!&c*skeKq0R zWUHo&Hu&XB8Kwj<0CWv9v?=WNn_#RQSdPXe*svR~a!dQo)T5(DgB-UpnW~2ot~bXS zJNPVD%%3IdrGGwgx&NxL2UQExcJPNcS_q0#Y`rb=k*UUdnGa}d&7!yQkZVnX*7kV3 z=WdxJ-D2UZS;BP*R*SQl`g|}pQ_NstEiCutvGc{lS^Rkrarj~ zdC)B0DigM2hYE)sL$ji_SZUo(<#IwROcK1gG@=t^baTPOy^`m_3`jg(DH$Fj%8UV) zlcm(0g-{r}O1s-ve~#cUe2c_hn`We)Y03RL8>zf>WAB@&PKUSZPn%MY;ZAr@l%vCQ z?w_wW=nE!(kF7>I5^V!qh~O<=#_P=_fB|nCN)D|w)LgsP_(^V(BbW zidU%T;u{q#xA^;dkCX345Z?*Wt<~kp9Zkl_!$fO9Yr$3+M{$%rTB5MgFr>UjNFP5H zgQV+j(+e!Jq;;P#Z8G*1!8wLCFk+sKN{PxYaOAF!heo~hA0B^)u~~7^;&hg6@oI|0 zG87Z<2{Q7{PYa1pBmPz;FB^H|V%Mob)gpuO9Xj04_MYsY?D^cc(T$~l;&Tk$#DzTOZvT$%E)|uH;w;BBt{lTE@-Qm?R$;=)=)(`2N#deR!gm`_4j;* zzW8H}7IzNlP9^6nX&}?Qx9*O1Wb5<5H(TE(EizWq=M8hA}H?dyv)b!>%q%C zE0ojq@x5ys%@$L%2yA-e5phwve+0T6axI5%OD3WZY#O7~NC`hKX?AcS1ass(U3ism zxWw6@whKx5|6S6^K45z}Qls+(Ss0>ie4aW$`h>#bx~^jB<@p@vG^+2m{D>(>V)iyS z@XNcQw0R{Xp>1eTQQ>#e&aURzM-_7V*$A`a5amC9*cp@=L`Cn)he zSkP2i9WJLENE6Bo!;n@fwL2GTjZjbXJ0n-mGtItnc!#^Ha@J5^48!J)#C!JB(Of!z z&3#+Y?%f+|e8`tc0gLly7F$3rnQWbrD-EVNGLZV6i?g?mHirX5E9OQe`m-A!Y5b2B z?i(AKg?Abkb>qV%GuV?=nsHK8yqC70a2s4P+Bl!(4-ZlYjHCVXBrs+3RWGX)T}CHQ zwH^nHrPLa*Q9zut0zyNLzp8q}Ik*;Uj06o;Bg>vx>YT|3&3PgfBCD?1GswP-! z*LV0NNqLv3%t6e?CR)J%k)A%+UaydqjnLyBhJhrW{XL{Zh}C5}U)qYl&~2h4lB=ro zkkgxPlaY_gBfn8pSVqlGB!p4mP{Ta~wj(CO5D99P7qE2jo+#)su!2(bz_zAx;Pg^nwC!g+k9tVJ~Dxl@gI_&VhCv zmqwMat?5-x)zZaiuOb6{)KZ5*5||5>lh@}T;>O?~O|mj%badzxjTB*OpNTaToNp@6 zn{I2#YbjJiEj6|Lp=Kd&O7yM>%8(${eSm4fM&ayN=W)Z43cqw3l*Yt#BZe=r5 zw#Ibe=LbQ4xj_EXSC4uu8gRjt_<(_1zEyDijxk;6#Ve+bX`Q1khI-Ktp_hQmbdpj- zL1fni^eYU6sa+to4zj#aYmM`_P6K^M#@m6IiT8hGc%fK)7)fXcYZxQ+8!> zr;yaB247VM5@yY;O5lFRrIMpAp7fiQ+^^^C)p3LJY0eb+@KsJAt`8tNzN1@7A`0&k zGI(&7phzIgFxj=>k@gzAh&e%KYi{15@N2$$xeLt6deQM`bnp6*!-8bNFFv-*8@V+m zdHiwic(K|JhYxmQ?iTZ!glEBbw=NF~Tp3`MR53qwGKPfS%+lN;TiMY^N-IX4o7iVA z4{sZdl_*;<`+SvW`fEG>xI25KXuy}ejr1nUsr-{DVlL(KL*jwF!&Khg!EYTAUmYjf zJDh=V)5b6*3WkyDH9lO8t5=u2u4a%5hdCj^8FODy$B0z4j|m%D8k-8 zz(Vc$E#5v?DGa0KUCdt8?Seb<&y^vd*SaIej&i9*S9gA zq!#bX0Sf`fafffaTkRsA?EwBUg2(cSAw2h;f#he+sDTa zC$Dp5tO?XHF^nwfOwHt;gu3|N=URWmk#J})G0d)& zwziFZk#CB1K5Ol;#k^#?r)m4Hd|$aSG63gUmr7B<5jZ*D)>n5&ff=(%CQfU81C2GH zZ-?r?Qfi&4B=sttw{98LX-C#tZmJe(H8_5H%(K|$ViY!3|JyHTYaK_SXxqL%`Or>w z|G5vxK*;2+2?FS;05z0|i+Qg679w|ab0;o~Lend>?`jM?>(~=}Oj$WUC{p=m3AJ(<_$i}y_ zQ|tIjva=tRt7d5W$Dz&wYjOEI5151Db#PuYRdL^eT^gPW16B-)XWSQg@0qgx7`bEc zXrwyaAYk)#RXk?)DO}=-Kq;DiYW+D}5=7Xg(kvAl!w-!g9&jWW{dnx!1#PQ2@$i?% zvFhAp%Ddj~tDk2-Y5x&X6|a>2L`bNCl6^9$O?~L=#Mc^)CrVi>0Af5px60DpOSPh} zp}%=K@BY}IB%@i1jrB2Jp}pnL&8Op~))%CEQ?p`a#*#ylqKzy?Y?I*~|5zCnKH zV*4EfpUd)gDMrDCG1za9O6zxnH-+QzxxVr;5(1f*A?TPzB4K^WXhTbS3d-YBResG;6-BQTOvpZg%lMLIOum^(E`hpC zDhPk+KP=QOOj7Zn6EQWy3QipEsOP+qJaUg=#P5Iw6p1q5N>P!v#vX`ijXEJ703w0T zLC%_)uTWOJ0ee?oyXLRv;+^07d(7t^5?7>Nf(>`7am^PC*+-!`Df50`%TPL48)+l4 z4BGAL`4`!L4`79>SpD&TjI4?nii_+5BNFs#=7n_x7W~~3#E?;HC+o+c>sH^?a_19J zx84t}=U;6Mni=?<|5}YGpDOnDCG25Xh+?y`F}mt)2`Nd-!&3pfC;ydL5*%I-oGPZ$ zk0vyo7uwnq6%Di#j#a2j7VsFi5E|f|z_|IzVwm_x8)>GYoj~P^Z>E`e`!=r}(@Dyn z7EOpSgNbpZb*lJ|9K~mVY9ec9soI`fjjbOXE*)|XG`lL^2pA6G56ddTQ{k#G(QJ?d zLD1jqbxlL7wDXmZFIHExZhD(s2DwDkhz`crk%$huo?mHSM$VpZWExPwU?k?!KbO^p zjd43!xI+!qtU+_M5~IsmlsVrY3U`$nyIvr8AN5Y4!r#UBU19+UqpAVCY_{PZ*?M8g z3f?B!WOf_f)UXLrN{H;*A~6TL+yuI-)7S)F%W#Rlk+s`oJ+WhR^t)IS})k8mL}tF}hpUv20UR>a5l&qUtt2Y|I3=9ZD;R zhC_Axs%?R|N0z4PzTqEdMbW>v=?7`rnMN%I`h=lguK~lv)i2y3|gN^eRU7mA=L3E@7US7&0G=P;IypVLuR3ew zrTV$5;Do*KR;ELq4W7@XINqO%lu7BkVY-B!a%WVtC>9&rFcFN6HG5`^d>Hvjevd;) zuo7B&&3g%342vXLO$i33GC$4Q(4P>ny8E)R`kft47jkzLUiFaTwexdiV1wzP7O?I} ze3rmB*?Yl(}PO#7ihqmONG8t6O>fdIGQYZ?g=QM!?A%~S~(ys{|1t`K>umSDOZ za&p(kSRl)lE&GBdm&_hp^M-e-*w^j-iFbgY6B;kf=9@N8&0ncPgYGzAy@8oLOZ2OW z7?w^WGkkaaozf3bNcslL3B0Oh}+WSkncyO?B-6^+v39y2+ju5czv!*(`VPIK(zir6$ z3Wf^fN&SI}&HKtrd%|L6FXgH@19jya*EsQN*v|5`?V1lh zjBEI|L0hBHetF=ElE-*HSEd;|jR{+35!fOl5A~aukpJVLhh1atO(0|1xOpc| zHP85%)NhmCjQu-~OkT3iy4SO`@S!%|EcdmZX0;QF9_>CEB+Vy%y(STBWWM?-nc%)0 zc*t(|hJb2*w@yeO81DU*a*`k5EOJIVYzf7<_ieK?y|b~{V<3`QYj1qpMr?XL>J*7D7`=4N zm`l6->)o-03TXts%CWPw z$y}W8tXMtyJIc%+uiklHAO!=DN9*(lZ<~bCRdUGsfCUW4b<}sbjpOBEdd+n2*Dmd@ z%2|Ird{VDAS299R0SSF}3_Ap{o5-gspQJAQSWLfQ?%Sc3EgUsn%h9>jr1%CKMNpf* zecM%&^R2Es@>C}(GV6IOolrZoQXk;tY4m3O1^QVRrzv;pwT{GTvUF53WAlWUdAu$5+kPvrg| zd}_@ac4o>RbnLz|2s80F@1OmQ;ia*vTZ|_?gz+f*9yTJ~c#Y zAvBEd@S40|tIddg^oEzvC!@?o$Kz_94BoqyDdwm;bg?8`;51&I--ea@sQ%cp*_*!A z`Q)8{4W3Hzy0Qerb*hutT8-@E00X2Ql@@Q)8rs|2WAS>a>PE_uE)!veQrqVqv0sMazNb1)Tz6ER z8O3pb^(XsYLdfBuX%-zL)ywwksX>Fhcddm~K#Cdd$*B+(#NzjlxQ@YTvS)AYM0#Q1 zhXU&F^*pk^WvpKP&D7|C_j{(c>=6wwjbFyVhxOE%S!4yY%6ZllpcnlgaR9m9fVHeq z_w=Vz_$RFiruU^3(d%yWLDnx?VM4#&7WlBWs`+w0YXyAAf|IF+EZU6FyrWrgWEd@^ zXp-N35PV>(jWr>;6$b`xq$O?3??Ian5a%ln9`rE&mCpPRrbKqr-;xM;FUW^5?Q5qk z!Emb3$<%UMbqO!dxt$3vm{??`ogCU3FS_8|2o!k_y&#=&Xl%;n1cW)~ETlBW24@!6NSWykx4hBS;Vo=sAsQ-!He z>MuyT&0uv0V~c}>rEuFw6stR`z*}9X7O+9O+;dn~{NHhPkcOUH1c)wn`Xn7zHGfr)2mC@n>01}1yF5Qxa@8>W2J2Lrz)+t5_9WW2XfVEWUe#N}W${iZ5&JtF z+Yc=D_jKybh>Tp7e-F96^YO63Hl=RU`z5o4{OmW&|IKmx|9MeT^o{Oe8plf&d{}g9 zxe^trg>g(fbuM4V77EQ~r_Xlln6bi1GGk&;&14dH<02wT#2&d_Z#RTSwt6j^A)9!w zXmzH|#smB^H)s-t7}N_j#F;{iG!z`6;dC*?LSXT19%+?)GQ0WL3Djyo>XGD_FoYtp zMv`{Ujs`-f^5iHC$dZe2P@OMMi1;)42eaNT7EVa#OoM3>8JyTXy5P~fQ?g0ZQ}vK$ z0`0r+si3a(y)CO7UC-CMW4)3srxwBkxxnEpR>qCvnQbp4rhVe~ zc`{l*K>e&_YF&Tn87=?-5RSzdFcNiKhz<|HxkbGh(wsAn|8o^W{?Mtr0N11mC4}=27g4q={6WK@AHq?4`3x_L=5kEXXX9;0Cp=(noULK{aehyMgYjWPMSn zUSEeo@7(a5756^lFh0ZHZhmbclxe@KhA8NPNX)<$7eS+matt=qYo(j4T_JdRMwz#@ zZ4$|ZlSDUTcmrdUNE)jO)iCETN_-MFo?$Z(CAdf*j3K@R@ndwE$&=|3!S6+1Z0Wzy zxQ4F6bAO4Z=EKQHI!U*JkyYeC{KdL4E(`g)kCvL=E#t;eOid!_F)G9QbC#zYiCG2`9=s z99KW2Q=3NfXSlMSAMnLPL8S@#m$Ki4S4na3?OwOkB<&P9YC^<^h_Z4|jQby+AlpUyZv%Fn3y!%Zk0ZB8M6H%99JjGwfA;l;ZTZ{V+Hf$ z?rS-`>5O>*XP(7Arq~&N6S76jazFC?C?RO7%eOI_UZRL+a9vqS$;T>Y?J zbL~vqtcmwr6^A?!K%TmZy}kYIe-P8pWQqMzzRSn)+y)9#{fVE3OzmlXWbGtlqk9jv z$*ewNtA}K*(6~EjcGsF6FS;EG`VU|e;ji8>nAgm#4OJkxF*z>n4AndoMs#sy>aHIT zm4c5QdA%^IzFDD@^(7%Tdt)sqfj^IH0woIKlV!fDSWpWq`+)x}AUIFV?X0nsqJAJB zVkRrm7rjVJu78-zNg^CqWVl_$pV$AWYTY=idOn}JI)&m=L?$2QKKq@P5ZT6nc=d)8 zIH;6OfPfR7ud{QcN`LkZ5(g~au>Pm6aqZ83yjt-435*E5+r5Ph`+7pEXd?wsUC#`l$fo#wXItGJ+090p2g(&8rRoB&Z_y8J2B@?NX`BCP-qd( zzIs%y%TsBB8?{7`wfq5x8QX$BU>8})=gHFRg#A~}oA+!+GpCN%_1`-elmr7)6o^3v z!u;IqS=|is$CbKv%P6T6Q<5O(PAuo30)dt3MmGe?v&-+}A9&ki6bf99=Vi2Xyct^M znl(a@ocAwsl|fMTJdqkQ_)EV1Wv84+d*2B4I8E!qI6Wcj@0>c+?SjY5&5(!W`5MXs z7s zSb=c4PiPGo0n~n@=>Z|*GgmO~r2v3gzvObI8*rvC`J7!sANiMoRU#osWlI1{0+)Nv zLXkUom;NKS^RyBoM45B0$OUdogB@uncNU|tRw8V}`$v_wt{Ol+dw3a3733T~-L?HE zmqItHHf|nrvMIu8uwZDe@`J2vfDIUtrO3SRan>t}^hdQM;ZNx;RpF0D`fm$vRNMd~ zp&e^-E-ZiTi?yh$66J_!lcX)zEi_^5`3q16og^o7nT4LUhyHMdr3nvFW}44b{T%Lm zhG*yi?JM3Y0>Tw$;F;Soi)9FDH&!gRVi6j9sZtEF3C@n|H6CwTy=jf2d_Z=HF7NJ; zPzU~u>#neVpUi8eyUw~5&93oS4A@y+gW^|h6g|+hti5N4FX#zw3x+*B)^z_J%-iL0{4&%vsKDRfyfLrhC zTRi*Ml+(>&xO^mTw)*j%Zgg_eb=O$Vr(>s8pHJr@(T{W4$Sy#DkUu!W;amRfzg+(I zKMfghDN`b?E^BN$lpqqD1A(!%vC*tHY$`?B@2Soh2AFiz>@cpGGIfSldF`=Im$O?P z^4@vgz#^{bI^QU5Mhan|ZP(~_ zJnv+wIz5Pvvv%62= znRsug%M6eqD^yfXYO&mAAIgO+k{~>wW)#uIJz}}=Z2?W!+mGWRs7~A4c=IoLu# zdt~yNuKE89XUoYc&@Z3b>hK`kK`Ex;vZV20(%l&tlRe2?3F`qlVgF_1K+Y{8Rk&Tw zPhyISp(Fh7$J!(ORt~u{oBPC*M$(tMepHIvO$iBs63}eCOf|7bSR;9yA_zPxYg^^b zXF=`?PlMkX*IFtP*0yg0Yui^iK8~!|f7a3cC9UVge5|OqJyiLre7QZ#L{ek2qWIH9 zjC|okwSX8;dhs)d&p^~pwxYI-2X619e48WTcj(jpzvRJLHT}1189XJrYZxRWBy{y$ zv^VP1r@5e^z%^m*ab_Rvb7M`~;cCuwC6>&A=$&%4#gL!`61RYJG~b zkV+S=RhY+i8U=+os95`5N<5|BhX4g0Nb|fZ zIr45#?OZylx`KpNsZyKj?Yy>iZGG}sE-99@P07x6LsaB*9wr4X`}Ra?KaF-F&)1}^ zej!mW-ZA}=6Kt)oRIvVuud|<;5Q1ApOGFKv459O`w zWObZXPY2U!rUMv4tBfn41*6SSb+8ELFo?z5`C!m!EU#o&LN_ zWaRF9NE-zC1D@$U*sallM1Mv*v4%fahO2ZY{~yE|F+sq8BiPguC-_;G%4oV=LC7*@o|)2=B@Z zV@P$MJqVA1;HacJq8W?EB10(b4T^R?t#C|=Xt8P8&hQN$y<1qi=G^~4?g38JL;hxt z&j9+{25&Rgvg_N-#s2PnwC&*EvL}l>!HnWsrAf6cn7&@+7kl)B6Sp}U7P;y$PE6_R z=lN_e=!PY`KW7IWn9?Eh?X5s8%Sb;A%_4R9e+Ex>xJ2?&6G}g$xEB^C%cxlmAgN&@um$qb_=sQ2SzeECRtm zyy;)ymdeLNvPGw{xIsPC-vFOXzcKUH(PM+W}F#no&xLrZ5*c_LN{!co&R=Cpj#5{Xt zLegw-qDnX1+^hnlfwsXk@sdq?{AKp)e873;c;n@vV)A(8aHSQ?PDk9|HQ9Obs`S8$ zM0c~cs5YczCay~9UTRqUtDEIy zC8~kF5pGWZH3}gnOZzvkXm~Lb=Q@jIg}+eBAB0(uOCdmFEH1s}bgE^eTV5Od0Rnv8 zT$dv>#>eode<77nzKGS~8%vxRH-Qz&xuN0Cyque}WL5!w$KLtYP>$OuF}UR9Jxki!^gEd9D*HUQt}Yv+5Q}lafr?w^zvZw%r$t2CZBn^-Tz?8MWTcX&$E6 zdh{;g8+<0k$PUrb6Dc5XE52xyUbrc)HU3i_m67;XGKG{>fMqG^<<+5-*{$Z9Y^ImE z=KYVhll0ZtWt4X`Y7Iz4tylRkKS(19ITDd}2H0Gh+90r_>lz;q87#dFD_q8}@-im> z*{JeKAM2fe4b?qYRHv-$lTDr7sVy)-l%3xRh*b82rXoxjXQ9Zdt zDI^WZOD0+-y7RK3U__(>p|4@y9hEx=48mTsr7aXKr2FZ@;Lz0Cp&NdEu=w-9u_V#25B%Gu54e7ohyy-edpyNlt{?LTd>>hA zectUn2%7#ZxSIW2SbSEQ)hbm6Yak|9?M_>jnx z%4!pX5dp93C=44&*_ar$zbb|JjgG1CY4Mlya2$O!ugcjE{JbHYuJDYfWtyiA*1a|8 z2((f4xK<0Rmi`xw7_4nGNt~b9_X{8!6T|!%?=FKHB#_;B z`tiD|w=EAJJx>WP-zF^2bK0^}cc89MMZaYCFDz_mY81yU2V({00WO0#Eosp+vQYhl z5mhoUbyk`f_SskUIm7R8fF$wt)P4)+W;^MzSGH;)(EbReFAnz3dmx3Qz>PxMm`>6h zVxg{ocDhtKrK2j`;j`RV@u*L_Cvkxusil-N=P+wb@cuT>y}O$0!{5SamwZMn?HtrZ z7*PXuG9a+agW$otlQ*sa#_wux%fY9EKV;s^G~tgX0Qq>1oPo%L9yQ;?z`P63SUTW{ z*XQLXi(*vzY5I(PO;>i6xW+)d`FRM?=8MXnK(6P~u$9Ar9@y~S{b}FrwgXB@?!+4R zgfO4{*(n0Pjd@sKu@EGVqaUCDjnCXpOSsF~D$k(;^WayNQ7uVb-(tb-tST|BZJimC zEZLC=B1$x;38}wjB4)W>&_`?0=R@2y5AWaxv54pmFUIw7FX+UoAlFjGSVHRoX_Ngu zyi)Pu&)9WX?O<9gJIn#jV%HPHnn}hc(Q=v`oD|DWpz})!ykoAWmTOC0FTv=krNf$4 zICfC+R9Z2#zNllPHGh+&zjP4rJX+=pY?YXxt+{U^J!&*K?1zaFd{iOM`=n(#klY(m z`?D!q%wJr>Lp1-=Ke5HqaINfj+jJ0kdh4FCIyN5f-}g{JJLl5g#T)jd+5O+>p>q+< zoPFS>(Kx_4PKC5ne^tutPTy$bl?K?E>_aO~I=552=S@rGuN=u-8SxaT7lfxe=<8Je z=&#JK$oo2zlTR9ztEBq#>B>yMK9gCuCZ(q>{0y$Ja5@qffi|X&1viKmCp=^9m{Nem z6-2Qm_xlTGDyPW5tWQG^rqwcOfR(&@_WrRSpA<)GztJrNWg_wXxhDww=Ow2K`T|-y zeMu4Y{Um(O-opDQdoGrKrmukmEii>MZ?-raGe0OD6|g5%of*>lDZW78J}64evCn12 z^P%h%uzgjofnowyy7c~%HhK(fwkn0HB6CCaX9QYq2XkDODV2k;MM`&XvFDuDeFP_7 zJKWITaNmOPpfP5=;}QmzwAOHG(} zmxO@zwOmL(+IAUmo4sHKYtBuAPOk^o_5lH>0Io5A+zH)xppr4++6fZ3q zuiRxfXK8+^7GGmTW!=9qcAG=s^z>z=*pJrTSKZZC+4RfT>K z=?fj-|1i?VnLXDh%3lK#ojHsy$5W1yW5N46vdxw1Mz83sM!m2PGriEpY0u*gcI#YS z=1(=m_oC#oyI;CYG(_e)cU?W-Xd4q+XSTg%D?@Ei{wV z#1_#KxwW#B*R|9>!yvPW#>EK;akp_c28t4UxX| z7MlPglnmanP3^c3>`!@^c&ZaEF5V)jn9n0$jjE93qoIKo2`VN!}7nI;?2y{odzziBca@pv5(9AqN520-RU4ztb74cdNtYw{Ak{e!p$jD>6} zZ(W)J(!92w;)Tm&Lypc^R3dE3#hOO76p;P-8qstZqL_n~Vx8A9u0Eqzb6coEqd!^Y zWiN;qRY&{NLg8$7w~&X_vL%`%B`|^z!IF`!#rn-&8%5F7c3vdi!!uTE(ea_G)#ni3 ziXqiP;yL7k0W$92m{_ed%vD=%ow}(rvC%4umoi0^%6mNt&O<*dQn+A@ggdi#4(yOR zB>>cUU``Hp7Vr(uE}5GRI*yl<#aGd1pA|_^{*4o}cF)fhYkI^T;bv&6Wd7u>Nofb# zjU*3#*P2QAy`5%bQdl%<7iKj9ER?AWneOq5cpFK~euCjxW1_*k>XruUJ0K(rMxVFY zin@X8bcvHZ=S`h7w4FHc-7}p%`sVd63)(O~GK-#PCVDBIRyGwblKsaNJvl2w#ddP> z!rouW1JI*5PN{H!K>wr^pGse$hErM5_K6p!} zpO43V&@Dlu2tI^n_DK>~tBJqo;~!+V6+IGFCf<$Cb3@>zOFB@gGtUQeV*8%%@F19}L3`#5igW>eUdBW8}AY2a#n z+5^yP9|*H~MME>~%3j798S{JbL*BS*rcA*RtI--E?;~*xAHsZ{;U0aWU02^R`JENF zGsTEEAo-T9`murSG7+V~USB6b54F~Nk6Yps$>50_jxyG1cYs@7$?yJgZsH0fx$eb$ z1-%d}x&dXTcoH0sDid&OM*1Zpsr1>=l};A4Rd)BcK$Tnbd7TO#nKEn?9(p|JQAvD&_3|3?WHMKZc!CMb|$*Opb6c z&~R{JM4(3ZZK-H=;UczhXX3;A1NN?*pQVgxKMpQJ9WHE+niP6cfDcX!MP#DRMEa=u zhAQ<$q7rTe-zi%fUMi$VgdobP|LnYdtJt2oQ&uy;_*cSBI=Vp@`0~569c=Jcc%sGQ z98JF}K#595IJo>KU+#jlb!HoOg~l`%7f%D;UtdGoZ7HLJwLdb;CWOl^ozz4)apd%G zTrxJD`-o0onT{n!_hk_(s>@Cyqn@?+Z00G*EYshZO5r18nUbIDJTG}H58eC>Ub+bI zI`k)KD*D-{Xr$O!?mn>8AarIkuSk#V|8wQKjUVZsvc6%d3vj` zyPHQt%~35F=6!tNq%4WwMqWNb@yCbR`v{~=k%QWnLY4#%rXpWyJ+dyqm*|7wOo zDNzf;-<_&{B~3j8Tn(bR&|ps!Nix*?{5+W080=HXrS`bH&UM(U>DPr0+n{vE3kS}3 zv2BWhp;hA-lBL`ViplS$ z6AKDofY;9xX1@y&=m&rQylVf~AJEguCmKrV{Nrhge|!2bx-VNKbaSv!F)<|t3Yr9R zbe4Vi@IlP`H5`;|3CvJ7F`?j0Lz0w~gc5Vldv;Ac0}VE%&r~kccRZf6yrn)4oZ4LZ z@%XAYiV4=w^9g#t5E{g$nrVkc*541^gHjV+Bm2E;oz6U$SvE0z|H5TPQrN6RXX+=6 zhnS&MPFKFe@odlrxoqNNFqZ+#%}lkX6O~GlP?!dbG^s#W>OWbMx8Ul3B6`rMPUx;a zK<@4oOTMCLLG@nG+v~v|H=koP?znv9Q50V!0{oAT%YSp&{%21YuqWN0&v8U*3<2#p zx|BZpLLw&Cyq=i3;)e~_1BnoDS;Anb>upcR0p7oKPHi{|7iF#V!8JCacxP+?@*#sU zf8s@tQkK>)fp3}18#h|g972FhMw@aXp=rb37%3dK4;)WPMZ)Ki6AN_}4hwLL0Q(){m z@(qlRs+mggrsC-A=-;2&lM^pIcF(#jG+fXqfWEv16aF}N1S*86gf2OvWjOEIm`o-; zZWuF|gG_E~%O%Q4ICUD?8H<5u6(Zn65ypQMUi>bor&T6@y;m2r3vt2sQGaKESfoMNIj780Ac{Fp>7X-5X^|* zs;D13-JJa7`ZN}VQST#t;zqxDCY2mpD$!Xc*+5`Mg>EDFPsXLH@XzmjpiZjzBaF7L zz+G}_k{1&;DIOy#y*fWtg7i@F0~(}S!19fyjiACef%^o=SHoXl;&qU}#8q*7SuYM1 zi9hU(=8#S8-;4JU+0D7?VJy8P_y2}VL&)d{Xv?d|f#B&IJdtW4Gm|^SRaz|8rjGGE z=Ez*)Oqx%HeOH{RGM}KJ${XKkwEG~a!V@^jD0gqToNBP#b;LcL7*n_=Rd=HPE3izu zK)#X&jA((^vfAX{+gi{{e}nko|^+P5Z>w!RD~$_Ai-Xm)4@# zqv=Vt;{|isHo>o=xNK96j~qbELK}$P3q>|7WAT|^In|P6+OED3aoO^pNLXk#FL*~G0Ayh_|kLjbnhtqlazr-V( zIW|Nm$#Rnj^RZ}Fg?{Y8ffe61IwqpY+Uu$Ns(2JfPA^^vvG1dkLd>W2`bil!hNfyu zxC!)JMcft5Shlyf{h$820Jl{)M9I2&pzaL770y=uk@QKYr0|Gh+=g9Sk|eC-*Zyg% zSGrG%YNf>-w61RIpO7VeW&ba%g;mg+yRl^^N_k*r&Hju`=R2XJ5V}B@)X%Bn27ju6 zub?7ksjBkwthw6NtwxYD< zQvEc_x_x0O<#$$^WCN+R=fGTNnp4Uc9*b#K`&`G+$j6Bt@TPR{g<|30ug6S}?&<`8 zNec|hiQJ9s{5R+5IZ|b*{r2WB)4da`7%CfnMUfX99KAKf@77RL>rUQM@&U$ zV+mbbv`6gDy2NF4p>6Y@C3{oywYXfO6(#2Ss>__)?>^I}%MhC=WfJg!=sO!lm% zeFhoHulbQ1?R%rVTv_t=dVN{~D_$fAEPRm5Cs@ia+bI}$rE_rc+Ie~PqRs`uLMfnq zy1Co^X^O{aWd(ni$$`U-&^YHS0qz7^Mi$M}oMnmbPH&Lg_1t_u3T*(L(Gw|Kiw^JB zW7xzYBp+FNAK5Tpbkl?tax8K?Ss>g~Iq1R|7+Yv@Zd!_-Zyi zv9=R#8;*~@RoXIZ>nJ9vqgz8U=BX-m6NPBx>?i+MT!ypl8JD?YYlYB0E#S>p5cIUp zoOLNCMR=v62P;G;}>BSa-o=*^Zg@w7(`MIdeVqoRE+$5VcixV4@*Re(_H?6p7 z(~FxdHG%(Lt@WhVLM3z!rZ^YH6+m0o17z4NM^tmr8Tjs_sn+IB%N0&&C~pT}=TIse z5f<@FyW%a8!7oN(D+#Ot|G2%Ag57kT&GA=T5N1iCIxXx@RF8cj5(zzao;~oT=Oq|G z-{sSzf3{{OqJV$t4gVZ;lkL&Vakg4BKTE9 zs~H|VBJIKQySD!JCD`gdmOv7i1tzx@7`imB0a7eu6@-E>8r-u?S%e{0pjDNaW0w4U z`{?V%&Le;_A@<0A zW0*Evmcm?Om+JosrD+MJx*BZ$)?2teHLP_HowkaX%0DLj7U|(5ExI?CB#C7 zy0>$kVbs9%RQE8^s_juKPQ!@f{@zsPJ%#u7{Xspod5qu@-3pgx6$I_@=0Sb_lfhI1 zJ{2S>A_MJ@Sn;LX?iECH3V!ps2-=?!QKQ(bRkn5~&vpaR=*H@TXVw}gqKN4`=Wl)6 zu0X0t6B!9ER`cP<{81$R;`c+usR;=A9?G!qFv_H=WdsxJ3~}?1+wOy>Gock6-vEAC zvXBw%=W1(VM)%K{xukp4*ZFQNT!S^p95$O}g<3u5N7d@Gh32+v%)c-v06IwLtD2E2E zs+aa$chMp7M!Z+`)iUTIV6&&}S7?pR6zx{+LbV>Yy$>aHbs#fyuojF`IGN6H_a|8kk1T{&q*hDa|R~dk|u=V*h3&k$Ge6H43_!^thq?;9I>PtUC|- zI8n*3;Y#Gy6MhIUycBwT4PV7_Af6`Fqt<+rUh|0dX?pk67N_IqR+)*CqtfnDT=Yrw zhi$|1mBR5IMZWxkN&{c8MNrAOjZ7`gr z$Mt4X5-ZbF>9?urgH__*pI}XV4AIV9%L9@GL^9pK^lP9&|8f_p#>6SxmPcm&Y~xXI zAv16=S~<^dXC;W8Hj+x%sw!V8Uhd9UbgVqF7g~BhQ#Vt%#Gj`SbO+aG%#`}`x~M$!XIq~dQAyjd+^x9o2zJHQ z+cBzFbIKCDZnu^}J3d7bizn7L^TuJ~=5TaYU>;Mz{}#J>3kN8fRRK7jRejU}b=2}YW;1WhfIRrbrTT@6{at$g=B z!$nR9f7OhM(=TsP5dSlBbJqbUo>ocOd7A)I!5Ca(St?M>$3=V89vb!$oohFRo48}k z23GHBNzZ&=qdjB@#WVq0hSi$RegU6XNvS3e+d10oFX~S}GI?8hsdN$Uz(GCoxI&}IcE-Vv^GA!Whv3B3KWLNtlV0MH$t94y9Z6K zv2Ri(ShdJIj&^O*-^0rzem6}oeML>LvoV;AB(x-_JrW|Az02-Q9>HQZu52nzdl!L} zcLUdNy!q^9Iu1=T&X@Dl+;W}-pMfwCTF+{c>Aub>1{qA5_Nh{MOtMW*?ome4m!73! z{+2{Bpq;3~1`9>WF)w}#v4$i)6FLUzb2E#aL&BZ-9#FF%`Nbw^s#q)JqQPgFGs(t< zP}h#3l?l&5gld`=kCN#31k@A7xt3CSG@9K@_Ih)A*%j>t*slf)p6Q9X*#^?E8WW#2<@u zqZ!+2n5*mN(&2fENo+qp_@^fmR(;eA;V4NQP2}!dovPKQN&Xz$K3|t*moYXtx9bh% zH)+(z(v-?Oj~CU+q-6|Dvxuc8gP6c>Ve$7YK&0YS8N0_pE6h+c=^%T5t`eLUB&dbE zU6rpL_v=q>N10UuuAgT=qxu6DN_(lpkyPLPL2h= z;J;oB$?u;rY2jwL3TLP$R^Hz=lVWkCjK)R--x|yQ3O4BaH*RNSRxUGwCv+g}ir~O) zGFN6uL!Nto)TkSOD+aOsJPGVUD3?!uu1c!*JadCmpBMwB8*QoA)JAWX4m~V_bKN7V z4@Q{=8C9}eJ1XLxq5rr7rqiB4BBcRCKi*XW(F9oou^r$frS`X$_P8IwnB!30wZYC^ zAU@_gZs0^hA243MfCb2kU#+D-cHb$)5H!pMWlX$dOIU6lKkd{B(DAKT_ejm#c<%}VHKaI^V` zz1ftnzqH0g;fUDwAvwpN?&_wTKX@%&6tU5{&(R|(y z4wY&)+;hzFt-udj=w#^FUD+(LVc!(j|F{d>8`NzLM_h2Mv>UNfxu*DW7`Eo?kK-dC z7*~7?Ny{rREn{kbvY_jx?)xTukhn<226mUNTFvOp;HVTC?5=(*sj&ad@3_({;ZDC? zk@CAeDp`~tJ?Nwoe^{Vw0f^!I>^({o;uGJFz7M_LAGDLR-u)mFb^`$zUcZAPRJ|`H zIWnKGk$mV5@n%Xl%0aJu=qrt9UTq+1o(L{*oGD1hQ?c}#H=SJ9R{9!+=MBzpbgiQt z6)K)#jnAunu#PlyC$u}Cl)*$cmkHzanKR#2C+c!~f4$JF_BYdWKu$Lb1#_ukw;8WE zP7D;X?YXt)!seA17|(kZH~1fv?fqr6pfj%+>y;UBLpbAZTP)rdA)_`O!1zaH^o0+p zeo8?{l*<)p9-^Uudx-wU(Xw~Hi$y0Y&37yg7ls$DR4_O17Q7PeQTR}3|45(VusQvd z5uB+cj%Tt|@XaPw>2xVxdS!GB>ugyAWRkc3uZ<g*(Tp#m)*rtepW5vxVPbi1$lOuYNh98d5-vw4tO5NQdcT+@_ZUddvg zd_u7nds|4H#Nq63YmqLxvoU@r87FxD!j`Bl7r9(+orI~Rbc1?ED{s&Zp}^vha>DGx zxzmY}%+VJWr=y>T1G*E3EC_ z>I7DiAkH+pc?3TJ=+X)<$7-%%TopP7yf{-@M%-}{v6pfg{{WJQy8YFJbQ|q@67ETx zfxfi2iR-#ZmV@!rBd$b20U4lGr>4(15sohFqm>r&!PQ;3gG`mN!jedj& zkq^s-`FMDnVyZJb7{(vYMr1J8D<}sfp=qRaY`1rO0V&|ix*}bg7P^$cR*8ItjF8LM60x02oYc zi%@ly^t7B0UiTk!>6R6b%cAm{77LjFOgGR=$=-f1hMwoqRmPJL7DPY5?e~_iFS&ml zRg!5mz*i`pLj%hE0E7+vE&F5T!!e2-R(gvB%Xuv*t(%lIOB%mTpizw}Rd+JWXX@PP)!I&j%>MW=Hn!nrfyTx2shoW2 z+=o4l)jbd6MgpTVaa~F-^&KfHbvt)tb#rQ#6uTLr72u%og%N39rn>{I;$D5$FNHZ3 zVE@TmxFC0Lxw*Jp10wUS9;nbb4Q(WA9{;~$iFTDtsT5l)P{~cK*4QnGj9=11N;MFx zd_y|gxZ_99^d`RGs8Yz}{&5Fpiu3$S4|J}T9drh&cwb42MDk?c!d@3DpLQ^2_|uGz z*DWJ!!n~E8p_)7i?36kxv`Fz0cI=pu#C23 zl-W7f!LfP8Z7$0z&7DZPc0Lwdi7OnbQv2=KD;g3z{%J{-m+$C5FE3J` z1zaui9HS+N6R&k(>*v2kKczW87fJ{@gI+DWDp3}LjgOe~z7WC1&9jjP_*J#^7=N~} z9Dr@-|I;eo2 zfv-);DKxShV^@f6)5O0d8IEKvRiBMCxWMC&Dla2SVc_$x#XHFc)A4SvSj-u(eMLY& z24IHY!5tUXtI9ezSn1MujeYie#jR}5zy_Mhf(y#b{bhkAqvFpsHbzo;@GY3OEVVso zP3L=@2foN~hFEKfg88GE3XN`fb1^QlNCabCm(=QI+{GTd{{zT39vd@gB9h1hCz^bG z?fQ@bnUK4Cq(ZSMT7I+KD{0~A8re8-n#V}+t{;J8H$-`Re6keGRY>CvCn29+7Iyw? zii6pjGcE)w(&*QS*O&^?%aAHG)f%a^?$JLcwd{?Rc|^c(@L?kbeFYdXO~9Hr)VEO& z!JcdsPDf9|^vkU$C>7{vZOpF0bGrcex5=I=fEL1s%XASZBJ)`LBl@kcya;ur2b55b zd12D@EiU=gUFjL(@{fRyOXv&@*;s>XkEDAQYZyspY{Z>?%BgRMgfb2M`r^AXG<%S2 zmWkiD=JE_}mjav{H`jQXUL-UgnK0cjmtV%`P|3wt!7%CLf6agf;n{Rkzm~icky7Uk zFWUR13c@LqDU<2sa1x(3VF)0PW0~5)DU&Yb_whQ8tQq?hE=7gam_DNgw4Hi0=p-qV z-7Si(di6kUFFP|)IQ|joMkA_Fil+!mB24(}M@lOD{LD&@eENiK`<#u*iI(v~@z}=C zxp=mE=G#N9@ICAf3%JtvG?N)EsWvPVt?&>Bf)G;`M}(b0D#n}sm-`YS2GGe(%6~4= z1ri1wZ;>^{AN6?Z@=WJ~Dy-F+KKW}vXP!O)@?4Hg*=}ON9&i&o*=l3lhGJHJS6e(~ zsb9CNL|@!?3GcQVz@?pg9;D>0yD{Xd>3i(NC=yZ3m(%sxBCU@!i%#$-_1G>$c62oOgC&Z4ruW8u z6lh-7dmh(}Rec|))2>NIW0R1t*!vgh!gd>JiAu?@6S^|qXAs?cz#QS~>a@Dw^aVJ5 zBhzvc$EYKPt+ws7=Tse$JX>{3U8oNW!>y}$>XOz`H_F#a0hcT?D?{lA`~%%&CgUCv zGRFd;^w@acTW-+hJcmq1(@%%`d`MK{pfE1O*KWu7i@-<-lU#1rav&V@NJeU;o2WXE z+dIYlVc=L>Gswo6*6V1nC0DR8WhP#4#-}8mBV4|-hrw-0SV`EeLT|~0%CM3t9J%=N zt?ph*Oe2_P`hFoTc&^mh(}o^bmCpDf9iF>>kbjFeM2&?^Yn`5~#53PAzlvmy6xR4@ zpm8L1NhhrdX+kA5TZfE~?pUX%pM{HK2Sp%yacZyL-qIJ!YrXV5s0w76SdjZv?RSQo zs@8m}i&<{7uJJ`8m>GYl2yug$&|DB zv$YbSQ)Vh&*qEf|{*?r2%=m%#$7Nf89gLlNkq8Tj0(nm9k40=Vm>3;%?&OspP2cn z7>)bHf_)j~psgc;yW#`wgsIzan9Qbt;i4R5gz2*Qnu;zp(CXste<3K!ud80w%x-2>F(KnOjFpBh~!(yNArp*K#OM7{6iv^TAE+{{%>IB$)@8q3^oXM zV%=^cDk>WfEN7G{b6y1n0Qq1{&8hx{)dUi%juNzAQO(OJlrJk{kz1nu!0oX@|%Y3DeJn&l)ksk*m_<{F6V+BL*&< zZi{IIj>ot-?KD_f=bH;tpOihzVf=6MhK4fjZ7k9`<{1Fv^8LBSj7+qm=DT0%n^KLIO@ zS9m7~ZA44Rp59y>h^BM9P^_=&JsWvmzIwU4(2Q)i^JETn@Z6Um9rFD|la)=bx6uEW zlV`cbMR4SpC$atO_h%svZ>jP*W-7NE=t6Z7rMR#Cp9BkZgYNF`6pB?O30hjep(SYI z{NJoG)Y<|)t|vYJRAoW8H~cR#)=84YIuiEz|BqIp|HiKKm$c}gdvwG24;>UQyyDu< zIPcDQcqv|^ZN|*DqhW6{Y=CVpr2ANsPtM)^Z+M$74;4%d=jj^M89=wXz1GL{;gpi&y+-BhtLePHb)30hhMSb@8J&E!C6NZbD)bAAKcPs>lDWd@>)Wc^qT}oH+MmQ4o zsXx2YNyQ6Uw@lE+qdWM^Phe(C9x6@NB_9&rg5WV7=iR- zL&Jsk4_wx`3F+ON633-4WMpxFnK|I9oM?1xM!mvjcV!)L#NYav{1_{Z>q90Y5U7^v zQ4{uvsL5oyfT2S=D0o>$oK$CmL7gz9|Lf;=Y_FblV2{nVlO-rpTW445#7%UkFPztF z6fmZ)qp_7G5&<4muMLlb&-?tN@l_$X%SDAN8IkAbfS5HVA8oaeA+>T`(NvM}Q^Ech zpy}nc;JZfd7&4>c@rnb~vVi1we?eeD-AVCY{4)uowL|E~hJ~^lHCRbbG_?4f^XDcr zDydpA^5&Z~A~Ur+F@?=Pd=My@-Yz9(OO=@zLDl3i<7X$Z*$&3SAx)vYYXO(^w25^P z>;C-UQEvKG&hrHp{eDV5r9I6Gizl&Q5F1YbX2d0aA=~N4WmcpM?Z(9LcE6G7U1!g) zA84COwyjLw70Ip)U(8b)eVicdQmwrw#?r2{%6B4YoI|@d9W%Sw9UA@FZa~yhpsB z|2v+n+eE)M9U6iqEn9cU_%-tVlk9x{Ov8q8SgnI6=g0>f4yY||6-&dRSQuw(b=o!k z*NsYpXR9?`mBAy5&fZ2$`G(lM=X3d`uj=`kI2j1ix(;o%i(f+(t~VeO(#jLDv?4J7 z7wZbOYZBjF-;xX`pea-uy!HaQ*GOHB5M5W*Mo;s zI5tJWQE^d6?C^otNR!r)EXgYE)97Z)fh#m$HkuH7CZZqEGWf5P(ar-tJD*x0xlr!h zt_B=tj7M>jzEh~UkPG);NfSt4t1?H~Xky9MnX-+g((;WqDpshs(R?Gd0CLE9>)?0w zM{_;`@HA35^-8&|e+QE*-#*`TYCM)kIgD&6ui~Jr9ZJW)V7nSQmK=aW@X9sJwa^3a zO-vw!x6Rn{@mi1ud(JO!s$agU;*}BgWS(+{sYTnxOU1A&b>@0JY(A6s#40)pZK^&q zb5o(mqu=&PL#WzoalEclf5jJ<6FZF{laF4$2oa?iP}07^7mhV5dQPV^uGnajjXjxg zJv7>0{f>a&9K^LI0vUBZ1jJ{$-|7%h^O5a#=wl+8h%cRyQs|WY6?S)eK>j+R+iR@N z!JIQ}rH5&2z`i`3u@zuGPi1uEMz<}I6NlZ^Dj0&jbc1XbPb*XTDYrnO80I05)AmLf z-Tc(<3hViX(nVrGY{Db#wyccvD}6@V3%rMdBp5c#f?QY+NE z=;m?htZ(&r)2%G958+EBkU11+^nW+#?w8NDlDw5&__-&_pN9qaETP!U5QxAzr{B-S z7_4rdX>`0S0^6K-Pzzk}bGN%4X(z4OEFB3mW6A&b?2j!ri7x~-o|VfnRj z2_{ra$)cl{X^);S8n~WBTuVl+KxVZUpa?=rjlmPE^dbtcYNNMsMg_q1Ra6F zM2QZwC3mpkNM~++4ShqExzE|$|JRf{je3gx4829ROXwnrW2k0RfFwaC$v|S#rn9Zp zxy3D85r6YdLagq!OHI1*T$>esip=81V>~bOjUC0nwf3)vImz_p=Ds~kyWN=rULPlC zp(rhc{}>$i{uv4KOakBn$BT6ko5~u*yFRNv^~2;N9;mk;(WI~ODnTRZjvID@tHO82 z+H|H4jmr%6&^k0~G5QsW~fVMwPJfC*Q7gwapHEMTROzsD(P<^hz7l2FZhXHY28An0DMJOVtgp)FgLZa~ACdzsaOb`wSuS7`ruDFcnJoNs_>Fe6>#i1XJ z-4G20sLKIsvn^>XCe1mQjU4cBHR;K9UUZDXX3Zxb&Vf;PH75?PB>29a6bG1n!?ZOe zYqj*548ae=?b_lL0r=%fI39oWb5|G|-N2a`?GGlejZkANAfzt8iP^j!zITH#_gq^U z9nIHT%sTj@1#C}1!PeJ{8r={Wd6JCF@2P3GY;K2~)rYe#G8`G(gMGCZlu>xqGvtXZ zRxq06SH{XF9(i18TZiJtG8uLT3O+PyCN1pjHt(804FWgp3=#0(SpA}9N5ptz-E}i= zQ)j6)0D48v-cSXL#5%&kTS!fO-2%z_rf_XWk0wGWr0n@I1UYr5{|GmAg)0C_*g_tr zvD&ovg-WA`8Zl0M;Y4KVBD@TLC4uCu*ETwAtb@bVA;II`{+Ba3E7e_ha|p`D95l&{Pl%)?K(ed`M$Jcw*{Cu{N3@#8EKFcQ^{M*gws7?C|(1 zQt)A8*-yGuKrH>jFWV1F@R*~I{Ey!WxWhh^Aj1;X9Y^ABw(OR7rQ1y-#yN9?)nlGh za5CvU0Czm~+1LwAm%X~1$!5mRjahGC%Mi8ue`ba5I0X|n=L=t&9Qq*OcwkNup? z5sw*%;FR`w5cD3V3tWdPhV(E1CkrSD0Ew*&51lC-P2}vQT>RsFkNOYm{LF=Nk*U}* zfJ8=#9glRo`q~1^{_&HHHl^Ovwb_{XJ?gP~W0u3g8g5(O{-wa73+4n4=XOTLr#&gK zz5Yq9)Y_MxARdaM`#mpP9Acy`!&C3f$=;;N*;ef|cGyc1ge;&0hHC~B9v@*DpJ^{C zW~gS*!>IG730sUQ{yY7<;}?}5m4niya5a()`>p30Jm6H@e^6SS&b?Z^_jo<qeWQ9x#;2%Tv6`cTyiu*gQ%j{JV2z( z=+bcV1>=fIQmw9k_xiLE#{MU-PYBkZx7JVknL0F@e4#Iot9P0ANQlbmt2`#KxmOZ( zPKOG_BY)z=IlyVu(0=Kngh3z=vKVqzARl9xwrV3UeS(f?HCklL^~M{(QmO2I>Q6NK z6KloXQ@QyT`R&+?ncUc&*ND?F@87>yA=1=P2ZK?#m1k#37~4cnP69IQThW>lwC1Z~ zjU=-?Ex2zsJIiXCR9k9_22MLLpaF2yMbR@xpuJ)(4wjH6PzNP(b${FdyH4p_wY`D+tLbqND)Q!tPb2W!uAVzM(;;A-N+MiDnfRpxW&z0aW!}HH+k0(ba^ZEB+)kU z!n*wKdu?{Q6shwTLfntyfwO4&zo!3B1k#PLc7j1a=XVUV^VcNIc$@N-{jxXFeGLx# z2<2^C-fzL33Na;yZX%)BoxM?ldo#^krTgrWed95mPxr!|XUcnZgD`v(#SBcMrAU%D zrpy^_C8|j}#VwRpX_HV9H5IM$n;|_=iMl*D@G}$*S`Mef7LoSvcevp(@ZQ76h=(g- z5DjUErt{)04uwnOs?{67g<#R>fwx0I$J@87Y`#KLyeXug1h*$oG~-)yV*{o*%ou?q zBAYjR8)z$37VStb=T~%z{+h;g9|9`m@}&d?My@xOJWm_4iTM?_aBB&)oLux>tp^Jy zwzBn-#Ux8UlP{dyFd%dky1Becfb|C`4s@O;gl=3GPHgAv-4-n8b0@UDaGAh~-$O~D z=VplmeG?|Y3l@?g$5HEID`DZu>>%p*0*KkgRAV7@v?Ze#qJcME(GO%@7N^KQT43mG zXylZ&XUyw9&n}^mC+%dp#-&OKq5W*sc5}Hc3r1e;s(avOkQD7sMgmRWCu`<6e!#Sk z;>;vx%L>FQ({6ocl~A!wT-=9953>@s?bd#|?`d)8ZVgSvH zV|Pxo5N2Eu0%5-CbUe}18iO4?7>(<$Z~Jj6AXPX-tefcZ^FMfigi*JPx(J~@RrP^7 z`@^x6Or~ku)j`ik)1&}j>*F=%CZ_D=aQQqLDFwij8&6xgF7?!2&o12Cx6C^C#TL<# zN>yG0MuPF{Q-$)yz}Seh_Br8#`^lUU147}K(82!kaiY}DzA&X$3C_^GW3Fn(9|-uY z$zcEKk_jifk&2johbU{MBy~OPDMW21CZ+z)pT9osbG1SBlYlS99&WFF&t^9Y!Oo-0 znmxJ>X7c$!^oaR1HPcj1)gE|y`VB6=5cLaj`*UVfF@0x+YrOJ&f6}qlOOnIk#w2Ys z1!2{sq9ZYVbBl}tJK2$nst=zfBk>j}Vl1ePFp{m_`+ACW-Hg53$g%(x*2ehbx=Asf7Bq!s`n;;p1TvJRrs)x=Rhx z3KDHXe~02$!YFSvi_r8ypj)WMGgs@(gp3$h;zD-FgiEDEOHaUa+l9}Fm`*Sf+85xQ zR23y$*4vF$5y&WLygJ~wM{6<(pt~!-i!Ax#kbI3dJSkkPR&7eX0L?MmX(B-X%+`7@ znHa5>kw?xNtOR}1Q7aa2Wi8F<6P`_lH3BaGJE+p6j72B4?yifG0P$N$Y|a?S5A)oBimjdmWwfJsnPSlcC>5`$AwrxMe_z){j*tQ;s&^YZp(NQ zHsxg2?7a*^H|AU*e{)5>XZ-E~S8F*R2<5FZD~Y%P1ezla4F%I8YaJ=x4FHYauAAdU zDnh@%&{VJ|08j&xDZB51@Fja;^BJjX*;WVJ*CWD+6~iLaY@_A|38M-dN_6suwV)F6EgJ^=2FEFSgdr)g4A}UT4E-azqWu&i= z_&4iYS?;-m{ak*5v>J=o_^WwUcZEz-Tjh>7Vur3{Ek6!sI-4Fm?ZCZBqofuShBPi~HNw zeA z!`vts9bk`6r<4Yeq*pVLJ1^)Tf)OO}q7VJQDKOs1Ey;vm$F1SX_^ceH7K4t^@5yoJQLx ztY=P`jXd5$(rm)n!QP1;5~~~d}!7Tc;d9xsr+HI@w9e?CW$)j z9It4FA6rOG>Nmp+oV3=QV((mi@)^GS+#JzLWW8s=nDp&EL#Bkp z)G`lcR99$ZO33b<5m~_E12HKKGd*2Ji7I_OuE;cWfj8!AE!h&Hm*Q zi0~JayEzFosteu?e4W>`Yl*iz-VB@-dM(g}W5)=UbwLGcb+~tP4qO88N?}-{pr>vr z)#3`3HBS5{>(tjuxy6F{{_3Aqy`}RRycn)8&XHe=EFFZ<9P$M7j0iFe$azO!=|;c5 z-h%iZOL4At+zHY}a+yP2dhKkk$`VC&1;WYoJ(|(|HI~5Lypw?Q&<+|0Fbj|VkItQ6 z+;2wMg})^sc5^!ZXh0S9l7!BH#&D*i3bwR$U*4fGIZ=&I?@9U4Y)iDX1yu4ptqq^2;_pD7ZM}N0Bhffj4%15vhz~jWh@?dY&9wr2I>2%uJ6Q5E z)x32wlWzVJID_fR8Jl%Z#$+a|EAV6>FLR9*!t9^pX4sT~m%*C$N~P9RmtI>-O<&-i zyr7yHFyBJJKHD*o&9p05$c}MP`@`^%IHCO!U#PsG>sT4k1t*N>*Exj4gxiLZ)=sRH(jY^+8>wbE z<8AfNbE&87H~5d~9a&og>dYP=(#(`HC*FA#h3!gL`ugVqp*BCpR&YvXrdkH&1x+%7 zPO5=O^_h~@cv>d02xE=0q*<)x;N}K>MXy4}Sd50j6U@WvRFe64%{N#{&Z!)cm@))x zR^Rk6stLVa-gGnCN^92eKQK_AB3hfjg&3H>V!t=W>JX}IhCbk-Kjll%$M>aRJ^k-N zK}(5&Z-d`f4X`zrNYK0u)}dRuTU>FSo6}BAi#dl{Vh~QI8OXgND53K@FT7?HrR4h^ zH>`*gIUP`mn^|&zA?obVsd~T$rIcCHfc4^meF1dgw*2 z`NKY+<@*KN%af!eMHOi|0gpI`a?fFt<|xn@P-B0TUt-gx&ka^2@5L&H@C~e-zuibi z?z|nB7FN18N7&M1 z+To%{WDM2`c$pak=HNnq`O#z0GPzrfLp7>q+=SICO*o%Qoxy=)B+3$3t=$xvJgrq+ zxrqghRfDNbgo=Y7%gf(|ps7@CDA4~#Z1eov2JSY4L+H3mit!MJGMsDq{I3QoZ1F7I zsv?^;@J5A7eh>*J%x;?*F1)KUsoP7!bauR-u16Xr*Xip~UcjQOM8C^2(@B~0kX(Vd zXimEsrb>NRULD@9>B|%j=MI>a*Bk{LhKMO3WdsgXJ{jJo(#8Iga3eA6Xo)`GtmEO&j z@I^tq4@8$>qrnUQV8#l#QWyX+E)%JrTbSh?3Z7J`e7=N?MN`Q`BYJ1jR(rD5N{ccV z`3?EMrx#b~OomW#pst?JLOUdZRSJF5Lb`~ouUQVYSVDnD z6WN{)*bA1>2ry?#^E!f#J#8)9>D}&o{Nlaz6XX@>qV@Nn_Ft#x)&~p4Y|2F{xsLeM zo$UD5Tojpr(eamaXS`Pi=nkJQf&lzW zFwAH_)KJR&riWhYAvOb+?wWA@Y&n6wk13i+TVefi-LiDn$s+Xb?UV$GZUo?iV8qp{4d_6hIX)vKRLZsolEB@k$? zRa6NjG#~q1aX$_gS7(@B3hqyxJu?F6PHu3>Ij|Y?h)vnKw0Q~WogWWLt$7}T5|~K~Cvv(N z^HRHoM4L`E;t{Z2PB$y{B_~lxeY^-@{l{G;sX*m>rF=J#iHnYQ6tg_7MA@(p8P4as z1lJi}Br5YQn|&78nXA4K2h`{FNi+-}ud%es)n^wA_({UsYixo14rS7+-H+Y@6Tnpu z73mH7LTKeVAXucGLtt}Rqm{gNGzdIDeuAO;JB20#j(6g#fAa~Di1UsG&3c1?HxUb5 zAJ{x$>54)p&9(VodaXZshq7Qi59*%l5}}JNkHkOxY@_LA34*8ax{d>=s%mhAXI?(t zlF$jh1&0Qn zB)GdvaCevP4#7RRLxAA!P6Lg*J2cR^yEXEY=Y79#-hXCej&EmXHfEF&X`%s_8!{m0)q|n}3^|{PQGSG#&pfA@t||7*PIG&IMa8`GyHyn~?UR~u`Z;zC~8pi*81uB!u>CtU*nm=LQ3!Yjvfa$juDnDyL?`sm)y~PHRk&(r* zsl3VGpT*GefA>^AQ?ApSgN#KeXxL)-&#_Mz?0k2UP8yG;$8}pLkmdQM3Xh%#i%eiR zz~gMLf@be0|9{#(1?T|B8rz&k$uw%s&D9uV8x4 zda7~gkDeq1(&-6k4SUve&pI}v=bnfD!&~vpDZ&{XIr;4Nx3pIoEfJ}iYZz;rm)|+P zuPdI0zP4bb`j3r+9JX#UmJjAh&nn$VU)U&QY%eS)`b-cBe|$8}Y;bpC^S(OR&JOFT zVDS-Nt~Q4q36V6ce(4haQ@8dk=kr940}fc*hRxJ#*cYjmN*@ZS2eLqVY@KxmSmj5H zjN$Zlc60RELReT>1BncNlb8E}ER+IcEkeCTQj=QN&Jp#(ff2q*`K(`?m2h@-SXj&g zZL`jRU3DuggsvOhMelR?>k5S!Oz)j)YCy{ZWI`T&b12WnJ)n`}JMHy2ximmpF@K}bk1e1mYML=*=oNrG@*b> zIz8QDCBqzglX0QxLkOEiCYY@xk4-q}-nA7CdV@ld{c`xc72R$rW7oUXM}Q zAf9->!VOy`s4mZCVeY0W%}i@FA)N0fkR90s*wlTuVoT_~Q5$}NZO9p-Fyq8spj5pT zB@tnz%BT^}95U_W;}AX#)gCuH;8+oxq5m6Z&1|6^t&QPTd9|eh{iguuIp%M!#gbPu zuS=(0>a0eq7Eu`V0Hhr6@v^l8KD5-QN*52f64oIo-oN~?E+Tp!EcaES`gm7keZy)n z+s`|Rw*bSHF)>^8Hic`CC!;o~o})q(AbYPn$Lq?m4IvOF_QxAdok6!=NJDYUl#E_^ zj{{};LXayjS8I5#RpBun)fFB}0u~1^?pfzKlU$J^yU3YBkI-31kK1NZU4*Sm?-qBtQ_t3f>ymZMF zjFIk-STZ1_!$0(z9)~p*d+xR;3x1}%oAO#RgT-AF6eoranA@ zjqEwfN@2!C82u?6P>i0zsnC$=Lv%jX+M6cd|fu&6La!`8r3P#D%N{ z+|cZ)x+XP@`l3ZzTV&FBbUb!&saeJBqSil2001u)KJN{7&+8F39DHCKcAoSZq1~$O zmy(4Rf4TqUii*0W5J3b6WD0LYL?ilI$w|#G8^~%3w4y(Wg{fpY=`B2Prs~Nb|5$Q6 z^0s}hw5Z89PGp#CRU$$^^p+pqlkUA5sCzDAvej&qh8qpuuYD!tw?uZ2z%;&wuZ%E+ zlYIKo81#zGC~Fg%Y#Ody$0m^1%)Jt1URS-N-^?X;9&dhuvMicHq)(Du{B!^yUN&#_ z^ztEuF3#jy6|Tn>Y9>ZP6AYj3-POm>HVb)hXI!n78&x@^6G|jY1%`J37MKV- zFMqGaw%G?NX2OY#H}QjtMIN43-1~zEts_47^Wji^tO`A@xJcJx#Wqb8Mm2l!r({IK zA>jaWEjeGa&1+~7+iC+Vi%*6jZ#C1UG=8>XWAq$ysxw!MCCO56bC8xm6>;gFdX7=0 zQCy*7thg=mC--71)~vEG8~v?N8G_|fevG1o9My4U)iR|_%vakL%N=5PZ110Q)MJG` zuo63G#)-d%36f$?k|9KC0v<+S)2T=1YpCR6sa6r>4ya~<_I-!u*Zg%f)8C9X&oPrp zMQvRcDAhHz9ji8jbl!}v1j3yyAG%?&InXJ|6%gc$q4@l@|0kEN$YLi=PgU*^%XrlmFw4b zcEnK$SS5E6l( zKiG>gM}SY@{bg-a#gl%?#8D2rrxHfgvZ86_oJTzfD^(OSt;>w%=z|G~4U# zxn_=-rOE&v30@6$Ro>nWBu@%%ah8b5r9@Ox-Sqy+D%hS=Qp`6FX2?3cBjyi|3ecHf z_eaM@^Xn{gEnIZT6g+F^4s?Dc&xOS6L^fp&tLDj(=u(Pzw#}Mc6%4KmY;dbSdB2JK z<7{xcz(q+q35A>Ok;Reb=T?Dz^MDsh6gLQL#9?pIqp$fXd3AH*O<*>1M}QEH)xu z3C6^Cic6jGlat<`j!p!D=EE(WCi2UzVdrwz3+9SUrB>1@C9RR?LZ*w$&ay=Wo-#9t zW^%KIjU12Pj0*WuOmkiqywHI+j}MPG`Uag9p4a_Tj+lZY0*;$^Y9os*`Q{;_H_Z#2 z&IfoIL9PJ;uQ5h>v5fofQhlTq{q2|{okuzX^`7PT9JgOY@{<`?+KHCUlJdxDN2>hl z2uR7-ArNpN9U+JRtiJTY%urdF^^$1L-Q8>jl51p#5?@U6TBftI{n{2iHxd>G+slo{vagClIZaC*4I(b8U zn3^t2)|{Dd5UcFAgD0;K=#7R3Rq#7UPkQH1@JWyeFKdqmS9*IQ9{jXd4$}H=fC?uv z+L0YI`Hn7p1HNC~<_rV|%Pi&k$hL9nXRypW_4P9TxO#Mv8|wemR-4SedTQT&)$7P> z^Vos44Ge0`krHiO*p&RWxM4WDArGB@=xQ!Gi>tw$IJw5Re|BL8!+2&2{O zr>SI1{ua){k%%n#FS(_&;IZV8&bSSRm~1b^7lsNr;j!wE`P6(Bw%E1b^z!$7 z(jbVj_D$8XsSj#RYVY3oW{ACq{a?5!F?3XQYsv2*3WL3fpyY8=0&jgwju3;;I+pVX z0;RqEuCx9bz%7xVP5Kr`vy9<;pgqozq<#}ywS+oV))g*dyJV~9cu9=dP+OmLp>y7U zI0<5m`&CChPchPkI@HudO948`8QEn6Ny4StixyEM$^DT0UPK1>Ze%CKE(JQ8c)&*( zJ$|6=&T7ySBu*N2_7#u)m-NCf(@$nZMVPxXm(;Wt8R?r-t@aepg*ke4{+#6ZAv!*P zo%d=-pDrQ~$Nnte{g4pJ{MCjEyJgfoq55J9`5um&|CC)hHFELbM?C`bml~8%T$n?K zC{6VC+%YE%<5js%v!`gVie9;djFT6^B;joM@{S#o{Xna&V* zBT>=L>}h#7MErSLRGQotraPmHHDS4WaT|40JgJiJjvV^1!$m&~)=!aDx>Hqij$v~r z5pIi_F}NpH+Ny&!1R$#2_AO9_QxxiVw@)4%0)T>}=My`7nH0(SlJfWU`AM9x{r=0-`|N8Hi3J-G0VemQX%@)GDqWAw~F*o><|u+02!gF!ZxC-xRiqx!rH zL*IH*F>8@N(7jN!iQG2(9i;d8g-+9Kw)nJ_2wD7~O4B#tQ1!!((6D0)knqB2hWxpU zh=8N;c3tJQFL@X1=Y341@Yfk?)TR2FXCZPY-WJd-2+_mj*$NBKWe-M<^3N+4`dI(z zot4(Nju@j5C7NNW8Iu9`>6Z1|bM}|!9fj{z?Au;x*bA`N8-9YP2Ws;7M|Y^+E|+_r zc8>LVWx{_g%hb?@6inrD?1D+mUf~v&5UB_op42wCI`Vz%WhzBMVXDnC?8C~IwiU}k zMfqxQse>S^*SsX;Y;pRjlr8{??O7-{xOB&|Sk64_5;FNqExnLM2J^`5GMs1QK|j;- zb}#?w19^rJ?MCmEiA{4juzB`VsazX-zT`hUw_}b$mDr-D#y$}Nhd$OF7aC#wYeU3T zrb)b&5@lr7TG*>acae65U6weD$&8m2f*8_IMFN+M)SX?&6g@yjzU)Oe0an$cu=D*WRDxJTO`nDa9|%)5E0OI$JR_|rg1b_S>K8vrXatr>8hMU z8rN-beMn!c1_ue~sqNumkjb37?? z#771{SxJAE56-A%Qm-)DluvWXL3se)tmBMnBZL|TSfgZ;WL1F+q}q8rX|tC zR@bw&gptt{#2sbCj2hop?t=whvh5wKf@>ydllQFksC3>Z{h43NOiN5Fwb4iheO-Wu z|Llupya0Uj>xI(+YHqcX(EVe)lV*5d{CD|R4C`%O^O~7!Jwi2=IE+GA7;JX>SU1np zgsK97v`w~;j}Pwx%usZ>~b)?zxGKT@Wg1q^Fl%l!nXh+EmwUcbG3av2b8W zEG?()nJ%Wt1SvnbCh%p6=VfU90Bx*hh?Ehwv<8&=4ablAQc$@^t`2CP8ZRVP(|)k(w6fGm4-{YQzcDG2mSg~EQrJPOIgLKUDn|0=04+35Na;Ne!W1?Bv z3!}{bGV?h-=g!YzPsx&_fy;a6%2P*zk5~#d%0AwnCG-?|+UJ#s_;Ee0+lT(>fRM`$ zaVndyzicvV=@~Gk3omKG50`rBw9)_T!|vNN@4lWDJLScxk}jh8?5k0(QPZQl7{&QkB7vn8y8O(t@w6I%5b_u_@=)Dmt9tSMISx!QX51kVc>{m#9%t<6X6F%r_F z@bu&`86kTy34SL6F@SvdW@dLF`Cvzs8e7}_1(QT;uwdkpA)N^q@2<_4oZMik=d@kA zr>^KT)R3nIT{kM(JZC=pD~YaPp#0_FPo`S2cGO7_x##Xddk1cgrB16b6&7R)nf6ar z&*^pn=ge;}GR_mn^^=bLySmwj8)5mT!hX$Go?CPctOp1qkZ>6*Z{C7^LQ{ld$5{7x z;BFLXoKcE&j;qiOX*FI33-qDk z?8mJ4>mpL=8K|2s(BrlJhsC8>e*126BmG~5a;QmuSKJbHBr~wbTfdaor?13QlBxTo zF7a3_#~Di`&`MBgP;M;vkZR-~2f#fRCue7oUQ`ATM-_HU@5?-Xn1*!NR4#YlpFUXV zlz*ZL!=<(_BQY6GiQ(%=k&Jg@hCfroKC94P#kP0|IjCc>nNj$3eNUth`C1{11Pk1D zC-!Zn^O%w@!Z?>jViCJq%liaf!%ly5qeE_hW7k(Lqf1)oDj6}8lVo__adKpD6kX>d z7&xLey23fz;C0D`;9)b79TnJ+FPQ>m9#^_faYep<`d3~|6d;|p#%o76up<%fqB78k zB<1r95@4FP+P)M1DM>2w;w}~6S^JZd{aC-t*R$;~_x1r{S`K3)veTQ^cWXH# ze?Q=D2HUSkZ5Of}Ou&lnqCay_frQQM4i8($enTBcC#X$2ssX0sR z0+?~Kp;l46%u)4|yHyz1!{^$L{;&;8fedmNpyUMp7%|K&nO;oIy@+l!auspGL?R<) z!aG6+A=pY2Hak^`tu|rN#!Bg(k$Xk|`)N)~UN8I+47~} zOdg?-FM>q092<286qH?=K|vZ79a|*L31vMDH>3%^z_x)$s;2lF+2Gi6_C~+a7qp_k zm7*KGn5^=Y8ND;DZR*?J)Bl}ADb-!{A+nT9(Eeb~?0m-JL}I@wv%~aUxk43rW^X%y zQ!&{%FHCpePKSHKcanuYS~ju%c_ddIPn4{+mm=*=GVO2|=zE^R6xumHNr@^iTXj%a zc(edt(XAjySQN#?<>=aRZWg!pJbw3!wiK*s0aQf9b_nPD{H)qMr(ZT^v6m<78t?NV zU%*G6b6f|~Q_(}uh%HMz2g)cS3iYerLk|J3>X7g`g*>Zt_5{_59^QkSq7F?wav0+Z zx#DuNe1-r(vMQ}T;b#dy)^DkQmIaR_Y6DhNj72VQ?~f{sFHlFoJXH3>3nu7O(@Hdj zfB#t!^p@CqShq~J`}&$P{4D26SBG)hOr5xFE2gNkicM3vWieY=$TzjjOa8KdjK323 zmh%p*YOz)ZksNGRu_lDIz8DU&Ssz#=&o_EZ;Y~}QP29agv7D)k)@rx}NCu!B&n+E7T1i#7oS$}fd+B~%I_@L!(zz^ph z6+a&V7p0*YzH5HXLbUZUu*|s5os&)oeG#{4>T+j>k19`EV#Lfy1W&N(&2G4*h^}Gx z_frzLhft}7i8vI1_7WG3rE-@dQ5n`!>#e59sq?dSoU$q}Bz&TOsqGt<0)&@edx?$B ze5~)GVB$A=k{cx|hg_mx$*FAIgWJ~5V{?ai_3i1_&4;_uMg<#S$Mj=Ty<&R88`7d! z)!fa^qun8XQV$Mtj52fcNpRJx1&@xzO~u$cCGR2{wJcy|Dq*!e9Is&g`RrqGsZGUU zs)w`cSMTV96H&3sPyP`6QGH>fYkxzVrZwzr;d4)~pQXL}OpC_R&5Cvpk_xV&L)4G= z;Tl-(9G*Mk&o5hVJ}6*mJAbKP`c?w?&_|^q^3VTGK0a=sHWWu=7pVnw^`m?XsZfj4 zb_|rn$bIR>MeA3HHw(oftQd=S|15~1t96BigV_o%mLiglA2#v$EEhT?b#s3}6Xhmn zauZ1GZ}XVxWHIQtvXlDsW2fxdyf8nRp7b)<0DvX-pT}qZiEL~K8XVp$W|6R~k8UI^ z_Cl(fJ!flSViYM@nVsKLc01FaMFzK+_qYLa!>nmjE~oHGoK`LH=ItZ5c}DP+a35u9RtrfQ};r+BB*UX z!u<7NScFRnPv~Uky0Td|MzMD8og>ZAWC)F(AV=?-khUve0-r_V)F!0k0b4^p9iGul z&cVrJwAWNPC6CikI)3J5jch3Ud)9om(FsntK(d^qJQ?z0k~O9cfq8}1Z=d8WJ{T51TnIRQNvme&=qdK< zCAZ2O&{CRKsyN!Q;k*eAUA_kTy#Ae@sd$7qvv*PwZSg^}E=7y8){TR+A)5AZQzu=l zhe?@YB2o7z;}F5;n!vU85D97vKq{*~l(6y`EtfXk*mh9#1^IMahnt1-ot^iPMzzUC zv1-T{hI&`|fMbbCL%}J*!CEBm(a)LSn?i9G7ns48wxuYwQGf zwG7|6WjuDV3SuwTvPh8`z578YAJ#PCnm~12Yqf4lFFn6LFKMzq0w2tGXxNW57z*b~ z%epWxQAS23^Kt}AnF|a%jDcD0QtsoJqf=`_jI$iQhia?M8}`-%!1qSznvj&E=Z$a_ z>;Hay7#d#5L8aDsG_>HFBD0P4salEFh?@Sh!9J%hpgP%51m*sLjC*&#K0ECilUA+{ zo@>YzcnyWh?$1T#Xf;m)7Ojt#xrM)Jyu~KMO`y4}yjnHusV^7B9ApDDI}tTM2+lVm zKGLzRz*{XDQD=6cEf0t!V!o679M7=xWSqH7KEfTt(e7UI%X-9ZGYm@6XFUM#^!uqX zo9byu^^gN? zUvKgU(xZziwLM=#zwKFU-!(@*5A(OH@Ofr!yFnn7ivw>{qS6XvF8w=T@KYyb)v-xyE;D+1=)X$h$i`|nMPB&`2kBbv}t=FFyqSlv0 z9qsg*s{w#HHLgUHv$qk!e5ey3%dy)taZ?f-V6ogQj3O;-sL zGhj523|X9p+GsTf9@g>RB-Qay&9dhEoni%!mghO9`MBsc2jN0fRm5)*<*ej}h^9?u zy{Z&j9{GmuVW~@fw0R=>lYAUH19$a9z3!h|rc&jgZrs#oY5O*R)8aa#{SEP@H13^B zi=fw!e^M_*GQQxwT4=8tC{&_STTRM}>yy#{J$>{HhX^?KEdntFH866t<}V5i^m^PD z1=Lyf2U1EU9K7%o)407jz|Vbj!EnUHACWfy56vdMJj|iQYlyXm~7qWm`1;g5|kZh(wFdFZ}tos zBU66`K2ps_-Io**E@g}(R@N0D2aiY{G$w2G_*Eq<)+1|KiEd6@`fwkJ>`r!iWUZ@g zG9Vq;wf6YYo@{9|x|r=$*@RN2rz_f<;{<l%KJ!2Z?CL0D^+y5I#H0l8Vvh#ldiEaciq|cvz zY>(=n%}i!`NU6jK$VoFBOYf7Difu-Nl|J2UJAR`tt^aLwpR#g4EocjbIX>;lWq>2) ziVhn4696AkkI(OkW?NNa*sR~9sNR467e7SvFHqwKLel}+IgEXHYgG^HOcV&KOxGbr zgSt_GBvdgU#rhqb?-x|&>v!3ZA6ua8JoNnwe}5t!R<{+V(e+nc zE$xVGYhTH9MbTh-ng8HNg4?DF88pk@B|ndxwXbwda$Q7e&Y&R!Hufp_OI6dq+Iwy^ zo2|OjMZYRJJ;-LR-kK&Sb*tk`HpTi=u!;MB_4?PVD4LvO37qVn?cV>=OLsij zK!H{lP$@slqa7W20M9-F{_s-&9+~wgKWWo11FD7SquG&?82^bQQDkE_ifH`zmH%VT zChqnBYlzSP-}N)s`~M_U_5Xxay#2>6a0IXYkqFJF&>z=>`)Lr5D0c&N z;>TC_!hp3kSdojUzXc%Ud1|x8c8Iru_sR%kw<2QAWo7_%ocsdgz2Z-cwhlQC=)R+wG z#5vWL(x-M)n*3Hlya?hxuLKgE9h(*k{=^F~BFsQOanOYRfGU7oBxQ|an)^QzZGBS9 zu<-D4ctJeUjC1vZyMtPsnVA_UhTieh#0H~uC7>VWE-?;vwUm%sJaWd`xv45Q;gr6k z&yc(3DGFSFb|O6x^olHY&paRbYUygE{gd8R{S2@CyV`Q7iQF%qmTULhvu|I)ccpGU zh{ew;=2^pMG&RE?d?8t$eOvlMTEIoRxk`=brg0MW%YowGJCHtTwCg$MdDrL(fIuxq zx#n0Ye##e8H4{-?jOTdaNslBIAG}WLrOaa69@N5W`)#BRnL=PNZ+@S%Knye#r;$Of zHI!0){ADEX3Wq#*3Ee;!@V$_A{Zwm(FD-7gJhFhHXSA76Jo zXBUjp-MAt(FJm&j@|?*cS)R&>lNKz!YzP>>qSAG0^E}Z4W%%w@!z0h$K*vtoJuDe1+$Pf@QgL_P*(bLhFSa6*18e=z^auEV34GRH z7}D(s9+1K~4weQqI(rZYjp%J})mrPig2YC!>9k-~UCB`Vmy}pxMw`v|Ue;?^6d$viDhe_#jkYmn*3boX) zw_!5@YI02Mn?@(;+&KqRXeD-duoGR0!9g{a0SK#&y#&(S2X&u9lDxYytHjPTT}3>TAe@|43X(tDB?6H!|q?3Btu3StUr;u($Tw zKf#AFjAV+GFFCsO4Cl#xAQ7fRq7nIRrzEH0wY=Trzc;DS#m&hR7a%fGIDddda9Rm1 zu$P5|NKI5~92|AkZKa)<*?zk^e@A>C6J81Xdw;_hb0`1R1i%00=s$=nPRQRe7bYqz33eKa}@5WjZPp{PPE&$J>1@M zd~6;$AvN!EBsQm^`%K-L>}Q4V8l=j0ggVx2v<4j^-5KK_gxZ$uIX70;l&#GlpLKvN z_yu$zD5~3Czq)R!ORQr>1@tNJeGUkf>R#!!bIAJR}=kS)?SbPbg0W-Gru3=JIn~<)m|U z%Nf@BR~3kLVy>b%E`z824w1v4=W^cq?<_$i4xn?wQcHmH7QJYQ6Sw6|oiI|&eJT4d zIzfRChO*&>y4vbb<*%O}*#`A%veqv7t=$$3;1?Nsx?VY-L-63+CTPb{XoazAJ^nCouK`$)+1FZC8#%~|N zh3@ z^AE8EpLc){eXYP)3z!kLguW&Y#ob76it`n=aM(08yCGe~jQ?ZQ=n)>(A! zkI47bmx>nx=KGVm>2AZWHrowuBMm%{x3D|HFuo9+PGj(5^-D#6!A*^uclycNVV(I)2{EFKG@gH$isTr5z+Kji)6lz722j3=}}2oonke7zS5EQIEWc;yE#C2lSBDjxFTUjl@u6@&`s zj1kFp$9OD@O;7n%_(FwJ`c*VSL2`yx&9LUdzFtrM2V_zj#47&)zp`l&@8QT?ZSy?4 zyMXf}gSCgohaBm@eZ_%<{O<3jUm4JUcoJ6gIh)xZIUy8c!0oMINqaQYIoq#k$V`*0 zA2*krw&r<~TxDB&Ozo9Iyg;Ck5>)MTVDHug8zJ#)UwKYWV29?!`Hq_hC2P!(Q??6H zq0vv)?Ia{3{+x3g#~s~B#+rvDzOM>_P~Q$U2CXVgp`Oy!li>=#@U?75!)yQfx#p)t zDzJb)UwShMeZy8Su$QSpJU*jrixji^(Xb9m2YnN8%%YB-+Rrc>?4nlN;+{0vF4aqE zDjZkv_rBfWP2^WidvFvlIq>B2aw|3;Yk?q;_g;#KccnEdG(P#Je7vENQj4Lgi^jR} zP0bN!G)k${>ooL~t(@-P92dvSv29SgPu@^No0;n@Ngs0kG=14)w^+xMG4PGS+VwhK zJ&b{{9Tw|7G774;RTtQpC2b(WLinKKN${w zrScU~dDcew0ofl&UoOPVs+p|;X*P%|88f1E1?kkr1C^_Xun&0!_ zkej>NLrAXZ8EXkEjk;0Ku_w7GBm4t{Qq8L`9V=LaqyV4d%4DETrhOKMzb9^-!j=Wo zJIQ@6uRAv%jRBOU<~f_2D^f#%t*cmmyeG7p20cK~dA(nuXZI}{){{1!qA6L_%_-d2 z5SzGq%<44^*+!=>%HACbBwU@r!uuuifvd9b@6&~0i^(#iS{YMHQ1IX{5MZ(O`|x$n zS9}fr{@U6thl=qo+AR)_DBJFq;*q5W(hEmRkS(;C(zge9_WJ2ziR}?cq@mFnA8+&! z;6rL#AeqGexqXKj$Jq#J*QZ!Yw+c(;?!#AJv>(S0EX)g};|yOZqqN$t!gprBGrBf& z7U_h*c0{dj9YADyi*g$`g9W(voWm2}#|L?>;CbsN`D-WOf@DUY2W|3MWL+Wa!N<~>1As$d^Ks|6%(NFg zYs$CG-WAKeB`)d);kA|rCfixt>&cfqY7*6-$9dwx$z6J29L{+-aJmU*bYxWS=CTQw zt|4z=ug4*!Bi)W4FIpD8eGDWQ`*2Qdd9JY-6MV9DR+W4JHvJqhV%yJxYwmwv%l~8) zM=;W+Rr|JfFgG;7)Cr?m=gDM5q?AdpN!4g>JcT}2KtVvDVRI>3e>qX+ z`Dn@g2a*{cs*{WdJQ4G)nbN^d9p5NlLjEROv%#@1J7prC{E_*fVr~FxMxL02(^L~x zVdvzEC0S*S61SPa!|&}6Bm7S__E+N&c`zm@OuWz-dvJ>Q_+#|sQ(qcbAkTBh-GaBZ zijh?Jn$(3wDA-s(a5g-ohW|LpRa_tU&MK26|coNN+Y&0GOU2K z7dc2N-m;m(LqFgqVm~%`hn7g;lt9VexLmN$U8+CYR}2Jhw-X709Pf-^cJ-8hO}^7B-6v zRE9`L2uM*SklOYPY6&_#5;gdzeoH9k$kE>51Uz+Y*WyUH9c!&_sn9C2tU zw3=Gt%Iw50KWW|6jYJ+}SU(%HcHj>avmWvH_K_%?#)<$w zVea9gzekb+S~iI%Tg>EXSbQ2=fwVehUPz@-x%Hor?r%g}=&E2#-3pzWG!>Y7hjMqm zL+XpE;rBI>lU_@kT`OPHTwK;O1_c3-q<2i8jg(DU{tgMPtzgWg=|W=(u;4KqGt)V|bSk4*?y9<4K^=}i5OLC6 zAE88rXXw1iFYFi-Eg)wkE0l3|1Ed_lN*cb9M~WkBc3j)b*?qn5j)I-EJ%jmy-03C6 zAnAP6Sp#1hs{+bvPVRQNudvG61yKmwKO&nVa(F#Tl68=IjGL!!-#H+`n0(GwbnBFs zWhzh!on~HgegytWHE+k-mgTPpzVcz$6Ea20=Pf-!bD}ozzP=1j3O}(O7jwY-z#+y*vZEF(vX7|voG}|^(ycLtoy(PI zU-m5T6k;5rr-B0 z*_b9+6`c$fP%M@deO`VN>9QU7dQFXr0GgD+$k5t=e#T6eguQwE+EO1FLeEgCao+|j55@*H)b9w0&e$Jm8lgf7Bd-d zmzy6)tMKW!Wj&GBm+=|dJO72S_k|G5@78R-uO|bnj(Fx$d;)ed?92IHXAM?XEzC}e`z7(%37QeM>)$->51`-*b+>JIz40clcckr;-hw#Gf@m;0cVjtcUhOO9%DdCD&UYvUF)g)tXL|Fp zIdA={QuvypQYwv%m*L92QQUs-0d!(bXVUm(IEuo&Xcp!dBkt~Mx#2>F09q$={^sT$ zm^J@>mi|K>@z?@!W1n+ZCPR=^7|cb!vF)jFI%X(aYJuA3SI-^l4wkH3K5w=}Scy1e zQiS2FTi-I58&bLj%U%;=mwJMJ;-c~TfTJ$65|ilArkrQIR%`OuTnA>JtyXVs_+3&4 zXYKdT+b_}b`C1&was;P-D=$;nG?Q|v-NOvvs|nu_6`mrIbodjM`Z_%f)j6d~Dki~sPyR_xq2pf4!_$Xt8wAfIQTyRRJ-QrI=*{ME z+4QOo`Pz9WoC?vqxixaJB3r{fV~+AmdOXGyzuaM5>Y8sjsmd%#Evbv};r#EYLGK-d zzh8nWt1KPB`*>saiVT;rARX29(R>a2;4`)6n`aG@ZeP8$OD+n_`JxZ;?FRm05%26? zd*}&|4zmT)`4*_)=9_GNQ!{IM&vgt-1wGqJcpfRM+b%=1CZ&5<&t6(-5v-ispEQLX z76yq6-qE7qMAL_>j(asZ98S=%5_|JOR=tPl(^REWDo<`c^DrlO{>&(i!+H8)!ldZ$ z$(;H_#$L>>n+ZlRAPm6A zeTB+1#yj8NcioO&Y{>;1G!SU{nP?c;WeAQ+Y(9-dYTO7%WC^eYpwc0Vk<<|5@z*Qj z+Ma5F_5C2S!eDdk**;-QPgfrz+d;fvnzv0g`$94EOtzkhMfA6mTB1&WO_Gno!!(_j zH6v%wj&5FS*JQLmE|M8$C?Xn{zahrVTy$4IaLsMH2dN1)v!C}F3nPns=sBC871SJE zFe4x(9+{c|825g!3!n2Mt!F=$RTj|4!elQ5;;S#+?@lmg1^XMn|DtL6PF`O_bFPj* z$BpltB0T-fk-uYUvZXKSGwD6d##Ws=6UbHStvoW0gI0>>v_w*e0SR^7Cn58qkkt-M5`8vZWyjOdvdf#hKIQ?y&o81 zx#&#oT(7@jYw>EA`)Fy2GqdtRV6x~l)q)FQ-vx%b$Hv}3SkQ>BE8j@g;bH~Yh%X70 z0f5**7o;8tD^J^E7JsNLEblibi>TfrF!q!opPifTIU`Cn+K^OzJZ$Pya&<<|)$2d+ z_iWmRY1Mr5J<}YjL-Q3!-w${~ShT_%_IJGUuF1*R_55f2tW$d@TRokd6ok7b$09$g zt|0Et!0R23l+kyUM02h*VLSEb$2f_6D>q13=4j;=)tt}SB?Z5ygrYM%w*!l zDmA@y@+KG@QR%@cQ?`Hfi`ywZDu=@!K!Y-k5%E#!ZSW?(2VV z((@z}M`r6VC}5T^j_!|vY6sF9P?#%gri7S-b1#Nvhm5cdo&aN1zDSi+f}hS8P1yi7 z9+1ZF%F9UtJ{z1D-I9ggy{@@x=Vh`&7gHx~I!hxd#4NZ!EP=wHqdYu(1YsYI&yPYb`5tnb3p zpmkyP5x={!KxMpqEmlNdo9eQ}TAR46Rw7W4$(dlRKU&iG8+@>dN7|;y*$$frZFFqr zMg#WwaW_H}$jjutwK!~F0~dL+9Ajr($N^!)`I&)UN7sv&`J+^kW%}Wl0pG*Q@6^6Q z4q@4)x<2^YtoK8)vDQgb`J}_dJo(m;(-OWb>J71;kDx4h)UbNAL^rl?qCsXgdnZ`c zZuG#zvGJAbTUfs{p9c>)f#`wU{ZP zdJ5MGc{tC!G`c_acK3UQ7BpTfWxU`*-gA-L+;Aaq08*Z#td&*WW~Qq}MER zNP5-#sHzRTMst-=J()E^1D-;c5AxK^2eFisd9RM;Q;WsU<~?u2baq+#rbK{9t8IP!-lCtA}1ZlWqa4!rXMGLwk>Y za^2D%v%BbNx*_-^YeEwHu2a(QxLj#MB)|3w!D6CZ#Ic*@$a|e!B!dR z?MFHn3g;hm7Kx#1Nl2qrL8U=6v zOWrR7!n(R#>7;Cwg&-EXr|C@m36UMd<{Un>3C% z2xns@XX#CbzXJl7lN;9mk}vl&(7W91*dm*<7f4+sOkuaUw`kIk>2XdqmDT-Ld@O3M zr%-L(OIWt#gZ(oxzG-dxkV&^O+go=t+U~QTeJ28Ve^gnf!jHPiBEKwetl9R2THdc}i~Is3J4?IJlSXnQONUPK#cfzlv+}MajtMOv_i08vPMA;Q~_t5LZn08ch7)cXWsKrMH zG^dLSE(}{77rYt2sh-#?=39>(xWeflov=%b9-)RBESdVkh<>q32W6UU&G8|hR?h%p zCC1_#G3j{(A;jByN1I0kDQr4#eChxqn)_U%Hst!%3VyT8Mb*_8Fa#mSa$HQ{7_D?27FcfzhfcxgpP38E)>%F-) z8np_{z!XJc%L0Vm4o9Wm6;Sk!K+HQz8rTAcLR8j_mn0z2Z^+G@2fkZcYNno)epv)bRTd4W}*XT&yrVYu_{bghl0WzfW%fuHnF)hl5jixu}> zlj_?gBdUJyU@l=C(K;~PCYNyDPMj8;Db=os7&7Cg$b7EQv(}^IHH=a_kt{&t)o5;v zsjI{<{`+j<;nR}P*_`Q;!yFI(WCoj1Mb;gD>)#RJ*u8&3K;+fy)LqqlOh?=Ny!V*5 zv1>EO%j9HBCpBr#Q)+xXPMHZ@w0(k3Hru*&OO_!$U z^*ent-6CprgdwE5>JDQWAu|DY8iNdTf8BWj9YbMuvb|hWvU%Nw5pQqOx^Nh~ofL=& z0b94D*4x|1!66|@fBH$MyI)rY3Adm2@0qPdUq&c3^#^N19qmCW@q&D=;csK>IjP%q z-tR7N0N)bzCMo;W2x6LP-W%Q&4!rpV$muM<9u~pvYo-9`nZtR0|66KHqy?WY_w;l6 z!(zxh2Xzw^n#ziaq4^v^*7ANi)-G+56|xt`6oO0+4h)QRE1z+`xr{F+F8{%HJIX5f zM3FM`dV=ihaUmN|;*ZB~g6`*p*JVl=AqJ^=X|1%rK=}xUH|*r zwezc#IT5Crm|V#9tl;WI5-}MF2Us(e;eUcW+V&#u^0xrpvTxLHrKn4{#Kq(|$AcGM zM2me&I(yNRXseBM-JqD37|=*w%h2kU?n*YPxf=S@0v@srz*oD&opm<5T%CGz`w)F2WTDQ@BF^qk;{vgBkZ6r6c;J7tZZ zBqnP&S@1(b3`}nPx^suu1NFbNj!?Sjd~xI($6v=^;AWSKUV^}}_)pUD*z$0DMr6$M zYK-x#R~GZvG^*StGI9G!70$?tU{cLV&>46UcMvr@o8*<_7gNVrtW?N+JnYl`|N5#G z>x!@46Aw2ZE)ns!W?1fK{o!`C-c;o9x+L4GOj30`G3P&Ioy)S_Y$V+d?_D>Y_n#+_ zY^_(ipx(@Aci|%jW?ml+sfRQ7-kS{-xx#c79Dl2EvZ3YkCJ{fwC9~>vs+@wyYE0WZY@-3=$geyPcyB~_?X04fNHrY-Q95&_@a-n$0{p^jOYjo=JMkD-^ zMsB?P;uGjDxIaVZQyGX`Wf2*zGqIO4_9jI*W>gGNipZ?BVY|Tz8@by1vvfFV)EP%S zs@UM+%5hsNFR>(#fHHc3GD^*Aw*cF**~)FuYr|XVQnU- zGyQ;S)DouBb6dqgAluzkMhbISGOQ5K(akXf@%OwjIzJJ!tQH}pdq>kBmENCT6#~8D zVo4*+%3AL(RC5_!rleJhO5S=pn>|JNg6?}-(YuZ%HDeu>diY~c*)3q@1gr)Rrew-c z3~yftR6_4rV4j#?p!SL*Q4aXs*4Xc~SBq?s+1UhB42h8SZV4BlYKMVH7EWA^k=2Wj%tY zbhBn1E2Al**6qu`Rv8Li2+34;E;M7Cwn*)+LR!ZUOKz#gTz>QJd8TT9(PC28pr<1| z^>4Vc1`okUAa}`sox?SPL1L8FhOHeRI~uFt=LNGo&`{z*kUoz%Q~sF5uH(VMi=y;R zJ+b}v`|F#6bpo03yQ&`B+4>t3sbcImiXSiSt)8EF5aTRn4y$={JP!8o?Vi)6e@EUE zmme|hSYMy$g@Q`{*=!S8sP3=1XM*Gt#|d=`nxE7(FU`f@gJt8VBK)#(nm{@`YwCZa zYcVr?4~WA$6-vxX0SENzvC}{;j?OB$hq~=2w)D=WunWvG(}y}%18Vm&(_Ve7s;yM~ z>QU9zJrbT^%g5_Wqd1+(F8yv?DoU!$^3N|G}rF znJ!PeJUDyM75Kszg%u0a#*uBTQUWio2bWdOZu!ZVDN8o_+y&*38PMA+IK7Z()`$Yp z4EoI$nICUSanuC(tI0j-AkKW@b5G(+u=kTrvKLWZ{=U{;=R-7?_pR8zn|a+;UnIVS z;O`JZ$n&{nPHhfUS8qkMMVej|8X z@&?1A($qpC)pS)FM=F-y(Usj|Tx=u-XNdK7bP}UvsV|R8jyee>spB6RV}{ZB&E{L3 zn+podg}np>WoY2jOFlk zBrbx*qv%hIuqXJpCbm<^hd6D!@H6Z};zc^2Nl3KdAH16mttx8~hdJl)cr@B!KM;xkWcU+k7?yQ@!qnUkj(iL231B$+y-;eRmQJ{9r)jH zrVBrt**eCVu*QlhoIU$nCu)R9eTJb&e-+NqNh&sFn*(`HT_M27QCSX+bReG##7Mvs zcR1UVcodC&J`g#9(F!?fyQ8v@nkg|W{88+sfiSjiiYPEcY$h8^`DtB93Fz?GLvXR9 za=GUE;e+~^Q){E5iTm%m|H-yscCe4G$fm%jAuaHW)1k}2Gcu`4uDWOy1=qM77L}Pf zc1}hm?cATJ7X;IUYLIE!5?jBcx!jsOA91gWTSa2)JypgGzh|-3A9+Uh$hCY-tcl z_8Q5vf>$aJ*C<>B3+9LIqTSWB8+n2IFUj^(C-anM|N02qzHNqcf3IE3N3%L+x3Mcg zf_s;Jt)$k2uF8Xy!;x=bQLAj`Ox=m+2{u3S=~u*j7k9P6Xy0}{$&mBP+nOMqG-n$q zcb~TZ(>OL;hF$70U;D`G1{v*UM08@#>lmX6RGXYKd#R{itgKw`!q#~e3}7TU^H1dH z6bP1|V&j3jY%JKE%~oq@at3h#KL5pFOHS&Oto#*iRW+ne(w4^8Q%jp7$upe9H!gQ? z{KL%P*Ghi;jH$BIqU1<3qr}lqSx4nzD!ENEcZPBhi0)#h6x@xt)v}( zTXI7A)>loYD;dYl|L{}wIb}3a4+Ym2J{4Q=BL^@uKL=r+mC#9}pUix@p_!*2@Q_-- zTrGV=Q^OSSv@fPve(NkS_0fu~_E;p;j!*eM9 zc`xxa!39gCRt+y-Nb_ERV6P{5G#H@_pH5XUbN1!!VTjtlg^W>eD^`#VB^z0OUWd)+ zm;~olEgdjEIoOj=klw?%1z;H(_Zb>4(tZz%xzbOMnm~|l#Nd;0e#g)fXH=_E-?$&7 zOA?07M2QE$o{QDM#MN^w*TMa?-Ak($FWrgGd(<~Jgfhou31*@b`vR%|eO2heTcy?E z?~Y!{NFwu-JS6;l9A7_*M6%I6#MkAo&5}PD8n~ub?#`DLyJcn`6rT_7!sQzsYEQ8F z)(gVz-RO4Fd*Z?KKxDUSWaX4U!m{NFfn!((TFjW7PaEv5y$TSKXyWDDDQ>XORgEa~ zbBhq{Wt7Y$kemBjZ@YpglK+A8`N?FG@PsW)6(_%2Ec~cO`Rbf`G*IPP_bteDYJZ=o z=jNM0SGGtU!RVOG6h9v_F_OroK`();gu~(Q90JX2zbtdb{A|%yGS#s9X)V|(2D}`C zza=ur+j^(mS%j}J6M=~=RVC3j;r8aNb2-mY@9W!s1?Kz~v-hLJNn)OaxE5Kp#v8o* zt@jWf8-UPEOjQ_Nh5*e6w57+5ms}Kz<~f<~0rNnKU8yG=WMYPgq0MG`L;D;{EDHXv1{fk&SQ~nF-2=n}StyxUK2lIO%FQ-vRbI^lGKM4VDgrqL*Bg^y zSzcsPrjy#rm7F)Gan=VTc-x&={Nnk*qWOGVHv`H)273bgwC@9ZXGDgW=CK$IQslrX z6n@N~I}WFzFQST%>IEA6G283JQ$XUHt#_FBpQjA~zwqSI^9CT_&KEn}(O?!1|uG0rSl)uR+VGx{1egpj!Y

Registers

A register is a collection of flip-flops that are edge triggered. Registers capture and store data based on a trigger- either a rising or falling edge. Registers are crucial for CPUs as they serve as temporary data storage elements. To code for a register using behavioural modelling in Verilog, it is expected of us to mimic the behaviour of a register using a variable such that it is assigned a value and stores it until the next edge triggering. We shall discuss how to implement a register using D flip-flops as well.

The reg datatype in Verilog is used to declare variables which model data storage elements, they store the value assigned to them and can represent either combinational or sequential logic.
To design a simple 4-bit register in Verilog in which only 1 operation – read or write is allowed at a time, we need to declare:
1. A reg variable with the required number of bits (4 in this case) to act as our register.
2. A read/write signal as input (one or multiple depending on whether the question permits more than one operation to be performed at a time).
3. A reset signal which enables us to reset the value in the register to 0- it can be active high or low.
4. Input ports: write_data for the data to be written, read_address and write_address (both are not necessary here since we write to only 1 register but we'll see them in register files).

5. Output port: read_data, write to it when the read/write signal is high and read its value when the read/write signal is low.

v
module register(input clk, rst, rw, 
+                input [3:0] write_data, 
+                output [3:0] read_data); 
+    
+    reg [3:0] block; 
+    always@(posedge clk) begin 
+        if(!rst)   block <= 0; 
+        else begin 
+            if(rw==1) block <= write_data; 
+            else read_data <= block; 
+        end 
+    end 
+endmodule

Since we have written our read logic in the else block, even if the rw signal is any value other than 0 or 1 at the beginning we just read the register displaying its contents, which is ‘x’ initially. This is to avoid unintentionally writing a value, giving read operation a higher priority over write. You can initialize the block reg to 0 too (it can depend on the question).

If we have a register with multiple read and write ports, the question will mention certain specifications for e.g. reads can occur parallelly but not writes. To demonstrate how to go about coding for such a question, let us see an example of a 4-bit register with 1 read ports and 2 write ports. A read and write operation cannot occur simultaneously but we can have 2 such writes and every time we write to the register, we alternately use either of the write ports. Initially, when we perform a write operation, we write into the register with write_data_1, the next time with write_data_2, etc.

v
module reg_wr(input clk, 
+              input rst, 
+              input rw, 
+              input[15:0] data1, data2, 
+              output reg [15:0] read); 
+    // perform writ1 & writ2 alternatively 
+    reg [15:0] block; 
+    reg track=0; 
+    always@(posedge clk) begin 
+        if(rst==0) begin // reset is active low 
+            read <= 0; 
+        end 
+        else if(rw==0) begin // write 
+            if(track==0) begin 
+                block <= data1; 
+                track <= 1; 
+            end 
+            else begin 
+                block <= data2; 
+                track <= 0; 
+            end 
+        end 
+        else begin // read 
+            read <= block; 
+        end 
+    end 
+endmodule

To design a register using flip-flops, let’s consider the first example wherein we had a 4-bit register with one read and write port. We instantiate four D flip-flops and assign the incoming input as input to the flip-flops if the rw signal is high, else we assign the contents of the flip-flop to the output variable. Note that in case of the write operation, the output variable reflects the previously read/stored value.

v
module dflfl(output reg op, input ip, input clk); 
+    always @(posedge clk) begin 
+        op <= ip; 
+    end 
+endmodule 
+   
+module four_bit_reg(output reg [3:0] out, input [3:0] ip, input clk, input rw); 
+    reg [3:0]intermed;  
+    reg [3:0]temp; 
+    
+    dflfl g1(temp[3], rw ? ip[3]:temp[3], clk); 
+    dflfl g2(temp[2], rw ? ip[2]:temp[2], clk); 
+    dflfl g3(temp[1], rw ? ip[1]:temp[1], clk); 
+    dflfl g4(temp[0], rw ? ip[0]:temp[0], clk); 
+   
+    always @(*) begin 
+        if(rw==1'b1) intermed <= temp; // storing previous value in intermed 
+    end 
+    
+    always @(posedge clk) begin 
+        if(rw==1'b1) out <= intermed; // if a write occurs then previously read value is displayed 
+        else out <= temp; // else in case of a read 
+    end 
+endmodule

When we instantiate the D flip-flops, we check whether the rw signal is high i.e. whether a write is occurring to pass the input to it. Since we cannot mix case and if else statements with our combinational block i.e. we cannot instantiate the dffs conditionally (Verilog does not support this), the dffs will therefore be instantiated at every rising edge transition and so for a read operation, we pass it dummy data which would be the previously written (currently stored) value.

Register Files

Register Files contain an array of registers. Hence there is a need for read and write address inputs to ascertain which register to read from or write data into.
Ports are input and output signals. When a question mentions that the register has to have multiple read ports or multiple write ports- this means that there are several read_address, write_address and write_data ports- we can perform more than 1 operation at the same time on different registers within the register file. There are several cases to consider in such questions- if a question permits multiple writes at the same time, you need to think of the possibility of more than one operation attempting to write to the same register, or if a read and write can occur together, you need to think of the possibility of an operation attempting to read from and another attempting to write to the same register. So assigning priorities is important. More than one read operation occurring simultaneously won’t create a problem.
Let’s look at the code for a register file with 8 registers capable of storing 8-bit data each. It has 2 read ports and 1 write port. Two reads are permitted simultaneously, however only read or write is allowed at a time.

v
module reg_file(input clk, rst, 
+                input [1:0]r1r2w, 
+                input[2:0] port1, 
+                input[2:0] port2, 
+                input [2:0] port3, 
+                input [7:0] data, 
+                output reg [7:0] read1, read2); 
+  
+    reg [WIDTH-1:0] block[WIDTH-1:0]; 
+    // 2 read ports, 1 write port 
+    always@(posedge clk) begin 
+    // r1r2w- 0: write case  
+    // r1r2w- 1: read1 case  
+    // r1r2w- 2: read2 case  
+    // r1r2w- 3: read1 & read2 case  
+        if(rst==0) begin 
+            read1 <= 0; 
+            read2 <= 0; 
+        end 
+        else if(r1r2w == 0) begin // write 
+            block[port1] <= data; 
+        end 
+        else if(r1r2w == 1) begin  
+            read1 <= block[port2]; 
+        end 
+        else if(r1r2w == 2) begin 
+            read2 <= block[port3];  
+        end 
+        else begin 
+            read1 <= block[port2]; 
+            read2 <= block[port3]; 
+        end 
+    end 
+endmodule

We declare a r1r2w signal because we are permitted to perform two reads but a read and write cannot occur simultaneously. Besides the ports, we declare an array of eight eight-bit registers in Verilog in this format: reg [x:0] block [y:0] where you declare the number of bits of each register before the variable name ‘block’ and the number of array elements i.e. registers after block.

Here's a pictorial representation of the register file:

If we are permitted to perform multiple write operations, then we must assign priorities to the write ports. We can do this by structuring our if else blocks in a favourable manner.

Let’s assume that we have eight eight-bit registers contained in a register file that has 1 read port and 2 write ports. Similar to the last example, we cannot have a read and write operation at once but we can perform both write operations simultaneously. In case two writes try to access the same register, give priority to write_data_1.

v
module reg_file(input clk, rst, 
+                input [1:0] rw1w2, 
+                input [2:0] write_add_1, 
+                input [2:0] write_add_2, 
+                input [2:0] read_add, 
+                input [7:0] write_data_1, 
+                input [7:0] write_data_2, 
+                output reg [7:0] read_data); 
+    
+    parameter WIDTH=8; 
+    reg [WIDTH-1:0] block[WIDTH-1:0]; 
+ 
+    always@(posedge clk) begin 
+    // rw1w2- 0: read case  
+    // rw1w2- 1: write1 case  
+    // rw1w2- 2: write2 case  
+    // rw1w2- 3: write1 & write2 case  
+        if(rst==0) begin 
+            read_data <= 0; 
+        end 
+        else if(rw1w2 == 0) begin // read 
+            read_data <= block[read_add]; 
+        end 
+        else if(rw1w2 == 1) begin // write1 
+            block[write_add_1] <= write_data_1; 
+        end 
+        else if(rw1w2 == 2) begin // write2 
+            block[write_add_2] <= write_data_2; 
+        end 
+        else begin 
+            if (write_add_1 == write_add_2) 
+                block[write_add_1] <= write_data_1; 
+            else begin 
+                block[write_add_1] <= write_data_1; 
+                block[write_add_2] <= write_data_2; 
+            end  
+        end 
+    end 
+endmodule

Q: Can you take the previous example and modify it such that the register file now has 2 read ports and 2 write ports and any pair of operations can occur simultaneously? Let the read operation be of higher priority when a read and write occur simultaneously, and if two operations attempt to write to the same register, let the one with the lower serial number e.g. write_data_1 over write_data_2 be of higher priority.

Shift Registers

Shift registers are a cascade of flip-flops used to store values which are passed on to the consecutive register at every edge triggering. They are used for temporary data storage, data transfer and data manipulation. Here is an example of a SISO (Serial In, Serial Out) type shift register:
Assuming that the ffs are initialized to store a value of 0. Data can be serially shifted to the left or right, in this example we shift to the right.

CLK TransitionDQ1Q2Q_out
1100
1110
0011
0001
1100
0010

This example shown above is that of a SISO shift register wherein there is only 1 (serial) input and 1 output each such that the data is stored and shifted bit by bit sequentially either leftwards or rightwards.

Other types of shift registers include:
1. SIPO: Serial In, Parallel Out (One input, outputs Qi where i=1,2,..,n for each of the n flipflops)

CLK TransitionDQ1Q2Q_out
1100
1110
0011
0001
1100
0010

2.PISO: Parallel In, Serial Out (Multiple inputs fed separately to each ff, one output Q_out)

',29),g=s('

3. PIPO: Parallel In, Parallel Out (Separate inputs for each ff, separate outputs at each ff)

CLK TransitionD1D2D3Q1Q2Q3
101101
100100
001001
000000
111111
010010

Let’s look at the design of a 4-bit shift register (SISO) in Verilog:

v
module shift_reg(input clk, en, in, output reg out); 
+    reg [3:0] sreg; 
+    integer i; 
+    
+    always@(posedge clk) begin 
+        if(en) begin 
+            for(i=0; i<3; i++) begin 
+                sreg[i+1] <= sreg[i]; 
+                sreg[0] <= in; 
+            end  
+            out <= sreg[15]; 
+        end 
+    end 
+endmodule

When the EN signal is active, the shift register operates according to SISO logic; however, when the EN signal is inactive, no shifting or alteration occurs.
If we were to implement a 4-bit shift register (SISO) with D flip-flops (hierarchical modelling), it would look like this:

v
module dflfl(output reg op, input ip, input clk); 
+    always @(posedge clk) begin 
+        op <= ip; 
+    end 
+endmodule 
+   
+module siso(output reg [3:0] out, 
+            input ip, 
+            input clk,en); 
+   reg [3:0] temp; 
+   
+   dflfl g1(out[3], en ? ip:out[3], clk); 
+   dflfl g2(out[2], en ? out[3]:out[2], clk); 
+   dflfl g3(out[1], en ? out[2]:out[1], clk); 
+   dflfl g4(out[0], en ? out[1]:out[0], clk); 
+   
+ endmodule

This is an example of a shift right SISO shift register wherein the input gets serially shifted to the right at every positive edge triggering. The combinational block involves instantiation of four D flip-flops and applying the serial shifting logic by passing the input to the MSB flip-flop. The inputs to the other flip-flops are the outputs of the flip-flops preceding them. If the enable signal is low, we freeze the current values and we don’t make any changes.

Q: Attempt to design a bidirectional shift register in Verilog.
We need to have two modes- shift left and shift right, and so we have an additional input control signal.
Try it out and see if you can implement it in code.
Q. Attempt to design a 4-bit SIPO shift register in Verilog. What changes would you have to make to the SISO code and what additional input and output signals would you have to include?

Some useful short notes

1. A note on parameterization: The code that we write for n-bit registers is limited for registers which are strictly n-bits. In order to write code and design registers that are more reusable, that can accommodate an arbitrary number of bits for each instantiation, we can utilize instantiation parameters. We can pass the required value of the parameter at the time of instantiation and we can also specify a default value.

v
module register #(parameter WIDTH=4) (
+    input clk, rst, rw,
+    input [WIDTH-1:0] write_data, 
+    output [WIDTH-1:0] read_data); 
+    reg [WIDTH-1:0] block; 
+ 
+    always@(posedge clk) begin 
+        if(!rst)   block <= 0; 
+        else begin 
+            if(rw==1) block <= write_data; 
+            else read_data <= block; 
+        end 
+    end 
+endmodule

The syntax for parametrizing a module is:
module module_name #(parameter PARAMETER_NAME =default_value) (declare input and output signals)

References

  1. https://my.eng.utah.edu/~cs6710/handouts/verilog-xl-behavioral.pdf
  2. https://www.realdigital.org/doc/43c79714a7f3d0bbb8098d60c63fde48
  3. PISO Shift Register: https://www.geeksforgeeks.org/piso-shift-register/
`,14);function y(o,c,F,C,u,w){return n(),a("div",null,[d,t(" [Image Credit: GeeksForGeeks](https://www.geeksforgeeks.org/piso-shift-register/) "),g])}const m=i(E,[["render",y]]);export{b as __pageData,m as default}; diff --git a/docs/.vitepress/dist/assets/registers.md.C1Tfb5cq.lean.js b/docs/.vitepress/dist/assets/registers.md.C1Tfb5cq.lean.js new file mode 100644 index 0000000..d4c9f08 --- /dev/null +++ b/docs/.vitepress/dist/assets/registers.md.C1Tfb5cq.lean.js @@ -0,0 +1 @@ +import{_ as i,c as a,a as t,a4 as s,o as n}from"./chunks/framework.DRnJpP2i.js";const h="/hwlabnitc.github.io/assets/registers-image-0032.D-Fn2K0t.png",e="/hwlabnitc.github.io/assets/registers-image-0041.L-HEdxuX.png",l="/hwlabnitc.github.io/assets/registers-image-0053.BxUth7bs.png",k="/hwlabnitc.github.io/assets/registers-image-0057.5RwdOjEu.png",p="/hwlabnitc.github.io/assets/registers-image-0058.B18gUSRJ.jpg",r="/hwlabnitc.github.io/assets/registers-image-0061.IDRbujlY.png",b=JSON.parse('{"title":"Introduction To Registers","description":"","frontmatter":{},"headers":[],"relativePath":"registers.md","filePath":"registers.md"}'),E={name:"registers.md"},d=s("",29),g=s("",14);function y(o,c,F,C,u,w){return n(),a("div",null,[d,t(" [Image Credit: GeeksForGeeks](https://www.geeksforgeeks.org/piso-shift-register/) "),g])}const m=i(E,[["render",y]]);export{b as __pageData,m as default}; diff --git a/docs/.vitepress/dist/assets/style.CTsPrQqq.css b/docs/.vitepress/dist/assets/style.CTsPrQqq.css deleted file mode 100644 index 56113a7..0000000 --- a/docs/.vitepress/dist/assets/style.CTsPrQqq.css +++ /dev/null @@ -1 +0,0 @@ -@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-cyrillic-ext.BBPuwvHQ.woff2) format("woff2");unicode-range:U+0460-052F,U+1C80-1C88,U+20B4,U+2DE0-2DFF,U+A640-A69F,U+FE2E-FE2F}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-cyrillic.C5lxZ8CY.woff2) format("woff2");unicode-range:U+0301,U+0400-045F,U+0490-0491,U+04B0-04B1,U+2116}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-greek-ext.CqjqNYQ-.woff2) format("woff2");unicode-range:U+1F00-1FFF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-greek.BBVDIX6e.woff2) format("woff2");unicode-range:U+0370-0377,U+037A-037F,U+0384-038A,U+038C,U+038E-03A1,U+03A3-03FF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-vietnamese.BjW4sHH5.woff2) format("woff2");unicode-range:U+0102-0103,U+0110-0111,U+0128-0129,U+0168-0169,U+01A0-01A1,U+01AF-01B0,U+0300-0301,U+0303-0304,U+0308-0309,U+0323,U+0329,U+1EA0-1EF9,U+20AB}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-latin-ext.4ZJIpNVo.woff2) format("woff2");unicode-range:U+0100-02AF,U+0304,U+0308,U+0329,U+1E00-1E9F,U+1EF2-1EFF,U+2020,U+20A0-20AB,U+20AD-20C0,U+2113,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/assets/inter-roman-latin.Di8DUHzh.woff2) format("woff2");unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02BB-02BC,U+02C6,U+02DA,U+02DC,U+0304,U+0308,U+0329,U+2000-206F,U+2074,U+20AC,U+2122,U+2191,U+2193,U+2212,U+2215,U+FEFF,U+FFFD}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-cyrillic-ext.r48I6akx.woff2) format("woff2");unicode-range:U+0460-052F,U+1C80-1C88,U+20B4,U+2DE0-2DFF,U+A640-A69F,U+FE2E-FE2F}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-cyrillic.By2_1cv3.woff2) format("woff2");unicode-range:U+0301,U+0400-045F,U+0490-0491,U+04B0-04B1,U+2116}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-greek-ext.1u6EdAuj.woff2) format("woff2");unicode-range:U+1F00-1FFF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-greek.DJ8dCoTZ.woff2) format("woff2");unicode-range:U+0370-0377,U+037A-037F,U+0384-038A,U+038C,U+038E-03A1,U+03A3-03FF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-vietnamese.BSbpV94h.woff2) format("woff2");unicode-range:U+0102-0103,U+0110-0111,U+0128-0129,U+0168-0169,U+01A0-01A1,U+01AF-01B0,U+0300-0301,U+0303-0304,U+0308-0309,U+0323,U+0329,U+1EA0-1EF9,U+20AB}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-latin-ext.CN1xVJS-.woff2) format("woff2");unicode-range:U+0100-02AF,U+0304,U+0308,U+0329,U+1E00-1E9F,U+1EF2-1EFF,U+2020,U+20A0-20AB,U+20AD-20C0,U+2113,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/assets/inter-italic-latin.C2AdPX0b.woff2) format("woff2");unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02BB-02BC,U+02C6,U+02DA,U+02DC,U+0304,U+0308,U+0329,U+2000-206F,U+2074,U+20AC,U+2122,U+2191,U+2193,U+2212,U+2215,U+FEFF,U+FFFD}@font-face{font-family:Punctuation SC;font-weight:400;src:local("PingFang SC Regular"),local("Noto Sans CJK SC"),local("Microsoft YaHei");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:500;src:local("PingFang SC Medium"),local("Noto Sans CJK SC"),local("Microsoft YaHei");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:600;src:local("PingFang SC Semibold"),local("Noto Sans CJK SC Bold"),local("Microsoft YaHei Bold");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:700;src:local("PingFang SC Semibold"),local("Noto Sans CJK SC Bold"),local("Microsoft YaHei Bold");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}:root{--vp-c-white: #ffffff;--vp-c-black: #000000;--vp-c-neutral: var(--vp-c-black);--vp-c-neutral-inverse: var(--vp-c-white)}.dark{--vp-c-neutral: var(--vp-c-white);--vp-c-neutral-inverse: var(--vp-c-black)}:root{--vp-c-gray-1: #dddde3;--vp-c-gray-2: #e4e4e9;--vp-c-gray-3: #ebebef;--vp-c-gray-soft: rgba(142, 150, 170, .14);--vp-c-indigo-1: #3451b2;--vp-c-indigo-2: #3a5ccc;--vp-c-indigo-3: #5672cd;--vp-c-indigo-soft: rgba(100, 108, 255, .14);--vp-c-purple-1: #6f42c1;--vp-c-purple-2: #7e4cc9;--vp-c-purple-3: #8e5cd9;--vp-c-purple-soft: rgba(159, 122, 234, .14);--vp-c-green-1: #18794e;--vp-c-green-2: #299764;--vp-c-green-3: #30a46c;--vp-c-green-soft: rgba(16, 185, 129, .14);--vp-c-yellow-1: #915930;--vp-c-yellow-2: #946300;--vp-c-yellow-3: #9f6a00;--vp-c-yellow-soft: rgba(234, 179, 8, .14);--vp-c-red-1: #b8272c;--vp-c-red-2: #d5393e;--vp-c-red-3: #e0575b;--vp-c-red-soft: rgba(244, 63, 94, .14);--vp-c-sponsor: #db2777}.dark{--vp-c-gray-1: #515c67;--vp-c-gray-2: #414853;--vp-c-gray-3: #32363f;--vp-c-gray-soft: rgba(101, 117, 133, .16);--vp-c-indigo-1: #a8b1ff;--vp-c-indigo-2: #5c73e7;--vp-c-indigo-3: #3e63dd;--vp-c-indigo-soft: rgba(100, 108, 255, .16);--vp-c-purple-1: #c8abfa;--vp-c-purple-2: #a879e6;--vp-c-purple-3: #8e5cd9;--vp-c-purple-soft: rgba(159, 122, 234, .16);--vp-c-green-1: #3dd68c;--vp-c-green-2: #30a46c;--vp-c-green-3: #298459;--vp-c-green-soft: rgba(16, 185, 129, .16);--vp-c-yellow-1: #f9b44e;--vp-c-yellow-2: #da8b17;--vp-c-yellow-3: #a46a0a;--vp-c-yellow-soft: rgba(234, 179, 8, .16);--vp-c-red-1: #f66f81;--vp-c-red-2: #f14158;--vp-c-red-3: #b62a3c;--vp-c-red-soft: rgba(244, 63, 94, .16)}:root{--vp-c-bg: #ffffff;--vp-c-bg-alt: #f6f6f7;--vp-c-bg-elv: #ffffff;--vp-c-bg-soft: #f6f6f7}.dark{--vp-c-bg: #1b1b1f;--vp-c-bg-alt: #161618;--vp-c-bg-elv: #202127;--vp-c-bg-soft: #202127}:root{--vp-c-border: #c2c2c4;--vp-c-divider: #e2e2e3;--vp-c-gutter: #e2e2e3}.dark{--vp-c-border: #3c3f44;--vp-c-divider: #2e2e32;--vp-c-gutter: #000000}:root{--vp-c-text-1: rgba(60, 60, 67);--vp-c-text-2: rgba(60, 60, 67, .78);--vp-c-text-3: rgba(60, 60, 67, .56)}.dark{--vp-c-text-1: rgba(255, 255, 245, .86);--vp-c-text-2: rgba(235, 235, 245, .6);--vp-c-text-3: rgba(235, 235, 245, .38)}:root{--vp-c-default-1: var(--vp-c-gray-1);--vp-c-default-2: var(--vp-c-gray-2);--vp-c-default-3: var(--vp-c-gray-3);--vp-c-default-soft: var(--vp-c-gray-soft);--vp-c-brand-1: var(--vp-c-indigo-1);--vp-c-brand-2: var(--vp-c-indigo-2);--vp-c-brand-3: var(--vp-c-indigo-3);--vp-c-brand-soft: var(--vp-c-indigo-soft);--vp-c-brand: var(--vp-c-brand-1);--vp-c-tip-1: var(--vp-c-brand-1);--vp-c-tip-2: var(--vp-c-brand-2);--vp-c-tip-3: var(--vp-c-brand-3);--vp-c-tip-soft: var(--vp-c-brand-soft);--vp-c-note-1: var(--vp-c-brand-1);--vp-c-note-2: var(--vp-c-brand-2);--vp-c-note-3: var(--vp-c-brand-3);--vp-c-note-soft: var(--vp-c-brand-soft);--vp-c-success-1: var(--vp-c-green-1);--vp-c-success-2: var(--vp-c-green-2);--vp-c-success-3: var(--vp-c-green-3);--vp-c-success-soft: var(--vp-c-green-soft);--vp-c-important-1: var(--vp-c-purple-1);--vp-c-important-2: var(--vp-c-purple-2);--vp-c-important-3: var(--vp-c-purple-3);--vp-c-important-soft: var(--vp-c-purple-soft);--vp-c-warning-1: var(--vp-c-yellow-1);--vp-c-warning-2: var(--vp-c-yellow-2);--vp-c-warning-3: var(--vp-c-yellow-3);--vp-c-warning-soft: var(--vp-c-yellow-soft);--vp-c-danger-1: var(--vp-c-red-1);--vp-c-danger-2: var(--vp-c-red-2);--vp-c-danger-3: var(--vp-c-red-3);--vp-c-danger-soft: var(--vp-c-red-soft);--vp-c-caution-1: var(--vp-c-red-1);--vp-c-caution-2: var(--vp-c-red-2);--vp-c-caution-3: var(--vp-c-red-3);--vp-c-caution-soft: var(--vp-c-red-soft)}:root{--vp-font-family-base: "Inter", ui-sans-serif, system-ui, sans-serif, "Apple Color Emoji", "Segoe UI Emoji", "Segoe UI Symbol", "Noto Color Emoji";--vp-font-family-mono: ui-monospace, "Menlo", "Monaco", "Consolas", "Liberation Mono", "Courier New", monospace;font-optical-sizing:auto}:root:where(:lang(zh)){--vp-font-family-base: "Punctuation SC", "Inter", ui-sans-serif, system-ui, "PingFang SC", "Noto Sans CJK SC", "Noto Sans SC", "Heiti SC", "Microsoft YaHei", "DengXian", sans-serif, "Apple Color Emoji", "Segoe UI Emoji", "Segoe UI Symbol", "Noto Color Emoji"}:root{--vp-shadow-1: 0 1px 2px rgba(0, 0, 0, .04), 0 1px 2px rgba(0, 0, 0, .06);--vp-shadow-2: 0 3px 12px rgba(0, 0, 0, .07), 0 1px 4px rgba(0, 0, 0, .07);--vp-shadow-3: 0 12px 32px rgba(0, 0, 0, .1), 0 2px 6px rgba(0, 0, 0, .08);--vp-shadow-4: 0 14px 44px rgba(0, 0, 0, .12), 0 3px 9px rgba(0, 0, 0, .12);--vp-shadow-5: 0 18px 56px rgba(0, 0, 0, .16), 0 4px 12px rgba(0, 0, 0, .16)}:root{--vp-z-index-footer: 10;--vp-z-index-local-nav: 20;--vp-z-index-nav: 30;--vp-z-index-layout-top: 40;--vp-z-index-backdrop: 50;--vp-z-index-sidebar: 60}@media (min-width: 960px){:root{--vp-z-index-sidebar: 25}}:root{--vp-layout-max-width: 1440px}:root{--vp-header-anchor-symbol: "#"}:root{--vp-code-line-height: 1.7;--vp-code-font-size: .875em;--vp-code-color: var(--vp-c-brand-1);--vp-code-link-color: var(--vp-c-brand-1);--vp-code-link-hover-color: var(--vp-c-brand-2);--vp-code-bg: var(--vp-c-default-soft);--vp-code-block-color: var(--vp-c-text-2);--vp-code-block-bg: var(--vp-c-bg-alt);--vp-code-block-divider-color: var(--vp-c-gutter);--vp-code-lang-color: var(--vp-c-text-3);--vp-code-line-highlight-color: var(--vp-c-default-soft);--vp-code-line-number-color: var(--vp-c-text-3);--vp-code-line-diff-add-color: var(--vp-c-success-soft);--vp-code-line-diff-add-symbol-color: var(--vp-c-success-1);--vp-code-line-diff-remove-color: var(--vp-c-danger-soft);--vp-code-line-diff-remove-symbol-color: var(--vp-c-danger-1);--vp-code-line-warning-color: var(--vp-c-warning-soft);--vp-code-line-error-color: var(--vp-c-danger-soft);--vp-code-copy-code-border-color: var(--vp-c-divider);--vp-code-copy-code-bg: var(--vp-c-bg-soft);--vp-code-copy-code-hover-border-color: var(--vp-c-divider);--vp-code-copy-code-hover-bg: var(--vp-c-bg);--vp-code-copy-code-active-text: var(--vp-c-text-2);--vp-code-copy-copied-text-content: "Copied";--vp-code-tab-divider: var(--vp-code-block-divider-color);--vp-code-tab-text-color: var(--vp-c-text-2);--vp-code-tab-bg: var(--vp-code-block-bg);--vp-code-tab-hover-text-color: var(--vp-c-text-1);--vp-code-tab-active-text-color: var(--vp-c-text-1);--vp-code-tab-active-bar-color: var(--vp-c-brand-1)}:root{--vp-button-brand-border: transparent;--vp-button-brand-text: var(--vp-c-white);--vp-button-brand-bg: var(--vp-c-brand-3);--vp-button-brand-hover-border: transparent;--vp-button-brand-hover-text: var(--vp-c-white);--vp-button-brand-hover-bg: var(--vp-c-brand-2);--vp-button-brand-active-border: transparent;--vp-button-brand-active-text: var(--vp-c-white);--vp-button-brand-active-bg: var(--vp-c-brand-1);--vp-button-alt-border: transparent;--vp-button-alt-text: var(--vp-c-text-1);--vp-button-alt-bg: var(--vp-c-default-3);--vp-button-alt-hover-border: transparent;--vp-button-alt-hover-text: var(--vp-c-text-1);--vp-button-alt-hover-bg: var(--vp-c-default-2);--vp-button-alt-active-border: transparent;--vp-button-alt-active-text: var(--vp-c-text-1);--vp-button-alt-active-bg: var(--vp-c-default-1);--vp-button-sponsor-border: var(--vp-c-text-2);--vp-button-sponsor-text: var(--vp-c-text-2);--vp-button-sponsor-bg: transparent;--vp-button-sponsor-hover-border: var(--vp-c-sponsor);--vp-button-sponsor-hover-text: var(--vp-c-sponsor);--vp-button-sponsor-hover-bg: transparent;--vp-button-sponsor-active-border: var(--vp-c-sponsor);--vp-button-sponsor-active-text: var(--vp-c-sponsor);--vp-button-sponsor-active-bg: transparent}:root{--vp-custom-block-font-size: 14px;--vp-custom-block-code-font-size: 13px;--vp-custom-block-info-border: transparent;--vp-custom-block-info-text: var(--vp-c-text-1);--vp-custom-block-info-bg: var(--vp-c-default-soft);--vp-custom-block-info-code-bg: var(--vp-c-default-soft);--vp-custom-block-note-border: transparent;--vp-custom-block-note-text: var(--vp-c-text-1);--vp-custom-block-note-bg: var(--vp-c-default-soft);--vp-custom-block-note-code-bg: var(--vp-c-default-soft);--vp-custom-block-tip-border: transparent;--vp-custom-block-tip-text: var(--vp-c-text-1);--vp-custom-block-tip-bg: var(--vp-c-tip-soft);--vp-custom-block-tip-code-bg: var(--vp-c-tip-soft);--vp-custom-block-important-border: transparent;--vp-custom-block-important-text: var(--vp-c-text-1);--vp-custom-block-important-bg: var(--vp-c-important-soft);--vp-custom-block-important-code-bg: var(--vp-c-important-soft);--vp-custom-block-warning-border: transparent;--vp-custom-block-warning-text: var(--vp-c-text-1);--vp-custom-block-warning-bg: var(--vp-c-warning-soft);--vp-custom-block-warning-code-bg: var(--vp-c-warning-soft);--vp-custom-block-danger-border: transparent;--vp-custom-block-danger-text: var(--vp-c-text-1);--vp-custom-block-danger-bg: var(--vp-c-danger-soft);--vp-custom-block-danger-code-bg: var(--vp-c-danger-soft);--vp-custom-block-caution-border: transparent;--vp-custom-block-caution-text: var(--vp-c-text-1);--vp-custom-block-caution-bg: var(--vp-c-caution-soft);--vp-custom-block-caution-code-bg: var(--vp-c-caution-soft);--vp-custom-block-details-border: var(--vp-custom-block-info-border);--vp-custom-block-details-text: var(--vp-custom-block-info-text);--vp-custom-block-details-bg: var(--vp-custom-block-info-bg);--vp-custom-block-details-code-bg: var(--vp-custom-block-info-code-bg)}:root{--vp-input-border-color: var(--vp-c-border);--vp-input-bg-color: var(--vp-c-bg-alt);--vp-input-switch-bg-color: var(--vp-c-default-soft)}:root{--vp-nav-height: 64px;--vp-nav-bg-color: var(--vp-c-bg);--vp-nav-screen-bg-color: var(--vp-c-bg);--vp-nav-logo-height: 24px}.hide-nav{--vp-nav-height: 0px}.hide-nav .VPSidebar{--vp-nav-height: 22px}:root{--vp-local-nav-bg-color: var(--vp-c-bg)}:root{--vp-sidebar-width: 272px;--vp-sidebar-bg-color: var(--vp-c-bg-alt)}:root{--vp-backdrop-bg-color: rgba(0, 0, 0, .6)}:root{--vp-home-hero-name-color: var(--vp-c-brand-1);--vp-home-hero-name-background: transparent;--vp-home-hero-image-background-image: none;--vp-home-hero-image-filter: none}:root{--vp-badge-info-border: transparent;--vp-badge-info-text: var(--vp-c-text-2);--vp-badge-info-bg: var(--vp-c-default-soft);--vp-badge-tip-border: transparent;--vp-badge-tip-text: var(--vp-c-tip-1);--vp-badge-tip-bg: var(--vp-c-tip-soft);--vp-badge-warning-border: transparent;--vp-badge-warning-text: var(--vp-c-warning-1);--vp-badge-warning-bg: var(--vp-c-warning-soft);--vp-badge-danger-border: transparent;--vp-badge-danger-text: var(--vp-c-danger-1);--vp-badge-danger-bg: var(--vp-c-danger-soft)}:root{--vp-carbon-ads-text-color: var(--vp-c-text-1);--vp-carbon-ads-poweredby-color: var(--vp-c-text-2);--vp-carbon-ads-bg-color: var(--vp-c-bg-soft);--vp-carbon-ads-hover-text-color: var(--vp-c-brand-1);--vp-carbon-ads-hover-poweredby-color: var(--vp-c-text-1)}:root{--vp-local-search-bg: var(--vp-c-bg);--vp-local-search-result-bg: var(--vp-c-bg);--vp-local-search-result-border: var(--vp-c-divider);--vp-local-search-result-selected-bg: var(--vp-c-bg);--vp-local-search-result-selected-border: var(--vp-c-brand-1);--vp-local-search-highlight-bg: var(--vp-c-brand-1);--vp-local-search-highlight-text: var(--vp-c-neutral-inverse)}@media (prefers-reduced-motion: reduce){*,:before,:after{animation-delay:-1ms!important;animation-duration:1ms!important;animation-iteration-count:1!important;background-attachment:initial!important;scroll-behavior:auto!important;transition-duration:0s!important;transition-delay:0s!important}}*,:before,:after{box-sizing:border-box}html{line-height:1.4;font-size:16px;-webkit-text-size-adjust:100%}html.dark{color-scheme:dark}body{margin:0;width:100%;min-width:320px;min-height:100vh;line-height:24px;font-family:var(--vp-font-family-base);font-size:16px;font-weight:400;color:var(--vp-c-text-1);background-color:var(--vp-c-bg);font-synthesis:style;text-rendering:optimizeLegibility;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}main{display:block}h1,h2,h3,h4,h5,h6{margin:0;line-height:24px;font-size:16px;font-weight:400}p{margin:0}strong,b{font-weight:600}a,area,button,[role=button],input,label,select,summary,textarea{touch-action:manipulation}a{color:inherit;text-decoration:inherit}ol,ul{list-style:none;margin:0;padding:0}blockquote{margin:0}pre,code,kbd,samp{font-family:var(--vp-font-family-mono)}img,svg,video,canvas,audio,iframe,embed,object{display:block}figure{margin:0}img,video{max-width:100%;height:auto}button,input,optgroup,select,textarea{border:0;padding:0;line-height:inherit;color:inherit}button{padding:0;font-family:inherit;background-color:transparent;background-image:none}button:enabled,[role=button]:enabled{cursor:pointer}button:focus,button:focus-visible{outline:1px dotted;outline:4px auto -webkit-focus-ring-color}button:focus:not(:focus-visible){outline:none!important}input:focus,textarea:focus,select:focus{outline:none}table{border-collapse:collapse}input{background-color:transparent}input:-ms-input-placeholder,textarea:-ms-input-placeholder{color:var(--vp-c-text-3)}input::-ms-input-placeholder,textarea::-ms-input-placeholder{color:var(--vp-c-text-3)}input::placeholder,textarea::placeholder{color:var(--vp-c-text-3)}input::-webkit-outer-spin-button,input::-webkit-inner-spin-button{-webkit-appearance:none;margin:0}input[type=number]{-moz-appearance:textfield}textarea{resize:vertical}select{-webkit-appearance:none}fieldset{margin:0;padding:0}h1,h2,h3,h4,h5,h6,li,p{overflow-wrap:break-word}vite-error-overlay{z-index:9999}mjx-container{overflow-x:auto}mjx-container>svg{display:inline-block;margin:auto}[class^=vpi-],[class*=" vpi-"],.vp-icon{width:1em;height:1em}[class^=vpi-].bg,[class*=" vpi-"].bg,.vp-icon.bg{background-size:100% 100%;background-color:transparent}[class^=vpi-]:not(.bg),[class*=" vpi-"]:not(.bg),.vp-icon:not(.bg){-webkit-mask:var(--icon) no-repeat;mask:var(--icon) no-repeat;-webkit-mask-size:100% 100%;mask-size:100% 100%;background-color:currentColor;color:inherit}.vpi-align-left{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M21 6H3M15 12H3M17 18H3'/%3E%3C/svg%3E")}.vpi-arrow-right,.vpi-arrow-down,.vpi-arrow-left,.vpi-arrow-up{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M5 12h14M12 5l7 7-7 7'/%3E%3C/svg%3E")}.vpi-chevron-right,.vpi-chevron-down,.vpi-chevron-left,.vpi-chevron-up{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m9 18 6-6-6-6'/%3E%3C/svg%3E")}.vpi-chevron-down,.vpi-arrow-down{transform:rotate(90deg)}.vpi-chevron-left,.vpi-arrow-left{transform:rotate(180deg)}.vpi-chevron-up,.vpi-arrow-up{transform:rotate(-90deg)}.vpi-square-pen{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M12 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-7'/%3E%3Cpath d='M18.375 2.625a2.121 2.121 0 1 1 3 3L12 15l-4 1 1-4Z'/%3E%3C/svg%3E")}.vpi-plus{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M5 12h14M12 5v14'/%3E%3C/svg%3E")}.vpi-sun{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='12' cy='12' r='4'/%3E%3Cpath d='M12 2v2M12 20v2M4.93 4.93l1.41 1.41M17.66 17.66l1.41 1.41M2 12h2M20 12h2M6.34 17.66l-1.41 1.41M19.07 4.93l-1.41 1.41'/%3E%3C/svg%3E")}.vpi-moon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M12 3a6 6 0 0 0 9 9 9 9 0 1 1-9-9Z'/%3E%3C/svg%3E")}.vpi-more-horizontal{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='12' cy='12' r='1'/%3E%3Ccircle cx='19' cy='12' r='1'/%3E%3Ccircle cx='5' cy='12' r='1'/%3E%3C/svg%3E")}.vpi-languages{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m5 8 6 6M4 14l6-6 2-3M2 5h12M7 2h1M22 22l-5-10-5 10M14 18h6'/%3E%3C/svg%3E")}.vpi-heart{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z'/%3E%3C/svg%3E")}.vpi-search{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='11' cy='11' r='8'/%3E%3Cpath d='m21 21-4.3-4.3'/%3E%3C/svg%3E")}.vpi-layout-list{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='7' height='7' x='3' y='3' rx='1'/%3E%3Crect width='7' height='7' x='3' y='14' rx='1'/%3E%3Cpath d='M14 4h7M14 9h7M14 15h7M14 20h7'/%3E%3C/svg%3E")}.vpi-delete{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M20 5H9l-7 7 7 7h11a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2ZM18 9l-6 6M12 9l6 6'/%3E%3C/svg%3E")}.vpi-corner-down-left{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m9 10-5 5 5 5'/%3E%3Cpath d='M20 4v7a4 4 0 0 1-4 4H4'/%3E%3C/svg%3E")}:root{--vp-icon-copy: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='rgba(128,128,128,1)' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='8' height='4' x='8' y='2' rx='1' ry='1'/%3E%3Cpath d='M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2'/%3E%3C/svg%3E");--vp-icon-copied: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='rgba(128,128,128,1)' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='8' height='4' x='8' y='2' rx='1' ry='1'/%3E%3Cpath d='M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2'/%3E%3Cpath d='m9 14 2 2 4-4'/%3E%3C/svg%3E")}.vpi-social-discord{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M20.317 4.37a19.791 19.791 0 0 0-4.885-1.515.074.074 0 0 0-.079.037c-.21.375-.444.864-.608 1.25a18.27 18.27 0 0 0-5.487 0 12.64 12.64 0 0 0-.617-1.25.077.077 0 0 0-.079-.037A19.736 19.736 0 0 0 3.677 4.37a.07.07 0 0 0-.032.027C.533 9.046-.32 13.58.099 18.057a.082.082 0 0 0 .031.057 19.9 19.9 0 0 0 5.993 3.03.078.078 0 0 0 .084-.028c.462-.63.874-1.295 1.226-1.994a.076.076 0 0 0-.041-.106 13.107 13.107 0 0 1-1.872-.892.077.077 0 0 1-.008-.128 10.2 10.2 0 0 0 .372-.292.074.074 0 0 1 .077-.01c3.928 1.793 8.18 1.793 12.062 0a.074.074 0 0 1 .078.01c.12.098.246.198.373.292a.077.077 0 0 1-.006.127 12.299 12.299 0 0 1-1.873.892.077.077 0 0 0-.041.107c.36.698.772 1.362 1.225 1.993a.076.076 0 0 0 .084.028 19.839 19.839 0 0 0 6.002-3.03.077.077 0 0 0 .032-.054c.5-5.177-.838-9.674-3.549-13.66a.061.061 0 0 0-.031-.03zM8.02 15.33c-1.183 0-2.157-1.085-2.157-2.419 0-1.333.956-2.419 2.157-2.419 1.21 0 2.176 1.096 2.157 2.42 0 1.333-.956 2.418-2.157 2.418zm7.975 0c-1.183 0-2.157-1.085-2.157-2.419 0-1.333.955-2.419 2.157-2.419 1.21 0 2.176 1.096 2.157 2.42 0 1.333-.946 2.418-2.157 2.418Z'/%3E%3C/svg%3E")}.vpi-social-facebook{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M9.101 23.691v-7.98H6.627v-3.667h2.474v-1.58c0-4.085 1.848-5.978 5.858-5.978.401 0 .955.042 1.468.103a8.68 8.68 0 0 1 1.141.195v3.325a8.623 8.623 0 0 0-.653-.036 26.805 26.805 0 0 0-.733-.009c-.707 0-1.259.096-1.675.309a1.686 1.686 0 0 0-.679.622c-.258.42-.374.995-.374 1.752v1.297h3.919l-.386 2.103-.287 1.564h-3.246v8.245C19.396 23.238 24 18.179 24 12.044c0-6.627-5.373-12-12-12s-12 5.373-12 12c0 5.628 3.874 10.35 9.101 11.647Z'/%3E%3C/svg%3E")}.vpi-social-github{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M12 .297c-6.63 0-12 5.373-12 12 0 5.303 3.438 9.8 8.205 11.385.6.113.82-.258.82-.577 0-.285-.01-1.04-.015-2.04-3.338.724-4.042-1.61-4.042-1.61C4.422 18.07 3.633 17.7 3.633 17.7c-1.087-.744.084-.729.084-.729 1.205.084 1.838 1.236 1.838 1.236 1.07 1.835 2.809 1.305 3.495.998.108-.776.417-1.305.76-1.605-2.665-.3-5.466-1.332-5.466-5.93 0-1.31.465-2.38 1.235-3.22-.135-.303-.54-1.523.105-3.176 0 0 1.005-.322 3.3 1.23.96-.267 1.98-.399 3-.405 1.02.006 2.04.138 3 .405 2.28-1.552 3.285-1.23 3.285-1.23.645 1.653.24 2.873.12 3.176.765.84 1.23 1.91 1.23 3.22 0 4.61-2.805 5.625-5.475 5.92.42.36.81 1.096.81 2.22 0 1.606-.015 2.896-.015 3.286 0 .315.21.69.825.57C20.565 22.092 24 17.592 24 12.297c0-6.627-5.373-12-12-12'/%3E%3C/svg%3E")}.vpi-social-instagram{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M7.03.084c-1.277.06-2.149.264-2.91.563a5.874 5.874 0 0 0-2.124 1.388 5.878 5.878 0 0 0-1.38 2.127C.321 4.926.12 5.8.064 7.076.008 8.354-.005 8.764.001 12.023c.007 3.259.021 3.667.083 4.947.061 1.277.264 2.149.563 2.911.308.789.72 1.457 1.388 2.123a5.872 5.872 0 0 0 2.129 1.38c.763.295 1.636.496 2.913.552 1.278.056 1.689.069 4.947.063 3.257-.007 3.668-.021 4.947-.082 1.28-.06 2.147-.265 2.91-.563a5.881 5.881 0 0 0 2.123-1.388 5.881 5.881 0 0 0 1.38-2.129c.295-.763.496-1.636.551-2.912.056-1.28.07-1.69.063-4.948-.006-3.258-.02-3.667-.081-4.947-.06-1.28-.264-2.148-.564-2.911a5.892 5.892 0 0 0-1.387-2.123 5.857 5.857 0 0 0-2.128-1.38C19.074.322 18.202.12 16.924.066 15.647.009 15.236-.006 11.977 0 8.718.008 8.31.021 7.03.084m.14 21.693c-1.17-.05-1.805-.245-2.228-.408a3.736 3.736 0 0 1-1.382-.895 3.695 3.695 0 0 1-.9-1.378c-.165-.423-.363-1.058-.417-2.228-.06-1.264-.072-1.644-.08-4.848-.006-3.204.006-3.583.061-4.848.05-1.169.246-1.805.408-2.228.216-.561.477-.96.895-1.382a3.705 3.705 0 0 1 1.379-.9c.423-.165 1.057-.361 2.227-.417 1.265-.06 1.644-.072 4.848-.08 3.203-.006 3.583.006 4.85.062 1.168.05 1.804.244 2.227.408.56.216.96.475 1.382.895.421.42.681.817.9 1.378.165.422.362 1.056.417 2.227.06 1.265.074 1.645.08 4.848.005 3.203-.006 3.583-.061 4.848-.051 1.17-.245 1.805-.408 2.23-.216.56-.477.96-.896 1.38a3.705 3.705 0 0 1-1.378.9c-.422.165-1.058.362-2.226.418-1.266.06-1.645.072-4.85.079-3.204.007-3.582-.006-4.848-.06m9.783-16.192a1.44 1.44 0 1 0 1.437-1.442 1.44 1.44 0 0 0-1.437 1.442M5.839 12.012a6.161 6.161 0 1 0 12.323-.024 6.162 6.162 0 0 0-12.323.024M8 12.008A4 4 0 1 1 12.008 16 4 4 0 0 1 8 12.008'/%3E%3C/svg%3E")}.vpi-social-linkedin{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M20.447 20.452h-3.554v-5.569c0-1.328-.027-3.037-1.852-3.037-1.853 0-2.136 1.445-2.136 2.939v5.667H9.351V9h3.414v1.561h.046c.477-.9 1.637-1.85 3.37-1.85 3.601 0 4.267 2.37 4.267 5.455v6.286zM5.337 7.433a2.062 2.062 0 0 1-2.063-2.065 2.064 2.064 0 1 1 2.063 2.065zm1.782 13.019H3.555V9h3.564v11.452zM22.225 0H1.771C.792 0 0 .774 0 1.729v20.542C0 23.227.792 24 1.771 24h20.451C23.2 24 24 23.227 24 22.271V1.729C24 .774 23.2 0 22.222 0h.003z'/%3E%3C/svg%3E")}.vpi-social-mastodon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M23.268 5.313c-.35-2.578-2.617-4.61-5.304-5.004C17.51.242 15.792 0 11.813 0h-.03c-3.98 0-4.835.242-5.288.309C3.882.692 1.496 2.518.917 5.127.64 6.412.61 7.837.661 9.143c.074 1.874.088 3.745.26 5.611.118 1.24.325 2.47.62 3.68.55 2.237 2.777 4.098 4.96 4.857 2.336.792 4.849.923 7.256.38.265-.061.527-.132.786-.213.585-.184 1.27-.39 1.774-.753a.057.057 0 0 0 .023-.043v-1.809a.052.052 0 0 0-.02-.041.053.053 0 0 0-.046-.01 20.282 20.282 0 0 1-4.709.545c-2.73 0-3.463-1.284-3.674-1.818a5.593 5.593 0 0 1-.319-1.433.053.053 0 0 1 .066-.054c1.517.363 3.072.546 4.632.546.376 0 .75 0 1.125-.01 1.57-.044 3.224-.124 4.768-.422.038-.008.077-.015.11-.024 2.435-.464 4.753-1.92 4.989-5.604.008-.145.03-1.52.03-1.67.002-.512.167-3.63-.024-5.545zm-3.748 9.195h-2.561V8.29c0-1.309-.55-1.976-1.67-1.976-1.23 0-1.846.79-1.846 2.35v3.403h-2.546V8.663c0-1.56-.617-2.35-1.848-2.35-1.112 0-1.668.668-1.67 1.977v6.218H4.822V8.102c0-1.31.337-2.35 1.011-3.12.696-.77 1.608-1.164 2.74-1.164 1.311 0 2.302.5 2.962 1.498l.638 1.06.638-1.06c.66-.999 1.65-1.498 2.96-1.498 1.13 0 2.043.395 2.74 1.164.675.77 1.012 1.81 1.012 3.12z'/%3E%3C/svg%3E")}.vpi-social-npm{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M1.763 0C.786 0 0 .786 0 1.763v20.474C0 23.214.786 24 1.763 24h20.474c.977 0 1.763-.786 1.763-1.763V1.763C24 .786 23.214 0 22.237 0zM5.13 5.323l13.837.019-.009 13.836h-3.464l.01-10.382h-3.456L12.04 19.17H5.113z'/%3E%3C/svg%3E")}.vpi-social-slack{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M5.042 15.165a2.528 2.528 0 0 1-2.52 2.523A2.528 2.528 0 0 1 0 15.165a2.527 2.527 0 0 1 2.522-2.52h2.52v2.52zm1.271 0a2.527 2.527 0 0 1 2.521-2.52 2.527 2.527 0 0 1 2.521 2.52v6.313A2.528 2.528 0 0 1 8.834 24a2.528 2.528 0 0 1-2.521-2.522v-6.313zM8.834 5.042a2.528 2.528 0 0 1-2.521-2.52A2.528 2.528 0 0 1 8.834 0a2.528 2.528 0 0 1 2.521 2.522v2.52H8.834zm0 1.271a2.528 2.528 0 0 1 2.521 2.521 2.528 2.528 0 0 1-2.521 2.521H2.522A2.528 2.528 0 0 1 0 8.834a2.528 2.528 0 0 1 2.522-2.521h6.312zm10.122 2.521a2.528 2.528 0 0 1 2.522-2.521A2.528 2.528 0 0 1 24 8.834a2.528 2.528 0 0 1-2.522 2.521h-2.522V8.834zm-1.268 0a2.528 2.528 0 0 1-2.523 2.521 2.527 2.527 0 0 1-2.52-2.521V2.522A2.527 2.527 0 0 1 15.165 0a2.528 2.528 0 0 1 2.523 2.522v6.312zm-2.523 10.122a2.528 2.528 0 0 1 2.523 2.522A2.528 2.528 0 0 1 15.165 24a2.527 2.527 0 0 1-2.52-2.522v-2.522h2.52zm0-1.268a2.527 2.527 0 0 1-2.52-2.523 2.526 2.526 0 0 1 2.52-2.52h6.313A2.527 2.527 0 0 1 24 15.165a2.528 2.528 0 0 1-2.522 2.523h-6.313z'/%3E%3C/svg%3E")}.vpi-social-twitter,.vpi-social-x{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M18.901 1.153h3.68l-8.04 9.19L24 22.846h-7.406l-5.8-7.584-6.638 7.584H.474l8.6-9.83L0 1.154h7.594l5.243 6.932ZM17.61 20.644h2.039L6.486 3.24H4.298Z'/%3E%3C/svg%3E")}.vpi-social-youtube{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M23.498 6.186a3.016 3.016 0 0 0-2.122-2.136C19.505 3.545 12 3.545 12 3.545s-7.505 0-9.377.505A3.017 3.017 0 0 0 .502 6.186C0 8.07 0 12 0 12s0 3.93.502 5.814a3.016 3.016 0 0 0 2.122 2.136c1.871.505 9.376.505 9.376.505s7.505 0 9.377-.505a3.015 3.015 0 0 0 2.122-2.136C24 15.93 24 12 24 12s0-3.93-.502-5.814zM9.545 15.568V8.432L15.818 12l-6.273 3.568z'/%3E%3C/svg%3E")}.visually-hidden{position:absolute;width:1px;height:1px;white-space:nowrap;clip:rect(0 0 0 0);clip-path:inset(50%);overflow:hidden}.custom-block{border:1px solid transparent;border-radius:8px;padding:16px 16px 8px;line-height:24px;font-size:var(--vp-custom-block-font-size);color:var(--vp-c-text-2)}.custom-block.info{border-color:var(--vp-custom-block-info-border);color:var(--vp-custom-block-info-text);background-color:var(--vp-custom-block-info-bg)}.custom-block.info a,.custom-block.info code{color:var(--vp-c-brand-1)}.custom-block.info a:hover,.custom-block.info a:hover>code{color:var(--vp-c-brand-2)}.custom-block.info code{background-color:var(--vp-custom-block-info-code-bg)}.custom-block.note{border-color:var(--vp-custom-block-note-border);color:var(--vp-custom-block-note-text);background-color:var(--vp-custom-block-note-bg)}.custom-block.note a,.custom-block.note code{color:var(--vp-c-brand-1)}.custom-block.note a:hover,.custom-block.note a:hover>code{color:var(--vp-c-brand-2)}.custom-block.note code{background-color:var(--vp-custom-block-note-code-bg)}.custom-block.tip{border-color:var(--vp-custom-block-tip-border);color:var(--vp-custom-block-tip-text);background-color:var(--vp-custom-block-tip-bg)}.custom-block.tip a,.custom-block.tip code{color:var(--vp-c-tip-1)}.custom-block.tip a:hover,.custom-block.tip a:hover>code{color:var(--vp-c-tip-2)}.custom-block.tip code{background-color:var(--vp-custom-block-tip-code-bg)}.custom-block.important{border-color:var(--vp-custom-block-important-border);color:var(--vp-custom-block-important-text);background-color:var(--vp-custom-block-important-bg)}.custom-block.important a,.custom-block.important code{color:var(--vp-c-important-1)}.custom-block.important a:hover,.custom-block.important a:hover>code{color:var(--vp-c-important-2)}.custom-block.important code{background-color:var(--vp-custom-block-important-code-bg)}.custom-block.warning{border-color:var(--vp-custom-block-warning-border);color:var(--vp-custom-block-warning-text);background-color:var(--vp-custom-block-warning-bg)}.custom-block.warning a,.custom-block.warning code{color:var(--vp-c-warning-1)}.custom-block.warning a:hover,.custom-block.warning a:hover>code{color:var(--vp-c-warning-2)}.custom-block.warning code{background-color:var(--vp-custom-block-warning-code-bg)}.custom-block.danger{border-color:var(--vp-custom-block-danger-border);color:var(--vp-custom-block-danger-text);background-color:var(--vp-custom-block-danger-bg)}.custom-block.danger a,.custom-block.danger code{color:var(--vp-c-danger-1)}.custom-block.danger a:hover,.custom-block.danger a:hover>code{color:var(--vp-c-danger-2)}.custom-block.danger code{background-color:var(--vp-custom-block-danger-code-bg)}.custom-block.caution{border-color:var(--vp-custom-block-caution-border);color:var(--vp-custom-block-caution-text);background-color:var(--vp-custom-block-caution-bg)}.custom-block.caution a,.custom-block.caution code{color:var(--vp-c-caution-1)}.custom-block.caution a:hover,.custom-block.caution a:hover>code{color:var(--vp-c-caution-2)}.custom-block.caution code{background-color:var(--vp-custom-block-caution-code-bg)}.custom-block.details{border-color:var(--vp-custom-block-details-border);color:var(--vp-custom-block-details-text);background-color:var(--vp-custom-block-details-bg)}.custom-block.details a{color:var(--vp-c-brand-1)}.custom-block.details a:hover,.custom-block.details a:hover>code{color:var(--vp-c-brand-2)}.custom-block.details code{background-color:var(--vp-custom-block-details-code-bg)}.custom-block-title{font-weight:600}.custom-block p+p{margin:8px 0}.custom-block.details summary{margin:0 0 8px;font-weight:700;cursor:pointer;-webkit-user-select:none;user-select:none}.custom-block.details summary+p{margin:8px 0}.custom-block a{color:inherit;font-weight:600;text-decoration:underline;text-underline-offset:2px;transition:opacity .25s}.custom-block a:hover{opacity:.75}.custom-block code{font-size:var(--vp-custom-block-code-font-size)}.custom-block.custom-block th,.custom-block.custom-block blockquote>p{font-size:var(--vp-custom-block-font-size);color:inherit}.dark .vp-code span{color:var(--shiki-dark, inherit)}html:not(.dark) .vp-code span{color:var(--shiki-light, inherit)}.vp-code-group{margin-top:16px}.vp-code-group .tabs{position:relative;display:flex;margin-right:-24px;margin-left:-24px;padding:0 12px;background-color:var(--vp-code-tab-bg);overflow-x:auto;overflow-y:hidden;box-shadow:inset 0 -1px var(--vp-code-tab-divider)}@media (min-width: 640px){.vp-code-group .tabs{margin-right:0;margin-left:0;border-radius:8px 8px 0 0}}.vp-code-group .tabs input{position:fixed;opacity:0;pointer-events:none}.vp-code-group .tabs label{position:relative;display:inline-block;border-bottom:1px solid transparent;padding:0 12px;line-height:48px;font-size:14px;font-weight:500;color:var(--vp-code-tab-text-color);white-space:nowrap;cursor:pointer;transition:color .25s}.vp-code-group .tabs label:after{position:absolute;right:8px;bottom:-1px;left:8px;z-index:1;height:2px;border-radius:2px;content:"";background-color:transparent;transition:background-color .25s}.vp-code-group label:hover{color:var(--vp-code-tab-hover-text-color)}.vp-code-group input:checked+label{color:var(--vp-code-tab-active-text-color)}.vp-code-group input:checked+label:after{background-color:var(--vp-code-tab-active-bar-color)}.vp-code-group div[class*=language-],.vp-block{display:none;margin-top:0!important;border-top-left-radius:0!important;border-top-right-radius:0!important}.vp-code-group div[class*=language-].active,.vp-block.active{display:block}.vp-block{padding:20px 24px}.vp-doc h1,.vp-doc h2,.vp-doc h3,.vp-doc h4,.vp-doc h5,.vp-doc h6{position:relative;font-weight:600;outline:none}.vp-doc h1{letter-spacing:-.02em;line-height:40px;font-size:28px}.vp-doc h2{margin:48px 0 16px;border-top:1px solid var(--vp-c-divider);padding-top:24px;letter-spacing:-.02em;line-height:32px;font-size:24px}.vp-doc h3{margin:32px 0 0;letter-spacing:-.01em;line-height:28px;font-size:20px}.vp-doc .header-anchor{position:absolute;top:0;left:0;margin-left:-.87em;font-weight:500;-webkit-user-select:none;user-select:none;opacity:0;text-decoration:none;transition:color .25s,opacity .25s}.vp-doc .header-anchor:before{content:var(--vp-header-anchor-symbol)}.vp-doc h1:hover .header-anchor,.vp-doc h1 .header-anchor:focus,.vp-doc h2:hover .header-anchor,.vp-doc h2 .header-anchor:focus,.vp-doc h3:hover .header-anchor,.vp-doc h3 .header-anchor:focus,.vp-doc h4:hover .header-anchor,.vp-doc h4 .header-anchor:focus,.vp-doc h5:hover .header-anchor,.vp-doc h5 .header-anchor:focus,.vp-doc h6:hover .header-anchor,.vp-doc h6 .header-anchor:focus{opacity:1}@media (min-width: 768px){.vp-doc h1{letter-spacing:-.02em;line-height:40px;font-size:32px}}.vp-doc h2 .header-anchor{top:24px}.vp-doc p,.vp-doc summary{margin:16px 0}.vp-doc p{line-height:28px}.vp-doc blockquote{margin:16px 0;border-left:2px solid var(--vp-c-divider);padding-left:16px;transition:border-color .5s}.vp-doc blockquote>p{margin:0;font-size:16px;color:var(--vp-c-text-2);transition:color .5s}.vp-doc a{font-weight:500;color:var(--vp-c-brand-1);text-decoration:underline;text-underline-offset:2px;transition:color .25s,opacity .25s}.vp-doc a:hover{color:var(--vp-c-brand-2)}.vp-doc strong{font-weight:600}.vp-doc ul,.vp-doc ol{padding-left:1.25rem;margin:16px 0}.vp-doc ul{list-style:disc}.vp-doc ol{list-style:decimal}.vp-doc li+li{margin-top:8px}.vp-doc li>ol,.vp-doc li>ul{margin:8px 0 0}.vp-doc table{display:block;border-collapse:collapse;margin:20px 0;overflow-x:auto}.vp-doc tr{background-color:var(--vp-c-bg);border-top:1px solid var(--vp-c-divider);transition:background-color .5s}.vp-doc tr:nth-child(2n){background-color:var(--vp-c-bg-soft)}.vp-doc th,.vp-doc td{border:1px solid var(--vp-c-divider);padding:8px 16px}.vp-doc th{text-align:left;font-size:14px;font-weight:600;color:var(--vp-c-text-2);background-color:var(--vp-c-bg-soft)}.vp-doc td{font-size:14px}.vp-doc hr{margin:16px 0;border:none;border-top:1px solid var(--vp-c-divider)}.vp-doc .custom-block{margin:16px 0}.vp-doc .custom-block p{margin:8px 0;line-height:24px}.vp-doc .custom-block p:first-child{margin:0}.vp-doc .custom-block div[class*=language-]{margin:8px 0;border-radius:8px}.vp-doc .custom-block div[class*=language-] code{font-weight:400;background-color:transparent}.vp-doc .custom-block .vp-code-group .tabs{margin:0;border-radius:8px 8px 0 0}.vp-doc :not(pre,h1,h2,h3,h4,h5,h6)>code{font-size:var(--vp-code-font-size);color:var(--vp-code-color)}.vp-doc :not(pre)>code{border-radius:4px;padding:3px 6px;background-color:var(--vp-code-bg);transition:color .25s,background-color .5s}.vp-doc a>code{color:var(--vp-code-link-color)}.vp-doc a:hover>code{color:var(--vp-code-link-hover-color)}.vp-doc h1>code,.vp-doc h2>code,.vp-doc h3>code{font-size:.9em}.vp-doc div[class*=language-],.vp-block{position:relative;margin:16px -24px;background-color:var(--vp-code-block-bg);overflow-x:auto;transition:background-color .5s}@media (min-width: 640px){.vp-doc div[class*=language-],.vp-block{border-radius:8px;margin:16px 0}}@media (max-width: 639px){.vp-doc li div[class*=language-]{border-radius:8px 0 0 8px}}.vp-doc div[class*=language-]+div[class*=language-],.vp-doc div[class$=-api]+div[class*=language-],.vp-doc div[class*=language-]+div[class$=-api]>div[class*=language-]{margin-top:-8px}.vp-doc [class*=language-] pre,.vp-doc [class*=language-] code{direction:ltr;text-align:left;white-space:pre;word-spacing:normal;word-break:normal;word-wrap:normal;-moz-tab-size:4;-o-tab-size:4;tab-size:4;-webkit-hyphens:none;-moz-hyphens:none;-ms-hyphens:none;hyphens:none}.vp-doc [class*=language-] pre{position:relative;z-index:1;margin:0;padding:20px 0;background:transparent;overflow-x:auto}.vp-doc [class*=language-] code{display:block;padding:0 24px;width:fit-content;min-width:100%;line-height:var(--vp-code-line-height);font-size:var(--vp-code-font-size);color:var(--vp-code-block-color);transition:color .5s}.vp-doc [class*=language-] code .highlighted{background-color:var(--vp-code-line-highlight-color);transition:background-color .5s;margin:0 -24px;padding:0 24px;width:calc(100% + 48px);display:inline-block}.vp-doc [class*=language-] code .highlighted.error{background-color:var(--vp-code-line-error-color)}.vp-doc [class*=language-] code .highlighted.warning{background-color:var(--vp-code-line-warning-color)}.vp-doc [class*=language-] code .diff{transition:background-color .5s;margin:0 -24px;padding:0 24px;width:calc(100% + 48px);display:inline-block}.vp-doc [class*=language-] code .diff:before{position:absolute;left:10px}.vp-doc [class*=language-] .has-focused-lines .line:not(.has-focus){filter:blur(.095rem);opacity:.4;transition:filter .35s,opacity .35s}.vp-doc [class*=language-] .has-focused-lines .line:not(.has-focus){opacity:.7;transition:filter .35s,opacity .35s}.vp-doc [class*=language-]:hover .has-focused-lines .line:not(.has-focus){filter:blur(0);opacity:1}.vp-doc [class*=language-] code .diff.remove{background-color:var(--vp-code-line-diff-remove-color);opacity:.7}.vp-doc [class*=language-] code .diff.remove:before{content:"-";color:var(--vp-code-line-diff-remove-symbol-color)}.vp-doc [class*=language-] code .diff.add{background-color:var(--vp-code-line-diff-add-color)}.vp-doc [class*=language-] code .diff.add:before{content:"+";color:var(--vp-code-line-diff-add-symbol-color)}.vp-doc div[class*=language-].line-numbers-mode{padding-left:32px}.vp-doc .line-numbers-wrapper{position:absolute;top:0;bottom:0;left:0;z-index:3;border-right:1px solid var(--vp-code-block-divider-color);padding-top:20px;width:32px;text-align:center;font-family:var(--vp-font-family-mono);line-height:var(--vp-code-line-height);font-size:var(--vp-code-font-size);color:var(--vp-code-line-number-color);transition:border-color .5s,color .5s}.vp-doc [class*=language-]>button.copy{direction:ltr;position:absolute;top:12px;right:12px;z-index:3;border:1px solid var(--vp-code-copy-code-border-color);border-radius:4px;width:40px;height:40px;background-color:var(--vp-code-copy-code-bg);opacity:0;cursor:pointer;background-image:var(--vp-icon-copy);background-position:50%;background-size:20px;background-repeat:no-repeat;transition:border-color .25s,background-color .25s,opacity .25s}.vp-doc [class*=language-]:hover>button.copy,.vp-doc [class*=language-]>button.copy:focus{opacity:1}.vp-doc [class*=language-]>button.copy:hover,.vp-doc [class*=language-]>button.copy.copied{border-color:var(--vp-code-copy-code-hover-border-color);background-color:var(--vp-code-copy-code-hover-bg)}.vp-doc [class*=language-]>button.copy.copied,.vp-doc [class*=language-]>button.copy:hover.copied{border-radius:0 4px 4px 0;background-color:var(--vp-code-copy-code-hover-bg);background-image:var(--vp-icon-copied)}.vp-doc [class*=language-]>button.copy.copied:before,.vp-doc [class*=language-]>button.copy:hover.copied:before{position:relative;top:-1px;transform:translate(calc(-100% - 1px));display:flex;justify-content:center;align-items:center;border:1px solid var(--vp-code-copy-code-hover-border-color);border-right:0;border-radius:4px 0 0 4px;padding:0 10px;width:fit-content;height:40px;text-align:center;font-size:12px;font-weight:500;color:var(--vp-code-copy-code-active-text);background-color:var(--vp-code-copy-code-hover-bg);white-space:nowrap;content:var(--vp-code-copy-copied-text-content)}.vp-doc [class*=language-]>span.lang{position:absolute;top:2px;right:8px;z-index:2;font-size:12px;font-weight:500;color:var(--vp-code-lang-color);transition:color .4s,opacity .4s}.vp-doc [class*=language-]:hover>button.copy+span.lang,.vp-doc [class*=language-]>button.copy:focus+span.lang{opacity:0}.vp-doc .VPTeamMembers{margin-top:24px}.vp-doc .VPTeamMembers.small.count-1 .container{margin:0!important;max-width:calc((100% - 24px)/2)!important}.vp-doc .VPTeamMembers.small.count-2 .container,.vp-doc .VPTeamMembers.small.count-3 .container{max-width:100%!important}.vp-doc .VPTeamMembers.medium.count-1 .container{margin:0!important;max-width:calc((100% - 24px)/2)!important}:is(.vp-external-link-icon,.vp-doc a[href*="://"],.vp-doc a[target=_blank]):not(.no-icon):after{display:inline-block;margin-top:-1px;margin-left:4px;width:11px;height:11px;background:currentColor;color:var(--vp-c-text-3);flex-shrink:0;--icon: url("data:image/svg+xml, %3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24' %3E%3Cpath d='M0 0h24v24H0V0z' fill='none' /%3E%3Cpath d='M9 5v2h6.59L4 18.59 5.41 20 17 8.41V15h2V5H9z' /%3E%3C/svg%3E");-webkit-mask-image:var(--icon);mask-image:var(--icon)}.vp-external-link-icon:after{content:""}.external-link-icon-enabled :is(.vp-doc a[href*="://"],.vp-doc a[target=_blank]):after{content:"";color:currentColor}.vp-sponsor{border-radius:16px;overflow:hidden}.vp-sponsor.aside{border-radius:12px}.vp-sponsor-section+.vp-sponsor-section{margin-top:4px}.vp-sponsor-tier{margin:0 0 4px!important;text-align:center;letter-spacing:1px!important;line-height:24px;width:100%;font-weight:600;color:var(--vp-c-text-2);background-color:var(--vp-c-bg-soft)}.vp-sponsor.normal .vp-sponsor-tier{padding:13px 0 11px;font-size:14px}.vp-sponsor.aside .vp-sponsor-tier{padding:9px 0 7px;font-size:12px}.vp-sponsor-grid+.vp-sponsor-tier{margin-top:4px}.vp-sponsor-grid{display:flex;flex-wrap:wrap;gap:4px}.vp-sponsor-grid.xmini .vp-sponsor-grid-link{height:64px}.vp-sponsor-grid.xmini .vp-sponsor-grid-image{max-width:64px;max-height:22px}.vp-sponsor-grid.mini .vp-sponsor-grid-link{height:72px}.vp-sponsor-grid.mini .vp-sponsor-grid-image{max-width:96px;max-height:24px}.vp-sponsor-grid.small .vp-sponsor-grid-link{height:96px}.vp-sponsor-grid.small .vp-sponsor-grid-image{max-width:96px;max-height:24px}.vp-sponsor-grid.medium .vp-sponsor-grid-link{height:112px}.vp-sponsor-grid.medium .vp-sponsor-grid-image{max-width:120px;max-height:36px}.vp-sponsor-grid.big .vp-sponsor-grid-link{height:184px}.vp-sponsor-grid.big .vp-sponsor-grid-image{max-width:192px;max-height:56px}.vp-sponsor-grid[data-vp-grid="2"] .vp-sponsor-grid-item{width:calc((100% - 4px)/2)}.vp-sponsor-grid[data-vp-grid="3"] .vp-sponsor-grid-item{width:calc((100% - 4px * 2) / 3)}.vp-sponsor-grid[data-vp-grid="4"] .vp-sponsor-grid-item{width:calc((100% - 12px)/4)}.vp-sponsor-grid[data-vp-grid="5"] .vp-sponsor-grid-item{width:calc((100% - 16px)/5)}.vp-sponsor-grid[data-vp-grid="6"] .vp-sponsor-grid-item{width:calc((100% - 4px * 5) / 6)}.vp-sponsor-grid-item{flex-shrink:0;width:100%;background-color:var(--vp-c-bg-soft);transition:background-color .25s}.vp-sponsor-grid-item:hover{background-color:var(--vp-c-default-soft)}.vp-sponsor-grid-item:hover .vp-sponsor-grid-image{filter:grayscale(0) invert(0)}.vp-sponsor-grid-item.empty:hover{background-color:var(--vp-c-bg-soft)}.dark .vp-sponsor-grid-item:hover{background-color:var(--vp-c-white)}.dark .vp-sponsor-grid-item.empty:hover{background-color:var(--vp-c-bg-soft)}.vp-sponsor-grid-link{display:flex}.vp-sponsor-grid-box{display:flex;justify-content:center;align-items:center;width:100%}.vp-sponsor-grid-image{max-width:100%;filter:grayscale(1);transition:filter .25s}.dark .vp-sponsor-grid-image{filter:grayscale(1) invert(1)}.VPBadge{display:inline-block;margin-left:2px;border:1px solid transparent;border-radius:12px;padding:0 10px;line-height:22px;font-size:12px;font-weight:500;transform:translateY(-2px)}.VPBadge.small{padding:0 6px;line-height:18px;font-size:10px;transform:translateY(-8px)}.VPDocFooter .VPBadge{display:none}.vp-doc h1>.VPBadge{margin-top:4px;vertical-align:top}.vp-doc h2>.VPBadge{margin-top:3px;padding:0 8px;vertical-align:top}.vp-doc h3>.VPBadge{vertical-align:middle}.vp-doc h4>.VPBadge,.vp-doc h5>.VPBadge,.vp-doc h6>.VPBadge{vertical-align:middle;line-height:18px}.VPBadge.info{border-color:var(--vp-badge-info-border);color:var(--vp-badge-info-text);background-color:var(--vp-badge-info-bg)}.VPBadge.tip{border-color:var(--vp-badge-tip-border);color:var(--vp-badge-tip-text);background-color:var(--vp-badge-tip-bg)}.VPBadge.warning{border-color:var(--vp-badge-warning-border);color:var(--vp-badge-warning-text);background-color:var(--vp-badge-warning-bg)}.VPBadge.danger{border-color:var(--vp-badge-danger-border);color:var(--vp-badge-danger-text);background-color:var(--vp-badge-danger-bg)}.VPBackdrop[data-v-c79a1216]{position:fixed;top:0;right:0;bottom:0;left:0;z-index:var(--vp-z-index-backdrop);background:var(--vp-backdrop-bg-color);transition:opacity .5s}.VPBackdrop.fade-enter-from[data-v-c79a1216],.VPBackdrop.fade-leave-to[data-v-c79a1216]{opacity:0}.VPBackdrop.fade-leave-active[data-v-c79a1216]{transition-duration:.25s}@media (min-width: 1280px){.VPBackdrop[data-v-c79a1216]{display:none}}.NotFound[data-v-d6be1790]{padding:64px 24px 96px;text-align:center}@media (min-width: 768px){.NotFound[data-v-d6be1790]{padding:96px 32px 168px}}.code[data-v-d6be1790]{line-height:64px;font-size:64px;font-weight:600}.title[data-v-d6be1790]{padding-top:12px;letter-spacing:2px;line-height:20px;font-size:20px;font-weight:700}.divider[data-v-d6be1790]{margin:24px auto 18px;width:64px;height:1px;background-color:var(--vp-c-divider)}.quote[data-v-d6be1790]{margin:0 auto;max-width:256px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.action[data-v-d6be1790]{padding-top:20px}.link[data-v-d6be1790]{display:inline-block;border:1px solid var(--vp-c-brand-1);border-radius:16px;padding:3px 16px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:border-color .25s,color .25s}.link[data-v-d6be1790]:hover{border-color:var(--vp-c-brand-2);color:var(--vp-c-brand-2)}.root[data-v-b933a997]{position:relative;z-index:1}.nested[data-v-b933a997]{padding-right:16px;padding-left:16px}.outline-link[data-v-b933a997]{display:block;line-height:32px;font-size:14px;font-weight:400;color:var(--vp-c-text-2);white-space:nowrap;overflow:hidden;text-overflow:ellipsis;transition:color .5s}.outline-link[data-v-b933a997]:hover,.outline-link.active[data-v-b933a997]{color:var(--vp-c-text-1);transition:color .25s}.outline-link.nested[data-v-b933a997]{padding-left:13px}.VPDocAsideOutline[data-v-a5bbad30]{display:none}.VPDocAsideOutline.has-outline[data-v-a5bbad30]{display:block}.content[data-v-a5bbad30]{position:relative;border-left:1px solid var(--vp-c-divider);padding-left:16px;font-size:13px;font-weight:500}.outline-marker[data-v-a5bbad30]{position:absolute;top:32px;left:-1px;z-index:0;opacity:0;width:2px;border-radius:2px;height:18px;background-color:var(--vp-c-brand-1);transition:top .25s cubic-bezier(0,1,.5,1),background-color .5s,opacity .25s}.outline-title[data-v-a5bbad30]{line-height:32px;font-size:14px;font-weight:600}.VPDocAside[data-v-3f215769]{display:flex;flex-direction:column;flex-grow:1}.spacer[data-v-3f215769]{flex-grow:1}.VPDocAside[data-v-3f215769] .spacer+.VPDocAsideSponsors,.VPDocAside[data-v-3f215769] .spacer+.VPDocAsideCarbonAds{margin-top:24px}.VPDocAside[data-v-3f215769] .VPDocAsideSponsors+.VPDocAsideCarbonAds{margin-top:16px}.VPLastUpdated[data-v-7e05ebdb]{line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}@media (min-width: 640px){.VPLastUpdated[data-v-7e05ebdb]{line-height:32px;font-size:14px;font-weight:500}}.VPDocFooter[data-v-d4a0bba5]{margin-top:64px}.edit-info[data-v-d4a0bba5]{padding-bottom:18px}@media (min-width: 640px){.edit-info[data-v-d4a0bba5]{display:flex;justify-content:space-between;align-items:center;padding-bottom:14px}}.edit-link-button[data-v-d4a0bba5]{display:flex;align-items:center;border:0;line-height:32px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:color .25s}.edit-link-button[data-v-d4a0bba5]:hover{color:var(--vp-c-brand-2)}.edit-link-icon[data-v-d4a0bba5]{margin-right:8px}.prev-next[data-v-d4a0bba5]{border-top:1px solid var(--vp-c-divider);padding-top:24px;display:grid;grid-row-gap:8px}@media (min-width: 640px){.prev-next[data-v-d4a0bba5]{grid-template-columns:repeat(2,1fr);grid-column-gap:16px}}.pager-link[data-v-d4a0bba5]{display:block;border:1px solid var(--vp-c-divider);border-radius:8px;padding:11px 16px 13px;width:100%;height:100%;transition:border-color .25s}.pager-link[data-v-d4a0bba5]:hover{border-color:var(--vp-c-brand-1)}.pager-link.next[data-v-d4a0bba5]{margin-left:auto;text-align:right}.desc[data-v-d4a0bba5]{display:block;line-height:20px;font-size:12px;font-weight:500;color:var(--vp-c-text-2)}.title[data-v-d4a0bba5]{display:block;line-height:20px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:color .25s}.VPDoc[data-v-39a288b8]{padding:32px 24px 96px;width:100%}@media (min-width: 768px){.VPDoc[data-v-39a288b8]{padding:48px 32px 128px}}@media (min-width: 960px){.VPDoc[data-v-39a288b8]{padding:48px 32px 0}.VPDoc:not(.has-sidebar) .container[data-v-39a288b8]{display:flex;justify-content:center;max-width:992px}.VPDoc:not(.has-sidebar) .content[data-v-39a288b8]{max-width:752px}}@media (min-width: 1280px){.VPDoc .container[data-v-39a288b8]{display:flex;justify-content:center}.VPDoc .aside[data-v-39a288b8]{display:block}}@media (min-width: 1440px){.VPDoc:not(.has-sidebar) .content[data-v-39a288b8]{max-width:784px}.VPDoc:not(.has-sidebar) .container[data-v-39a288b8]{max-width:1104px}}.container[data-v-39a288b8]{margin:0 auto;width:100%}.aside[data-v-39a288b8]{position:relative;display:none;order:2;flex-grow:1;padding-left:32px;width:100%;max-width:256px}.left-aside[data-v-39a288b8]{order:1;padding-left:unset;padding-right:32px}.aside-container[data-v-39a288b8]{position:fixed;top:0;padding-top:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + var(--vp-doc-top-height, 0px) + 48px);width:224px;height:100vh;overflow-x:hidden;overflow-y:auto;scrollbar-width:none}.aside-container[data-v-39a288b8]::-webkit-scrollbar{display:none}.aside-curtain[data-v-39a288b8]{position:fixed;bottom:0;z-index:10;width:224px;height:32px;background:linear-gradient(transparent,var(--vp-c-bg) 70%)}.aside-content[data-v-39a288b8]{display:flex;flex-direction:column;min-height:calc(100vh - (var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 48px));padding-bottom:32px}.content[data-v-39a288b8]{position:relative;margin:0 auto;width:100%}@media (min-width: 960px){.content[data-v-39a288b8]{padding:0 32px 128px}}@media (min-width: 1280px){.content[data-v-39a288b8]{order:1;margin:0;min-width:640px}}.content-container[data-v-39a288b8]{margin:0 auto}.VPDoc.has-aside .content-container[data-v-39a288b8]{max-width:688px}.VPButton[data-v-cad61b99]{display:inline-block;border:1px solid transparent;text-align:center;font-weight:600;white-space:nowrap;transition:color .25s,border-color .25s,background-color .25s}.VPButton[data-v-cad61b99]:active{transition:color .1s,border-color .1s,background-color .1s}.VPButton.medium[data-v-cad61b99]{border-radius:20px;padding:0 20px;line-height:38px;font-size:14px}.VPButton.big[data-v-cad61b99]{border-radius:24px;padding:0 24px;line-height:46px;font-size:16px}.VPButton.brand[data-v-cad61b99]{border-color:var(--vp-button-brand-border);color:var(--vp-button-brand-text);background-color:var(--vp-button-brand-bg)}.VPButton.brand[data-v-cad61b99]:hover{border-color:var(--vp-button-brand-hover-border);color:var(--vp-button-brand-hover-text);background-color:var(--vp-button-brand-hover-bg)}.VPButton.brand[data-v-cad61b99]:active{border-color:var(--vp-button-brand-active-border);color:var(--vp-button-brand-active-text);background-color:var(--vp-button-brand-active-bg)}.VPButton.alt[data-v-cad61b99]{border-color:var(--vp-button-alt-border);color:var(--vp-button-alt-text);background-color:var(--vp-button-alt-bg)}.VPButton.alt[data-v-cad61b99]:hover{border-color:var(--vp-button-alt-hover-border);color:var(--vp-button-alt-hover-text);background-color:var(--vp-button-alt-hover-bg)}.VPButton.alt[data-v-cad61b99]:active{border-color:var(--vp-button-alt-active-border);color:var(--vp-button-alt-active-text);background-color:var(--vp-button-alt-active-bg)}.VPButton.sponsor[data-v-cad61b99]{border-color:var(--vp-button-sponsor-border);color:var(--vp-button-sponsor-text);background-color:var(--vp-button-sponsor-bg)}.VPButton.sponsor[data-v-cad61b99]:hover{border-color:var(--vp-button-sponsor-hover-border);color:var(--vp-button-sponsor-hover-text);background-color:var(--vp-button-sponsor-hover-bg)}.VPButton.sponsor[data-v-cad61b99]:active{border-color:var(--vp-button-sponsor-active-border);color:var(--vp-button-sponsor-active-text);background-color:var(--vp-button-sponsor-active-bg)}html:not(.dark) .VPImage.dark[data-v-8426fc1a]{display:none}.dark .VPImage.light[data-v-8426fc1a]{display:none}.VPHero[data-v-303bb580]{margin-top:calc((var(--vp-nav-height) + var(--vp-layout-top-height, 0px)) * -1);padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 48px) 24px 48px}@media (min-width: 640px){.VPHero[data-v-303bb580]{padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 80px) 48px 64px}}@media (min-width: 960px){.VPHero[data-v-303bb580]{padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 80px) 64px 64px}}.container[data-v-303bb580]{display:flex;flex-direction:column;margin:0 auto;max-width:1152px}@media (min-width: 960px){.container[data-v-303bb580]{flex-direction:row}}.main[data-v-303bb580]{position:relative;z-index:10;order:2;flex-grow:1;flex-shrink:0}.VPHero.has-image .container[data-v-303bb580]{text-align:center}@media (min-width: 960px){.VPHero.has-image .container[data-v-303bb580]{text-align:left}}@media (min-width: 960px){.main[data-v-303bb580]{order:1;width:calc((100% / 3) * 2)}.VPHero.has-image .main[data-v-303bb580]{max-width:592px}}.name[data-v-303bb580],.text[data-v-303bb580]{max-width:392px;letter-spacing:-.4px;line-height:40px;font-size:32px;font-weight:700;white-space:pre-wrap}.VPHero.has-image .name[data-v-303bb580],.VPHero.has-image .text[data-v-303bb580]{margin:0 auto}.name[data-v-303bb580]{color:var(--vp-home-hero-name-color)}.clip[data-v-303bb580]{background:var(--vp-home-hero-name-background);-webkit-background-clip:text;background-clip:text;-webkit-text-fill-color:var(--vp-home-hero-name-color)}@media (min-width: 640px){.name[data-v-303bb580],.text[data-v-303bb580]{max-width:576px;line-height:56px;font-size:48px}}@media (min-width: 960px){.name[data-v-303bb580],.text[data-v-303bb580]{line-height:64px;font-size:56px}.VPHero.has-image .name[data-v-303bb580],.VPHero.has-image .text[data-v-303bb580]{margin:0}}.tagline[data-v-303bb580]{padding-top:8px;max-width:392px;line-height:28px;font-size:18px;font-weight:500;white-space:pre-wrap;color:var(--vp-c-text-2)}.VPHero.has-image .tagline[data-v-303bb580]{margin:0 auto}@media (min-width: 640px){.tagline[data-v-303bb580]{padding-top:12px;max-width:576px;line-height:32px;font-size:20px}}@media (min-width: 960px){.tagline[data-v-303bb580]{line-height:36px;font-size:24px}.VPHero.has-image .tagline[data-v-303bb580]{margin:0}}.actions[data-v-303bb580]{display:flex;flex-wrap:wrap;margin:-6px;padding-top:24px}.VPHero.has-image .actions[data-v-303bb580]{justify-content:center}@media (min-width: 640px){.actions[data-v-303bb580]{padding-top:32px}}@media (min-width: 960px){.VPHero.has-image .actions[data-v-303bb580]{justify-content:flex-start}}.action[data-v-303bb580]{flex-shrink:0;padding:6px}.image[data-v-303bb580]{order:1;margin:-76px -24px -48px}@media (min-width: 640px){.image[data-v-303bb580]{margin:-108px -24px -48px}}@media (min-width: 960px){.image[data-v-303bb580]{flex-grow:1;order:2;margin:0;min-height:100%}}.image-container[data-v-303bb580]{position:relative;margin:0 auto;width:320px;height:320px}@media (min-width: 640px){.image-container[data-v-303bb580]{width:392px;height:392px}}@media (min-width: 960px){.image-container[data-v-303bb580]{display:flex;justify-content:center;align-items:center;width:100%;height:100%;transform:translate(-32px,-32px)}}.image-bg[data-v-303bb580]{position:absolute;top:50%;left:50%;border-radius:50%;width:192px;height:192px;background-image:var(--vp-home-hero-image-background-image);filter:var(--vp-home-hero-image-filter);transform:translate(-50%,-50%)}@media (min-width: 640px){.image-bg[data-v-303bb580]{width:256px;height:256px}}@media (min-width: 960px){.image-bg[data-v-303bb580]{width:320px;height:320px}}[data-v-303bb580] .image-src{position:absolute;top:50%;left:50%;max-width:192px;max-height:192px;transform:translate(-50%,-50%)}@media (min-width: 640px){[data-v-303bb580] .image-src{max-width:256px;max-height:256px}}@media (min-width: 960px){[data-v-303bb580] .image-src{max-width:320px;max-height:320px}}.VPFeature[data-v-a3976bdc]{display:block;border:1px solid var(--vp-c-bg-soft);border-radius:12px;height:100%;background-color:var(--vp-c-bg-soft);transition:border-color .25s,background-color .25s}.VPFeature.link[data-v-a3976bdc]:hover{border-color:var(--vp-c-brand-1)}.box[data-v-a3976bdc]{display:flex;flex-direction:column;padding:24px;height:100%}.box[data-v-a3976bdc]>.VPImage{margin-bottom:20px}.icon[data-v-a3976bdc]{display:flex;justify-content:center;align-items:center;margin-bottom:20px;border-radius:6px;background-color:var(--vp-c-default-soft);width:48px;height:48px;font-size:24px;transition:background-color .25s}.title[data-v-a3976bdc]{line-height:24px;font-size:16px;font-weight:600}.details[data-v-a3976bdc]{flex-grow:1;padding-top:8px;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.link-text[data-v-a3976bdc]{padding-top:8px}.link-text-value[data-v-a3976bdc]{display:flex;align-items:center;font-size:14px;font-weight:500;color:var(--vp-c-brand-1)}.link-text-icon[data-v-a3976bdc]{margin-left:6px}.VPFeatures[data-v-a6181336]{position:relative;padding:0 24px}@media (min-width: 640px){.VPFeatures[data-v-a6181336]{padding:0 48px}}@media (min-width: 960px){.VPFeatures[data-v-a6181336]{padding:0 64px}}.container[data-v-a6181336]{margin:0 auto;max-width:1152px}.items[data-v-a6181336]{display:flex;flex-wrap:wrap;margin:-8px}.item[data-v-a6181336]{padding:8px;width:100%}@media (min-width: 640px){.item.grid-2[data-v-a6181336],.item.grid-4[data-v-a6181336],.item.grid-6[data-v-a6181336]{width:50%}}@media (min-width: 768px){.item.grid-2[data-v-a6181336],.item.grid-4[data-v-a6181336]{width:50%}.item.grid-3[data-v-a6181336],.item.grid-6[data-v-a6181336]{width:calc(100% / 3)}}@media (min-width: 960px){.item.grid-4[data-v-a6181336]{width:25%}}.container[data-v-8e2d4988]{margin:auto;width:100%;max-width:1280px;padding:0 24px}@media (min-width: 640px){.container[data-v-8e2d4988]{padding:0 48px}}@media (min-width: 960px){.container[data-v-8e2d4988]{width:100%;padding:0 64px}}.vp-doc[data-v-8e2d4988] .VPHomeSponsors,.vp-doc[data-v-8e2d4988] .VPTeamPage{margin-left:var(--vp-offset, calc(50% - 50vw) );margin-right:var(--vp-offset, calc(50% - 50vw) )}.vp-doc[data-v-8e2d4988] .VPHomeSponsors h2{border-top:none;letter-spacing:normal}.vp-doc[data-v-8e2d4988] .VPHomeSponsors a,.vp-doc[data-v-8e2d4988] .VPTeamPage a{text-decoration:none}.VPHome[data-v-686f80a6]{margin-bottom:96px}@media (min-width: 768px){.VPHome[data-v-686f80a6]{margin-bottom:128px}}.VPContent[data-v-1428d186]{flex-grow:1;flex-shrink:0;margin:var(--vp-layout-top-height, 0px) auto 0;width:100%}.VPContent.is-home[data-v-1428d186]{width:100%;max-width:100%}.VPContent.has-sidebar[data-v-1428d186]{margin:0}@media (min-width: 960px){.VPContent[data-v-1428d186]{padding-top:var(--vp-nav-height)}.VPContent.has-sidebar[data-v-1428d186]{margin:var(--vp-layout-top-height, 0px) 0 0;padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPContent.has-sidebar[data-v-1428d186]{padding-right:calc((100vw - var(--vp-layout-max-width)) / 2);padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.VPFooter[data-v-e315a0ad]{position:relative;z-index:var(--vp-z-index-footer);border-top:1px solid var(--vp-c-gutter);padding:32px 24px;background-color:var(--vp-c-bg)}.VPFooter.has-sidebar[data-v-e315a0ad]{display:none}.VPFooter[data-v-e315a0ad] a{text-decoration-line:underline;text-underline-offset:2px;transition:color .25s}.VPFooter[data-v-e315a0ad] a:hover{color:var(--vp-c-text-1)}@media (min-width: 768px){.VPFooter[data-v-e315a0ad]{padding:32px}}.container[data-v-e315a0ad]{margin:0 auto;max-width:var(--vp-layout-max-width);text-align:center}.message[data-v-e315a0ad],.copyright[data-v-e315a0ad]{line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.VPLocalNavOutlineDropdown[data-v-17a5e62e]{padding:12px 20px 11px}@media (min-width: 960px){.VPLocalNavOutlineDropdown[data-v-17a5e62e]{padding:12px 36px 11px}}.VPLocalNavOutlineDropdown button[data-v-17a5e62e]{display:block;font-size:12px;font-weight:500;line-height:24px;color:var(--vp-c-text-2);transition:color .5s;position:relative}.VPLocalNavOutlineDropdown button[data-v-17a5e62e]:hover{color:var(--vp-c-text-1);transition:color .25s}.VPLocalNavOutlineDropdown button.open[data-v-17a5e62e]{color:var(--vp-c-text-1)}.icon[data-v-17a5e62e]{display:inline-block;vertical-align:middle;margin-left:2px;font-size:14px;transform:rotate(0);transition:transform .25s}@media (min-width: 960px){.VPLocalNavOutlineDropdown button[data-v-17a5e62e]{font-size:14px}.icon[data-v-17a5e62e]{font-size:16px}}.open>.icon[data-v-17a5e62e]{transform:rotate(90deg)}.items[data-v-17a5e62e]{position:absolute;top:40px;right:16px;left:16px;display:grid;gap:1px;border:1px solid var(--vp-c-border);border-radius:8px;background-color:var(--vp-c-gutter);max-height:calc(var(--vp-vh, 100vh) - 86px);overflow:hidden auto;box-shadow:var(--vp-shadow-3)}@media (min-width: 960px){.items[data-v-17a5e62e]{right:auto;left:calc(var(--vp-sidebar-width) + 32px);width:320px}}.header[data-v-17a5e62e]{background-color:var(--vp-c-bg-soft)}.top-link[data-v-17a5e62e]{display:block;padding:0 16px;line-height:48px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1)}.outline[data-v-17a5e62e]{padding:8px 0;background-color:var(--vp-c-bg-soft)}.flyout-enter-active[data-v-17a5e62e]{transition:all .2s ease-out}.flyout-leave-active[data-v-17a5e62e]{transition:all .15s ease-in}.flyout-enter-from[data-v-17a5e62e],.flyout-leave-to[data-v-17a5e62e]{opacity:0;transform:translateY(-16px)}.VPLocalNav[data-v-a6f0e41e]{position:sticky;top:0;left:0;z-index:var(--vp-z-index-local-nav);border-bottom:1px solid var(--vp-c-gutter);padding-top:var(--vp-layout-top-height, 0px);width:100%;background-color:var(--vp-local-nav-bg-color)}.VPLocalNav.fixed[data-v-a6f0e41e]{position:fixed}@media (min-width: 960px){.VPLocalNav[data-v-a6f0e41e]{top:var(--vp-nav-height)}.VPLocalNav.has-sidebar[data-v-a6f0e41e]{padding-left:var(--vp-sidebar-width)}.VPLocalNav.empty[data-v-a6f0e41e]{display:none}}@media (min-width: 1280px){.VPLocalNav[data-v-a6f0e41e]{display:none}}@media (min-width: 1440px){.VPLocalNav.has-sidebar[data-v-a6f0e41e]{padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.container[data-v-a6f0e41e]{display:flex;justify-content:space-between;align-items:center}.menu[data-v-a6f0e41e]{display:flex;align-items:center;padding:12px 24px 11px;line-height:24px;font-size:12px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.menu[data-v-a6f0e41e]:hover{color:var(--vp-c-text-1);transition:color .25s}@media (min-width: 768px){.menu[data-v-a6f0e41e]{padding:0 32px}}@media (min-width: 960px){.menu[data-v-a6f0e41e]{display:none}}.menu-icon[data-v-a6f0e41e]{margin-right:8px;font-size:14px}.VPOutlineDropdown[data-v-a6f0e41e]{padding:12px 24px 11px}@media (min-width: 768px){.VPOutlineDropdown[data-v-a6f0e41e]{padding:12px 32px 11px}}.VPSwitch[data-v-1d5665e3]{position:relative;border-radius:11px;display:block;width:40px;height:22px;flex-shrink:0;border:1px solid var(--vp-input-border-color);background-color:var(--vp-input-switch-bg-color);transition:border-color .25s!important}.VPSwitch[data-v-1d5665e3]:hover{border-color:var(--vp-c-brand-1)}.check[data-v-1d5665e3]{position:absolute;top:1px;left:1px;width:18px;height:18px;border-radius:50%;background-color:var(--vp-c-neutral-inverse);box-shadow:var(--vp-shadow-1);transition:transform .25s!important}.icon[data-v-1d5665e3]{position:relative;display:block;width:18px;height:18px;border-radius:50%;overflow:hidden}.icon[data-v-1d5665e3] [class^=vpi-]{position:absolute;top:3px;left:3px;width:12px;height:12px;color:var(--vp-c-text-2)}.dark .icon[data-v-1d5665e3] [class^=vpi-]{color:var(--vp-c-text-1);transition:opacity .25s!important}.sun[data-v-d1f28634]{opacity:1}.moon[data-v-d1f28634],.dark .sun[data-v-d1f28634]{opacity:0}.dark .moon[data-v-d1f28634]{opacity:1}.dark .VPSwitchAppearance[data-v-d1f28634] .check{transform:translate(18px)}.VPNavBarAppearance[data-v-e6aabb21]{display:none}@media (min-width: 1280px){.VPNavBarAppearance[data-v-e6aabb21]{display:flex;align-items:center}}.VPMenuGroup+.VPMenuLink[data-v-43f1e123]{margin:12px -12px 0;border-top:1px solid var(--vp-c-divider);padding:12px 12px 0}.link[data-v-43f1e123]{display:block;border-radius:6px;padding:0 12px;line-height:32px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);white-space:nowrap;transition:background-color .25s,color .25s}.link[data-v-43f1e123]:hover{color:var(--vp-c-brand-1);background-color:var(--vp-c-default-soft)}.link.active[data-v-43f1e123]{color:var(--vp-c-brand-1)}.VPMenuGroup[data-v-69e747b5]{margin:12px -12px 0;border-top:1px solid var(--vp-c-divider);padding:12px 12px 0}.VPMenuGroup[data-v-69e747b5]:first-child{margin-top:0;border-top:0;padding-top:0}.VPMenuGroup+.VPMenuGroup[data-v-69e747b5]{margin-top:12px;border-top:1px solid var(--vp-c-divider)}.title[data-v-69e747b5]{padding:0 12px;line-height:32px;font-size:14px;font-weight:600;color:var(--vp-c-text-2);white-space:nowrap;transition:color .25s}.VPMenu[data-v-e7ea1737]{border-radius:12px;padding:12px;min-width:128px;border:1px solid var(--vp-c-divider);background-color:var(--vp-c-bg-elv);box-shadow:var(--vp-shadow-3);transition:background-color .5s;max-height:calc(100vh - var(--vp-nav-height));overflow-y:auto}.VPMenu[data-v-e7ea1737] .group{margin:0 -12px;padding:0 12px 12px}.VPMenu[data-v-e7ea1737] .group+.group{border-top:1px solid var(--vp-c-divider);padding:11px 12px 12px}.VPMenu[data-v-e7ea1737] .group:last-child{padding-bottom:0}.VPMenu[data-v-e7ea1737] .group+.item{border-top:1px solid var(--vp-c-divider);padding:11px 16px 0}.VPMenu[data-v-e7ea1737] .item{padding:0 16px;white-space:nowrap}.VPMenu[data-v-e7ea1737] .label{flex-grow:1;line-height:28px;font-size:12px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.VPMenu[data-v-e7ea1737] .action{padding-left:24px}.VPFlyout[data-v-b6c34ac9]{position:relative}.VPFlyout[data-v-b6c34ac9]:hover{color:var(--vp-c-brand-1);transition:color .25s}.VPFlyout:hover .text[data-v-b6c34ac9]{color:var(--vp-c-text-2)}.VPFlyout:hover .icon[data-v-b6c34ac9]{fill:var(--vp-c-text-2)}.VPFlyout.active .text[data-v-b6c34ac9]{color:var(--vp-c-brand-1)}.VPFlyout.active:hover .text[data-v-b6c34ac9]{color:var(--vp-c-brand-2)}.VPFlyout:hover .menu[data-v-b6c34ac9],.button[aria-expanded=true]+.menu[data-v-b6c34ac9]{opacity:1;visibility:visible;transform:translateY(0)}.button[aria-expanded=false]+.menu[data-v-b6c34ac9]{opacity:0;visibility:hidden;transform:translateY(0)}.button[data-v-b6c34ac9]{display:flex;align-items:center;padding:0 12px;height:var(--vp-nav-height);color:var(--vp-c-text-1);transition:color .5s}.text[data-v-b6c34ac9]{display:flex;align-items:center;line-height:var(--vp-nav-height);font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.option-icon[data-v-b6c34ac9]{margin-right:0;font-size:16px}.text-icon[data-v-b6c34ac9]{margin-left:4px;font-size:14px}.icon[data-v-b6c34ac9]{font-size:20px;transition:fill .25s}.menu[data-v-b6c34ac9]{position:absolute;top:calc(var(--vp-nav-height) / 2 + 20px);right:0;opacity:0;visibility:hidden;transition:opacity .25s,visibility .25s,transform .25s}.VPSocialLink[data-v-eee4e7cb]{display:flex;justify-content:center;align-items:center;width:36px;height:36px;color:var(--vp-c-text-2);transition:color .5s}.VPSocialLink[data-v-eee4e7cb]:hover{color:var(--vp-c-text-1);transition:color .25s}.VPSocialLink[data-v-eee4e7cb]>svg,.VPSocialLink[data-v-eee4e7cb]>[class^=vpi-social-]{width:20px;height:20px;fill:currentColor}.VPSocialLinks[data-v-7bc22406]{display:flex;justify-content:center}.VPNavBarExtra[data-v-d0bd9dde]{display:none;margin-right:-12px}@media (min-width: 768px){.VPNavBarExtra[data-v-d0bd9dde]{display:block}}@media (min-width: 1280px){.VPNavBarExtra[data-v-d0bd9dde]{display:none}}.trans-title[data-v-d0bd9dde]{padding:0 24px 0 12px;line-height:32px;font-size:14px;font-weight:700;color:var(--vp-c-text-1)}.item.appearance[data-v-d0bd9dde],.item.social-links[data-v-d0bd9dde]{display:flex;align-items:center;padding:0 12px}.item.appearance[data-v-d0bd9dde]{min-width:176px}.appearance-action[data-v-d0bd9dde]{margin-right:-2px}.social-links-list[data-v-d0bd9dde]{margin:-4px -8px}.VPNavBarHamburger[data-v-e5dd9c1c]{display:flex;justify-content:center;align-items:center;width:48px;height:var(--vp-nav-height)}@media (min-width: 768px){.VPNavBarHamburger[data-v-e5dd9c1c]{display:none}}.container[data-v-e5dd9c1c]{position:relative;width:16px;height:14px;overflow:hidden}.VPNavBarHamburger:hover .top[data-v-e5dd9c1c]{top:0;left:0;transform:translate(4px)}.VPNavBarHamburger:hover .middle[data-v-e5dd9c1c]{top:6px;left:0;transform:translate(0)}.VPNavBarHamburger:hover .bottom[data-v-e5dd9c1c]{top:12px;left:0;transform:translate(8px)}.VPNavBarHamburger.active .top[data-v-e5dd9c1c]{top:6px;transform:translate(0) rotate(225deg)}.VPNavBarHamburger.active .middle[data-v-e5dd9c1c]{top:6px;transform:translate(16px)}.VPNavBarHamburger.active .bottom[data-v-e5dd9c1c]{top:6px;transform:translate(0) rotate(135deg)}.VPNavBarHamburger.active:hover .top[data-v-e5dd9c1c],.VPNavBarHamburger.active:hover .middle[data-v-e5dd9c1c],.VPNavBarHamburger.active:hover .bottom[data-v-e5dd9c1c]{background-color:var(--vp-c-text-2);transition:top .25s,background-color .25s,transform .25s}.top[data-v-e5dd9c1c],.middle[data-v-e5dd9c1c],.bottom[data-v-e5dd9c1c]{position:absolute;width:16px;height:2px;background-color:var(--vp-c-text-1);transition:top .25s,background-color .5s,transform .25s}.top[data-v-e5dd9c1c]{top:0;left:0;transform:translate(0)}.middle[data-v-e5dd9c1c]{top:6px;left:0;transform:translate(8px)}.bottom[data-v-e5dd9c1c]{top:12px;left:0;transform:translate(4px)}.VPNavBarMenuLink[data-v-9c663999]{display:flex;align-items:center;padding:0 12px;line-height:var(--vp-nav-height);font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.VPNavBarMenuLink.active[data-v-9c663999],.VPNavBarMenuLink[data-v-9c663999]:hover{color:var(--vp-c-brand-1)}.VPNavBarMenu[data-v-7f418b0f]{display:none}@media (min-width: 768px){.VPNavBarMenu[data-v-7f418b0f]{display:flex}}/*! @docsearch/css 3.6.0 | MIT License | © Algolia, Inc. and contributors | https://docsearch.algolia.com */:root{--docsearch-primary-color:#5468ff;--docsearch-text-color:#1c1e21;--docsearch-spacing:12px;--docsearch-icon-stroke-width:1.4;--docsearch-highlight-color:var(--docsearch-primary-color);--docsearch-muted-color:#969faf;--docsearch-container-background:rgba(101,108,133,.8);--docsearch-logo-color:#5468ff;--docsearch-modal-width:560px;--docsearch-modal-height:600px;--docsearch-modal-background:#f5f6f7;--docsearch-modal-shadow:inset 1px 1px 0 0 hsla(0,0%,100%,.5),0 3px 8px 0 #555a64;--docsearch-searchbox-height:56px;--docsearch-searchbox-background:#ebedf0;--docsearch-searchbox-focus-background:#fff;--docsearch-searchbox-shadow:inset 0 0 0 2px var(--docsearch-primary-color);--docsearch-hit-height:56px;--docsearch-hit-color:#444950;--docsearch-hit-active-color:#fff;--docsearch-hit-background:#fff;--docsearch-hit-shadow:0 1px 3px 0 #d4d9e1;--docsearch-key-gradient:linear-gradient(-225deg,#d5dbe4,#f8f8f8);--docsearch-key-shadow:inset 0 -2px 0 0 #cdcde6,inset 0 0 1px 1px #fff,0 1px 2px 1px rgba(30,35,90,.4);--docsearch-key-pressed-shadow:inset 0 -2px 0 0 #cdcde6,inset 0 0 1px 1px #fff,0 1px 1px 0 rgba(30,35,90,.4);--docsearch-footer-height:44px;--docsearch-footer-background:#fff;--docsearch-footer-shadow:0 -1px 0 0 #e0e3e8,0 -3px 6px 0 rgba(69,98,155,.12)}html[data-theme=dark]{--docsearch-text-color:#f5f6f7;--docsearch-container-background:rgba(9,10,17,.8);--docsearch-modal-background:#15172a;--docsearch-modal-shadow:inset 1px 1px 0 0 #2c2e40,0 3px 8px 0 #000309;--docsearch-searchbox-background:#090a11;--docsearch-searchbox-focus-background:#000;--docsearch-hit-color:#bec3c9;--docsearch-hit-shadow:none;--docsearch-hit-background:#090a11;--docsearch-key-gradient:linear-gradient(-26.5deg,#565872,#31355b);--docsearch-key-shadow:inset 0 -2px 0 0 #282d55,inset 0 0 1px 1px #51577d,0 2px 2px 0 rgba(3,4,9,.3);--docsearch-key-pressed-shadow:inset 0 -2px 0 0 #282d55,inset 0 0 1px 1px #51577d,0 1px 1px 0 rgba(3,4,9,.30196078431372547);--docsearch-footer-background:#1e2136;--docsearch-footer-shadow:inset 0 1px 0 0 rgba(73,76,106,.5),0 -4px 8px 0 rgba(0,0,0,.2);--docsearch-logo-color:#fff;--docsearch-muted-color:#7f8497}.DocSearch-Button{align-items:center;background:var(--docsearch-searchbox-background);border:0;border-radius:40px;color:var(--docsearch-muted-color);cursor:pointer;display:flex;font-weight:500;height:36px;justify-content:space-between;margin:0 0 0 16px;padding:0 8px;-webkit-user-select:none;user-select:none}.DocSearch-Button:active,.DocSearch-Button:focus,.DocSearch-Button:hover{background:var(--docsearch-searchbox-focus-background);box-shadow:var(--docsearch-searchbox-shadow);color:var(--docsearch-text-color);outline:none}.DocSearch-Button-Container{align-items:center;display:flex}.DocSearch-Search-Icon{stroke-width:1.6}.DocSearch-Button .DocSearch-Search-Icon{color:var(--docsearch-text-color)}.DocSearch-Button-Placeholder{font-size:1rem;padding:0 12px 0 6px}.DocSearch-Button-Keys{display:flex;min-width:calc(40px + .8em)}.DocSearch-Button-Key{align-items:center;background:var(--docsearch-key-gradient);border-radius:3px;box-shadow:var(--docsearch-key-shadow);color:var(--docsearch-muted-color);display:flex;height:18px;justify-content:center;margin-right:.4em;position:relative;padding:0 0 2px;border:0;top:-1px;width:20px}.DocSearch-Button-Key--pressed{transform:translate3d(0,1px,0);box-shadow:var(--docsearch-key-pressed-shadow)}@media (max-width:768px){.DocSearch-Button-Keys,.DocSearch-Button-Placeholder{display:none}}.DocSearch--active{overflow:hidden!important}.DocSearch-Container,.DocSearch-Container *{box-sizing:border-box}.DocSearch-Container{background-color:var(--docsearch-container-background);height:100vh;left:0;position:fixed;top:0;width:100vw;z-index:200}.DocSearch-Container a{text-decoration:none}.DocSearch-Link{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;color:var(--docsearch-highlight-color);cursor:pointer;font:inherit;margin:0;padding:0}.DocSearch-Modal{background:var(--docsearch-modal-background);border-radius:6px;box-shadow:var(--docsearch-modal-shadow);flex-direction:column;margin:60px auto auto;max-width:var(--docsearch-modal-width);position:relative}.DocSearch-SearchBar{display:flex;padding:var(--docsearch-spacing) var(--docsearch-spacing) 0}.DocSearch-Form{align-items:center;background:var(--docsearch-searchbox-focus-background);border-radius:4px;box-shadow:var(--docsearch-searchbox-shadow);display:flex;height:var(--docsearch-searchbox-height);margin:0;padding:0 var(--docsearch-spacing);position:relative;width:100%}.DocSearch-Input{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:transparent;border:0;color:var(--docsearch-text-color);flex:1;font:inherit;font-size:1.2em;height:100%;outline:none;padding:0 0 0 8px;width:80%}.DocSearch-Input::placeholder{color:var(--docsearch-muted-color);opacity:1}.DocSearch-Input::-webkit-search-cancel-button,.DocSearch-Input::-webkit-search-decoration,.DocSearch-Input::-webkit-search-results-button,.DocSearch-Input::-webkit-search-results-decoration{display:none}.DocSearch-LoadingIndicator,.DocSearch-MagnifierLabel,.DocSearch-Reset{margin:0;padding:0}.DocSearch-MagnifierLabel,.DocSearch-Reset{align-items:center;color:var(--docsearch-highlight-color);display:flex;justify-content:center}.DocSearch-Container--Stalled .DocSearch-MagnifierLabel,.DocSearch-LoadingIndicator{display:none}.DocSearch-Container--Stalled .DocSearch-LoadingIndicator{align-items:center;color:var(--docsearch-highlight-color);display:flex;justify-content:center}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Reset{animation:none;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:var(--docsearch-icon-color);cursor:pointer;right:0;stroke-width:var(--docsearch-icon-stroke-width)}}.DocSearch-Reset{animation:fade-in .1s ease-in forwards;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:var(--docsearch-icon-color);cursor:pointer;padding:2px;right:0;stroke-width:var(--docsearch-icon-stroke-width)}.DocSearch-Reset[hidden]{display:none}.DocSearch-Reset:hover{color:var(--docsearch-highlight-color)}.DocSearch-LoadingIndicator svg,.DocSearch-MagnifierLabel svg{height:24px;width:24px}.DocSearch-Cancel{display:none}.DocSearch-Dropdown{max-height:calc(var(--docsearch-modal-height) - var(--docsearch-searchbox-height) - var(--docsearch-spacing) - var(--docsearch-footer-height));min-height:var(--docsearch-spacing);overflow-y:auto;overflow-y:overlay;padding:0 var(--docsearch-spacing);scrollbar-color:var(--docsearch-muted-color) var(--docsearch-modal-background);scrollbar-width:thin}.DocSearch-Dropdown::-webkit-scrollbar{width:12px}.DocSearch-Dropdown::-webkit-scrollbar-track{background:transparent}.DocSearch-Dropdown::-webkit-scrollbar-thumb{background-color:var(--docsearch-muted-color);border:3px solid var(--docsearch-modal-background);border-radius:20px}.DocSearch-Dropdown ul{list-style:none;margin:0;padding:0}.DocSearch-Label{font-size:.75em;line-height:1.6em}.DocSearch-Help,.DocSearch-Label{color:var(--docsearch-muted-color)}.DocSearch-Help{font-size:.9em;margin:0;-webkit-user-select:none;user-select:none}.DocSearch-Title{font-size:1.2em}.DocSearch-Logo a{display:flex}.DocSearch-Logo svg{color:var(--docsearch-logo-color);margin-left:8px}.DocSearch-Hits:last-of-type{margin-bottom:24px}.DocSearch-Hits mark{background:none;color:var(--docsearch-highlight-color)}.DocSearch-HitsFooter{color:var(--docsearch-muted-color);display:flex;font-size:.85em;justify-content:center;margin-bottom:var(--docsearch-spacing);padding:var(--docsearch-spacing)}.DocSearch-HitsFooter a{border-bottom:1px solid;color:inherit}.DocSearch-Hit{border-radius:4px;display:flex;padding-bottom:4px;position:relative}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit--deleting{transition:none}}.DocSearch-Hit--deleting{opacity:0;transition:all .25s linear}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit--favoriting{transition:none}}.DocSearch-Hit--favoriting{transform:scale(0);transform-origin:top center;transition:all .25s linear;transition-delay:.25s}.DocSearch-Hit a{background:var(--docsearch-hit-background);border-radius:4px;box-shadow:var(--docsearch-hit-shadow);display:block;padding-left:var(--docsearch-spacing);width:100%}.DocSearch-Hit-source{background:var(--docsearch-modal-background);color:var(--docsearch-highlight-color);font-size:.85em;font-weight:600;line-height:32px;margin:0 -4px;padding:8px 4px 0;position:sticky;top:0;z-index:10}.DocSearch-Hit-Tree{color:var(--docsearch-muted-color);height:var(--docsearch-hit-height);opacity:.5;stroke-width:var(--docsearch-icon-stroke-width);width:24px}.DocSearch-Hit[aria-selected=true] a{background-color:var(--docsearch-highlight-color)}.DocSearch-Hit[aria-selected=true] mark{text-decoration:underline}.DocSearch-Hit-Container{align-items:center;color:var(--docsearch-hit-color);display:flex;flex-direction:row;height:var(--docsearch-hit-height);padding:0 var(--docsearch-spacing) 0 0}.DocSearch-Hit-icon{height:20px;width:20px}.DocSearch-Hit-action,.DocSearch-Hit-icon{color:var(--docsearch-muted-color);stroke-width:var(--docsearch-icon-stroke-width)}.DocSearch-Hit-action{align-items:center;display:flex;height:22px;width:22px}.DocSearch-Hit-action svg{display:block;height:18px;width:18px}.DocSearch-Hit-action+.DocSearch-Hit-action{margin-left:6px}.DocSearch-Hit-action-button{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:inherit;cursor:pointer;padding:2px}svg.DocSearch-Hit-Select-Icon{display:none}.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-Select-Icon{display:block}.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{background:#0003;transition:background-color .1s ease-in}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{transition:none}}.DocSearch-Hit-action-button:focus path,.DocSearch-Hit-action-button:hover path{fill:#fff}.DocSearch-Hit-content-wrapper{display:flex;flex:1 1 auto;flex-direction:column;font-weight:500;justify-content:center;line-height:1.2em;margin:0 8px;overflow-x:hidden;position:relative;text-overflow:ellipsis;white-space:nowrap;width:80%}.DocSearch-Hit-title{font-size:.9em}.DocSearch-Hit-path{color:var(--docsearch-muted-color);font-size:.75em}.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-action,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-icon,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-path,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-text,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-title,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-Tree,.DocSearch-Hit[aria-selected=true] mark{color:var(--docsearch-hit-active-color)!important}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{background:#0003;transition:none}}.DocSearch-ErrorScreen,.DocSearch-NoResults,.DocSearch-StartScreen{font-size:.9em;margin:0 auto;padding:36px 0;text-align:center;width:80%}.DocSearch-Screen-Icon{color:var(--docsearch-muted-color);padding-bottom:12px}.DocSearch-NoResults-Prefill-List{display:inline-block;padding-bottom:24px;text-align:left}.DocSearch-NoResults-Prefill-List ul{display:inline-block;padding:8px 0 0}.DocSearch-NoResults-Prefill-List li{list-style-position:inside;list-style-type:"» "}.DocSearch-Prefill{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:1em;color:var(--docsearch-highlight-color);cursor:pointer;display:inline-block;font-size:1em;font-weight:700;padding:0}.DocSearch-Prefill:focus,.DocSearch-Prefill:hover{outline:none;text-decoration:underline}.DocSearch-Footer{align-items:center;background:var(--docsearch-footer-background);border-radius:0 0 8px 8px;box-shadow:var(--docsearch-footer-shadow);display:flex;flex-direction:row-reverse;flex-shrink:0;height:var(--docsearch-footer-height);justify-content:space-between;padding:0 var(--docsearch-spacing);position:relative;-webkit-user-select:none;user-select:none;width:100%;z-index:300}.DocSearch-Commands{color:var(--docsearch-muted-color);display:flex;list-style:none;margin:0;padding:0}.DocSearch-Commands li{align-items:center;display:flex}.DocSearch-Commands li:not(:last-of-type){margin-right:.8em}.DocSearch-Commands-Key{align-items:center;background:var(--docsearch-key-gradient);border-radius:2px;box-shadow:var(--docsearch-key-shadow);display:flex;height:18px;justify-content:center;margin-right:.4em;padding:0 0 1px;color:var(--docsearch-muted-color);border:0;width:20px}.DocSearch-VisuallyHiddenForAccessibility{clip:rect(0 0 0 0);clip-path:inset(50%);height:1px;overflow:hidden;position:absolute;white-space:nowrap;width:1px}@media (max-width:768px){:root{--docsearch-spacing:10px;--docsearch-footer-height:40px}.DocSearch-Dropdown{height:100%}.DocSearch-Container{height:100vh;height:-webkit-fill-available;height:calc(var(--docsearch-vh, 1vh)*100);position:absolute}.DocSearch-Footer{border-radius:0;bottom:0;position:absolute}.DocSearch-Hit-content-wrapper{display:flex;position:relative;width:80%}.DocSearch-Modal{border-radius:0;box-shadow:none;height:100vh;height:-webkit-fill-available;height:calc(var(--docsearch-vh, 1vh)*100);margin:0;max-width:100%;width:100%}.DocSearch-Dropdown{max-height:calc(var(--docsearch-vh, 1vh)*100 - var(--docsearch-searchbox-height) - var(--docsearch-spacing) - var(--docsearch-footer-height))}.DocSearch-Cancel{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;color:var(--docsearch-highlight-color);cursor:pointer;display:inline-block;flex:none;font:inherit;font-size:1em;font-weight:500;margin-left:var(--docsearch-spacing);outline:none;overflow:hidden;padding:0;-webkit-user-select:none;user-select:none;white-space:nowrap}.DocSearch-Commands,.DocSearch-Hit-Tree{display:none}}@keyframes fade-in{0%{opacity:0}to{opacity:1}}[class*=DocSearch]{--docsearch-primary-color: var(--vp-c-brand-1);--docsearch-highlight-color: var(--docsearch-primary-color);--docsearch-text-color: var(--vp-c-text-1);--docsearch-muted-color: var(--vp-c-text-2);--docsearch-searchbox-shadow: none;--docsearch-searchbox-background: transparent;--docsearch-searchbox-focus-background: transparent;--docsearch-key-gradient: transparent;--docsearch-key-shadow: none;--docsearch-modal-background: var(--vp-c-bg-soft);--docsearch-footer-background: var(--vp-c-bg)}.dark [class*=DocSearch]{--docsearch-modal-shadow: none;--docsearch-footer-shadow: none;--docsearch-logo-color: var(--vp-c-text-2);--docsearch-hit-background: var(--vp-c-default-soft);--docsearch-hit-color: var(--vp-c-text-2);--docsearch-hit-shadow: none}.DocSearch-Button{display:flex;justify-content:center;align-items:center;margin:0;padding:0;width:48px;height:55px;background:transparent;transition:border-color .25s}.DocSearch-Button:hover{background:transparent}.DocSearch-Button:focus{outline:1px dotted;outline:5px auto -webkit-focus-ring-color}.DocSearch-Button-Key--pressed{transform:none;box-shadow:none}.DocSearch-Button:focus:not(:focus-visible){outline:none!important}@media (min-width: 768px){.DocSearch-Button{justify-content:flex-start;border:1px solid transparent;border-radius:8px;padding:0 10px 0 12px;width:100%;height:40px;background-color:var(--vp-c-bg-alt)}.DocSearch-Button:hover{border-color:var(--vp-c-brand-1);background:var(--vp-c-bg-alt)}}.DocSearch-Button .DocSearch-Button-Container{display:flex;align-items:center}.DocSearch-Button .DocSearch-Search-Icon{position:relative;width:16px;height:16px;color:var(--vp-c-text-1);fill:currentColor;transition:color .5s}.DocSearch-Button:hover .DocSearch-Search-Icon{color:var(--vp-c-text-1)}@media (min-width: 768px){.DocSearch-Button .DocSearch-Search-Icon{top:1px;margin-right:8px;width:14px;height:14px;color:var(--vp-c-text-2)}}.DocSearch-Button .DocSearch-Button-Placeholder{display:none;margin-top:2px;padding:0 16px 0 0;font-size:13px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.DocSearch-Button:hover .DocSearch-Button-Placeholder{color:var(--vp-c-text-1)}@media (min-width: 768px){.DocSearch-Button .DocSearch-Button-Placeholder{display:inline-block}}.DocSearch-Button .DocSearch-Button-Keys{direction:ltr;display:none;min-width:auto}@media (min-width: 768px){.DocSearch-Button .DocSearch-Button-Keys{display:flex;align-items:center}}.DocSearch-Button .DocSearch-Button-Key{display:block;margin:2px 0 0;border:1px solid var(--vp-c-divider);border-right:none;border-radius:4px 0 0 4px;padding-left:6px;min-width:0;width:auto;height:22px;line-height:22px;font-family:var(--vp-font-family-base);font-size:12px;font-weight:500;transition:color .5s,border-color .5s}.DocSearch-Button .DocSearch-Button-Key+.DocSearch-Button-Key{border-right:1px solid var(--vp-c-divider);border-left:none;border-radius:0 4px 4px 0;padding-left:2px;padding-right:6px}.DocSearch-Button .DocSearch-Button-Key:first-child{font-size:0!important}.DocSearch-Button .DocSearch-Button-Key:first-child:after{content:"Ctrl";font-size:12px;letter-spacing:normal;color:var(--docsearch-muted-color)}.mac .DocSearch-Button .DocSearch-Button-Key:first-child:after{content:"⌘"}.DocSearch-Button .DocSearch-Button-Key:first-child>*{display:none}.DocSearch-Search-Icon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' stroke-width='1.6' viewBox='0 0 20 20'%3E%3Cpath fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' d='m14.386 14.386 4.088 4.088-4.088-4.088A7.533 7.533 0 1 1 3.733 3.733a7.533 7.533 0 0 1 10.653 10.653z'/%3E%3C/svg%3E")}.VPNavBarSearch{display:flex;align-items:center}@media (min-width: 768px){.VPNavBarSearch{flex-grow:1;padding-left:24px}}@media (min-width: 960px){.VPNavBarSearch{padding-left:32px}}.dark .DocSearch-Footer{border-top:1px solid var(--vp-c-divider)}.DocSearch-Form{border:1px solid var(--vp-c-brand-1);background-color:var(--vp-c-white)}.dark .DocSearch-Form{background-color:var(--vp-c-default-soft)}.DocSearch-Screen-Icon>svg{margin:auto}.VPNavBarSocialLinks[data-v-0394ad82]{display:none}@media (min-width: 1280px){.VPNavBarSocialLinks[data-v-0394ad82]{display:flex;align-items:center}}.title[data-v-ab179fa1]{display:flex;align-items:center;border-bottom:1px solid transparent;width:100%;height:var(--vp-nav-height);font-size:16px;font-weight:600;color:var(--vp-c-text-1);transition:opacity .25s}@media (min-width: 960px){.title[data-v-ab179fa1]{flex-shrink:0}.VPNavBarTitle.has-sidebar .title[data-v-ab179fa1]{border-bottom-color:var(--vp-c-divider)}}[data-v-ab179fa1] .logo{margin-right:8px;height:var(--vp-nav-logo-height)}.VPNavBarTranslations[data-v-88af2de4]{display:none}@media (min-width: 1280px){.VPNavBarTranslations[data-v-88af2de4]{display:flex;align-items:center}}.title[data-v-88af2de4]{padding:0 24px 0 12px;line-height:32px;font-size:14px;font-weight:700;color:var(--vp-c-text-1)}.VPNavBar[data-v-ccf7ddec]{position:relative;height:var(--vp-nav-height);pointer-events:none;white-space:nowrap;transition:background-color .5s}.VPNavBar[data-v-ccf7ddec]:not(.home){background-color:var(--vp-nav-bg-color)}@media (min-width: 960px){.VPNavBar[data-v-ccf7ddec]:not(.home){background-color:transparent}.VPNavBar[data-v-ccf7ddec]:not(.has-sidebar):not(.home.top){background-color:var(--vp-nav-bg-color)}}.wrapper[data-v-ccf7ddec]{padding:0 8px 0 24px}@media (min-width: 768px){.wrapper[data-v-ccf7ddec]{padding:0 32px}}@media (min-width: 960px){.VPNavBar.has-sidebar .wrapper[data-v-ccf7ddec]{padding:0}}.container[data-v-ccf7ddec]{display:flex;justify-content:space-between;margin:0 auto;max-width:calc(var(--vp-layout-max-width) - 64px);height:var(--vp-nav-height);pointer-events:none}.container>.title[data-v-ccf7ddec],.container>.content[data-v-ccf7ddec]{pointer-events:none}.container[data-v-ccf7ddec] *{pointer-events:auto}@media (min-width: 960px){.VPNavBar.has-sidebar .container[data-v-ccf7ddec]{max-width:100%}}.title[data-v-ccf7ddec]{flex-shrink:0;height:calc(var(--vp-nav-height) - 1px);transition:background-color .5s}@media (min-width: 960px){.VPNavBar.has-sidebar .title[data-v-ccf7ddec]{position:absolute;top:0;left:0;z-index:2;padding:0 32px;width:var(--vp-sidebar-width);height:var(--vp-nav-height);background-color:transparent}}@media (min-width: 1440px){.VPNavBar.has-sidebar .title[data-v-ccf7ddec]{padding-left:max(32px,calc((100% - (var(--vp-layout-max-width) - 64px)) / 2));width:calc((100% - (var(--vp-layout-max-width) - 64px)) / 2 + var(--vp-sidebar-width) - 32px)}}.content[data-v-ccf7ddec]{flex-grow:1}@media (min-width: 960px){.VPNavBar.has-sidebar .content[data-v-ccf7ddec]{position:relative;z-index:1;padding-right:32px;padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPNavBar.has-sidebar .content[data-v-ccf7ddec]{padding-right:calc((100vw - var(--vp-layout-max-width)) / 2 + 32px);padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.content-body[data-v-ccf7ddec]{display:flex;justify-content:flex-end;align-items:center;height:var(--vp-nav-height);transition:background-color .5s}@media (min-width: 960px){.VPNavBar:not(.home.top) .content-body[data-v-ccf7ddec]{position:relative;background-color:var(--vp-nav-bg-color)}.VPNavBar:not(.has-sidebar):not(.home.top) .content-body[data-v-ccf7ddec]{background-color:transparent}}@media (max-width: 767px){.content-body[data-v-ccf7ddec]{column-gap:.5rem}}.menu+.translations[data-v-ccf7ddec]:before,.menu+.appearance[data-v-ccf7ddec]:before,.menu+.social-links[data-v-ccf7ddec]:before,.translations+.appearance[data-v-ccf7ddec]:before,.appearance+.social-links[data-v-ccf7ddec]:before{margin-right:8px;margin-left:8px;width:1px;height:24px;background-color:var(--vp-c-divider);content:""}.menu+.appearance[data-v-ccf7ddec]:before,.translations+.appearance[data-v-ccf7ddec]:before{margin-right:16px}.appearance+.social-links[data-v-ccf7ddec]:before{margin-left:16px}.social-links[data-v-ccf7ddec]{margin-right:-8px}.divider[data-v-ccf7ddec]{width:100%;height:1px}@media (min-width: 960px){.VPNavBar.has-sidebar .divider[data-v-ccf7ddec]{padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPNavBar.has-sidebar .divider[data-v-ccf7ddec]{padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.divider-line[data-v-ccf7ddec]{width:100%;height:1px;transition:background-color .5s}.VPNavBar:not(.home) .divider-line[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}@media (min-width: 960px){.VPNavBar:not(.home.top) .divider-line[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}.VPNavBar:not(.has-sidebar):not(.home.top) .divider[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}}.VPNavScreenAppearance[data-v-2d7af913]{display:flex;justify-content:space-between;align-items:center;border-radius:8px;padding:12px 14px 12px 16px;background-color:var(--vp-c-bg-soft)}.text[data-v-2d7af913]{line-height:24px;font-size:12px;font-weight:500;color:var(--vp-c-text-2)}.VPNavScreenMenuLink[data-v-7f31e1f6]{display:block;border-bottom:1px solid var(--vp-c-divider);padding:12px 0 11px;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:border-color .25s,color .25s}.VPNavScreenMenuLink[data-v-7f31e1f6]:hover{color:var(--vp-c-brand-1)}.VPNavScreenMenuGroupLink[data-v-19976ae1]{display:block;margin-left:12px;line-height:32px;font-size:14px;font-weight:400;color:var(--vp-c-text-1);transition:color .25s}.VPNavScreenMenuGroupLink[data-v-19976ae1]:hover{color:var(--vp-c-brand-1)}.VPNavScreenMenuGroupSection[data-v-8133b170]{display:block}.title[data-v-8133b170]{line-height:32px;font-size:13px;font-weight:700;color:var(--vp-c-text-2);transition:color .25s}.VPNavScreenMenuGroup[data-v-ff6087d4]{border-bottom:1px solid var(--vp-c-divider);height:48px;overflow:hidden;transition:border-color .5s}.VPNavScreenMenuGroup .items[data-v-ff6087d4]{visibility:hidden}.VPNavScreenMenuGroup.open .items[data-v-ff6087d4]{visibility:visible}.VPNavScreenMenuGroup.open[data-v-ff6087d4]{padding-bottom:10px;height:auto}.VPNavScreenMenuGroup.open .button[data-v-ff6087d4]{padding-bottom:6px;color:var(--vp-c-brand-1)}.VPNavScreenMenuGroup.open .button-icon[data-v-ff6087d4]{transform:rotate(45deg)}.button[data-v-ff6087d4]{display:flex;justify-content:space-between;align-items:center;padding:12px 4px 11px 0;width:100%;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.button[data-v-ff6087d4]:hover{color:var(--vp-c-brand-1)}.button-icon[data-v-ff6087d4]{transition:transform .25s}.group[data-v-ff6087d4]:first-child{padding-top:0}.group+.group[data-v-ff6087d4],.group+.item[data-v-ff6087d4]{padding-top:4px}.VPNavScreenTranslations[data-v-858fe1a4]{height:24px;overflow:hidden}.VPNavScreenTranslations.open[data-v-858fe1a4]{height:auto}.title[data-v-858fe1a4]{display:flex;align-items:center;font-size:14px;font-weight:500;color:var(--vp-c-text-1)}.icon[data-v-858fe1a4]{font-size:16px}.icon.lang[data-v-858fe1a4]{margin-right:8px}.icon.chevron[data-v-858fe1a4]{margin-left:4px}.list[data-v-858fe1a4]{padding:4px 0 0 24px}.link[data-v-858fe1a4]{line-height:32px;font-size:13px;color:var(--vp-c-text-1)}.VPNavScreen[data-v-cc5739dd]{position:fixed;top:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 1px);right:0;bottom:0;left:0;padding:0 32px;width:100%;background-color:var(--vp-nav-screen-bg-color);overflow-y:auto;transition:background-color .5s;pointer-events:auto}.VPNavScreen.fade-enter-active[data-v-cc5739dd],.VPNavScreen.fade-leave-active[data-v-cc5739dd]{transition:opacity .25s}.VPNavScreen.fade-enter-active .container[data-v-cc5739dd],.VPNavScreen.fade-leave-active .container[data-v-cc5739dd]{transition:transform .25s ease}.VPNavScreen.fade-enter-from[data-v-cc5739dd],.VPNavScreen.fade-leave-to[data-v-cc5739dd]{opacity:0}.VPNavScreen.fade-enter-from .container[data-v-cc5739dd],.VPNavScreen.fade-leave-to .container[data-v-cc5739dd]{transform:translateY(-8px)}@media (min-width: 768px){.VPNavScreen[data-v-cc5739dd]{display:none}}.container[data-v-cc5739dd]{margin:0 auto;padding:24px 0 96px;max-width:288px}.menu+.translations[data-v-cc5739dd],.menu+.appearance[data-v-cc5739dd],.translations+.appearance[data-v-cc5739dd]{margin-top:24px}.menu+.social-links[data-v-cc5739dd]{margin-top:16px}.appearance+.social-links[data-v-cc5739dd]{margin-top:16px}.VPNav[data-v-ae24b3ad]{position:relative;top:var(--vp-layout-top-height, 0px);left:0;z-index:var(--vp-z-index-nav);width:100%;pointer-events:none;transition:background-color .5s}@media (min-width: 960px){.VPNav[data-v-ae24b3ad]{position:fixed}}.VPSidebarItem.level-0[data-v-b8d55f3b]{padding-bottom:24px}.VPSidebarItem.collapsed.level-0[data-v-b8d55f3b]{padding-bottom:10px}.item[data-v-b8d55f3b]{position:relative;display:flex;width:100%}.VPSidebarItem.collapsible>.item[data-v-b8d55f3b]{cursor:pointer}.indicator[data-v-b8d55f3b]{position:absolute;top:6px;bottom:6px;left:-17px;width:2px;border-radius:2px;transition:background-color .25s}.VPSidebarItem.level-2.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-3.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-4.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-5.is-active>.item>.indicator[data-v-b8d55f3b]{background-color:var(--vp-c-brand-1)}.link[data-v-b8d55f3b]{display:flex;align-items:center;flex-grow:1}.text[data-v-b8d55f3b]{flex-grow:1;padding:4px 0;line-height:24px;font-size:14px;transition:color .25s}.VPSidebarItem.level-0 .text[data-v-b8d55f3b]{font-weight:700;color:var(--vp-c-text-1)}.VPSidebarItem.level-1 .text[data-v-b8d55f3b],.VPSidebarItem.level-2 .text[data-v-b8d55f3b],.VPSidebarItem.level-3 .text[data-v-b8d55f3b],.VPSidebarItem.level-4 .text[data-v-b8d55f3b],.VPSidebarItem.level-5 .text[data-v-b8d55f3b]{font-weight:500;color:var(--vp-c-text-2)}.VPSidebarItem.level-0.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-1.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-2.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-3.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-4.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-5.is-link>.item>.link:hover .text[data-v-b8d55f3b]{color:var(--vp-c-brand-1)}.VPSidebarItem.level-0.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-0.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.has-active>.item>.link>.text[data-v-b8d55f3b]{color:var(--vp-c-text-1)}.VPSidebarItem.level-0.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.is-active>.item .link>.text[data-v-b8d55f3b]{color:var(--vp-c-brand-1)}.caret[data-v-b8d55f3b]{display:flex;justify-content:center;align-items:center;margin-right:-7px;width:32px;height:32px;color:var(--vp-c-text-3);cursor:pointer;transition:color .25s;flex-shrink:0}.item:hover .caret[data-v-b8d55f3b]{color:var(--vp-c-text-2)}.item:hover .caret[data-v-b8d55f3b]:hover{color:var(--vp-c-text-1)}.caret-icon[data-v-b8d55f3b]{font-size:18px;transform:rotate(90deg);transition:transform .25s}.VPSidebarItem.collapsed .caret-icon[data-v-b8d55f3b]{transform:rotate(0)}.VPSidebarItem.level-1 .items[data-v-b8d55f3b],.VPSidebarItem.level-2 .items[data-v-b8d55f3b],.VPSidebarItem.level-3 .items[data-v-b8d55f3b],.VPSidebarItem.level-4 .items[data-v-b8d55f3b],.VPSidebarItem.level-5 .items[data-v-b8d55f3b]{border-left:1px solid var(--vp-c-divider);padding-left:16px}.VPSidebarItem.collapsed .items[data-v-b8d55f3b]{display:none}.VPSidebar[data-v-575e6a36]{position:fixed;top:var(--vp-layout-top-height, 0px);bottom:0;left:0;z-index:var(--vp-z-index-sidebar);padding:32px 32px 96px;width:calc(100vw - 64px);max-width:320px;background-color:var(--vp-sidebar-bg-color);opacity:0;box-shadow:var(--vp-c-shadow-3);overflow-x:hidden;overflow-y:auto;transform:translate(-100%);transition:opacity .5s,transform .25s ease;overscroll-behavior:contain}.VPSidebar.open[data-v-575e6a36]{opacity:1;visibility:visible;transform:translate(0);transition:opacity .25s,transform .5s cubic-bezier(.19,1,.22,1)}.dark .VPSidebar[data-v-575e6a36]{box-shadow:var(--vp-shadow-1)}@media (min-width: 960px){.VPSidebar[data-v-575e6a36]{padding-top:var(--vp-nav-height);width:var(--vp-sidebar-width);max-width:100%;background-color:var(--vp-sidebar-bg-color);opacity:1;visibility:visible;box-shadow:none;transform:translate(0)}}@media (min-width: 1440px){.VPSidebar[data-v-575e6a36]{padding-left:max(32px,calc((100% - (var(--vp-layout-max-width) - 64px)) / 2));width:calc((100% - (var(--vp-layout-max-width) - 64px)) / 2 + var(--vp-sidebar-width) - 32px)}}@media (min-width: 960px){.curtain[data-v-575e6a36]{position:sticky;top:-64px;left:0;z-index:1;margin-top:calc(var(--vp-nav-height) * -1);margin-right:-32px;margin-left:-32px;height:var(--vp-nav-height);background-color:var(--vp-sidebar-bg-color)}}.nav[data-v-575e6a36]{outline:0}.group+.group[data-v-575e6a36]{border-top:1px solid var(--vp-c-divider);padding-top:10px}@media (min-width: 960px){.group[data-v-575e6a36]{padding-top:10px;width:calc(var(--vp-sidebar-width) - 64px)}}.VPSkipLink[data-v-0f60ec36]{top:8px;left:8px;padding:8px 16px;z-index:999;border-radius:8px;font-size:12px;font-weight:700;text-decoration:none;color:var(--vp-c-brand-1);box-shadow:var(--vp-shadow-3);background-color:var(--vp-c-bg)}.VPSkipLink[data-v-0f60ec36]:focus{height:auto;width:auto;clip:auto;clip-path:none}@media (min-width: 1280px){.VPSkipLink[data-v-0f60ec36]{top:14px;left:16px}}.Layout[data-v-5d98c3a5]{display:flex;flex-direction:column;min-height:100vh}.VPHomeSponsors[data-v-3d121b4a]{border-top:1px solid var(--vp-c-gutter);padding-top:88px!important}.VPHomeSponsors[data-v-3d121b4a]{margin:96px 0}@media (min-width: 768px){.VPHomeSponsors[data-v-3d121b4a]{margin:128px 0}}.VPHomeSponsors[data-v-3d121b4a]{padding:0 24px}@media (min-width: 768px){.VPHomeSponsors[data-v-3d121b4a]{padding:0 48px}}@media (min-width: 960px){.VPHomeSponsors[data-v-3d121b4a]{padding:0 64px}}.container[data-v-3d121b4a]{margin:0 auto;max-width:1152px}.love[data-v-3d121b4a]{margin:0 auto;width:fit-content;font-size:28px;color:var(--vp-c-text-3)}.icon[data-v-3d121b4a]{display:inline-block}.message[data-v-3d121b4a]{margin:0 auto;padding-top:10px;max-width:320px;text-align:center;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}.sponsors[data-v-3d121b4a]{padding-top:32px}.action[data-v-3d121b4a]{padding-top:40px;text-align:center}.VPTeamPage[data-v-7c57f839]{margin:96px 0}@media (min-width: 768px){.VPTeamPage[data-v-7c57f839]{margin:128px 0}}.VPHome .VPTeamPageTitle[data-v-7c57f839-s]{border-top:1px solid var(--vp-c-gutter);padding-top:88px!important}.VPTeamPageSection+.VPTeamPageSection[data-v-7c57f839-s],.VPTeamMembers+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:64px}.VPTeamMembers+.VPTeamMembers[data-v-7c57f839-s]{margin-top:24px}@media (min-width: 768px){.VPTeamPageTitle+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:16px}.VPTeamPageSection+.VPTeamPageSection[data-v-7c57f839-s],.VPTeamMembers+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:96px}}.VPTeamMembers[data-v-7c57f839-s]{padding:0 24px}@media (min-width: 768px){.VPTeamMembers[data-v-7c57f839-s]{padding:0 48px}}@media (min-width: 960px){.VPTeamMembers[data-v-7c57f839-s]{padding:0 64px}}.VPTeamPageTitle[data-v-bf2cbdac]{padding:48px 32px;text-align:center}@media (min-width: 768px){.VPTeamPageTitle[data-v-bf2cbdac]{padding:64px 48px 48px}}@media (min-width: 960px){.VPTeamPageTitle[data-v-bf2cbdac]{padding:80px 64px 48px}}.title[data-v-bf2cbdac]{letter-spacing:0;line-height:44px;font-size:36px;font-weight:500}@media (min-width: 768px){.title[data-v-bf2cbdac]{letter-spacing:-.5px;line-height:56px;font-size:48px}}.lead[data-v-bf2cbdac]{margin:0 auto;max-width:512px;padding-top:12px;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}@media (min-width: 768px){.lead[data-v-bf2cbdac]{max-width:592px;letter-spacing:.15px;line-height:28px;font-size:20px}}.VPTeamPageSection[data-v-b1a88750]{padding:0 32px}@media (min-width: 768px){.VPTeamPageSection[data-v-b1a88750]{padding:0 48px}}@media (min-width: 960px){.VPTeamPageSection[data-v-b1a88750]{padding:0 64px}}.title[data-v-b1a88750]{position:relative;margin:0 auto;max-width:1152px;text-align:center;color:var(--vp-c-text-2)}.title-line[data-v-b1a88750]{position:absolute;top:16px;left:0;width:100%;height:1px;background-color:var(--vp-c-divider)}.title-text[data-v-b1a88750]{position:relative;display:inline-block;padding:0 24px;letter-spacing:0;line-height:32px;font-size:20px;font-weight:500;background-color:var(--vp-c-bg)}.lead[data-v-b1a88750]{margin:0 auto;max-width:480px;padding-top:12px;text-align:center;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}.members[data-v-b1a88750]{padding-top:40px}.VPTeamMembersItem[data-v-f3fa364a]{display:flex;flex-direction:column;gap:2px;border-radius:12px;width:100%;height:100%;overflow:hidden}.VPTeamMembersItem.small .profile[data-v-f3fa364a]{padding:32px}.VPTeamMembersItem.small .data[data-v-f3fa364a]{padding-top:20px}.VPTeamMembersItem.small .avatar[data-v-f3fa364a]{width:64px;height:64px}.VPTeamMembersItem.small .name[data-v-f3fa364a]{line-height:24px;font-size:16px}.VPTeamMembersItem.small .affiliation[data-v-f3fa364a]{padding-top:4px;line-height:20px;font-size:14px}.VPTeamMembersItem.small .desc[data-v-f3fa364a]{padding-top:12px;line-height:20px;font-size:14px}.VPTeamMembersItem.small .links[data-v-f3fa364a]{margin:0 -16px -20px;padding:10px 0 0}.VPTeamMembersItem.medium .profile[data-v-f3fa364a]{padding:48px 32px}.VPTeamMembersItem.medium .data[data-v-f3fa364a]{padding-top:24px;text-align:center}.VPTeamMembersItem.medium .avatar[data-v-f3fa364a]{width:96px;height:96px}.VPTeamMembersItem.medium .name[data-v-f3fa364a]{letter-spacing:.15px;line-height:28px;font-size:20px}.VPTeamMembersItem.medium .affiliation[data-v-f3fa364a]{padding-top:4px;font-size:16px}.VPTeamMembersItem.medium .desc[data-v-f3fa364a]{padding-top:16px;max-width:288px;font-size:16px}.VPTeamMembersItem.medium .links[data-v-f3fa364a]{margin:0 -16px -12px;padding:16px 12px 0}.profile[data-v-f3fa364a]{flex-grow:1;background-color:var(--vp-c-bg-soft)}.data[data-v-f3fa364a]{text-align:center}.avatar[data-v-f3fa364a]{position:relative;flex-shrink:0;margin:0 auto;border-radius:50%;box-shadow:var(--vp-shadow-3)}.avatar-img[data-v-f3fa364a]{position:absolute;top:0;right:0;bottom:0;left:0;border-radius:50%;object-fit:cover}.name[data-v-f3fa364a]{margin:0;font-weight:600}.affiliation[data-v-f3fa364a]{margin:0;font-weight:500;color:var(--vp-c-text-2)}.org.link[data-v-f3fa364a]{color:var(--vp-c-text-2);transition:color .25s}.org.link[data-v-f3fa364a]:hover{color:var(--vp-c-brand-1)}.desc[data-v-f3fa364a]{margin:0 auto}.desc[data-v-f3fa364a] a{font-weight:500;color:var(--vp-c-brand-1);text-decoration-style:dotted;transition:color .25s}.links[data-v-f3fa364a]{display:flex;justify-content:center;height:56px}.sp-link[data-v-f3fa364a]{display:flex;justify-content:center;align-items:center;text-align:center;padding:16px;font-size:14px;font-weight:500;color:var(--vp-c-sponsor);background-color:var(--vp-c-bg-soft);transition:color .25s,background-color .25s}.sp .sp-link.link[data-v-f3fa364a]:hover,.sp .sp-link.link[data-v-f3fa364a]:focus{outline:none;color:var(--vp-c-white);background-color:var(--vp-c-sponsor)}.sp-icon[data-v-f3fa364a]{margin-right:8px;font-size:16px}.VPTeamMembers.small .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(224px,1fr))}.VPTeamMembers.small.count-1 .container[data-v-6cb0dbc4]{max-width:276px}.VPTeamMembers.small.count-2 .container[data-v-6cb0dbc4]{max-width:576px}.VPTeamMembers.small.count-3 .container[data-v-6cb0dbc4]{max-width:876px}.VPTeamMembers.medium .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(256px,1fr))}@media (min-width: 375px){.VPTeamMembers.medium .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(288px,1fr))}}.VPTeamMembers.medium.count-1 .container[data-v-6cb0dbc4]{max-width:368px}.VPTeamMembers.medium.count-2 .container[data-v-6cb0dbc4]{max-width:760px}.container[data-v-6cb0dbc4]{display:grid;gap:24px;margin:0 auto;max-width:1152px}:root{--vp-c-default-1: var(--vp-c-gray-1);--vp-c-default-2: var(--vp-c-gray-2);--vp-c-default-3: var(--vp-c-gray-3);--vp-c-default-soft: var(--vp-c-gray-soft);--vp-c-brand-1: var(--vp-c-indigo-1);--vp-c-brand-2: var(--vp-c-indigo-2);--vp-c-brand-3: var(--vp-c-indigo-3);--vp-c-brand-soft: var(--vp-c-indigo-soft);--vp-c-tip-1: var(--vp-c-brand-1);--vp-c-tip-2: var(--vp-c-brand-2);--vp-c-tip-3: var(--vp-c-brand-3);--vp-c-tip-soft: var(--vp-c-brand-soft);--vp-c-warning-1: var(--vp-c-yellow-1);--vp-c-warning-2: var(--vp-c-yellow-2);--vp-c-warning-3: var(--vp-c-yellow-3);--vp-c-warning-soft: var(--vp-c-yellow-soft);--vp-c-danger-1: var(--vp-c-red-1);--vp-c-danger-2: var(--vp-c-red-2);--vp-c-danger-3: var(--vp-c-red-3);--vp-c-danger-soft: var(--vp-c-red-soft)}:root{--vp-button-brand-border: transparent;--vp-button-brand-text: var(--vp-c-white);--vp-button-brand-bg: var(--vp-c-brand-3);--vp-button-brand-hover-border: transparent;--vp-button-brand-hover-text: var(--vp-c-white);--vp-button-brand-hover-bg: var(--vp-c-brand-2);--vp-button-brand-active-border: transparent;--vp-button-brand-active-text: var(--vp-c-white);--vp-button-brand-active-bg: var(--vp-c-brand-1)}:root{--vp-home-hero-name-color: transparent;--vp-home-hero-name-background: -webkit-linear-gradient( 120deg, #bd34fe 30%, #41d1ff );--vp-home-hero-image-background-image: linear-gradient( -45deg, #bd34fe 50%, #47caff 50% );--vp-home-hero-image-filter: blur(44px)}@media (min-width: 640px){:root{--vp-home-hero-image-filter: blur(56px)}}@media (min-width: 960px){:root{--vp-home-hero-image-filter: blur(68px)}}:root{--vp-custom-block-tip-border: transparent;--vp-custom-block-tip-text: var(--vp-c-text-1);--vp-custom-block-tip-bg: var(--vp-c-brand-soft);--vp-custom-block-tip-code-bg: var(--vp-c-brand-soft)}.DocSearch{--docsearch-primary-color: var(--vp-c-brand-1) !important}.VPLocalSearchBox[data-v-639d7ab9]{position:fixed;z-index:100;top:0;right:0;bottom:0;left:0;display:flex}.backdrop[data-v-639d7ab9]{position:absolute;top:0;right:0;bottom:0;left:0;background:var(--vp-backdrop-bg-color);transition:opacity .5s}.shell[data-v-639d7ab9]{position:relative;padding:12px;margin:64px auto;display:flex;flex-direction:column;gap:16px;background:var(--vp-local-search-bg);width:min(100vw - 60px,900px);height:min-content;max-height:min(100vh - 128px,900px);border-radius:6px}@media (max-width: 767px){.shell[data-v-639d7ab9]{margin:0;width:100vw;height:100vh;max-height:none;border-radius:0}}.search-bar[data-v-639d7ab9]{border:1px solid var(--vp-c-divider);border-radius:4px;display:flex;align-items:center;padding:0 12px;cursor:text}@media (max-width: 767px){.search-bar[data-v-639d7ab9]{padding:0 8px}}.search-bar[data-v-639d7ab9]:focus-within{border-color:var(--vp-c-brand-1)}.local-search-icon[data-v-639d7ab9]{display:block;font-size:18px}.navigate-icon[data-v-639d7ab9]{display:block;font-size:14px}.search-icon[data-v-639d7ab9]{margin:8px}@media (max-width: 767px){.search-icon[data-v-639d7ab9]{display:none}}.search-input[data-v-639d7ab9]{padding:6px 12px;font-size:inherit;width:100%}@media (max-width: 767px){.search-input[data-v-639d7ab9]{padding:6px 4px}}.search-actions[data-v-639d7ab9]{display:flex;gap:4px}@media (any-pointer: coarse){.search-actions[data-v-639d7ab9]{gap:8px}}@media (min-width: 769px){.search-actions.before[data-v-639d7ab9]{display:none}}.search-actions button[data-v-639d7ab9]{padding:8px}.search-actions button[data-v-639d7ab9]:not([disabled]):hover,.toggle-layout-button.detailed-list[data-v-639d7ab9]{color:var(--vp-c-brand-1)}.search-actions button.clear-button[data-v-639d7ab9]:disabled{opacity:.37}.search-keyboard-shortcuts[data-v-639d7ab9]{font-size:.8rem;opacity:75%;display:flex;flex-wrap:wrap;gap:16px;line-height:14px}.search-keyboard-shortcuts span[data-v-639d7ab9]{display:flex;align-items:center;gap:4px}@media (max-width: 767px){.search-keyboard-shortcuts[data-v-639d7ab9]{display:none}}.search-keyboard-shortcuts kbd[data-v-639d7ab9]{background:#8080801a;border-radius:4px;padding:3px 6px;min-width:24px;display:inline-block;text-align:center;vertical-align:middle;border:1px solid rgba(128,128,128,.15);box-shadow:0 2px 2px #0000001a}.results[data-v-639d7ab9]{display:flex;flex-direction:column;gap:6px;overflow-x:hidden;overflow-y:auto;overscroll-behavior:contain}.result[data-v-639d7ab9]{display:flex;align-items:center;gap:8px;border-radius:4px;transition:none;line-height:1rem;border:solid 2px var(--vp-local-search-result-border);outline:none}.result>div[data-v-639d7ab9]{margin:12px;width:100%;overflow:hidden}@media (max-width: 767px){.result>div[data-v-639d7ab9]{margin:8px}}.titles[data-v-639d7ab9]{display:flex;flex-wrap:wrap;gap:4px;position:relative;z-index:1001;padding:2px 0}.title[data-v-639d7ab9]{display:flex;align-items:center;gap:4px}.title.main[data-v-639d7ab9]{font-weight:500}.title-icon[data-v-639d7ab9]{opacity:.5;font-weight:500;color:var(--vp-c-brand-1)}.title svg[data-v-639d7ab9]{opacity:.5}.result.selected[data-v-639d7ab9]{--vp-local-search-result-bg: var(--vp-local-search-result-selected-bg);border-color:var(--vp-local-search-result-selected-border)}.excerpt-wrapper[data-v-639d7ab9]{position:relative}.excerpt[data-v-639d7ab9]{opacity:75%;pointer-events:none;max-height:140px;overflow:hidden;position:relative;opacity:.5;margin-top:4px}.result.selected .excerpt[data-v-639d7ab9]{opacity:1}.excerpt[data-v-639d7ab9] *{font-size:.8rem!important;line-height:130%!important}.titles[data-v-639d7ab9] mark,.excerpt[data-v-639d7ab9] mark{background-color:var(--vp-local-search-highlight-bg);color:var(--vp-local-search-highlight-text);border-radius:2px;padding:0 2px}.excerpt[data-v-639d7ab9] .vp-code-group .tabs{display:none}.excerpt[data-v-639d7ab9] .vp-code-group div[class*=language-]{border-radius:8px!important}.excerpt-gradient-bottom[data-v-639d7ab9]{position:absolute;bottom:-1px;left:0;width:100%;height:8px;background:linear-gradient(transparent,var(--vp-local-search-result-bg));z-index:1000}.excerpt-gradient-top[data-v-639d7ab9]{position:absolute;top:-1px;left:0;width:100%;height:8px;background:linear-gradient(var(--vp-local-search-result-bg),transparent);z-index:1000}.result.selected .titles[data-v-639d7ab9],.result.selected .title-icon[data-v-639d7ab9]{color:var(--vp-c-brand-1)!important}.no-results[data-v-639d7ab9]{font-size:.9rem;text-align:center;padding:12px}svg[data-v-639d7ab9]{flex:none} diff --git a/docs/.vitepress/dist/assets/style.DnghZTc-.css b/docs/.vitepress/dist/assets/style.DnghZTc-.css new file mode 100644 index 0000000..bc05d2b --- /dev/null +++ b/docs/.vitepress/dist/assets/style.DnghZTc-.css @@ -0,0 +1 @@ +@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-cyrillic-ext.BBPuwvHQ.woff2) format("woff2");unicode-range:U+0460-052F,U+1C80-1C88,U+20B4,U+2DE0-2DFF,U+A640-A69F,U+FE2E-FE2F}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-cyrillic.C5lxZ8CY.woff2) format("woff2");unicode-range:U+0301,U+0400-045F,U+0490-0491,U+04B0-04B1,U+2116}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-greek-ext.CqjqNYQ-.woff2) format("woff2");unicode-range:U+1F00-1FFF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-greek.BBVDIX6e.woff2) format("woff2");unicode-range:U+0370-0377,U+037A-037F,U+0384-038A,U+038C,U+038E-03A1,U+03A3-03FF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-vietnamese.BjW4sHH5.woff2) format("woff2");unicode-range:U+0102-0103,U+0110-0111,U+0128-0129,U+0168-0169,U+01A0-01A1,U+01AF-01B0,U+0300-0301,U+0303-0304,U+0308-0309,U+0323,U+0329,U+1EA0-1EF9,U+20AB}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-latin-ext.4ZJIpNVo.woff2) format("woff2");unicode-range:U+0100-02AF,U+0304,U+0308,U+0329,U+1E00-1E9F,U+1EF2-1EFF,U+2020,U+20A0-20AB,U+20AD-20C0,U+2113,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Inter;font-style:normal;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-roman-latin.Di8DUHzh.woff2) format("woff2");unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02BB-02BC,U+02C6,U+02DA,U+02DC,U+0304,U+0308,U+0329,U+2000-206F,U+2074,U+20AC,U+2122,U+2191,U+2193,U+2212,U+2215,U+FEFF,U+FFFD}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-cyrillic-ext.r48I6akx.woff2) format("woff2");unicode-range:U+0460-052F,U+1C80-1C88,U+20B4,U+2DE0-2DFF,U+A640-A69F,U+FE2E-FE2F}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-cyrillic.By2_1cv3.woff2) format("woff2");unicode-range:U+0301,U+0400-045F,U+0490-0491,U+04B0-04B1,U+2116}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-greek-ext.1u6EdAuj.woff2) format("woff2");unicode-range:U+1F00-1FFF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-greek.DJ8dCoTZ.woff2) format("woff2");unicode-range:U+0370-0377,U+037A-037F,U+0384-038A,U+038C,U+038E-03A1,U+03A3-03FF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-vietnamese.BSbpV94h.woff2) format("woff2");unicode-range:U+0102-0103,U+0110-0111,U+0128-0129,U+0168-0169,U+01A0-01A1,U+01AF-01B0,U+0300-0301,U+0303-0304,U+0308-0309,U+0323,U+0329,U+1EA0-1EF9,U+20AB}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-latin-ext.CN1xVJS-.woff2) format("woff2");unicode-range:U+0100-02AF,U+0304,U+0308,U+0329,U+1E00-1E9F,U+1EF2-1EFF,U+2020,U+20A0-20AB,U+20AD-20C0,U+2113,U+2C60-2C7F,U+A720-A7FF}@font-face{font-family:Inter;font-style:italic;font-weight:100 900;font-display:swap;src:url(/hwlabnitc.github.io/assets/inter-italic-latin.C2AdPX0b.woff2) format("woff2");unicode-range:U+0000-00FF,U+0131,U+0152-0153,U+02BB-02BC,U+02C6,U+02DA,U+02DC,U+0304,U+0308,U+0329,U+2000-206F,U+2074,U+20AC,U+2122,U+2191,U+2193,U+2212,U+2215,U+FEFF,U+FFFD}@font-face{font-family:Punctuation SC;font-weight:400;src:local("PingFang SC Regular"),local("Noto Sans CJK SC"),local("Microsoft YaHei");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:500;src:local("PingFang SC Medium"),local("Noto Sans CJK SC"),local("Microsoft YaHei");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:600;src:local("PingFang SC Semibold"),local("Noto Sans CJK SC Bold"),local("Microsoft YaHei Bold");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}@font-face{font-family:Punctuation SC;font-weight:700;src:local("PingFang SC Semibold"),local("Noto Sans CJK SC Bold"),local("Microsoft YaHei Bold");unicode-range:U+201C,U+201D,U+2018,U+2019,U+2E3A,U+2014,U+2013,U+2026,U+00B7,U+007E,U+002F}:root{--vp-c-white: #ffffff;--vp-c-black: #000000;--vp-c-neutral: var(--vp-c-black);--vp-c-neutral-inverse: var(--vp-c-white)}.dark{--vp-c-neutral: var(--vp-c-white);--vp-c-neutral-inverse: var(--vp-c-black)}:root{--vp-c-gray-1: #dddde3;--vp-c-gray-2: #e4e4e9;--vp-c-gray-3: #ebebef;--vp-c-gray-soft: rgba(142, 150, 170, .14);--vp-c-indigo-1: #3451b2;--vp-c-indigo-2: #3a5ccc;--vp-c-indigo-3: #5672cd;--vp-c-indigo-soft: rgba(100, 108, 255, .14);--vp-c-purple-1: #6f42c1;--vp-c-purple-2: #7e4cc9;--vp-c-purple-3: #8e5cd9;--vp-c-purple-soft: rgba(159, 122, 234, .14);--vp-c-green-1: #18794e;--vp-c-green-2: #299764;--vp-c-green-3: #30a46c;--vp-c-green-soft: rgba(16, 185, 129, .14);--vp-c-yellow-1: #915930;--vp-c-yellow-2: #946300;--vp-c-yellow-3: #9f6a00;--vp-c-yellow-soft: rgba(234, 179, 8, .14);--vp-c-red-1: #b8272c;--vp-c-red-2: #d5393e;--vp-c-red-3: #e0575b;--vp-c-red-soft: rgba(244, 63, 94, .14);--vp-c-sponsor: #db2777}.dark{--vp-c-gray-1: #515c67;--vp-c-gray-2: #414853;--vp-c-gray-3: #32363f;--vp-c-gray-soft: rgba(101, 117, 133, .16);--vp-c-indigo-1: #a8b1ff;--vp-c-indigo-2: #5c73e7;--vp-c-indigo-3: #3e63dd;--vp-c-indigo-soft: rgba(100, 108, 255, .16);--vp-c-purple-1: #c8abfa;--vp-c-purple-2: #a879e6;--vp-c-purple-3: #8e5cd9;--vp-c-purple-soft: rgba(159, 122, 234, .16);--vp-c-green-1: #3dd68c;--vp-c-green-2: #30a46c;--vp-c-green-3: #298459;--vp-c-green-soft: rgba(16, 185, 129, .16);--vp-c-yellow-1: #f9b44e;--vp-c-yellow-2: #da8b17;--vp-c-yellow-3: #a46a0a;--vp-c-yellow-soft: rgba(234, 179, 8, .16);--vp-c-red-1: #f66f81;--vp-c-red-2: #f14158;--vp-c-red-3: #b62a3c;--vp-c-red-soft: rgba(244, 63, 94, .16)}:root{--vp-c-bg: #ffffff;--vp-c-bg-alt: #f6f6f7;--vp-c-bg-elv: #ffffff;--vp-c-bg-soft: #f6f6f7}.dark{--vp-c-bg: #1b1b1f;--vp-c-bg-alt: #161618;--vp-c-bg-elv: #202127;--vp-c-bg-soft: #202127}:root{--vp-c-border: #c2c2c4;--vp-c-divider: #e2e2e3;--vp-c-gutter: #e2e2e3}.dark{--vp-c-border: #3c3f44;--vp-c-divider: #2e2e32;--vp-c-gutter: #000000}:root{--vp-c-text-1: rgba(60, 60, 67);--vp-c-text-2: rgba(60, 60, 67, .78);--vp-c-text-3: rgba(60, 60, 67, .56)}.dark{--vp-c-text-1: rgba(255, 255, 245, .86);--vp-c-text-2: rgba(235, 235, 245, .6);--vp-c-text-3: rgba(235, 235, 245, .38)}:root{--vp-c-default-1: var(--vp-c-gray-1);--vp-c-default-2: var(--vp-c-gray-2);--vp-c-default-3: var(--vp-c-gray-3);--vp-c-default-soft: var(--vp-c-gray-soft);--vp-c-brand-1: var(--vp-c-indigo-1);--vp-c-brand-2: var(--vp-c-indigo-2);--vp-c-brand-3: var(--vp-c-indigo-3);--vp-c-brand-soft: var(--vp-c-indigo-soft);--vp-c-brand: var(--vp-c-brand-1);--vp-c-tip-1: var(--vp-c-brand-1);--vp-c-tip-2: var(--vp-c-brand-2);--vp-c-tip-3: var(--vp-c-brand-3);--vp-c-tip-soft: var(--vp-c-brand-soft);--vp-c-note-1: var(--vp-c-brand-1);--vp-c-note-2: var(--vp-c-brand-2);--vp-c-note-3: var(--vp-c-brand-3);--vp-c-note-soft: var(--vp-c-brand-soft);--vp-c-success-1: var(--vp-c-green-1);--vp-c-success-2: var(--vp-c-green-2);--vp-c-success-3: var(--vp-c-green-3);--vp-c-success-soft: var(--vp-c-green-soft);--vp-c-important-1: var(--vp-c-purple-1);--vp-c-important-2: var(--vp-c-purple-2);--vp-c-important-3: var(--vp-c-purple-3);--vp-c-important-soft: var(--vp-c-purple-soft);--vp-c-warning-1: var(--vp-c-yellow-1);--vp-c-warning-2: var(--vp-c-yellow-2);--vp-c-warning-3: var(--vp-c-yellow-3);--vp-c-warning-soft: var(--vp-c-yellow-soft);--vp-c-danger-1: var(--vp-c-red-1);--vp-c-danger-2: var(--vp-c-red-2);--vp-c-danger-3: var(--vp-c-red-3);--vp-c-danger-soft: var(--vp-c-red-soft);--vp-c-caution-1: var(--vp-c-red-1);--vp-c-caution-2: var(--vp-c-red-2);--vp-c-caution-3: var(--vp-c-red-3);--vp-c-caution-soft: var(--vp-c-red-soft)}:root{--vp-font-family-base: "Inter", ui-sans-serif, system-ui, sans-serif, "Apple Color Emoji", "Segoe UI Emoji", "Segoe UI Symbol", "Noto Color Emoji";--vp-font-family-mono: ui-monospace, "Menlo", "Monaco", "Consolas", "Liberation Mono", "Courier New", monospace;font-optical-sizing:auto}:root:where(:lang(zh)){--vp-font-family-base: "Punctuation SC", "Inter", ui-sans-serif, system-ui, "PingFang SC", "Noto Sans CJK SC", "Noto Sans SC", "Heiti SC", "Microsoft YaHei", "DengXian", sans-serif, "Apple Color Emoji", "Segoe UI Emoji", "Segoe UI Symbol", "Noto Color Emoji"}:root{--vp-shadow-1: 0 1px 2px rgba(0, 0, 0, .04), 0 1px 2px rgba(0, 0, 0, .06);--vp-shadow-2: 0 3px 12px rgba(0, 0, 0, .07), 0 1px 4px rgba(0, 0, 0, .07);--vp-shadow-3: 0 12px 32px rgba(0, 0, 0, .1), 0 2px 6px rgba(0, 0, 0, .08);--vp-shadow-4: 0 14px 44px rgba(0, 0, 0, .12), 0 3px 9px rgba(0, 0, 0, .12);--vp-shadow-5: 0 18px 56px rgba(0, 0, 0, .16), 0 4px 12px rgba(0, 0, 0, .16)}:root{--vp-z-index-footer: 10;--vp-z-index-local-nav: 20;--vp-z-index-nav: 30;--vp-z-index-layout-top: 40;--vp-z-index-backdrop: 50;--vp-z-index-sidebar: 60}@media (min-width: 960px){:root{--vp-z-index-sidebar: 25}}:root{--vp-layout-max-width: 1440px}:root{--vp-header-anchor-symbol: "#"}:root{--vp-code-line-height: 1.7;--vp-code-font-size: .875em;--vp-code-color: var(--vp-c-brand-1);--vp-code-link-color: var(--vp-c-brand-1);--vp-code-link-hover-color: var(--vp-c-brand-2);--vp-code-bg: var(--vp-c-default-soft);--vp-code-block-color: var(--vp-c-text-2);--vp-code-block-bg: var(--vp-c-bg-alt);--vp-code-block-divider-color: var(--vp-c-gutter);--vp-code-lang-color: var(--vp-c-text-3);--vp-code-line-highlight-color: var(--vp-c-default-soft);--vp-code-line-number-color: var(--vp-c-text-3);--vp-code-line-diff-add-color: var(--vp-c-success-soft);--vp-code-line-diff-add-symbol-color: var(--vp-c-success-1);--vp-code-line-diff-remove-color: var(--vp-c-danger-soft);--vp-code-line-diff-remove-symbol-color: var(--vp-c-danger-1);--vp-code-line-warning-color: var(--vp-c-warning-soft);--vp-code-line-error-color: var(--vp-c-danger-soft);--vp-code-copy-code-border-color: var(--vp-c-divider);--vp-code-copy-code-bg: var(--vp-c-bg-soft);--vp-code-copy-code-hover-border-color: var(--vp-c-divider);--vp-code-copy-code-hover-bg: var(--vp-c-bg);--vp-code-copy-code-active-text: var(--vp-c-text-2);--vp-code-copy-copied-text-content: "Copied";--vp-code-tab-divider: var(--vp-code-block-divider-color);--vp-code-tab-text-color: var(--vp-c-text-2);--vp-code-tab-bg: var(--vp-code-block-bg);--vp-code-tab-hover-text-color: var(--vp-c-text-1);--vp-code-tab-active-text-color: var(--vp-c-text-1);--vp-code-tab-active-bar-color: var(--vp-c-brand-1)}:root{--vp-button-brand-border: transparent;--vp-button-brand-text: var(--vp-c-white);--vp-button-brand-bg: var(--vp-c-brand-3);--vp-button-brand-hover-border: transparent;--vp-button-brand-hover-text: var(--vp-c-white);--vp-button-brand-hover-bg: var(--vp-c-brand-2);--vp-button-brand-active-border: transparent;--vp-button-brand-active-text: var(--vp-c-white);--vp-button-brand-active-bg: var(--vp-c-brand-1);--vp-button-alt-border: transparent;--vp-button-alt-text: var(--vp-c-text-1);--vp-button-alt-bg: var(--vp-c-default-3);--vp-button-alt-hover-border: transparent;--vp-button-alt-hover-text: var(--vp-c-text-1);--vp-button-alt-hover-bg: var(--vp-c-default-2);--vp-button-alt-active-border: transparent;--vp-button-alt-active-text: var(--vp-c-text-1);--vp-button-alt-active-bg: var(--vp-c-default-1);--vp-button-sponsor-border: var(--vp-c-text-2);--vp-button-sponsor-text: var(--vp-c-text-2);--vp-button-sponsor-bg: transparent;--vp-button-sponsor-hover-border: var(--vp-c-sponsor);--vp-button-sponsor-hover-text: var(--vp-c-sponsor);--vp-button-sponsor-hover-bg: transparent;--vp-button-sponsor-active-border: var(--vp-c-sponsor);--vp-button-sponsor-active-text: var(--vp-c-sponsor);--vp-button-sponsor-active-bg: transparent}:root{--vp-custom-block-font-size: 14px;--vp-custom-block-code-font-size: 13px;--vp-custom-block-info-border: transparent;--vp-custom-block-info-text: var(--vp-c-text-1);--vp-custom-block-info-bg: var(--vp-c-default-soft);--vp-custom-block-info-code-bg: var(--vp-c-default-soft);--vp-custom-block-note-border: transparent;--vp-custom-block-note-text: var(--vp-c-text-1);--vp-custom-block-note-bg: var(--vp-c-default-soft);--vp-custom-block-note-code-bg: var(--vp-c-default-soft);--vp-custom-block-tip-border: transparent;--vp-custom-block-tip-text: var(--vp-c-text-1);--vp-custom-block-tip-bg: var(--vp-c-tip-soft);--vp-custom-block-tip-code-bg: var(--vp-c-tip-soft);--vp-custom-block-important-border: transparent;--vp-custom-block-important-text: var(--vp-c-text-1);--vp-custom-block-important-bg: var(--vp-c-important-soft);--vp-custom-block-important-code-bg: var(--vp-c-important-soft);--vp-custom-block-warning-border: transparent;--vp-custom-block-warning-text: var(--vp-c-text-1);--vp-custom-block-warning-bg: var(--vp-c-warning-soft);--vp-custom-block-warning-code-bg: var(--vp-c-warning-soft);--vp-custom-block-danger-border: transparent;--vp-custom-block-danger-text: var(--vp-c-text-1);--vp-custom-block-danger-bg: var(--vp-c-danger-soft);--vp-custom-block-danger-code-bg: var(--vp-c-danger-soft);--vp-custom-block-caution-border: transparent;--vp-custom-block-caution-text: var(--vp-c-text-1);--vp-custom-block-caution-bg: var(--vp-c-caution-soft);--vp-custom-block-caution-code-bg: var(--vp-c-caution-soft);--vp-custom-block-details-border: var(--vp-custom-block-info-border);--vp-custom-block-details-text: var(--vp-custom-block-info-text);--vp-custom-block-details-bg: var(--vp-custom-block-info-bg);--vp-custom-block-details-code-bg: var(--vp-custom-block-info-code-bg)}:root{--vp-input-border-color: var(--vp-c-border);--vp-input-bg-color: var(--vp-c-bg-alt);--vp-input-switch-bg-color: var(--vp-c-default-soft)}:root{--vp-nav-height: 64px;--vp-nav-bg-color: var(--vp-c-bg);--vp-nav-screen-bg-color: var(--vp-c-bg);--vp-nav-logo-height: 24px}.hide-nav{--vp-nav-height: 0px}.hide-nav .VPSidebar{--vp-nav-height: 22px}:root{--vp-local-nav-bg-color: var(--vp-c-bg)}:root{--vp-sidebar-width: 272px;--vp-sidebar-bg-color: var(--vp-c-bg-alt)}:root{--vp-backdrop-bg-color: rgba(0, 0, 0, .6)}:root{--vp-home-hero-name-color: var(--vp-c-brand-1);--vp-home-hero-name-background: transparent;--vp-home-hero-image-background-image: none;--vp-home-hero-image-filter: none}:root{--vp-badge-info-border: transparent;--vp-badge-info-text: var(--vp-c-text-2);--vp-badge-info-bg: var(--vp-c-default-soft);--vp-badge-tip-border: transparent;--vp-badge-tip-text: var(--vp-c-tip-1);--vp-badge-tip-bg: var(--vp-c-tip-soft);--vp-badge-warning-border: transparent;--vp-badge-warning-text: var(--vp-c-warning-1);--vp-badge-warning-bg: var(--vp-c-warning-soft);--vp-badge-danger-border: transparent;--vp-badge-danger-text: var(--vp-c-danger-1);--vp-badge-danger-bg: var(--vp-c-danger-soft)}:root{--vp-carbon-ads-text-color: var(--vp-c-text-1);--vp-carbon-ads-poweredby-color: var(--vp-c-text-2);--vp-carbon-ads-bg-color: var(--vp-c-bg-soft);--vp-carbon-ads-hover-text-color: var(--vp-c-brand-1);--vp-carbon-ads-hover-poweredby-color: var(--vp-c-text-1)}:root{--vp-local-search-bg: var(--vp-c-bg);--vp-local-search-result-bg: var(--vp-c-bg);--vp-local-search-result-border: var(--vp-c-divider);--vp-local-search-result-selected-bg: var(--vp-c-bg);--vp-local-search-result-selected-border: var(--vp-c-brand-1);--vp-local-search-highlight-bg: var(--vp-c-brand-1);--vp-local-search-highlight-text: var(--vp-c-neutral-inverse)}@media (prefers-reduced-motion: reduce){*,:before,:after{animation-delay:-1ms!important;animation-duration:1ms!important;animation-iteration-count:1!important;background-attachment:initial!important;scroll-behavior:auto!important;transition-duration:0s!important;transition-delay:0s!important}}*,:before,:after{box-sizing:border-box}html{line-height:1.4;font-size:16px;-webkit-text-size-adjust:100%}html.dark{color-scheme:dark}body{margin:0;width:100%;min-width:320px;min-height:100vh;line-height:24px;font-family:var(--vp-font-family-base);font-size:16px;font-weight:400;color:var(--vp-c-text-1);background-color:var(--vp-c-bg);font-synthesis:style;text-rendering:optimizeLegibility;-webkit-font-smoothing:antialiased;-moz-osx-font-smoothing:grayscale}main{display:block}h1,h2,h3,h4,h5,h6{margin:0;line-height:24px;font-size:16px;font-weight:400}p{margin:0}strong,b{font-weight:600}a,area,button,[role=button],input,label,select,summary,textarea{touch-action:manipulation}a{color:inherit;text-decoration:inherit}ol,ul{list-style:none;margin:0;padding:0}blockquote{margin:0}pre,code,kbd,samp{font-family:var(--vp-font-family-mono)}img,svg,video,canvas,audio,iframe,embed,object{display:block}figure{margin:0}img,video{max-width:100%;height:auto}button,input,optgroup,select,textarea{border:0;padding:0;line-height:inherit;color:inherit}button{padding:0;font-family:inherit;background-color:transparent;background-image:none}button:enabled,[role=button]:enabled{cursor:pointer}button:focus,button:focus-visible{outline:1px dotted;outline:4px auto -webkit-focus-ring-color}button:focus:not(:focus-visible){outline:none!important}input:focus,textarea:focus,select:focus{outline:none}table{border-collapse:collapse}input{background-color:transparent}input:-ms-input-placeholder,textarea:-ms-input-placeholder{color:var(--vp-c-text-3)}input::-ms-input-placeholder,textarea::-ms-input-placeholder{color:var(--vp-c-text-3)}input::placeholder,textarea::placeholder{color:var(--vp-c-text-3)}input::-webkit-outer-spin-button,input::-webkit-inner-spin-button{-webkit-appearance:none;margin:0}input[type=number]{-moz-appearance:textfield}textarea{resize:vertical}select{-webkit-appearance:none}fieldset{margin:0;padding:0}h1,h2,h3,h4,h5,h6,li,p{overflow-wrap:break-word}vite-error-overlay{z-index:9999}mjx-container{overflow-x:auto}mjx-container>svg{display:inline-block;margin:auto}[class^=vpi-],[class*=" vpi-"],.vp-icon{width:1em;height:1em}[class^=vpi-].bg,[class*=" vpi-"].bg,.vp-icon.bg{background-size:100% 100%;background-color:transparent}[class^=vpi-]:not(.bg),[class*=" vpi-"]:not(.bg),.vp-icon:not(.bg){-webkit-mask:var(--icon) no-repeat;mask:var(--icon) no-repeat;-webkit-mask-size:100% 100%;mask-size:100% 100%;background-color:currentColor;color:inherit}.vpi-align-left{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M21 6H3M15 12H3M17 18H3'/%3E%3C/svg%3E")}.vpi-arrow-right,.vpi-arrow-down,.vpi-arrow-left,.vpi-arrow-up{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M5 12h14M12 5l7 7-7 7'/%3E%3C/svg%3E")}.vpi-chevron-right,.vpi-chevron-down,.vpi-chevron-left,.vpi-chevron-up{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m9 18 6-6-6-6'/%3E%3C/svg%3E")}.vpi-chevron-down,.vpi-arrow-down{transform:rotate(90deg)}.vpi-chevron-left,.vpi-arrow-left{transform:rotate(180deg)}.vpi-chevron-up,.vpi-arrow-up{transform:rotate(-90deg)}.vpi-square-pen{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M12 3H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-7'/%3E%3Cpath d='M18.375 2.625a2.121 2.121 0 1 1 3 3L12 15l-4 1 1-4Z'/%3E%3C/svg%3E")}.vpi-plus{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M5 12h14M12 5v14'/%3E%3C/svg%3E")}.vpi-sun{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='12' cy='12' r='4'/%3E%3Cpath d='M12 2v2M12 20v2M4.93 4.93l1.41 1.41M17.66 17.66l1.41 1.41M2 12h2M20 12h2M6.34 17.66l-1.41 1.41M19.07 4.93l-1.41 1.41'/%3E%3C/svg%3E")}.vpi-moon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M12 3a6 6 0 0 0 9 9 9 9 0 1 1-9-9Z'/%3E%3C/svg%3E")}.vpi-more-horizontal{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='12' cy='12' r='1'/%3E%3Ccircle cx='19' cy='12' r='1'/%3E%3Ccircle cx='5' cy='12' r='1'/%3E%3C/svg%3E")}.vpi-languages{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m5 8 6 6M4 14l6-6 2-3M2 5h12M7 2h1M22 22l-5-10-5 10M14 18h6'/%3E%3C/svg%3E")}.vpi-heart{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M19 14c1.49-1.46 3-3.21 3-5.5A5.5 5.5 0 0 0 16.5 3c-1.76 0-3 .5-4.5 2-1.5-1.5-2.74-2-4.5-2A5.5 5.5 0 0 0 2 8.5c0 2.3 1.5 4.05 3 5.5l7 7Z'/%3E%3C/svg%3E")}.vpi-search{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Ccircle cx='11' cy='11' r='8'/%3E%3Cpath d='m21 21-4.3-4.3'/%3E%3C/svg%3E")}.vpi-layout-list{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='7' height='7' x='3' y='3' rx='1'/%3E%3Crect width='7' height='7' x='3' y='14' rx='1'/%3E%3Cpath d='M14 4h7M14 9h7M14 15h7M14 20h7'/%3E%3C/svg%3E")}.vpi-delete{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='M20 5H9l-7 7 7 7h11a2 2 0 0 0 2-2V7a2 2 0 0 0-2-2ZM18 9l-6 6M12 9l6 6'/%3E%3C/svg%3E")}.vpi-corner-down-left{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Cpath d='m9 10-5 5 5 5'/%3E%3Cpath d='M20 4v7a4 4 0 0 1-4 4H4'/%3E%3C/svg%3E")}:root{--vp-icon-copy: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='rgba(128,128,128,1)' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='8' height='4' x='8' y='2' rx='1' ry='1'/%3E%3Cpath d='M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2'/%3E%3C/svg%3E");--vp-icon-copied: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' fill='none' stroke='rgba(128,128,128,1)' stroke-linecap='round' stroke-linejoin='round' stroke-width='2' viewBox='0 0 24 24'%3E%3Crect width='8' height='4' x='8' y='2' rx='1' ry='1'/%3E%3Cpath d='M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2'/%3E%3Cpath d='m9 14 2 2 4-4'/%3E%3C/svg%3E")}.vpi-social-discord{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M20.317 4.37a19.791 19.791 0 0 0-4.885-1.515.074.074 0 0 0-.079.037c-.21.375-.444.864-.608 1.25a18.27 18.27 0 0 0-5.487 0 12.64 12.64 0 0 0-.617-1.25.077.077 0 0 0-.079-.037A19.736 19.736 0 0 0 3.677 4.37a.07.07 0 0 0-.032.027C.533 9.046-.32 13.58.099 18.057a.082.082 0 0 0 .031.057 19.9 19.9 0 0 0 5.993 3.03.078.078 0 0 0 .084-.028c.462-.63.874-1.295 1.226-1.994a.076.076 0 0 0-.041-.106 13.107 13.107 0 0 1-1.872-.892.077.077 0 0 1-.008-.128 10.2 10.2 0 0 0 .372-.292.074.074 0 0 1 .077-.01c3.928 1.793 8.18 1.793 12.062 0a.074.074 0 0 1 .078.01c.12.098.246.198.373.292a.077.077 0 0 1-.006.127 12.299 12.299 0 0 1-1.873.892.077.077 0 0 0-.041.107c.36.698.772 1.362 1.225 1.993a.076.076 0 0 0 .084.028 19.839 19.839 0 0 0 6.002-3.03.077.077 0 0 0 .032-.054c.5-5.177-.838-9.674-3.549-13.66a.061.061 0 0 0-.031-.03zM8.02 15.33c-1.183 0-2.157-1.085-2.157-2.419 0-1.333.956-2.419 2.157-2.419 1.21 0 2.176 1.096 2.157 2.42 0 1.333-.956 2.418-2.157 2.418zm7.975 0c-1.183 0-2.157-1.085-2.157-2.419 0-1.333.955-2.419 2.157-2.419 1.21 0 2.176 1.096 2.157 2.42 0 1.333-.946 2.418-2.157 2.418Z'/%3E%3C/svg%3E")}.vpi-social-facebook{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M9.101 23.691v-7.98H6.627v-3.667h2.474v-1.58c0-4.085 1.848-5.978 5.858-5.978.401 0 .955.042 1.468.103a8.68 8.68 0 0 1 1.141.195v3.325a8.623 8.623 0 0 0-.653-.036 26.805 26.805 0 0 0-.733-.009c-.707 0-1.259.096-1.675.309a1.686 1.686 0 0 0-.679.622c-.258.42-.374.995-.374 1.752v1.297h3.919l-.386 2.103-.287 1.564h-3.246v8.245C19.396 23.238 24 18.179 24 12.044c0-6.627-5.373-12-12-12s-12 5.373-12 12c0 5.628 3.874 10.35 9.101 11.647Z'/%3E%3C/svg%3E")}.vpi-social-github{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M12 .297c-6.63 0-12 5.373-12 12 0 5.303 3.438 9.8 8.205 11.385.6.113.82-.258.82-.577 0-.285-.01-1.04-.015-2.04-3.338.724-4.042-1.61-4.042-1.61C4.422 18.07 3.633 17.7 3.633 17.7c-1.087-.744.084-.729.084-.729 1.205.084 1.838 1.236 1.838 1.236 1.07 1.835 2.809 1.305 3.495.998.108-.776.417-1.305.76-1.605-2.665-.3-5.466-1.332-5.466-5.93 0-1.31.465-2.38 1.235-3.22-.135-.303-.54-1.523.105-3.176 0 0 1.005-.322 3.3 1.23.96-.267 1.98-.399 3-.405 1.02.006 2.04.138 3 .405 2.28-1.552 3.285-1.23 3.285-1.23.645 1.653.24 2.873.12 3.176.765.84 1.23 1.91 1.23 3.22 0 4.61-2.805 5.625-5.475 5.92.42.36.81 1.096.81 2.22 0 1.606-.015 2.896-.015 3.286 0 .315.21.69.825.57C20.565 22.092 24 17.592 24 12.297c0-6.627-5.373-12-12-12'/%3E%3C/svg%3E")}.vpi-social-instagram{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M7.03.084c-1.277.06-2.149.264-2.91.563a5.874 5.874 0 0 0-2.124 1.388 5.878 5.878 0 0 0-1.38 2.127C.321 4.926.12 5.8.064 7.076.008 8.354-.005 8.764.001 12.023c.007 3.259.021 3.667.083 4.947.061 1.277.264 2.149.563 2.911.308.789.72 1.457 1.388 2.123a5.872 5.872 0 0 0 2.129 1.38c.763.295 1.636.496 2.913.552 1.278.056 1.689.069 4.947.063 3.257-.007 3.668-.021 4.947-.082 1.28-.06 2.147-.265 2.91-.563a5.881 5.881 0 0 0 2.123-1.388 5.881 5.881 0 0 0 1.38-2.129c.295-.763.496-1.636.551-2.912.056-1.28.07-1.69.063-4.948-.006-3.258-.02-3.667-.081-4.947-.06-1.28-.264-2.148-.564-2.911a5.892 5.892 0 0 0-1.387-2.123 5.857 5.857 0 0 0-2.128-1.38C19.074.322 18.202.12 16.924.066 15.647.009 15.236-.006 11.977 0 8.718.008 8.31.021 7.03.084m.14 21.693c-1.17-.05-1.805-.245-2.228-.408a3.736 3.736 0 0 1-1.382-.895 3.695 3.695 0 0 1-.9-1.378c-.165-.423-.363-1.058-.417-2.228-.06-1.264-.072-1.644-.08-4.848-.006-3.204.006-3.583.061-4.848.05-1.169.246-1.805.408-2.228.216-.561.477-.96.895-1.382a3.705 3.705 0 0 1 1.379-.9c.423-.165 1.057-.361 2.227-.417 1.265-.06 1.644-.072 4.848-.08 3.203-.006 3.583.006 4.85.062 1.168.05 1.804.244 2.227.408.56.216.96.475 1.382.895.421.42.681.817.9 1.378.165.422.362 1.056.417 2.227.06 1.265.074 1.645.08 4.848.005 3.203-.006 3.583-.061 4.848-.051 1.17-.245 1.805-.408 2.23-.216.56-.477.96-.896 1.38a3.705 3.705 0 0 1-1.378.9c-.422.165-1.058.362-2.226.418-1.266.06-1.645.072-4.85.079-3.204.007-3.582-.006-4.848-.06m9.783-16.192a1.44 1.44 0 1 0 1.437-1.442 1.44 1.44 0 0 0-1.437 1.442M5.839 12.012a6.161 6.161 0 1 0 12.323-.024 6.162 6.162 0 0 0-12.323.024M8 12.008A4 4 0 1 1 12.008 16 4 4 0 0 1 8 12.008'/%3E%3C/svg%3E")}.vpi-social-linkedin{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M20.447 20.452h-3.554v-5.569c0-1.328-.027-3.037-1.852-3.037-1.853 0-2.136 1.445-2.136 2.939v5.667H9.351V9h3.414v1.561h.046c.477-.9 1.637-1.85 3.37-1.85 3.601 0 4.267 2.37 4.267 5.455v6.286zM5.337 7.433a2.062 2.062 0 0 1-2.063-2.065 2.064 2.064 0 1 1 2.063 2.065zm1.782 13.019H3.555V9h3.564v11.452zM22.225 0H1.771C.792 0 0 .774 0 1.729v20.542C0 23.227.792 24 1.771 24h20.451C23.2 24 24 23.227 24 22.271V1.729C24 .774 23.2 0 22.222 0h.003z'/%3E%3C/svg%3E")}.vpi-social-mastodon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M23.268 5.313c-.35-2.578-2.617-4.61-5.304-5.004C17.51.242 15.792 0 11.813 0h-.03c-3.98 0-4.835.242-5.288.309C3.882.692 1.496 2.518.917 5.127.64 6.412.61 7.837.661 9.143c.074 1.874.088 3.745.26 5.611.118 1.24.325 2.47.62 3.68.55 2.237 2.777 4.098 4.96 4.857 2.336.792 4.849.923 7.256.38.265-.061.527-.132.786-.213.585-.184 1.27-.39 1.774-.753a.057.057 0 0 0 .023-.043v-1.809a.052.052 0 0 0-.02-.041.053.053 0 0 0-.046-.01 20.282 20.282 0 0 1-4.709.545c-2.73 0-3.463-1.284-3.674-1.818a5.593 5.593 0 0 1-.319-1.433.053.053 0 0 1 .066-.054c1.517.363 3.072.546 4.632.546.376 0 .75 0 1.125-.01 1.57-.044 3.224-.124 4.768-.422.038-.008.077-.015.11-.024 2.435-.464 4.753-1.92 4.989-5.604.008-.145.03-1.52.03-1.67.002-.512.167-3.63-.024-5.545zm-3.748 9.195h-2.561V8.29c0-1.309-.55-1.976-1.67-1.976-1.23 0-1.846.79-1.846 2.35v3.403h-2.546V8.663c0-1.56-.617-2.35-1.848-2.35-1.112 0-1.668.668-1.67 1.977v6.218H4.822V8.102c0-1.31.337-2.35 1.011-3.12.696-.77 1.608-1.164 2.74-1.164 1.311 0 2.302.5 2.962 1.498l.638 1.06.638-1.06c.66-.999 1.65-1.498 2.96-1.498 1.13 0 2.043.395 2.74 1.164.675.77 1.012 1.81 1.012 3.12z'/%3E%3C/svg%3E")}.vpi-social-npm{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M1.763 0C.786 0 0 .786 0 1.763v20.474C0 23.214.786 24 1.763 24h20.474c.977 0 1.763-.786 1.763-1.763V1.763C24 .786 23.214 0 22.237 0zM5.13 5.323l13.837.019-.009 13.836h-3.464l.01-10.382h-3.456L12.04 19.17H5.113z'/%3E%3C/svg%3E")}.vpi-social-slack{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M5.042 15.165a2.528 2.528 0 0 1-2.52 2.523A2.528 2.528 0 0 1 0 15.165a2.527 2.527 0 0 1 2.522-2.52h2.52v2.52zm1.271 0a2.527 2.527 0 0 1 2.521-2.52 2.527 2.527 0 0 1 2.521 2.52v6.313A2.528 2.528 0 0 1 8.834 24a2.528 2.528 0 0 1-2.521-2.522v-6.313zM8.834 5.042a2.528 2.528 0 0 1-2.521-2.52A2.528 2.528 0 0 1 8.834 0a2.528 2.528 0 0 1 2.521 2.522v2.52H8.834zm0 1.271a2.528 2.528 0 0 1 2.521 2.521 2.528 2.528 0 0 1-2.521 2.521H2.522A2.528 2.528 0 0 1 0 8.834a2.528 2.528 0 0 1 2.522-2.521h6.312zm10.122 2.521a2.528 2.528 0 0 1 2.522-2.521A2.528 2.528 0 0 1 24 8.834a2.528 2.528 0 0 1-2.522 2.521h-2.522V8.834zm-1.268 0a2.528 2.528 0 0 1-2.523 2.521 2.527 2.527 0 0 1-2.52-2.521V2.522A2.527 2.527 0 0 1 15.165 0a2.528 2.528 0 0 1 2.523 2.522v6.312zm-2.523 10.122a2.528 2.528 0 0 1 2.523 2.522A2.528 2.528 0 0 1 15.165 24a2.527 2.527 0 0 1-2.52-2.522v-2.522h2.52zm0-1.268a2.527 2.527 0 0 1-2.52-2.523 2.526 2.526 0 0 1 2.52-2.52h6.313A2.527 2.527 0 0 1 24 15.165a2.528 2.528 0 0 1-2.522 2.523h-6.313z'/%3E%3C/svg%3E")}.vpi-social-twitter,.vpi-social-x{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M18.901 1.153h3.68l-8.04 9.19L24 22.846h-7.406l-5.8-7.584-6.638 7.584H.474l8.6-9.83L0 1.154h7.594l5.243 6.932ZM17.61 20.644h2.039L6.486 3.24H4.298Z'/%3E%3C/svg%3E")}.vpi-social-youtube{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24'%3E%3Cpath d='M23.498 6.186a3.016 3.016 0 0 0-2.122-2.136C19.505 3.545 12 3.545 12 3.545s-7.505 0-9.377.505A3.017 3.017 0 0 0 .502 6.186C0 8.07 0 12 0 12s0 3.93.502 5.814a3.016 3.016 0 0 0 2.122 2.136c1.871.505 9.376.505 9.376.505s7.505 0 9.377-.505a3.015 3.015 0 0 0 2.122-2.136C24 15.93 24 12 24 12s0-3.93-.502-5.814zM9.545 15.568V8.432L15.818 12l-6.273 3.568z'/%3E%3C/svg%3E")}.visually-hidden{position:absolute;width:1px;height:1px;white-space:nowrap;clip:rect(0 0 0 0);clip-path:inset(50%);overflow:hidden}.custom-block{border:1px solid transparent;border-radius:8px;padding:16px 16px 8px;line-height:24px;font-size:var(--vp-custom-block-font-size);color:var(--vp-c-text-2)}.custom-block.info{border-color:var(--vp-custom-block-info-border);color:var(--vp-custom-block-info-text);background-color:var(--vp-custom-block-info-bg)}.custom-block.info a,.custom-block.info code{color:var(--vp-c-brand-1)}.custom-block.info a:hover,.custom-block.info a:hover>code{color:var(--vp-c-brand-2)}.custom-block.info code{background-color:var(--vp-custom-block-info-code-bg)}.custom-block.note{border-color:var(--vp-custom-block-note-border);color:var(--vp-custom-block-note-text);background-color:var(--vp-custom-block-note-bg)}.custom-block.note a,.custom-block.note code{color:var(--vp-c-brand-1)}.custom-block.note a:hover,.custom-block.note a:hover>code{color:var(--vp-c-brand-2)}.custom-block.note code{background-color:var(--vp-custom-block-note-code-bg)}.custom-block.tip{border-color:var(--vp-custom-block-tip-border);color:var(--vp-custom-block-tip-text);background-color:var(--vp-custom-block-tip-bg)}.custom-block.tip a,.custom-block.tip code{color:var(--vp-c-tip-1)}.custom-block.tip a:hover,.custom-block.tip a:hover>code{color:var(--vp-c-tip-2)}.custom-block.tip code{background-color:var(--vp-custom-block-tip-code-bg)}.custom-block.important{border-color:var(--vp-custom-block-important-border);color:var(--vp-custom-block-important-text);background-color:var(--vp-custom-block-important-bg)}.custom-block.important a,.custom-block.important code{color:var(--vp-c-important-1)}.custom-block.important a:hover,.custom-block.important a:hover>code{color:var(--vp-c-important-2)}.custom-block.important code{background-color:var(--vp-custom-block-important-code-bg)}.custom-block.warning{border-color:var(--vp-custom-block-warning-border);color:var(--vp-custom-block-warning-text);background-color:var(--vp-custom-block-warning-bg)}.custom-block.warning a,.custom-block.warning code{color:var(--vp-c-warning-1)}.custom-block.warning a:hover,.custom-block.warning a:hover>code{color:var(--vp-c-warning-2)}.custom-block.warning code{background-color:var(--vp-custom-block-warning-code-bg)}.custom-block.danger{border-color:var(--vp-custom-block-danger-border);color:var(--vp-custom-block-danger-text);background-color:var(--vp-custom-block-danger-bg)}.custom-block.danger a,.custom-block.danger code{color:var(--vp-c-danger-1)}.custom-block.danger a:hover,.custom-block.danger a:hover>code{color:var(--vp-c-danger-2)}.custom-block.danger code{background-color:var(--vp-custom-block-danger-code-bg)}.custom-block.caution{border-color:var(--vp-custom-block-caution-border);color:var(--vp-custom-block-caution-text);background-color:var(--vp-custom-block-caution-bg)}.custom-block.caution a,.custom-block.caution code{color:var(--vp-c-caution-1)}.custom-block.caution a:hover,.custom-block.caution a:hover>code{color:var(--vp-c-caution-2)}.custom-block.caution code{background-color:var(--vp-custom-block-caution-code-bg)}.custom-block.details{border-color:var(--vp-custom-block-details-border);color:var(--vp-custom-block-details-text);background-color:var(--vp-custom-block-details-bg)}.custom-block.details a{color:var(--vp-c-brand-1)}.custom-block.details a:hover,.custom-block.details a:hover>code{color:var(--vp-c-brand-2)}.custom-block.details code{background-color:var(--vp-custom-block-details-code-bg)}.custom-block-title{font-weight:600}.custom-block p+p{margin:8px 0}.custom-block.details summary{margin:0 0 8px;font-weight:700;cursor:pointer;-webkit-user-select:none;user-select:none}.custom-block.details summary+p{margin:8px 0}.custom-block a{color:inherit;font-weight:600;text-decoration:underline;text-underline-offset:2px;transition:opacity .25s}.custom-block a:hover{opacity:.75}.custom-block code{font-size:var(--vp-custom-block-code-font-size)}.custom-block.custom-block th,.custom-block.custom-block blockquote>p{font-size:var(--vp-custom-block-font-size);color:inherit}.dark .vp-code span{color:var(--shiki-dark, inherit)}html:not(.dark) .vp-code span{color:var(--shiki-light, inherit)}.vp-code-group{margin-top:16px}.vp-code-group .tabs{position:relative;display:flex;margin-right:-24px;margin-left:-24px;padding:0 12px;background-color:var(--vp-code-tab-bg);overflow-x:auto;overflow-y:hidden;box-shadow:inset 0 -1px var(--vp-code-tab-divider)}@media (min-width: 640px){.vp-code-group .tabs{margin-right:0;margin-left:0;border-radius:8px 8px 0 0}}.vp-code-group .tabs input{position:fixed;opacity:0;pointer-events:none}.vp-code-group .tabs label{position:relative;display:inline-block;border-bottom:1px solid transparent;padding:0 12px;line-height:48px;font-size:14px;font-weight:500;color:var(--vp-code-tab-text-color);white-space:nowrap;cursor:pointer;transition:color .25s}.vp-code-group .tabs label:after{position:absolute;right:8px;bottom:-1px;left:8px;z-index:1;height:2px;border-radius:2px;content:"";background-color:transparent;transition:background-color .25s}.vp-code-group label:hover{color:var(--vp-code-tab-hover-text-color)}.vp-code-group input:checked+label{color:var(--vp-code-tab-active-text-color)}.vp-code-group input:checked+label:after{background-color:var(--vp-code-tab-active-bar-color)}.vp-code-group div[class*=language-],.vp-block{display:none;margin-top:0!important;border-top-left-radius:0!important;border-top-right-radius:0!important}.vp-code-group div[class*=language-].active,.vp-block.active{display:block}.vp-block{padding:20px 24px}.vp-doc h1,.vp-doc h2,.vp-doc h3,.vp-doc h4,.vp-doc h5,.vp-doc h6{position:relative;font-weight:600;outline:none}.vp-doc h1{letter-spacing:-.02em;line-height:40px;font-size:28px}.vp-doc h2{margin:48px 0 16px;border-top:1px solid var(--vp-c-divider);padding-top:24px;letter-spacing:-.02em;line-height:32px;font-size:24px}.vp-doc h3{margin:32px 0 0;letter-spacing:-.01em;line-height:28px;font-size:20px}.vp-doc .header-anchor{position:absolute;top:0;left:0;margin-left:-.87em;font-weight:500;-webkit-user-select:none;user-select:none;opacity:0;text-decoration:none;transition:color .25s,opacity .25s}.vp-doc .header-anchor:before{content:var(--vp-header-anchor-symbol)}.vp-doc h1:hover .header-anchor,.vp-doc h1 .header-anchor:focus,.vp-doc h2:hover .header-anchor,.vp-doc h2 .header-anchor:focus,.vp-doc h3:hover .header-anchor,.vp-doc h3 .header-anchor:focus,.vp-doc h4:hover .header-anchor,.vp-doc h4 .header-anchor:focus,.vp-doc h5:hover .header-anchor,.vp-doc h5 .header-anchor:focus,.vp-doc h6:hover .header-anchor,.vp-doc h6 .header-anchor:focus{opacity:1}@media (min-width: 768px){.vp-doc h1{letter-spacing:-.02em;line-height:40px;font-size:32px}}.vp-doc h2 .header-anchor{top:24px}.vp-doc p,.vp-doc summary{margin:16px 0}.vp-doc p{line-height:28px}.vp-doc blockquote{margin:16px 0;border-left:2px solid var(--vp-c-divider);padding-left:16px;transition:border-color .5s}.vp-doc blockquote>p{margin:0;font-size:16px;color:var(--vp-c-text-2);transition:color .5s}.vp-doc a{font-weight:500;color:var(--vp-c-brand-1);text-decoration:underline;text-underline-offset:2px;transition:color .25s,opacity .25s}.vp-doc a:hover{color:var(--vp-c-brand-2)}.vp-doc strong{font-weight:600}.vp-doc ul,.vp-doc ol{padding-left:1.25rem;margin:16px 0}.vp-doc ul{list-style:disc}.vp-doc ol{list-style:decimal}.vp-doc li+li{margin-top:8px}.vp-doc li>ol,.vp-doc li>ul{margin:8px 0 0}.vp-doc table{display:block;border-collapse:collapse;margin:20px 0;overflow-x:auto}.vp-doc tr{background-color:var(--vp-c-bg);border-top:1px solid var(--vp-c-divider);transition:background-color .5s}.vp-doc tr:nth-child(2n){background-color:var(--vp-c-bg-soft)}.vp-doc th,.vp-doc td{border:1px solid var(--vp-c-divider);padding:8px 16px}.vp-doc th{text-align:left;font-size:14px;font-weight:600;color:var(--vp-c-text-2);background-color:var(--vp-c-bg-soft)}.vp-doc td{font-size:14px}.vp-doc hr{margin:16px 0;border:none;border-top:1px solid var(--vp-c-divider)}.vp-doc .custom-block{margin:16px 0}.vp-doc .custom-block p{margin:8px 0;line-height:24px}.vp-doc .custom-block p:first-child{margin:0}.vp-doc .custom-block div[class*=language-]{margin:8px 0;border-radius:8px}.vp-doc .custom-block div[class*=language-] code{font-weight:400;background-color:transparent}.vp-doc .custom-block .vp-code-group .tabs{margin:0;border-radius:8px 8px 0 0}.vp-doc :not(pre,h1,h2,h3,h4,h5,h6)>code{font-size:var(--vp-code-font-size);color:var(--vp-code-color)}.vp-doc :not(pre)>code{border-radius:4px;padding:3px 6px;background-color:var(--vp-code-bg);transition:color .25s,background-color .5s}.vp-doc a>code{color:var(--vp-code-link-color)}.vp-doc a:hover>code{color:var(--vp-code-link-hover-color)}.vp-doc h1>code,.vp-doc h2>code,.vp-doc h3>code{font-size:.9em}.vp-doc div[class*=language-],.vp-block{position:relative;margin:16px -24px;background-color:var(--vp-code-block-bg);overflow-x:auto;transition:background-color .5s}@media (min-width: 640px){.vp-doc div[class*=language-],.vp-block{border-radius:8px;margin:16px 0}}@media (max-width: 639px){.vp-doc li div[class*=language-]{border-radius:8px 0 0 8px}}.vp-doc div[class*=language-]+div[class*=language-],.vp-doc div[class$=-api]+div[class*=language-],.vp-doc div[class*=language-]+div[class$=-api]>div[class*=language-]{margin-top:-8px}.vp-doc [class*=language-] pre,.vp-doc [class*=language-] code{direction:ltr;text-align:left;white-space:pre;word-spacing:normal;word-break:normal;word-wrap:normal;-moz-tab-size:4;-o-tab-size:4;tab-size:4;-webkit-hyphens:none;-moz-hyphens:none;-ms-hyphens:none;hyphens:none}.vp-doc [class*=language-] pre{position:relative;z-index:1;margin:0;padding:20px 0;background:transparent;overflow-x:auto}.vp-doc [class*=language-] code{display:block;padding:0 24px;width:fit-content;min-width:100%;line-height:var(--vp-code-line-height);font-size:var(--vp-code-font-size);color:var(--vp-code-block-color);transition:color .5s}.vp-doc [class*=language-] code .highlighted{background-color:var(--vp-code-line-highlight-color);transition:background-color .5s;margin:0 -24px;padding:0 24px;width:calc(100% + 48px);display:inline-block}.vp-doc [class*=language-] code .highlighted.error{background-color:var(--vp-code-line-error-color)}.vp-doc [class*=language-] code .highlighted.warning{background-color:var(--vp-code-line-warning-color)}.vp-doc [class*=language-] code .diff{transition:background-color .5s;margin:0 -24px;padding:0 24px;width:calc(100% + 48px);display:inline-block}.vp-doc [class*=language-] code .diff:before{position:absolute;left:10px}.vp-doc [class*=language-] .has-focused-lines .line:not(.has-focus){filter:blur(.095rem);opacity:.4;transition:filter .35s,opacity .35s}.vp-doc [class*=language-] .has-focused-lines .line:not(.has-focus){opacity:.7;transition:filter .35s,opacity .35s}.vp-doc [class*=language-]:hover .has-focused-lines .line:not(.has-focus){filter:blur(0);opacity:1}.vp-doc [class*=language-] code .diff.remove{background-color:var(--vp-code-line-diff-remove-color);opacity:.7}.vp-doc [class*=language-] code .diff.remove:before{content:"-";color:var(--vp-code-line-diff-remove-symbol-color)}.vp-doc [class*=language-] code .diff.add{background-color:var(--vp-code-line-diff-add-color)}.vp-doc [class*=language-] code .diff.add:before{content:"+";color:var(--vp-code-line-diff-add-symbol-color)}.vp-doc div[class*=language-].line-numbers-mode{padding-left:32px}.vp-doc .line-numbers-wrapper{position:absolute;top:0;bottom:0;left:0;z-index:3;border-right:1px solid var(--vp-code-block-divider-color);padding-top:20px;width:32px;text-align:center;font-family:var(--vp-font-family-mono);line-height:var(--vp-code-line-height);font-size:var(--vp-code-font-size);color:var(--vp-code-line-number-color);transition:border-color .5s,color .5s}.vp-doc [class*=language-]>button.copy{direction:ltr;position:absolute;top:12px;right:12px;z-index:3;border:1px solid var(--vp-code-copy-code-border-color);border-radius:4px;width:40px;height:40px;background-color:var(--vp-code-copy-code-bg);opacity:0;cursor:pointer;background-image:var(--vp-icon-copy);background-position:50%;background-size:20px;background-repeat:no-repeat;transition:border-color .25s,background-color .25s,opacity .25s}.vp-doc [class*=language-]:hover>button.copy,.vp-doc [class*=language-]>button.copy:focus{opacity:1}.vp-doc [class*=language-]>button.copy:hover,.vp-doc [class*=language-]>button.copy.copied{border-color:var(--vp-code-copy-code-hover-border-color);background-color:var(--vp-code-copy-code-hover-bg)}.vp-doc [class*=language-]>button.copy.copied,.vp-doc [class*=language-]>button.copy:hover.copied{border-radius:0 4px 4px 0;background-color:var(--vp-code-copy-code-hover-bg);background-image:var(--vp-icon-copied)}.vp-doc [class*=language-]>button.copy.copied:before,.vp-doc [class*=language-]>button.copy:hover.copied:before{position:relative;top:-1px;transform:translate(calc(-100% - 1px));display:flex;justify-content:center;align-items:center;border:1px solid var(--vp-code-copy-code-hover-border-color);border-right:0;border-radius:4px 0 0 4px;padding:0 10px;width:fit-content;height:40px;text-align:center;font-size:12px;font-weight:500;color:var(--vp-code-copy-code-active-text);background-color:var(--vp-code-copy-code-hover-bg);white-space:nowrap;content:var(--vp-code-copy-copied-text-content)}.vp-doc [class*=language-]>span.lang{position:absolute;top:2px;right:8px;z-index:2;font-size:12px;font-weight:500;color:var(--vp-code-lang-color);transition:color .4s,opacity .4s}.vp-doc [class*=language-]:hover>button.copy+span.lang,.vp-doc [class*=language-]>button.copy:focus+span.lang{opacity:0}.vp-doc .VPTeamMembers{margin-top:24px}.vp-doc .VPTeamMembers.small.count-1 .container{margin:0!important;max-width:calc((100% - 24px)/2)!important}.vp-doc .VPTeamMembers.small.count-2 .container,.vp-doc .VPTeamMembers.small.count-3 .container{max-width:100%!important}.vp-doc .VPTeamMembers.medium.count-1 .container{margin:0!important;max-width:calc((100% - 24px)/2)!important}:is(.vp-external-link-icon,.vp-doc a[href*="://"],.vp-doc a[target=_blank]):not(.no-icon):after{display:inline-block;margin-top:-1px;margin-left:4px;width:11px;height:11px;background:currentColor;color:var(--vp-c-text-3);flex-shrink:0;--icon: url("data:image/svg+xml, %3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 24 24' %3E%3Cpath d='M0 0h24v24H0V0z' fill='none' /%3E%3Cpath d='M9 5v2h6.59L4 18.59 5.41 20 17 8.41V15h2V5H9z' /%3E%3C/svg%3E");-webkit-mask-image:var(--icon);mask-image:var(--icon)}.vp-external-link-icon:after{content:""}.external-link-icon-enabled :is(.vp-doc a[href*="://"],.vp-doc a[target=_blank]):after{content:"";color:currentColor}.vp-sponsor{border-radius:16px;overflow:hidden}.vp-sponsor.aside{border-radius:12px}.vp-sponsor-section+.vp-sponsor-section{margin-top:4px}.vp-sponsor-tier{margin:0 0 4px!important;text-align:center;letter-spacing:1px!important;line-height:24px;width:100%;font-weight:600;color:var(--vp-c-text-2);background-color:var(--vp-c-bg-soft)}.vp-sponsor.normal .vp-sponsor-tier{padding:13px 0 11px;font-size:14px}.vp-sponsor.aside .vp-sponsor-tier{padding:9px 0 7px;font-size:12px}.vp-sponsor-grid+.vp-sponsor-tier{margin-top:4px}.vp-sponsor-grid{display:flex;flex-wrap:wrap;gap:4px}.vp-sponsor-grid.xmini .vp-sponsor-grid-link{height:64px}.vp-sponsor-grid.xmini .vp-sponsor-grid-image{max-width:64px;max-height:22px}.vp-sponsor-grid.mini .vp-sponsor-grid-link{height:72px}.vp-sponsor-grid.mini .vp-sponsor-grid-image{max-width:96px;max-height:24px}.vp-sponsor-grid.small .vp-sponsor-grid-link{height:96px}.vp-sponsor-grid.small .vp-sponsor-grid-image{max-width:96px;max-height:24px}.vp-sponsor-grid.medium .vp-sponsor-grid-link{height:112px}.vp-sponsor-grid.medium .vp-sponsor-grid-image{max-width:120px;max-height:36px}.vp-sponsor-grid.big .vp-sponsor-grid-link{height:184px}.vp-sponsor-grid.big .vp-sponsor-grid-image{max-width:192px;max-height:56px}.vp-sponsor-grid[data-vp-grid="2"] .vp-sponsor-grid-item{width:calc((100% - 4px)/2)}.vp-sponsor-grid[data-vp-grid="3"] .vp-sponsor-grid-item{width:calc((100% - 4px * 2) / 3)}.vp-sponsor-grid[data-vp-grid="4"] .vp-sponsor-grid-item{width:calc((100% - 12px)/4)}.vp-sponsor-grid[data-vp-grid="5"] .vp-sponsor-grid-item{width:calc((100% - 16px)/5)}.vp-sponsor-grid[data-vp-grid="6"] .vp-sponsor-grid-item{width:calc((100% - 4px * 5) / 6)}.vp-sponsor-grid-item{flex-shrink:0;width:100%;background-color:var(--vp-c-bg-soft);transition:background-color .25s}.vp-sponsor-grid-item:hover{background-color:var(--vp-c-default-soft)}.vp-sponsor-grid-item:hover .vp-sponsor-grid-image{filter:grayscale(0) invert(0)}.vp-sponsor-grid-item.empty:hover{background-color:var(--vp-c-bg-soft)}.dark .vp-sponsor-grid-item:hover{background-color:var(--vp-c-white)}.dark .vp-sponsor-grid-item.empty:hover{background-color:var(--vp-c-bg-soft)}.vp-sponsor-grid-link{display:flex}.vp-sponsor-grid-box{display:flex;justify-content:center;align-items:center;width:100%}.vp-sponsor-grid-image{max-width:100%;filter:grayscale(1);transition:filter .25s}.dark .vp-sponsor-grid-image{filter:grayscale(1) invert(1)}.VPBadge{display:inline-block;margin-left:2px;border:1px solid transparent;border-radius:12px;padding:0 10px;line-height:22px;font-size:12px;font-weight:500;transform:translateY(-2px)}.VPBadge.small{padding:0 6px;line-height:18px;font-size:10px;transform:translateY(-8px)}.VPDocFooter .VPBadge{display:none}.vp-doc h1>.VPBadge{margin-top:4px;vertical-align:top}.vp-doc h2>.VPBadge{margin-top:3px;padding:0 8px;vertical-align:top}.vp-doc h3>.VPBadge{vertical-align:middle}.vp-doc h4>.VPBadge,.vp-doc h5>.VPBadge,.vp-doc h6>.VPBadge{vertical-align:middle;line-height:18px}.VPBadge.info{border-color:var(--vp-badge-info-border);color:var(--vp-badge-info-text);background-color:var(--vp-badge-info-bg)}.VPBadge.tip{border-color:var(--vp-badge-tip-border);color:var(--vp-badge-tip-text);background-color:var(--vp-badge-tip-bg)}.VPBadge.warning{border-color:var(--vp-badge-warning-border);color:var(--vp-badge-warning-text);background-color:var(--vp-badge-warning-bg)}.VPBadge.danger{border-color:var(--vp-badge-danger-border);color:var(--vp-badge-danger-text);background-color:var(--vp-badge-danger-bg)}.VPBackdrop[data-v-c79a1216]{position:fixed;top:0;right:0;bottom:0;left:0;z-index:var(--vp-z-index-backdrop);background:var(--vp-backdrop-bg-color);transition:opacity .5s}.VPBackdrop.fade-enter-from[data-v-c79a1216],.VPBackdrop.fade-leave-to[data-v-c79a1216]{opacity:0}.VPBackdrop.fade-leave-active[data-v-c79a1216]{transition-duration:.25s}@media (min-width: 1280px){.VPBackdrop[data-v-c79a1216]{display:none}}.NotFound[data-v-d6be1790]{padding:64px 24px 96px;text-align:center}@media (min-width: 768px){.NotFound[data-v-d6be1790]{padding:96px 32px 168px}}.code[data-v-d6be1790]{line-height:64px;font-size:64px;font-weight:600}.title[data-v-d6be1790]{padding-top:12px;letter-spacing:2px;line-height:20px;font-size:20px;font-weight:700}.divider[data-v-d6be1790]{margin:24px auto 18px;width:64px;height:1px;background-color:var(--vp-c-divider)}.quote[data-v-d6be1790]{margin:0 auto;max-width:256px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.action[data-v-d6be1790]{padding-top:20px}.link[data-v-d6be1790]{display:inline-block;border:1px solid var(--vp-c-brand-1);border-radius:16px;padding:3px 16px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:border-color .25s,color .25s}.link[data-v-d6be1790]:hover{border-color:var(--vp-c-brand-2);color:var(--vp-c-brand-2)}.root[data-v-b933a997]{position:relative;z-index:1}.nested[data-v-b933a997]{padding-right:16px;padding-left:16px}.outline-link[data-v-b933a997]{display:block;line-height:32px;font-size:14px;font-weight:400;color:var(--vp-c-text-2);white-space:nowrap;overflow:hidden;text-overflow:ellipsis;transition:color .5s}.outline-link[data-v-b933a997]:hover,.outline-link.active[data-v-b933a997]{color:var(--vp-c-text-1);transition:color .25s}.outline-link.nested[data-v-b933a997]{padding-left:13px}.VPDocAsideOutline[data-v-a5bbad30]{display:none}.VPDocAsideOutline.has-outline[data-v-a5bbad30]{display:block}.content[data-v-a5bbad30]{position:relative;border-left:1px solid var(--vp-c-divider);padding-left:16px;font-size:13px;font-weight:500}.outline-marker[data-v-a5bbad30]{position:absolute;top:32px;left:-1px;z-index:0;opacity:0;width:2px;border-radius:2px;height:18px;background-color:var(--vp-c-brand-1);transition:top .25s cubic-bezier(0,1,.5,1),background-color .5s,opacity .25s}.outline-title[data-v-a5bbad30]{line-height:32px;font-size:14px;font-weight:600}.VPDocAside[data-v-3f215769]{display:flex;flex-direction:column;flex-grow:1}.spacer[data-v-3f215769]{flex-grow:1}.VPDocAside[data-v-3f215769] .spacer+.VPDocAsideSponsors,.VPDocAside[data-v-3f215769] .spacer+.VPDocAsideCarbonAds{margin-top:24px}.VPDocAside[data-v-3f215769] .VPDocAsideSponsors+.VPDocAsideCarbonAds{margin-top:16px}.VPLastUpdated[data-v-7e05ebdb]{line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}@media (min-width: 640px){.VPLastUpdated[data-v-7e05ebdb]{line-height:32px;font-size:14px;font-weight:500}}.VPDocFooter[data-v-d4a0bba5]{margin-top:64px}.edit-info[data-v-d4a0bba5]{padding-bottom:18px}@media (min-width: 640px){.edit-info[data-v-d4a0bba5]{display:flex;justify-content:space-between;align-items:center;padding-bottom:14px}}.edit-link-button[data-v-d4a0bba5]{display:flex;align-items:center;border:0;line-height:32px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:color .25s}.edit-link-button[data-v-d4a0bba5]:hover{color:var(--vp-c-brand-2)}.edit-link-icon[data-v-d4a0bba5]{margin-right:8px}.prev-next[data-v-d4a0bba5]{border-top:1px solid var(--vp-c-divider);padding-top:24px;display:grid;grid-row-gap:8px}@media (min-width: 640px){.prev-next[data-v-d4a0bba5]{grid-template-columns:repeat(2,1fr);grid-column-gap:16px}}.pager-link[data-v-d4a0bba5]{display:block;border:1px solid var(--vp-c-divider);border-radius:8px;padding:11px 16px 13px;width:100%;height:100%;transition:border-color .25s}.pager-link[data-v-d4a0bba5]:hover{border-color:var(--vp-c-brand-1)}.pager-link.next[data-v-d4a0bba5]{margin-left:auto;text-align:right}.desc[data-v-d4a0bba5]{display:block;line-height:20px;font-size:12px;font-weight:500;color:var(--vp-c-text-2)}.title[data-v-d4a0bba5]{display:block;line-height:20px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1);transition:color .25s}.VPDoc[data-v-39a288b8]{padding:32px 24px 96px;width:100%}@media (min-width: 768px){.VPDoc[data-v-39a288b8]{padding:48px 32px 128px}}@media (min-width: 960px){.VPDoc[data-v-39a288b8]{padding:48px 32px 0}.VPDoc:not(.has-sidebar) .container[data-v-39a288b8]{display:flex;justify-content:center;max-width:992px}.VPDoc:not(.has-sidebar) .content[data-v-39a288b8]{max-width:752px}}@media (min-width: 1280px){.VPDoc .container[data-v-39a288b8]{display:flex;justify-content:center}.VPDoc .aside[data-v-39a288b8]{display:block}}@media (min-width: 1440px){.VPDoc:not(.has-sidebar) .content[data-v-39a288b8]{max-width:784px}.VPDoc:not(.has-sidebar) .container[data-v-39a288b8]{max-width:1104px}}.container[data-v-39a288b8]{margin:0 auto;width:100%}.aside[data-v-39a288b8]{position:relative;display:none;order:2;flex-grow:1;padding-left:32px;width:100%;max-width:256px}.left-aside[data-v-39a288b8]{order:1;padding-left:unset;padding-right:32px}.aside-container[data-v-39a288b8]{position:fixed;top:0;padding-top:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + var(--vp-doc-top-height, 0px) + 48px);width:224px;height:100vh;overflow-x:hidden;overflow-y:auto;scrollbar-width:none}.aside-container[data-v-39a288b8]::-webkit-scrollbar{display:none}.aside-curtain[data-v-39a288b8]{position:fixed;bottom:0;z-index:10;width:224px;height:32px;background:linear-gradient(transparent,var(--vp-c-bg) 70%)}.aside-content[data-v-39a288b8]{display:flex;flex-direction:column;min-height:calc(100vh - (var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 48px));padding-bottom:32px}.content[data-v-39a288b8]{position:relative;margin:0 auto;width:100%}@media (min-width: 960px){.content[data-v-39a288b8]{padding:0 32px 128px}}@media (min-width: 1280px){.content[data-v-39a288b8]{order:1;margin:0;min-width:640px}}.content-container[data-v-39a288b8]{margin:0 auto}.VPDoc.has-aside .content-container[data-v-39a288b8]{max-width:688px}.VPButton[data-v-cad61b99]{display:inline-block;border:1px solid transparent;text-align:center;font-weight:600;white-space:nowrap;transition:color .25s,border-color .25s,background-color .25s}.VPButton[data-v-cad61b99]:active{transition:color .1s,border-color .1s,background-color .1s}.VPButton.medium[data-v-cad61b99]{border-radius:20px;padding:0 20px;line-height:38px;font-size:14px}.VPButton.big[data-v-cad61b99]{border-radius:24px;padding:0 24px;line-height:46px;font-size:16px}.VPButton.brand[data-v-cad61b99]{border-color:var(--vp-button-brand-border);color:var(--vp-button-brand-text);background-color:var(--vp-button-brand-bg)}.VPButton.brand[data-v-cad61b99]:hover{border-color:var(--vp-button-brand-hover-border);color:var(--vp-button-brand-hover-text);background-color:var(--vp-button-brand-hover-bg)}.VPButton.brand[data-v-cad61b99]:active{border-color:var(--vp-button-brand-active-border);color:var(--vp-button-brand-active-text);background-color:var(--vp-button-brand-active-bg)}.VPButton.alt[data-v-cad61b99]{border-color:var(--vp-button-alt-border);color:var(--vp-button-alt-text);background-color:var(--vp-button-alt-bg)}.VPButton.alt[data-v-cad61b99]:hover{border-color:var(--vp-button-alt-hover-border);color:var(--vp-button-alt-hover-text);background-color:var(--vp-button-alt-hover-bg)}.VPButton.alt[data-v-cad61b99]:active{border-color:var(--vp-button-alt-active-border);color:var(--vp-button-alt-active-text);background-color:var(--vp-button-alt-active-bg)}.VPButton.sponsor[data-v-cad61b99]{border-color:var(--vp-button-sponsor-border);color:var(--vp-button-sponsor-text);background-color:var(--vp-button-sponsor-bg)}.VPButton.sponsor[data-v-cad61b99]:hover{border-color:var(--vp-button-sponsor-hover-border);color:var(--vp-button-sponsor-hover-text);background-color:var(--vp-button-sponsor-hover-bg)}.VPButton.sponsor[data-v-cad61b99]:active{border-color:var(--vp-button-sponsor-active-border);color:var(--vp-button-sponsor-active-text);background-color:var(--vp-button-sponsor-active-bg)}html:not(.dark) .VPImage.dark[data-v-8426fc1a]{display:none}.dark .VPImage.light[data-v-8426fc1a]{display:none}.VPHero[data-v-303bb580]{margin-top:calc((var(--vp-nav-height) + var(--vp-layout-top-height, 0px)) * -1);padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 48px) 24px 48px}@media (min-width: 640px){.VPHero[data-v-303bb580]{padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 80px) 48px 64px}}@media (min-width: 960px){.VPHero[data-v-303bb580]{padding:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 80px) 64px 64px}}.container[data-v-303bb580]{display:flex;flex-direction:column;margin:0 auto;max-width:1152px}@media (min-width: 960px){.container[data-v-303bb580]{flex-direction:row}}.main[data-v-303bb580]{position:relative;z-index:10;order:2;flex-grow:1;flex-shrink:0}.VPHero.has-image .container[data-v-303bb580]{text-align:center}@media (min-width: 960px){.VPHero.has-image .container[data-v-303bb580]{text-align:left}}@media (min-width: 960px){.main[data-v-303bb580]{order:1;width:calc((100% / 3) * 2)}.VPHero.has-image .main[data-v-303bb580]{max-width:592px}}.name[data-v-303bb580],.text[data-v-303bb580]{max-width:392px;letter-spacing:-.4px;line-height:40px;font-size:32px;font-weight:700;white-space:pre-wrap}.VPHero.has-image .name[data-v-303bb580],.VPHero.has-image .text[data-v-303bb580]{margin:0 auto}.name[data-v-303bb580]{color:var(--vp-home-hero-name-color)}.clip[data-v-303bb580]{background:var(--vp-home-hero-name-background);-webkit-background-clip:text;background-clip:text;-webkit-text-fill-color:var(--vp-home-hero-name-color)}@media (min-width: 640px){.name[data-v-303bb580],.text[data-v-303bb580]{max-width:576px;line-height:56px;font-size:48px}}@media (min-width: 960px){.name[data-v-303bb580],.text[data-v-303bb580]{line-height:64px;font-size:56px}.VPHero.has-image .name[data-v-303bb580],.VPHero.has-image .text[data-v-303bb580]{margin:0}}.tagline[data-v-303bb580]{padding-top:8px;max-width:392px;line-height:28px;font-size:18px;font-weight:500;white-space:pre-wrap;color:var(--vp-c-text-2)}.VPHero.has-image .tagline[data-v-303bb580]{margin:0 auto}@media (min-width: 640px){.tagline[data-v-303bb580]{padding-top:12px;max-width:576px;line-height:32px;font-size:20px}}@media (min-width: 960px){.tagline[data-v-303bb580]{line-height:36px;font-size:24px}.VPHero.has-image .tagline[data-v-303bb580]{margin:0}}.actions[data-v-303bb580]{display:flex;flex-wrap:wrap;margin:-6px;padding-top:24px}.VPHero.has-image .actions[data-v-303bb580]{justify-content:center}@media (min-width: 640px){.actions[data-v-303bb580]{padding-top:32px}}@media (min-width: 960px){.VPHero.has-image .actions[data-v-303bb580]{justify-content:flex-start}}.action[data-v-303bb580]{flex-shrink:0;padding:6px}.image[data-v-303bb580]{order:1;margin:-76px -24px -48px}@media (min-width: 640px){.image[data-v-303bb580]{margin:-108px -24px -48px}}@media (min-width: 960px){.image[data-v-303bb580]{flex-grow:1;order:2;margin:0;min-height:100%}}.image-container[data-v-303bb580]{position:relative;margin:0 auto;width:320px;height:320px}@media (min-width: 640px){.image-container[data-v-303bb580]{width:392px;height:392px}}@media (min-width: 960px){.image-container[data-v-303bb580]{display:flex;justify-content:center;align-items:center;width:100%;height:100%;transform:translate(-32px,-32px)}}.image-bg[data-v-303bb580]{position:absolute;top:50%;left:50%;border-radius:50%;width:192px;height:192px;background-image:var(--vp-home-hero-image-background-image);filter:var(--vp-home-hero-image-filter);transform:translate(-50%,-50%)}@media (min-width: 640px){.image-bg[data-v-303bb580]{width:256px;height:256px}}@media (min-width: 960px){.image-bg[data-v-303bb580]{width:320px;height:320px}}[data-v-303bb580] .image-src{position:absolute;top:50%;left:50%;max-width:192px;max-height:192px;transform:translate(-50%,-50%)}@media (min-width: 640px){[data-v-303bb580] .image-src{max-width:256px;max-height:256px}}@media (min-width: 960px){[data-v-303bb580] .image-src{max-width:320px;max-height:320px}}.VPFeature[data-v-a3976bdc]{display:block;border:1px solid var(--vp-c-bg-soft);border-radius:12px;height:100%;background-color:var(--vp-c-bg-soft);transition:border-color .25s,background-color .25s}.VPFeature.link[data-v-a3976bdc]:hover{border-color:var(--vp-c-brand-1)}.box[data-v-a3976bdc]{display:flex;flex-direction:column;padding:24px;height:100%}.box[data-v-a3976bdc]>.VPImage{margin-bottom:20px}.icon[data-v-a3976bdc]{display:flex;justify-content:center;align-items:center;margin-bottom:20px;border-radius:6px;background-color:var(--vp-c-default-soft);width:48px;height:48px;font-size:24px;transition:background-color .25s}.title[data-v-a3976bdc]{line-height:24px;font-size:16px;font-weight:600}.details[data-v-a3976bdc]{flex-grow:1;padding-top:8px;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.link-text[data-v-a3976bdc]{padding-top:8px}.link-text-value[data-v-a3976bdc]{display:flex;align-items:center;font-size:14px;font-weight:500;color:var(--vp-c-brand-1)}.link-text-icon[data-v-a3976bdc]{margin-left:6px}.VPFeatures[data-v-a6181336]{position:relative;padding:0 24px}@media (min-width: 640px){.VPFeatures[data-v-a6181336]{padding:0 48px}}@media (min-width: 960px){.VPFeatures[data-v-a6181336]{padding:0 64px}}.container[data-v-a6181336]{margin:0 auto;max-width:1152px}.items[data-v-a6181336]{display:flex;flex-wrap:wrap;margin:-8px}.item[data-v-a6181336]{padding:8px;width:100%}@media (min-width: 640px){.item.grid-2[data-v-a6181336],.item.grid-4[data-v-a6181336],.item.grid-6[data-v-a6181336]{width:50%}}@media (min-width: 768px){.item.grid-2[data-v-a6181336],.item.grid-4[data-v-a6181336]{width:50%}.item.grid-3[data-v-a6181336],.item.grid-6[data-v-a6181336]{width:calc(100% / 3)}}@media (min-width: 960px){.item.grid-4[data-v-a6181336]{width:25%}}.container[data-v-8e2d4988]{margin:auto;width:100%;max-width:1280px;padding:0 24px}@media (min-width: 640px){.container[data-v-8e2d4988]{padding:0 48px}}@media (min-width: 960px){.container[data-v-8e2d4988]{width:100%;padding:0 64px}}.vp-doc[data-v-8e2d4988] .VPHomeSponsors,.vp-doc[data-v-8e2d4988] .VPTeamPage{margin-left:var(--vp-offset, calc(50% - 50vw) );margin-right:var(--vp-offset, calc(50% - 50vw) )}.vp-doc[data-v-8e2d4988] .VPHomeSponsors h2{border-top:none;letter-spacing:normal}.vp-doc[data-v-8e2d4988] .VPHomeSponsors a,.vp-doc[data-v-8e2d4988] .VPTeamPage a{text-decoration:none}.VPHome[data-v-686f80a6]{margin-bottom:96px}@media (min-width: 768px){.VPHome[data-v-686f80a6]{margin-bottom:128px}}.VPContent[data-v-1428d186]{flex-grow:1;flex-shrink:0;margin:var(--vp-layout-top-height, 0px) auto 0;width:100%}.VPContent.is-home[data-v-1428d186]{width:100%;max-width:100%}.VPContent.has-sidebar[data-v-1428d186]{margin:0}@media (min-width: 960px){.VPContent[data-v-1428d186]{padding-top:var(--vp-nav-height)}.VPContent.has-sidebar[data-v-1428d186]{margin:var(--vp-layout-top-height, 0px) 0 0;padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPContent.has-sidebar[data-v-1428d186]{padding-right:calc((100vw - var(--vp-layout-max-width)) / 2);padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.VPFooter[data-v-e315a0ad]{position:relative;z-index:var(--vp-z-index-footer);border-top:1px solid var(--vp-c-gutter);padding:32px 24px;background-color:var(--vp-c-bg)}.VPFooter.has-sidebar[data-v-e315a0ad]{display:none}.VPFooter[data-v-e315a0ad] a{text-decoration-line:underline;text-underline-offset:2px;transition:color .25s}.VPFooter[data-v-e315a0ad] a:hover{color:var(--vp-c-text-1)}@media (min-width: 768px){.VPFooter[data-v-e315a0ad]{padding:32px}}.container[data-v-e315a0ad]{margin:0 auto;max-width:var(--vp-layout-max-width);text-align:center}.message[data-v-e315a0ad],.copyright[data-v-e315a0ad]{line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-2)}.VPLocalNavOutlineDropdown[data-v-17a5e62e]{padding:12px 20px 11px}@media (min-width: 960px){.VPLocalNavOutlineDropdown[data-v-17a5e62e]{padding:12px 36px 11px}}.VPLocalNavOutlineDropdown button[data-v-17a5e62e]{display:block;font-size:12px;font-weight:500;line-height:24px;color:var(--vp-c-text-2);transition:color .5s;position:relative}.VPLocalNavOutlineDropdown button[data-v-17a5e62e]:hover{color:var(--vp-c-text-1);transition:color .25s}.VPLocalNavOutlineDropdown button.open[data-v-17a5e62e]{color:var(--vp-c-text-1)}.icon[data-v-17a5e62e]{display:inline-block;vertical-align:middle;margin-left:2px;font-size:14px;transform:rotate(0);transition:transform .25s}@media (min-width: 960px){.VPLocalNavOutlineDropdown button[data-v-17a5e62e]{font-size:14px}.icon[data-v-17a5e62e]{font-size:16px}}.open>.icon[data-v-17a5e62e]{transform:rotate(90deg)}.items[data-v-17a5e62e]{position:absolute;top:40px;right:16px;left:16px;display:grid;gap:1px;border:1px solid var(--vp-c-border);border-radius:8px;background-color:var(--vp-c-gutter);max-height:calc(var(--vp-vh, 100vh) - 86px);overflow:hidden auto;box-shadow:var(--vp-shadow-3)}@media (min-width: 960px){.items[data-v-17a5e62e]{right:auto;left:calc(var(--vp-sidebar-width) + 32px);width:320px}}.header[data-v-17a5e62e]{background-color:var(--vp-c-bg-soft)}.top-link[data-v-17a5e62e]{display:block;padding:0 16px;line-height:48px;font-size:14px;font-weight:500;color:var(--vp-c-brand-1)}.outline[data-v-17a5e62e]{padding:8px 0;background-color:var(--vp-c-bg-soft)}.flyout-enter-active[data-v-17a5e62e]{transition:all .2s ease-out}.flyout-leave-active[data-v-17a5e62e]{transition:all .15s ease-in}.flyout-enter-from[data-v-17a5e62e],.flyout-leave-to[data-v-17a5e62e]{opacity:0;transform:translateY(-16px)}.VPLocalNav[data-v-a6f0e41e]{position:sticky;top:0;left:0;z-index:var(--vp-z-index-local-nav);border-bottom:1px solid var(--vp-c-gutter);padding-top:var(--vp-layout-top-height, 0px);width:100%;background-color:var(--vp-local-nav-bg-color)}.VPLocalNav.fixed[data-v-a6f0e41e]{position:fixed}@media (min-width: 960px){.VPLocalNav[data-v-a6f0e41e]{top:var(--vp-nav-height)}.VPLocalNav.has-sidebar[data-v-a6f0e41e]{padding-left:var(--vp-sidebar-width)}.VPLocalNav.empty[data-v-a6f0e41e]{display:none}}@media (min-width: 1280px){.VPLocalNav[data-v-a6f0e41e]{display:none}}@media (min-width: 1440px){.VPLocalNav.has-sidebar[data-v-a6f0e41e]{padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.container[data-v-a6f0e41e]{display:flex;justify-content:space-between;align-items:center}.menu[data-v-a6f0e41e]{display:flex;align-items:center;padding:12px 24px 11px;line-height:24px;font-size:12px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.menu[data-v-a6f0e41e]:hover{color:var(--vp-c-text-1);transition:color .25s}@media (min-width: 768px){.menu[data-v-a6f0e41e]{padding:0 32px}}@media (min-width: 960px){.menu[data-v-a6f0e41e]{display:none}}.menu-icon[data-v-a6f0e41e]{margin-right:8px;font-size:14px}.VPOutlineDropdown[data-v-a6f0e41e]{padding:12px 24px 11px}@media (min-width: 768px){.VPOutlineDropdown[data-v-a6f0e41e]{padding:12px 32px 11px}}.VPSwitch[data-v-1d5665e3]{position:relative;border-radius:11px;display:block;width:40px;height:22px;flex-shrink:0;border:1px solid var(--vp-input-border-color);background-color:var(--vp-input-switch-bg-color);transition:border-color .25s!important}.VPSwitch[data-v-1d5665e3]:hover{border-color:var(--vp-c-brand-1)}.check[data-v-1d5665e3]{position:absolute;top:1px;left:1px;width:18px;height:18px;border-radius:50%;background-color:var(--vp-c-neutral-inverse);box-shadow:var(--vp-shadow-1);transition:transform .25s!important}.icon[data-v-1d5665e3]{position:relative;display:block;width:18px;height:18px;border-radius:50%;overflow:hidden}.icon[data-v-1d5665e3] [class^=vpi-]{position:absolute;top:3px;left:3px;width:12px;height:12px;color:var(--vp-c-text-2)}.dark .icon[data-v-1d5665e3] [class^=vpi-]{color:var(--vp-c-text-1);transition:opacity .25s!important}.sun[data-v-d1f28634]{opacity:1}.moon[data-v-d1f28634],.dark .sun[data-v-d1f28634]{opacity:0}.dark .moon[data-v-d1f28634]{opacity:1}.dark .VPSwitchAppearance[data-v-d1f28634] .check{transform:translate(18px)}.VPNavBarAppearance[data-v-e6aabb21]{display:none}@media (min-width: 1280px){.VPNavBarAppearance[data-v-e6aabb21]{display:flex;align-items:center}}.VPMenuGroup+.VPMenuLink[data-v-43f1e123]{margin:12px -12px 0;border-top:1px solid var(--vp-c-divider);padding:12px 12px 0}.link[data-v-43f1e123]{display:block;border-radius:6px;padding:0 12px;line-height:32px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);white-space:nowrap;transition:background-color .25s,color .25s}.link[data-v-43f1e123]:hover{color:var(--vp-c-brand-1);background-color:var(--vp-c-default-soft)}.link.active[data-v-43f1e123]{color:var(--vp-c-brand-1)}.VPMenuGroup[data-v-69e747b5]{margin:12px -12px 0;border-top:1px solid var(--vp-c-divider);padding:12px 12px 0}.VPMenuGroup[data-v-69e747b5]:first-child{margin-top:0;border-top:0;padding-top:0}.VPMenuGroup+.VPMenuGroup[data-v-69e747b5]{margin-top:12px;border-top:1px solid var(--vp-c-divider)}.title[data-v-69e747b5]{padding:0 12px;line-height:32px;font-size:14px;font-weight:600;color:var(--vp-c-text-2);white-space:nowrap;transition:color .25s}.VPMenu[data-v-e7ea1737]{border-radius:12px;padding:12px;min-width:128px;border:1px solid var(--vp-c-divider);background-color:var(--vp-c-bg-elv);box-shadow:var(--vp-shadow-3);transition:background-color .5s;max-height:calc(100vh - var(--vp-nav-height));overflow-y:auto}.VPMenu[data-v-e7ea1737] .group{margin:0 -12px;padding:0 12px 12px}.VPMenu[data-v-e7ea1737] .group+.group{border-top:1px solid var(--vp-c-divider);padding:11px 12px 12px}.VPMenu[data-v-e7ea1737] .group:last-child{padding-bottom:0}.VPMenu[data-v-e7ea1737] .group+.item{border-top:1px solid var(--vp-c-divider);padding:11px 16px 0}.VPMenu[data-v-e7ea1737] .item{padding:0 16px;white-space:nowrap}.VPMenu[data-v-e7ea1737] .label{flex-grow:1;line-height:28px;font-size:12px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.VPMenu[data-v-e7ea1737] .action{padding-left:24px}.VPFlyout[data-v-b6c34ac9]{position:relative}.VPFlyout[data-v-b6c34ac9]:hover{color:var(--vp-c-brand-1);transition:color .25s}.VPFlyout:hover .text[data-v-b6c34ac9]{color:var(--vp-c-text-2)}.VPFlyout:hover .icon[data-v-b6c34ac9]{fill:var(--vp-c-text-2)}.VPFlyout.active .text[data-v-b6c34ac9]{color:var(--vp-c-brand-1)}.VPFlyout.active:hover .text[data-v-b6c34ac9]{color:var(--vp-c-brand-2)}.VPFlyout:hover .menu[data-v-b6c34ac9],.button[aria-expanded=true]+.menu[data-v-b6c34ac9]{opacity:1;visibility:visible;transform:translateY(0)}.button[aria-expanded=false]+.menu[data-v-b6c34ac9]{opacity:0;visibility:hidden;transform:translateY(0)}.button[data-v-b6c34ac9]{display:flex;align-items:center;padding:0 12px;height:var(--vp-nav-height);color:var(--vp-c-text-1);transition:color .5s}.text[data-v-b6c34ac9]{display:flex;align-items:center;line-height:var(--vp-nav-height);font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.option-icon[data-v-b6c34ac9]{margin-right:0;font-size:16px}.text-icon[data-v-b6c34ac9]{margin-left:4px;font-size:14px}.icon[data-v-b6c34ac9]{font-size:20px;transition:fill .25s}.menu[data-v-b6c34ac9]{position:absolute;top:calc(var(--vp-nav-height) / 2 + 20px);right:0;opacity:0;visibility:hidden;transition:opacity .25s,visibility .25s,transform .25s}.VPSocialLink[data-v-eee4e7cb]{display:flex;justify-content:center;align-items:center;width:36px;height:36px;color:var(--vp-c-text-2);transition:color .5s}.VPSocialLink[data-v-eee4e7cb]:hover{color:var(--vp-c-text-1);transition:color .25s}.VPSocialLink[data-v-eee4e7cb]>svg,.VPSocialLink[data-v-eee4e7cb]>[class^=vpi-social-]{width:20px;height:20px;fill:currentColor}.VPSocialLinks[data-v-7bc22406]{display:flex;justify-content:center}.VPNavBarExtra[data-v-d0bd9dde]{display:none;margin-right:-12px}@media (min-width: 768px){.VPNavBarExtra[data-v-d0bd9dde]{display:block}}@media (min-width: 1280px){.VPNavBarExtra[data-v-d0bd9dde]{display:none}}.trans-title[data-v-d0bd9dde]{padding:0 24px 0 12px;line-height:32px;font-size:14px;font-weight:700;color:var(--vp-c-text-1)}.item.appearance[data-v-d0bd9dde],.item.social-links[data-v-d0bd9dde]{display:flex;align-items:center;padding:0 12px}.item.appearance[data-v-d0bd9dde]{min-width:176px}.appearance-action[data-v-d0bd9dde]{margin-right:-2px}.social-links-list[data-v-d0bd9dde]{margin:-4px -8px}.VPNavBarHamburger[data-v-e5dd9c1c]{display:flex;justify-content:center;align-items:center;width:48px;height:var(--vp-nav-height)}@media (min-width: 768px){.VPNavBarHamburger[data-v-e5dd9c1c]{display:none}}.container[data-v-e5dd9c1c]{position:relative;width:16px;height:14px;overflow:hidden}.VPNavBarHamburger:hover .top[data-v-e5dd9c1c]{top:0;left:0;transform:translate(4px)}.VPNavBarHamburger:hover .middle[data-v-e5dd9c1c]{top:6px;left:0;transform:translate(0)}.VPNavBarHamburger:hover .bottom[data-v-e5dd9c1c]{top:12px;left:0;transform:translate(8px)}.VPNavBarHamburger.active .top[data-v-e5dd9c1c]{top:6px;transform:translate(0) rotate(225deg)}.VPNavBarHamburger.active .middle[data-v-e5dd9c1c]{top:6px;transform:translate(16px)}.VPNavBarHamburger.active .bottom[data-v-e5dd9c1c]{top:6px;transform:translate(0) rotate(135deg)}.VPNavBarHamburger.active:hover .top[data-v-e5dd9c1c],.VPNavBarHamburger.active:hover .middle[data-v-e5dd9c1c],.VPNavBarHamburger.active:hover .bottom[data-v-e5dd9c1c]{background-color:var(--vp-c-text-2);transition:top .25s,background-color .25s,transform .25s}.top[data-v-e5dd9c1c],.middle[data-v-e5dd9c1c],.bottom[data-v-e5dd9c1c]{position:absolute;width:16px;height:2px;background-color:var(--vp-c-text-1);transition:top .25s,background-color .5s,transform .25s}.top[data-v-e5dd9c1c]{top:0;left:0;transform:translate(0)}.middle[data-v-e5dd9c1c]{top:6px;left:0;transform:translate(8px)}.bottom[data-v-e5dd9c1c]{top:12px;left:0;transform:translate(4px)}.VPNavBarMenuLink[data-v-9c663999]{display:flex;align-items:center;padding:0 12px;line-height:var(--vp-nav-height);font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.VPNavBarMenuLink.active[data-v-9c663999],.VPNavBarMenuLink[data-v-9c663999]:hover{color:var(--vp-c-brand-1)}.VPNavBarMenu[data-v-7f418b0f]{display:none}@media (min-width: 768px){.VPNavBarMenu[data-v-7f418b0f]{display:flex}}/*! @docsearch/css 3.6.0 | MIT License | © Algolia, Inc. and contributors | https://docsearch.algolia.com */:root{--docsearch-primary-color:#5468ff;--docsearch-text-color:#1c1e21;--docsearch-spacing:12px;--docsearch-icon-stroke-width:1.4;--docsearch-highlight-color:var(--docsearch-primary-color);--docsearch-muted-color:#969faf;--docsearch-container-background:rgba(101,108,133,.8);--docsearch-logo-color:#5468ff;--docsearch-modal-width:560px;--docsearch-modal-height:600px;--docsearch-modal-background:#f5f6f7;--docsearch-modal-shadow:inset 1px 1px 0 0 hsla(0,0%,100%,.5),0 3px 8px 0 #555a64;--docsearch-searchbox-height:56px;--docsearch-searchbox-background:#ebedf0;--docsearch-searchbox-focus-background:#fff;--docsearch-searchbox-shadow:inset 0 0 0 2px var(--docsearch-primary-color);--docsearch-hit-height:56px;--docsearch-hit-color:#444950;--docsearch-hit-active-color:#fff;--docsearch-hit-background:#fff;--docsearch-hit-shadow:0 1px 3px 0 #d4d9e1;--docsearch-key-gradient:linear-gradient(-225deg,#d5dbe4,#f8f8f8);--docsearch-key-shadow:inset 0 -2px 0 0 #cdcde6,inset 0 0 1px 1px #fff,0 1px 2px 1px rgba(30,35,90,.4);--docsearch-key-pressed-shadow:inset 0 -2px 0 0 #cdcde6,inset 0 0 1px 1px #fff,0 1px 1px 0 rgba(30,35,90,.4);--docsearch-footer-height:44px;--docsearch-footer-background:#fff;--docsearch-footer-shadow:0 -1px 0 0 #e0e3e8,0 -3px 6px 0 rgba(69,98,155,.12)}html[data-theme=dark]{--docsearch-text-color:#f5f6f7;--docsearch-container-background:rgba(9,10,17,.8);--docsearch-modal-background:#15172a;--docsearch-modal-shadow:inset 1px 1px 0 0 #2c2e40,0 3px 8px 0 #000309;--docsearch-searchbox-background:#090a11;--docsearch-searchbox-focus-background:#000;--docsearch-hit-color:#bec3c9;--docsearch-hit-shadow:none;--docsearch-hit-background:#090a11;--docsearch-key-gradient:linear-gradient(-26.5deg,#565872,#31355b);--docsearch-key-shadow:inset 0 -2px 0 0 #282d55,inset 0 0 1px 1px #51577d,0 2px 2px 0 rgba(3,4,9,.3);--docsearch-key-pressed-shadow:inset 0 -2px 0 0 #282d55,inset 0 0 1px 1px #51577d,0 1px 1px 0 rgba(3,4,9,.30196078431372547);--docsearch-footer-background:#1e2136;--docsearch-footer-shadow:inset 0 1px 0 0 rgba(73,76,106,.5),0 -4px 8px 0 rgba(0,0,0,.2);--docsearch-logo-color:#fff;--docsearch-muted-color:#7f8497}.DocSearch-Button{align-items:center;background:var(--docsearch-searchbox-background);border:0;border-radius:40px;color:var(--docsearch-muted-color);cursor:pointer;display:flex;font-weight:500;height:36px;justify-content:space-between;margin:0 0 0 16px;padding:0 8px;-webkit-user-select:none;user-select:none}.DocSearch-Button:active,.DocSearch-Button:focus,.DocSearch-Button:hover{background:var(--docsearch-searchbox-focus-background);box-shadow:var(--docsearch-searchbox-shadow);color:var(--docsearch-text-color);outline:none}.DocSearch-Button-Container{align-items:center;display:flex}.DocSearch-Search-Icon{stroke-width:1.6}.DocSearch-Button .DocSearch-Search-Icon{color:var(--docsearch-text-color)}.DocSearch-Button-Placeholder{font-size:1rem;padding:0 12px 0 6px}.DocSearch-Button-Keys{display:flex;min-width:calc(40px + .8em)}.DocSearch-Button-Key{align-items:center;background:var(--docsearch-key-gradient);border-radius:3px;box-shadow:var(--docsearch-key-shadow);color:var(--docsearch-muted-color);display:flex;height:18px;justify-content:center;margin-right:.4em;position:relative;padding:0 0 2px;border:0;top:-1px;width:20px}.DocSearch-Button-Key--pressed{transform:translate3d(0,1px,0);box-shadow:var(--docsearch-key-pressed-shadow)}@media (max-width:768px){.DocSearch-Button-Keys,.DocSearch-Button-Placeholder{display:none}}.DocSearch--active{overflow:hidden!important}.DocSearch-Container,.DocSearch-Container *{box-sizing:border-box}.DocSearch-Container{background-color:var(--docsearch-container-background);height:100vh;left:0;position:fixed;top:0;width:100vw;z-index:200}.DocSearch-Container a{text-decoration:none}.DocSearch-Link{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;color:var(--docsearch-highlight-color);cursor:pointer;font:inherit;margin:0;padding:0}.DocSearch-Modal{background:var(--docsearch-modal-background);border-radius:6px;box-shadow:var(--docsearch-modal-shadow);flex-direction:column;margin:60px auto auto;max-width:var(--docsearch-modal-width);position:relative}.DocSearch-SearchBar{display:flex;padding:var(--docsearch-spacing) var(--docsearch-spacing) 0}.DocSearch-Form{align-items:center;background:var(--docsearch-searchbox-focus-background);border-radius:4px;box-shadow:var(--docsearch-searchbox-shadow);display:flex;height:var(--docsearch-searchbox-height);margin:0;padding:0 var(--docsearch-spacing);position:relative;width:100%}.DocSearch-Input{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:transparent;border:0;color:var(--docsearch-text-color);flex:1;font:inherit;font-size:1.2em;height:100%;outline:none;padding:0 0 0 8px;width:80%}.DocSearch-Input::placeholder{color:var(--docsearch-muted-color);opacity:1}.DocSearch-Input::-webkit-search-cancel-button,.DocSearch-Input::-webkit-search-decoration,.DocSearch-Input::-webkit-search-results-button,.DocSearch-Input::-webkit-search-results-decoration{display:none}.DocSearch-LoadingIndicator,.DocSearch-MagnifierLabel,.DocSearch-Reset{margin:0;padding:0}.DocSearch-MagnifierLabel,.DocSearch-Reset{align-items:center;color:var(--docsearch-highlight-color);display:flex;justify-content:center}.DocSearch-Container--Stalled .DocSearch-MagnifierLabel,.DocSearch-LoadingIndicator{display:none}.DocSearch-Container--Stalled .DocSearch-LoadingIndicator{align-items:center;color:var(--docsearch-highlight-color);display:flex;justify-content:center}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Reset{animation:none;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:var(--docsearch-icon-color);cursor:pointer;right:0;stroke-width:var(--docsearch-icon-stroke-width)}}.DocSearch-Reset{animation:fade-in .1s ease-in forwards;-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:var(--docsearch-icon-color);cursor:pointer;padding:2px;right:0;stroke-width:var(--docsearch-icon-stroke-width)}.DocSearch-Reset[hidden]{display:none}.DocSearch-Reset:hover{color:var(--docsearch-highlight-color)}.DocSearch-LoadingIndicator svg,.DocSearch-MagnifierLabel svg{height:24px;width:24px}.DocSearch-Cancel{display:none}.DocSearch-Dropdown{max-height:calc(var(--docsearch-modal-height) - var(--docsearch-searchbox-height) - var(--docsearch-spacing) - var(--docsearch-footer-height));min-height:var(--docsearch-spacing);overflow-y:auto;overflow-y:overlay;padding:0 var(--docsearch-spacing);scrollbar-color:var(--docsearch-muted-color) var(--docsearch-modal-background);scrollbar-width:thin}.DocSearch-Dropdown::-webkit-scrollbar{width:12px}.DocSearch-Dropdown::-webkit-scrollbar-track{background:transparent}.DocSearch-Dropdown::-webkit-scrollbar-thumb{background-color:var(--docsearch-muted-color);border:3px solid var(--docsearch-modal-background);border-radius:20px}.DocSearch-Dropdown ul{list-style:none;margin:0;padding:0}.DocSearch-Label{font-size:.75em;line-height:1.6em}.DocSearch-Help,.DocSearch-Label{color:var(--docsearch-muted-color)}.DocSearch-Help{font-size:.9em;margin:0;-webkit-user-select:none;user-select:none}.DocSearch-Title{font-size:1.2em}.DocSearch-Logo a{display:flex}.DocSearch-Logo svg{color:var(--docsearch-logo-color);margin-left:8px}.DocSearch-Hits:last-of-type{margin-bottom:24px}.DocSearch-Hits mark{background:none;color:var(--docsearch-highlight-color)}.DocSearch-HitsFooter{color:var(--docsearch-muted-color);display:flex;font-size:.85em;justify-content:center;margin-bottom:var(--docsearch-spacing);padding:var(--docsearch-spacing)}.DocSearch-HitsFooter a{border-bottom:1px solid;color:inherit}.DocSearch-Hit{border-radius:4px;display:flex;padding-bottom:4px;position:relative}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit--deleting{transition:none}}.DocSearch-Hit--deleting{opacity:0;transition:all .25s linear}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit--favoriting{transition:none}}.DocSearch-Hit--favoriting{transform:scale(0);transform-origin:top center;transition:all .25s linear;transition-delay:.25s}.DocSearch-Hit a{background:var(--docsearch-hit-background);border-radius:4px;box-shadow:var(--docsearch-hit-shadow);display:block;padding-left:var(--docsearch-spacing);width:100%}.DocSearch-Hit-source{background:var(--docsearch-modal-background);color:var(--docsearch-highlight-color);font-size:.85em;font-weight:600;line-height:32px;margin:0 -4px;padding:8px 4px 0;position:sticky;top:0;z-index:10}.DocSearch-Hit-Tree{color:var(--docsearch-muted-color);height:var(--docsearch-hit-height);opacity:.5;stroke-width:var(--docsearch-icon-stroke-width);width:24px}.DocSearch-Hit[aria-selected=true] a{background-color:var(--docsearch-highlight-color)}.DocSearch-Hit[aria-selected=true] mark{text-decoration:underline}.DocSearch-Hit-Container{align-items:center;color:var(--docsearch-hit-color);display:flex;flex-direction:row;height:var(--docsearch-hit-height);padding:0 var(--docsearch-spacing) 0 0}.DocSearch-Hit-icon{height:20px;width:20px}.DocSearch-Hit-action,.DocSearch-Hit-icon{color:var(--docsearch-muted-color);stroke-width:var(--docsearch-icon-stroke-width)}.DocSearch-Hit-action{align-items:center;display:flex;height:22px;width:22px}.DocSearch-Hit-action svg{display:block;height:18px;width:18px}.DocSearch-Hit-action+.DocSearch-Hit-action{margin-left:6px}.DocSearch-Hit-action-button{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:50%;color:inherit;cursor:pointer;padding:2px}svg.DocSearch-Hit-Select-Icon{display:none}.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-Select-Icon{display:block}.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{background:#0003;transition:background-color .1s ease-in}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{transition:none}}.DocSearch-Hit-action-button:focus path,.DocSearch-Hit-action-button:hover path{fill:#fff}.DocSearch-Hit-content-wrapper{display:flex;flex:1 1 auto;flex-direction:column;font-weight:500;justify-content:center;line-height:1.2em;margin:0 8px;overflow-x:hidden;position:relative;text-overflow:ellipsis;white-space:nowrap;width:80%}.DocSearch-Hit-title{font-size:.9em}.DocSearch-Hit-path{color:var(--docsearch-muted-color);font-size:.75em}.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-action,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-icon,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-path,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-text,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-title,.DocSearch-Hit[aria-selected=true] .DocSearch-Hit-Tree,.DocSearch-Hit[aria-selected=true] mark{color:var(--docsearch-hit-active-color)!important}@media screen and (prefers-reduced-motion:reduce){.DocSearch-Hit-action-button:focus,.DocSearch-Hit-action-button:hover{background:#0003;transition:none}}.DocSearch-ErrorScreen,.DocSearch-NoResults,.DocSearch-StartScreen{font-size:.9em;margin:0 auto;padding:36px 0;text-align:center;width:80%}.DocSearch-Screen-Icon{color:var(--docsearch-muted-color);padding-bottom:12px}.DocSearch-NoResults-Prefill-List{display:inline-block;padding-bottom:24px;text-align:left}.DocSearch-NoResults-Prefill-List ul{display:inline-block;padding:8px 0 0}.DocSearch-NoResults-Prefill-List li{list-style-position:inside;list-style-type:"» "}.DocSearch-Prefill{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;border-radius:1em;color:var(--docsearch-highlight-color);cursor:pointer;display:inline-block;font-size:1em;font-weight:700;padding:0}.DocSearch-Prefill:focus,.DocSearch-Prefill:hover{outline:none;text-decoration:underline}.DocSearch-Footer{align-items:center;background:var(--docsearch-footer-background);border-radius:0 0 8px 8px;box-shadow:var(--docsearch-footer-shadow);display:flex;flex-direction:row-reverse;flex-shrink:0;height:var(--docsearch-footer-height);justify-content:space-between;padding:0 var(--docsearch-spacing);position:relative;-webkit-user-select:none;user-select:none;width:100%;z-index:300}.DocSearch-Commands{color:var(--docsearch-muted-color);display:flex;list-style:none;margin:0;padding:0}.DocSearch-Commands li{align-items:center;display:flex}.DocSearch-Commands li:not(:last-of-type){margin-right:.8em}.DocSearch-Commands-Key{align-items:center;background:var(--docsearch-key-gradient);border-radius:2px;box-shadow:var(--docsearch-key-shadow);display:flex;height:18px;justify-content:center;margin-right:.4em;padding:0 0 1px;color:var(--docsearch-muted-color);border:0;width:20px}.DocSearch-VisuallyHiddenForAccessibility{clip:rect(0 0 0 0);clip-path:inset(50%);height:1px;overflow:hidden;position:absolute;white-space:nowrap;width:1px}@media (max-width:768px){:root{--docsearch-spacing:10px;--docsearch-footer-height:40px}.DocSearch-Dropdown{height:100%}.DocSearch-Container{height:100vh;height:-webkit-fill-available;height:calc(var(--docsearch-vh, 1vh)*100);position:absolute}.DocSearch-Footer{border-radius:0;bottom:0;position:absolute}.DocSearch-Hit-content-wrapper{display:flex;position:relative;width:80%}.DocSearch-Modal{border-radius:0;box-shadow:none;height:100vh;height:-webkit-fill-available;height:calc(var(--docsearch-vh, 1vh)*100);margin:0;max-width:100%;width:100%}.DocSearch-Dropdown{max-height:calc(var(--docsearch-vh, 1vh)*100 - var(--docsearch-searchbox-height) - var(--docsearch-spacing) - var(--docsearch-footer-height))}.DocSearch-Cancel{-webkit-appearance:none;-moz-appearance:none;appearance:none;background:none;border:0;color:var(--docsearch-highlight-color);cursor:pointer;display:inline-block;flex:none;font:inherit;font-size:1em;font-weight:500;margin-left:var(--docsearch-spacing);outline:none;overflow:hidden;padding:0;-webkit-user-select:none;user-select:none;white-space:nowrap}.DocSearch-Commands,.DocSearch-Hit-Tree{display:none}}@keyframes fade-in{0%{opacity:0}to{opacity:1}}[class*=DocSearch]{--docsearch-primary-color: var(--vp-c-brand-1);--docsearch-highlight-color: var(--docsearch-primary-color);--docsearch-text-color: var(--vp-c-text-1);--docsearch-muted-color: var(--vp-c-text-2);--docsearch-searchbox-shadow: none;--docsearch-searchbox-background: transparent;--docsearch-searchbox-focus-background: transparent;--docsearch-key-gradient: transparent;--docsearch-key-shadow: none;--docsearch-modal-background: var(--vp-c-bg-soft);--docsearch-footer-background: var(--vp-c-bg)}.dark [class*=DocSearch]{--docsearch-modal-shadow: none;--docsearch-footer-shadow: none;--docsearch-logo-color: var(--vp-c-text-2);--docsearch-hit-background: var(--vp-c-default-soft);--docsearch-hit-color: var(--vp-c-text-2);--docsearch-hit-shadow: none}.DocSearch-Button{display:flex;justify-content:center;align-items:center;margin:0;padding:0;width:48px;height:55px;background:transparent;transition:border-color .25s}.DocSearch-Button:hover{background:transparent}.DocSearch-Button:focus{outline:1px dotted;outline:5px auto -webkit-focus-ring-color}.DocSearch-Button-Key--pressed{transform:none;box-shadow:none}.DocSearch-Button:focus:not(:focus-visible){outline:none!important}@media (min-width: 768px){.DocSearch-Button{justify-content:flex-start;border:1px solid transparent;border-radius:8px;padding:0 10px 0 12px;width:100%;height:40px;background-color:var(--vp-c-bg-alt)}.DocSearch-Button:hover{border-color:var(--vp-c-brand-1);background:var(--vp-c-bg-alt)}}.DocSearch-Button .DocSearch-Button-Container{display:flex;align-items:center}.DocSearch-Button .DocSearch-Search-Icon{position:relative;width:16px;height:16px;color:var(--vp-c-text-1);fill:currentColor;transition:color .5s}.DocSearch-Button:hover .DocSearch-Search-Icon{color:var(--vp-c-text-1)}@media (min-width: 768px){.DocSearch-Button .DocSearch-Search-Icon{top:1px;margin-right:8px;width:14px;height:14px;color:var(--vp-c-text-2)}}.DocSearch-Button .DocSearch-Button-Placeholder{display:none;margin-top:2px;padding:0 16px 0 0;font-size:13px;font-weight:500;color:var(--vp-c-text-2);transition:color .5s}.DocSearch-Button:hover .DocSearch-Button-Placeholder{color:var(--vp-c-text-1)}@media (min-width: 768px){.DocSearch-Button .DocSearch-Button-Placeholder{display:inline-block}}.DocSearch-Button .DocSearch-Button-Keys{direction:ltr;display:none;min-width:auto}@media (min-width: 768px){.DocSearch-Button .DocSearch-Button-Keys{display:flex;align-items:center}}.DocSearch-Button .DocSearch-Button-Key{display:block;margin:2px 0 0;border:1px solid var(--vp-c-divider);border-right:none;border-radius:4px 0 0 4px;padding-left:6px;min-width:0;width:auto;height:22px;line-height:22px;font-family:var(--vp-font-family-base);font-size:12px;font-weight:500;transition:color .5s,border-color .5s}.DocSearch-Button .DocSearch-Button-Key+.DocSearch-Button-Key{border-right:1px solid var(--vp-c-divider);border-left:none;border-radius:0 4px 4px 0;padding-left:2px;padding-right:6px}.DocSearch-Button .DocSearch-Button-Key:first-child{font-size:0!important}.DocSearch-Button .DocSearch-Button-Key:first-child:after{content:"Ctrl";font-size:12px;letter-spacing:normal;color:var(--docsearch-muted-color)}.mac .DocSearch-Button .DocSearch-Button-Key:first-child:after{content:"⌘"}.DocSearch-Button .DocSearch-Button-Key:first-child>*{display:none}.DocSearch-Search-Icon{--icon: url("data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' stroke-width='1.6' viewBox='0 0 20 20'%3E%3Cpath fill='none' stroke='currentColor' stroke-linecap='round' stroke-linejoin='round' d='m14.386 14.386 4.088 4.088-4.088-4.088A7.533 7.533 0 1 1 3.733 3.733a7.533 7.533 0 0 1 10.653 10.653z'/%3E%3C/svg%3E")}.VPNavBarSearch{display:flex;align-items:center}@media (min-width: 768px){.VPNavBarSearch{flex-grow:1;padding-left:24px}}@media (min-width: 960px){.VPNavBarSearch{padding-left:32px}}.dark .DocSearch-Footer{border-top:1px solid var(--vp-c-divider)}.DocSearch-Form{border:1px solid var(--vp-c-brand-1);background-color:var(--vp-c-white)}.dark .DocSearch-Form{background-color:var(--vp-c-default-soft)}.DocSearch-Screen-Icon>svg{margin:auto}.VPNavBarSocialLinks[data-v-0394ad82]{display:none}@media (min-width: 1280px){.VPNavBarSocialLinks[data-v-0394ad82]{display:flex;align-items:center}}.title[data-v-ab179fa1]{display:flex;align-items:center;border-bottom:1px solid transparent;width:100%;height:var(--vp-nav-height);font-size:16px;font-weight:600;color:var(--vp-c-text-1);transition:opacity .25s}@media (min-width: 960px){.title[data-v-ab179fa1]{flex-shrink:0}.VPNavBarTitle.has-sidebar .title[data-v-ab179fa1]{border-bottom-color:var(--vp-c-divider)}}[data-v-ab179fa1] .logo{margin-right:8px;height:var(--vp-nav-logo-height)}.VPNavBarTranslations[data-v-88af2de4]{display:none}@media (min-width: 1280px){.VPNavBarTranslations[data-v-88af2de4]{display:flex;align-items:center}}.title[data-v-88af2de4]{padding:0 24px 0 12px;line-height:32px;font-size:14px;font-weight:700;color:var(--vp-c-text-1)}.VPNavBar[data-v-ccf7ddec]{position:relative;height:var(--vp-nav-height);pointer-events:none;white-space:nowrap;transition:background-color .5s}.VPNavBar[data-v-ccf7ddec]:not(.home){background-color:var(--vp-nav-bg-color)}@media (min-width: 960px){.VPNavBar[data-v-ccf7ddec]:not(.home){background-color:transparent}.VPNavBar[data-v-ccf7ddec]:not(.has-sidebar):not(.home.top){background-color:var(--vp-nav-bg-color)}}.wrapper[data-v-ccf7ddec]{padding:0 8px 0 24px}@media (min-width: 768px){.wrapper[data-v-ccf7ddec]{padding:0 32px}}@media (min-width: 960px){.VPNavBar.has-sidebar .wrapper[data-v-ccf7ddec]{padding:0}}.container[data-v-ccf7ddec]{display:flex;justify-content:space-between;margin:0 auto;max-width:calc(var(--vp-layout-max-width) - 64px);height:var(--vp-nav-height);pointer-events:none}.container>.title[data-v-ccf7ddec],.container>.content[data-v-ccf7ddec]{pointer-events:none}.container[data-v-ccf7ddec] *{pointer-events:auto}@media (min-width: 960px){.VPNavBar.has-sidebar .container[data-v-ccf7ddec]{max-width:100%}}.title[data-v-ccf7ddec]{flex-shrink:0;height:calc(var(--vp-nav-height) - 1px);transition:background-color .5s}@media (min-width: 960px){.VPNavBar.has-sidebar .title[data-v-ccf7ddec]{position:absolute;top:0;left:0;z-index:2;padding:0 32px;width:var(--vp-sidebar-width);height:var(--vp-nav-height);background-color:transparent}}@media (min-width: 1440px){.VPNavBar.has-sidebar .title[data-v-ccf7ddec]{padding-left:max(32px,calc((100% - (var(--vp-layout-max-width) - 64px)) / 2));width:calc((100% - (var(--vp-layout-max-width) - 64px)) / 2 + var(--vp-sidebar-width) - 32px)}}.content[data-v-ccf7ddec]{flex-grow:1}@media (min-width: 960px){.VPNavBar.has-sidebar .content[data-v-ccf7ddec]{position:relative;z-index:1;padding-right:32px;padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPNavBar.has-sidebar .content[data-v-ccf7ddec]{padding-right:calc((100vw - var(--vp-layout-max-width)) / 2 + 32px);padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.content-body[data-v-ccf7ddec]{display:flex;justify-content:flex-end;align-items:center;height:var(--vp-nav-height);transition:background-color .5s}@media (min-width: 960px){.VPNavBar:not(.home.top) .content-body[data-v-ccf7ddec]{position:relative;background-color:var(--vp-nav-bg-color)}.VPNavBar:not(.has-sidebar):not(.home.top) .content-body[data-v-ccf7ddec]{background-color:transparent}}@media (max-width: 767px){.content-body[data-v-ccf7ddec]{column-gap:.5rem}}.menu+.translations[data-v-ccf7ddec]:before,.menu+.appearance[data-v-ccf7ddec]:before,.menu+.social-links[data-v-ccf7ddec]:before,.translations+.appearance[data-v-ccf7ddec]:before,.appearance+.social-links[data-v-ccf7ddec]:before{margin-right:8px;margin-left:8px;width:1px;height:24px;background-color:var(--vp-c-divider);content:""}.menu+.appearance[data-v-ccf7ddec]:before,.translations+.appearance[data-v-ccf7ddec]:before{margin-right:16px}.appearance+.social-links[data-v-ccf7ddec]:before{margin-left:16px}.social-links[data-v-ccf7ddec]{margin-right:-8px}.divider[data-v-ccf7ddec]{width:100%;height:1px}@media (min-width: 960px){.VPNavBar.has-sidebar .divider[data-v-ccf7ddec]{padding-left:var(--vp-sidebar-width)}}@media (min-width: 1440px){.VPNavBar.has-sidebar .divider[data-v-ccf7ddec]{padding-left:calc((100vw - var(--vp-layout-max-width)) / 2 + var(--vp-sidebar-width))}}.divider-line[data-v-ccf7ddec]{width:100%;height:1px;transition:background-color .5s}.VPNavBar:not(.home) .divider-line[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}@media (min-width: 960px){.VPNavBar:not(.home.top) .divider-line[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}.VPNavBar:not(.has-sidebar):not(.home.top) .divider[data-v-ccf7ddec]{background-color:var(--vp-c-gutter)}}.VPNavScreenAppearance[data-v-2d7af913]{display:flex;justify-content:space-between;align-items:center;border-radius:8px;padding:12px 14px 12px 16px;background-color:var(--vp-c-bg-soft)}.text[data-v-2d7af913]{line-height:24px;font-size:12px;font-weight:500;color:var(--vp-c-text-2)}.VPNavScreenMenuLink[data-v-7f31e1f6]{display:block;border-bottom:1px solid var(--vp-c-divider);padding:12px 0 11px;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:border-color .25s,color .25s}.VPNavScreenMenuLink[data-v-7f31e1f6]:hover{color:var(--vp-c-brand-1)}.VPNavScreenMenuGroupLink[data-v-19976ae1]{display:block;margin-left:12px;line-height:32px;font-size:14px;font-weight:400;color:var(--vp-c-text-1);transition:color .25s}.VPNavScreenMenuGroupLink[data-v-19976ae1]:hover{color:var(--vp-c-brand-1)}.VPNavScreenMenuGroupSection[data-v-8133b170]{display:block}.title[data-v-8133b170]{line-height:32px;font-size:13px;font-weight:700;color:var(--vp-c-text-2);transition:color .25s}.VPNavScreenMenuGroup[data-v-ff6087d4]{border-bottom:1px solid var(--vp-c-divider);height:48px;overflow:hidden;transition:border-color .5s}.VPNavScreenMenuGroup .items[data-v-ff6087d4]{visibility:hidden}.VPNavScreenMenuGroup.open .items[data-v-ff6087d4]{visibility:visible}.VPNavScreenMenuGroup.open[data-v-ff6087d4]{padding-bottom:10px;height:auto}.VPNavScreenMenuGroup.open .button[data-v-ff6087d4]{padding-bottom:6px;color:var(--vp-c-brand-1)}.VPNavScreenMenuGroup.open .button-icon[data-v-ff6087d4]{transform:rotate(45deg)}.button[data-v-ff6087d4]{display:flex;justify-content:space-between;align-items:center;padding:12px 4px 11px 0;width:100%;line-height:24px;font-size:14px;font-weight:500;color:var(--vp-c-text-1);transition:color .25s}.button[data-v-ff6087d4]:hover{color:var(--vp-c-brand-1)}.button-icon[data-v-ff6087d4]{transition:transform .25s}.group[data-v-ff6087d4]:first-child{padding-top:0}.group+.group[data-v-ff6087d4],.group+.item[data-v-ff6087d4]{padding-top:4px}.VPNavScreenTranslations[data-v-858fe1a4]{height:24px;overflow:hidden}.VPNavScreenTranslations.open[data-v-858fe1a4]{height:auto}.title[data-v-858fe1a4]{display:flex;align-items:center;font-size:14px;font-weight:500;color:var(--vp-c-text-1)}.icon[data-v-858fe1a4]{font-size:16px}.icon.lang[data-v-858fe1a4]{margin-right:8px}.icon.chevron[data-v-858fe1a4]{margin-left:4px}.list[data-v-858fe1a4]{padding:4px 0 0 24px}.link[data-v-858fe1a4]{line-height:32px;font-size:13px;color:var(--vp-c-text-1)}.VPNavScreen[data-v-cc5739dd]{position:fixed;top:calc(var(--vp-nav-height) + var(--vp-layout-top-height, 0px) + 1px);right:0;bottom:0;left:0;padding:0 32px;width:100%;background-color:var(--vp-nav-screen-bg-color);overflow-y:auto;transition:background-color .5s;pointer-events:auto}.VPNavScreen.fade-enter-active[data-v-cc5739dd],.VPNavScreen.fade-leave-active[data-v-cc5739dd]{transition:opacity .25s}.VPNavScreen.fade-enter-active .container[data-v-cc5739dd],.VPNavScreen.fade-leave-active .container[data-v-cc5739dd]{transition:transform .25s ease}.VPNavScreen.fade-enter-from[data-v-cc5739dd],.VPNavScreen.fade-leave-to[data-v-cc5739dd]{opacity:0}.VPNavScreen.fade-enter-from .container[data-v-cc5739dd],.VPNavScreen.fade-leave-to .container[data-v-cc5739dd]{transform:translateY(-8px)}@media (min-width: 768px){.VPNavScreen[data-v-cc5739dd]{display:none}}.container[data-v-cc5739dd]{margin:0 auto;padding:24px 0 96px;max-width:288px}.menu+.translations[data-v-cc5739dd],.menu+.appearance[data-v-cc5739dd],.translations+.appearance[data-v-cc5739dd]{margin-top:24px}.menu+.social-links[data-v-cc5739dd]{margin-top:16px}.appearance+.social-links[data-v-cc5739dd]{margin-top:16px}.VPNav[data-v-ae24b3ad]{position:relative;top:var(--vp-layout-top-height, 0px);left:0;z-index:var(--vp-z-index-nav);width:100%;pointer-events:none;transition:background-color .5s}@media (min-width: 960px){.VPNav[data-v-ae24b3ad]{position:fixed}}.VPSidebarItem.level-0[data-v-b8d55f3b]{padding-bottom:24px}.VPSidebarItem.collapsed.level-0[data-v-b8d55f3b]{padding-bottom:10px}.item[data-v-b8d55f3b]{position:relative;display:flex;width:100%}.VPSidebarItem.collapsible>.item[data-v-b8d55f3b]{cursor:pointer}.indicator[data-v-b8d55f3b]{position:absolute;top:6px;bottom:6px;left:-17px;width:2px;border-radius:2px;transition:background-color .25s}.VPSidebarItem.level-2.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-3.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-4.is-active>.item>.indicator[data-v-b8d55f3b],.VPSidebarItem.level-5.is-active>.item>.indicator[data-v-b8d55f3b]{background-color:var(--vp-c-brand-1)}.link[data-v-b8d55f3b]{display:flex;align-items:center;flex-grow:1}.text[data-v-b8d55f3b]{flex-grow:1;padding:4px 0;line-height:24px;font-size:14px;transition:color .25s}.VPSidebarItem.level-0 .text[data-v-b8d55f3b]{font-weight:700;color:var(--vp-c-text-1)}.VPSidebarItem.level-1 .text[data-v-b8d55f3b],.VPSidebarItem.level-2 .text[data-v-b8d55f3b],.VPSidebarItem.level-3 .text[data-v-b8d55f3b],.VPSidebarItem.level-4 .text[data-v-b8d55f3b],.VPSidebarItem.level-5 .text[data-v-b8d55f3b]{font-weight:500;color:var(--vp-c-text-2)}.VPSidebarItem.level-0.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-1.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-2.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-3.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-4.is-link>.item>.link:hover .text[data-v-b8d55f3b],.VPSidebarItem.level-5.is-link>.item>.link:hover .text[data-v-b8d55f3b]{color:var(--vp-c-brand-1)}.VPSidebarItem.level-0.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.has-active>.item>.text[data-v-b8d55f3b],.VPSidebarItem.level-0.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.has-active>.item>.link>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.has-active>.item>.link>.text[data-v-b8d55f3b]{color:var(--vp-c-text-1)}.VPSidebarItem.level-0.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-1.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-2.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-3.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-4.is-active>.item .link>.text[data-v-b8d55f3b],.VPSidebarItem.level-5.is-active>.item .link>.text[data-v-b8d55f3b]{color:var(--vp-c-brand-1)}.caret[data-v-b8d55f3b]{display:flex;justify-content:center;align-items:center;margin-right:-7px;width:32px;height:32px;color:var(--vp-c-text-3);cursor:pointer;transition:color .25s;flex-shrink:0}.item:hover .caret[data-v-b8d55f3b]{color:var(--vp-c-text-2)}.item:hover .caret[data-v-b8d55f3b]:hover{color:var(--vp-c-text-1)}.caret-icon[data-v-b8d55f3b]{font-size:18px;transform:rotate(90deg);transition:transform .25s}.VPSidebarItem.collapsed .caret-icon[data-v-b8d55f3b]{transform:rotate(0)}.VPSidebarItem.level-1 .items[data-v-b8d55f3b],.VPSidebarItem.level-2 .items[data-v-b8d55f3b],.VPSidebarItem.level-3 .items[data-v-b8d55f3b],.VPSidebarItem.level-4 .items[data-v-b8d55f3b],.VPSidebarItem.level-5 .items[data-v-b8d55f3b]{border-left:1px solid var(--vp-c-divider);padding-left:16px}.VPSidebarItem.collapsed .items[data-v-b8d55f3b]{display:none}.VPSidebar[data-v-575e6a36]{position:fixed;top:var(--vp-layout-top-height, 0px);bottom:0;left:0;z-index:var(--vp-z-index-sidebar);padding:32px 32px 96px;width:calc(100vw - 64px);max-width:320px;background-color:var(--vp-sidebar-bg-color);opacity:0;box-shadow:var(--vp-c-shadow-3);overflow-x:hidden;overflow-y:auto;transform:translate(-100%);transition:opacity .5s,transform .25s ease;overscroll-behavior:contain}.VPSidebar.open[data-v-575e6a36]{opacity:1;visibility:visible;transform:translate(0);transition:opacity .25s,transform .5s cubic-bezier(.19,1,.22,1)}.dark .VPSidebar[data-v-575e6a36]{box-shadow:var(--vp-shadow-1)}@media (min-width: 960px){.VPSidebar[data-v-575e6a36]{padding-top:var(--vp-nav-height);width:var(--vp-sidebar-width);max-width:100%;background-color:var(--vp-sidebar-bg-color);opacity:1;visibility:visible;box-shadow:none;transform:translate(0)}}@media (min-width: 1440px){.VPSidebar[data-v-575e6a36]{padding-left:max(32px,calc((100% - (var(--vp-layout-max-width) - 64px)) / 2));width:calc((100% - (var(--vp-layout-max-width) - 64px)) / 2 + var(--vp-sidebar-width) - 32px)}}@media (min-width: 960px){.curtain[data-v-575e6a36]{position:sticky;top:-64px;left:0;z-index:1;margin-top:calc(var(--vp-nav-height) * -1);margin-right:-32px;margin-left:-32px;height:var(--vp-nav-height);background-color:var(--vp-sidebar-bg-color)}}.nav[data-v-575e6a36]{outline:0}.group+.group[data-v-575e6a36]{border-top:1px solid var(--vp-c-divider);padding-top:10px}@media (min-width: 960px){.group[data-v-575e6a36]{padding-top:10px;width:calc(var(--vp-sidebar-width) - 64px)}}.VPSkipLink[data-v-0f60ec36]{top:8px;left:8px;padding:8px 16px;z-index:999;border-radius:8px;font-size:12px;font-weight:700;text-decoration:none;color:var(--vp-c-brand-1);box-shadow:var(--vp-shadow-3);background-color:var(--vp-c-bg)}.VPSkipLink[data-v-0f60ec36]:focus{height:auto;width:auto;clip:auto;clip-path:none}@media (min-width: 1280px){.VPSkipLink[data-v-0f60ec36]{top:14px;left:16px}}.Layout[data-v-5d98c3a5]{display:flex;flex-direction:column;min-height:100vh}.VPHomeSponsors[data-v-3d121b4a]{border-top:1px solid var(--vp-c-gutter);padding-top:88px!important}.VPHomeSponsors[data-v-3d121b4a]{margin:96px 0}@media (min-width: 768px){.VPHomeSponsors[data-v-3d121b4a]{margin:128px 0}}.VPHomeSponsors[data-v-3d121b4a]{padding:0 24px}@media (min-width: 768px){.VPHomeSponsors[data-v-3d121b4a]{padding:0 48px}}@media (min-width: 960px){.VPHomeSponsors[data-v-3d121b4a]{padding:0 64px}}.container[data-v-3d121b4a]{margin:0 auto;max-width:1152px}.love[data-v-3d121b4a]{margin:0 auto;width:fit-content;font-size:28px;color:var(--vp-c-text-3)}.icon[data-v-3d121b4a]{display:inline-block}.message[data-v-3d121b4a]{margin:0 auto;padding-top:10px;max-width:320px;text-align:center;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}.sponsors[data-v-3d121b4a]{padding-top:32px}.action[data-v-3d121b4a]{padding-top:40px;text-align:center}.VPTeamPage[data-v-7c57f839]{margin:96px 0}@media (min-width: 768px){.VPTeamPage[data-v-7c57f839]{margin:128px 0}}.VPHome .VPTeamPageTitle[data-v-7c57f839-s]{border-top:1px solid var(--vp-c-gutter);padding-top:88px!important}.VPTeamPageSection+.VPTeamPageSection[data-v-7c57f839-s],.VPTeamMembers+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:64px}.VPTeamMembers+.VPTeamMembers[data-v-7c57f839-s]{margin-top:24px}@media (min-width: 768px){.VPTeamPageTitle+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:16px}.VPTeamPageSection+.VPTeamPageSection[data-v-7c57f839-s],.VPTeamMembers+.VPTeamPageSection[data-v-7c57f839-s]{margin-top:96px}}.VPTeamMembers[data-v-7c57f839-s]{padding:0 24px}@media (min-width: 768px){.VPTeamMembers[data-v-7c57f839-s]{padding:0 48px}}@media (min-width: 960px){.VPTeamMembers[data-v-7c57f839-s]{padding:0 64px}}.VPTeamPageTitle[data-v-bf2cbdac]{padding:48px 32px;text-align:center}@media (min-width: 768px){.VPTeamPageTitle[data-v-bf2cbdac]{padding:64px 48px 48px}}@media (min-width: 960px){.VPTeamPageTitle[data-v-bf2cbdac]{padding:80px 64px 48px}}.title[data-v-bf2cbdac]{letter-spacing:0;line-height:44px;font-size:36px;font-weight:500}@media (min-width: 768px){.title[data-v-bf2cbdac]{letter-spacing:-.5px;line-height:56px;font-size:48px}}.lead[data-v-bf2cbdac]{margin:0 auto;max-width:512px;padding-top:12px;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}@media (min-width: 768px){.lead[data-v-bf2cbdac]{max-width:592px;letter-spacing:.15px;line-height:28px;font-size:20px}}.VPTeamPageSection[data-v-b1a88750]{padding:0 32px}@media (min-width: 768px){.VPTeamPageSection[data-v-b1a88750]{padding:0 48px}}@media (min-width: 960px){.VPTeamPageSection[data-v-b1a88750]{padding:0 64px}}.title[data-v-b1a88750]{position:relative;margin:0 auto;max-width:1152px;text-align:center;color:var(--vp-c-text-2)}.title-line[data-v-b1a88750]{position:absolute;top:16px;left:0;width:100%;height:1px;background-color:var(--vp-c-divider)}.title-text[data-v-b1a88750]{position:relative;display:inline-block;padding:0 24px;letter-spacing:0;line-height:32px;font-size:20px;font-weight:500;background-color:var(--vp-c-bg)}.lead[data-v-b1a88750]{margin:0 auto;max-width:480px;padding-top:12px;text-align:center;line-height:24px;font-size:16px;font-weight:500;color:var(--vp-c-text-2)}.members[data-v-b1a88750]{padding-top:40px}.VPTeamMembersItem[data-v-f3fa364a]{display:flex;flex-direction:column;gap:2px;border-radius:12px;width:100%;height:100%;overflow:hidden}.VPTeamMembersItem.small .profile[data-v-f3fa364a]{padding:32px}.VPTeamMembersItem.small .data[data-v-f3fa364a]{padding-top:20px}.VPTeamMembersItem.small .avatar[data-v-f3fa364a]{width:64px;height:64px}.VPTeamMembersItem.small .name[data-v-f3fa364a]{line-height:24px;font-size:16px}.VPTeamMembersItem.small .affiliation[data-v-f3fa364a]{padding-top:4px;line-height:20px;font-size:14px}.VPTeamMembersItem.small .desc[data-v-f3fa364a]{padding-top:12px;line-height:20px;font-size:14px}.VPTeamMembersItem.small .links[data-v-f3fa364a]{margin:0 -16px -20px;padding:10px 0 0}.VPTeamMembersItem.medium .profile[data-v-f3fa364a]{padding:48px 32px}.VPTeamMembersItem.medium .data[data-v-f3fa364a]{padding-top:24px;text-align:center}.VPTeamMembersItem.medium .avatar[data-v-f3fa364a]{width:96px;height:96px}.VPTeamMembersItem.medium .name[data-v-f3fa364a]{letter-spacing:.15px;line-height:28px;font-size:20px}.VPTeamMembersItem.medium .affiliation[data-v-f3fa364a]{padding-top:4px;font-size:16px}.VPTeamMembersItem.medium .desc[data-v-f3fa364a]{padding-top:16px;max-width:288px;font-size:16px}.VPTeamMembersItem.medium .links[data-v-f3fa364a]{margin:0 -16px -12px;padding:16px 12px 0}.profile[data-v-f3fa364a]{flex-grow:1;background-color:var(--vp-c-bg-soft)}.data[data-v-f3fa364a]{text-align:center}.avatar[data-v-f3fa364a]{position:relative;flex-shrink:0;margin:0 auto;border-radius:50%;box-shadow:var(--vp-shadow-3)}.avatar-img[data-v-f3fa364a]{position:absolute;top:0;right:0;bottom:0;left:0;border-radius:50%;object-fit:cover}.name[data-v-f3fa364a]{margin:0;font-weight:600}.affiliation[data-v-f3fa364a]{margin:0;font-weight:500;color:var(--vp-c-text-2)}.org.link[data-v-f3fa364a]{color:var(--vp-c-text-2);transition:color .25s}.org.link[data-v-f3fa364a]:hover{color:var(--vp-c-brand-1)}.desc[data-v-f3fa364a]{margin:0 auto}.desc[data-v-f3fa364a] a{font-weight:500;color:var(--vp-c-brand-1);text-decoration-style:dotted;transition:color .25s}.links[data-v-f3fa364a]{display:flex;justify-content:center;height:56px}.sp-link[data-v-f3fa364a]{display:flex;justify-content:center;align-items:center;text-align:center;padding:16px;font-size:14px;font-weight:500;color:var(--vp-c-sponsor);background-color:var(--vp-c-bg-soft);transition:color .25s,background-color .25s}.sp .sp-link.link[data-v-f3fa364a]:hover,.sp .sp-link.link[data-v-f3fa364a]:focus{outline:none;color:var(--vp-c-white);background-color:var(--vp-c-sponsor)}.sp-icon[data-v-f3fa364a]{margin-right:8px;font-size:16px}.VPTeamMembers.small .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(224px,1fr))}.VPTeamMembers.small.count-1 .container[data-v-6cb0dbc4]{max-width:276px}.VPTeamMembers.small.count-2 .container[data-v-6cb0dbc4]{max-width:576px}.VPTeamMembers.small.count-3 .container[data-v-6cb0dbc4]{max-width:876px}.VPTeamMembers.medium .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(256px,1fr))}@media (min-width: 375px){.VPTeamMembers.medium .container[data-v-6cb0dbc4]{grid-template-columns:repeat(auto-fit,minmax(288px,1fr))}}.VPTeamMembers.medium.count-1 .container[data-v-6cb0dbc4]{max-width:368px}.VPTeamMembers.medium.count-2 .container[data-v-6cb0dbc4]{max-width:760px}.container[data-v-6cb0dbc4]{display:grid;gap:24px;margin:0 auto;max-width:1152px}:root{--vp-c-default-1: var(--vp-c-gray-1);--vp-c-default-2: var(--vp-c-gray-2);--vp-c-default-3: var(--vp-c-gray-3);--vp-c-default-soft: var(--vp-c-gray-soft);--vp-c-brand-1: var(--vp-c-indigo-1);--vp-c-brand-2: var(--vp-c-indigo-2);--vp-c-brand-3: var(--vp-c-indigo-3);--vp-c-brand-soft: var(--vp-c-indigo-soft);--vp-c-tip-1: var(--vp-c-brand-1);--vp-c-tip-2: var(--vp-c-brand-2);--vp-c-tip-3: var(--vp-c-brand-3);--vp-c-tip-soft: var(--vp-c-brand-soft);--vp-c-warning-1: var(--vp-c-yellow-1);--vp-c-warning-2: var(--vp-c-yellow-2);--vp-c-warning-3: var(--vp-c-yellow-3);--vp-c-warning-soft: var(--vp-c-yellow-soft);--vp-c-danger-1: var(--vp-c-red-1);--vp-c-danger-2: var(--vp-c-red-2);--vp-c-danger-3: var(--vp-c-red-3);--vp-c-danger-soft: var(--vp-c-red-soft)}:root{--vp-button-brand-border: transparent;--vp-button-brand-text: var(--vp-c-white);--vp-button-brand-bg: var(--vp-c-brand-3);--vp-button-brand-hover-border: transparent;--vp-button-brand-hover-text: var(--vp-c-white);--vp-button-brand-hover-bg: var(--vp-c-brand-2);--vp-button-brand-active-border: transparent;--vp-button-brand-active-text: var(--vp-c-white);--vp-button-brand-active-bg: var(--vp-c-brand-1)}:root{--vp-home-hero-name-color: transparent;--vp-home-hero-name-background: -webkit-linear-gradient( 120deg, #bd34fe 30%, #41d1ff );--vp-home-hero-image-background-image: linear-gradient( -45deg, #bd34fe 50%, #47caff 50% );--vp-home-hero-image-filter: blur(44px)}@media (min-width: 640px){:root{--vp-home-hero-image-filter: blur(56px)}}@media (min-width: 960px){:root{--vp-home-hero-image-filter: blur(68px)}}:root{--vp-custom-block-tip-border: transparent;--vp-custom-block-tip-text: var(--vp-c-text-1);--vp-custom-block-tip-bg: var(--vp-c-brand-soft);--vp-custom-block-tip-code-bg: var(--vp-c-brand-soft)}.DocSearch{--docsearch-primary-color: var(--vp-c-brand-1) !important}.VPLocalSearchBox[data-v-639d7ab9]{position:fixed;z-index:100;top:0;right:0;bottom:0;left:0;display:flex}.backdrop[data-v-639d7ab9]{position:absolute;top:0;right:0;bottom:0;left:0;background:var(--vp-backdrop-bg-color);transition:opacity .5s}.shell[data-v-639d7ab9]{position:relative;padding:12px;margin:64px auto;display:flex;flex-direction:column;gap:16px;background:var(--vp-local-search-bg);width:min(100vw - 60px,900px);height:min-content;max-height:min(100vh - 128px,900px);border-radius:6px}@media (max-width: 767px){.shell[data-v-639d7ab9]{margin:0;width:100vw;height:100vh;max-height:none;border-radius:0}}.search-bar[data-v-639d7ab9]{border:1px solid var(--vp-c-divider);border-radius:4px;display:flex;align-items:center;padding:0 12px;cursor:text}@media (max-width: 767px){.search-bar[data-v-639d7ab9]{padding:0 8px}}.search-bar[data-v-639d7ab9]:focus-within{border-color:var(--vp-c-brand-1)}.local-search-icon[data-v-639d7ab9]{display:block;font-size:18px}.navigate-icon[data-v-639d7ab9]{display:block;font-size:14px}.search-icon[data-v-639d7ab9]{margin:8px}@media (max-width: 767px){.search-icon[data-v-639d7ab9]{display:none}}.search-input[data-v-639d7ab9]{padding:6px 12px;font-size:inherit;width:100%}@media (max-width: 767px){.search-input[data-v-639d7ab9]{padding:6px 4px}}.search-actions[data-v-639d7ab9]{display:flex;gap:4px}@media (any-pointer: coarse){.search-actions[data-v-639d7ab9]{gap:8px}}@media (min-width: 769px){.search-actions.before[data-v-639d7ab9]{display:none}}.search-actions button[data-v-639d7ab9]{padding:8px}.search-actions button[data-v-639d7ab9]:not([disabled]):hover,.toggle-layout-button.detailed-list[data-v-639d7ab9]{color:var(--vp-c-brand-1)}.search-actions button.clear-button[data-v-639d7ab9]:disabled{opacity:.37}.search-keyboard-shortcuts[data-v-639d7ab9]{font-size:.8rem;opacity:75%;display:flex;flex-wrap:wrap;gap:16px;line-height:14px}.search-keyboard-shortcuts span[data-v-639d7ab9]{display:flex;align-items:center;gap:4px}@media (max-width: 767px){.search-keyboard-shortcuts[data-v-639d7ab9]{display:none}}.search-keyboard-shortcuts kbd[data-v-639d7ab9]{background:#8080801a;border-radius:4px;padding:3px 6px;min-width:24px;display:inline-block;text-align:center;vertical-align:middle;border:1px solid rgba(128,128,128,.15);box-shadow:0 2px 2px #0000001a}.results[data-v-639d7ab9]{display:flex;flex-direction:column;gap:6px;overflow-x:hidden;overflow-y:auto;overscroll-behavior:contain}.result[data-v-639d7ab9]{display:flex;align-items:center;gap:8px;border-radius:4px;transition:none;line-height:1rem;border:solid 2px var(--vp-local-search-result-border);outline:none}.result>div[data-v-639d7ab9]{margin:12px;width:100%;overflow:hidden}@media (max-width: 767px){.result>div[data-v-639d7ab9]{margin:8px}}.titles[data-v-639d7ab9]{display:flex;flex-wrap:wrap;gap:4px;position:relative;z-index:1001;padding:2px 0}.title[data-v-639d7ab9]{display:flex;align-items:center;gap:4px}.title.main[data-v-639d7ab9]{font-weight:500}.title-icon[data-v-639d7ab9]{opacity:.5;font-weight:500;color:var(--vp-c-brand-1)}.title svg[data-v-639d7ab9]{opacity:.5}.result.selected[data-v-639d7ab9]{--vp-local-search-result-bg: var(--vp-local-search-result-selected-bg);border-color:var(--vp-local-search-result-selected-border)}.excerpt-wrapper[data-v-639d7ab9]{position:relative}.excerpt[data-v-639d7ab9]{opacity:75%;pointer-events:none;max-height:140px;overflow:hidden;position:relative;opacity:.5;margin-top:4px}.result.selected .excerpt[data-v-639d7ab9]{opacity:1}.excerpt[data-v-639d7ab9] *{font-size:.8rem!important;line-height:130%!important}.titles[data-v-639d7ab9] mark,.excerpt[data-v-639d7ab9] mark{background-color:var(--vp-local-search-highlight-bg);color:var(--vp-local-search-highlight-text);border-radius:2px;padding:0 2px}.excerpt[data-v-639d7ab9] .vp-code-group .tabs{display:none}.excerpt[data-v-639d7ab9] .vp-code-group div[class*=language-]{border-radius:8px!important}.excerpt-gradient-bottom[data-v-639d7ab9]{position:absolute;bottom:-1px;left:0;width:100%;height:8px;background:linear-gradient(transparent,var(--vp-local-search-result-bg));z-index:1000}.excerpt-gradient-top[data-v-639d7ab9]{position:absolute;top:-1px;left:0;width:100%;height:8px;background:linear-gradient(var(--vp-local-search-result-bg),transparent);z-index:1000}.result.selected .titles[data-v-639d7ab9],.result.selected .title-icon[data-v-639d7ab9]{color:var(--vp-c-brand-1)!important}.no-results[data-v-639d7ab9]{font-size:.9rem;text-align:center;padding:12px}svg[data-v-639d7ab9]{flex:none} diff --git a/docs/.vitepress/dist/assets/verilog_main.md.yPPbu8m8.js b/docs/.vitepress/dist/assets/verilog_main.md.B_DAyBtb.js similarity index 99% rename from docs/.vitepress/dist/assets/verilog_main.md.yPPbu8m8.js rename to docs/.vitepress/dist/assets/verilog_main.md.B_DAyBtb.js index 6e29121..bd19e4a 100644 --- a/docs/.vitepress/dist/assets/verilog_main.md.yPPbu8m8.js +++ b/docs/.vitepress/dist/assets/verilog_main.md.B_DAyBtb.js @@ -1,4 +1,4 @@ -import{_ as e,c as t,o,a4 as r}from"./chunks/framework.CgMb17D3.js";const g=JSON.parse('{"title":"Welcome to the Verilog Guide","description":"","frontmatter":{"layout":null,"hero":{"name":"Hardware Lab NITC","text":"","tagline":"The official Hardware Lab Guide","actions":[{"theme":"brand","text":"Verilog Docs","link":"/markdown-examples"},{"theme":"alt","text":"MIPS Docs","link":"/api-examples"},{"theme":"alt","text":"Single Cycle Docs","link":"/guide-examples"}]},"features":[{"title":"Extensive documentation","details":"Study the documentation to understand the working of the topics hardware lab","link":"/markdown-examples"},{"title":"Video tutorials","details":"Watch the video tutorials to understand sample codes and key concepts"},{"title":"Sample Codes","details":"Practice the sample codes to understand the working of the topics"}]},"headers":[],"relativePath":"verilog_main.md","filePath":"verilog_main.md"}'),a={name:"verilog_main.md"},i=r(`

Welcome to the Verilog Guide

This course covers the following topics-

  • Introduction To Verilog - Learn the Basics of Verilog HDL.
  • Finite State Machines - Design a Verilog-based Finite State Machine (FSM) that enhances your circuits with efficient sequential control and decision-making capabilities.
  • MIPS Single Cycle Processor - Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ? :simple-youtube:
Sample Codes: Link to Sample Codes :simple-github:
Video Explanation of Select Codes:

  1. Verilog Syntax :simple-youtube:
  2. Adder Subtractor :simple-youtube:
  3. DEMUX :simple-youtube:
  4. Counter :simple-youtube:
  5. Decoder :simple-youtube:
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept :simple-youtube:
  2. Syntax (Optional) :simple-youtube:

This course covers the following topics-

  • Introduction To Verilog - Learn the Basics of Verilog HDL.
  • Finite State Machines - Design a Verilog-based Finite State Machine (FSM) that enhances your circuits with efficient sequential control and decision-making capabilities.
  • MIPS Single Cycle Processor - Build an exciting MIPS single cycle processor which will allow you to run MIPS hardware commands.

Introduction to Verilog

Theory :

Documentation: Link to Main Documentation
Video Explanation of Theory:

  1. Theory Vid Part 1 (INTRO TO VERILOG-LOGIC GATES-ADDERS-SUBTRACTORS)
  2. Theory Vid Part 2 (PARITY-TILL END)

Implementation :
How to Run Verilog Files in Quartus: How to Run ? :simple-youtube:
Sample Codes: Link to Sample Codes :simple-github:
Video Explanation of Select Codes:

  1. Verilog Syntax :simple-youtube:
  2. Adder Subtractor :simple-youtube:
  3. DEMUX :simple-youtube:
  4. Counter :simple-youtube:
  5. Decoder :simple-youtube:
    Assignment 1: Download Here

Finite State Machines

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Main Concept :simple-youtube:
  2. Syntax (Optional) :simple-youtube:

Single Cycle MIPS Processor

Theory :
Documentation: Link to Main Documentation :simple-googledrive:
Video Explanation of Concept:

  1. Control Unit Theory :simple-youtube:
  2. Datapath Theory :simple-youtube:

Implementation :
Video Explanation of Code (From Documentation) :

  1. Code Modules 1 to 5 explained :simple-youtube:
  2. Code Modules 6 to 10 explained :simple-youtube:
  3. All Testbenches explained :simple-youtube:
    Code Bank:
    Link to Sample Codes :simple-github:
    Assignment 3: Download Here

9w|fp@2t077)gKLmq-~170drfIs~Fp@Ur)gthj0 zxTed8nHW?WrkzWlf<82kj+q_5ESYo8v4-Y{w^<#}Rx0`(oyb*u2J5&ARr4ZQHQ?_(DD$4}GY&)b7#X`K5R)-WA` z>B2v>sv$VK9IhPveVz(4-2_$@@>dF#q=S;bSrG%J)yiESfn`oY18)`vbQo(B%r5LI zEf4yhc>?iMM|?5on^C%@9$?>L)}-aWr-{vZE3poC>`!Ov2UF>s(*6ZLP-?MQ!*6f- zK3DfD@5S^k4kIkYiV*oADTPy8yycht*Kl0XA<(sX1YKjyPCIzw4wLGv7KSFf6F*T0MtoDJx`*&hmZTlU44q951X zlUL|c%S@w3qYWaHx65UkWlnzO3oT9?11k{?Pl1~JUMBJ^m8x);knktC4{V+c?&iV! z1tA2ts;`|!E*Ys^` zy|^osOay-}R9qoYtx?rfpWQTjh0sC2ENQHTHQEavW9%}l_1*dhE7MS^l@+)dOG;dh zdb&(%MDrU^vxiqdanm?Fq2Af*JdVQH%Dz6HJQ6JBdt)k-yu4DBN)haGJ~}7C(rl*m z)l!SM+LJN6zW5xOYfM<|-_f%f?CYw*XG>ZX?EV7#sPcRrLjJe(oqp9@`8s0_g~b`XKGa~`TI0bq zgB9LN+Jy=(VrWd6+tV)?f~{BRW)ta|FuY}2{uhWFgGX;#x|FBeGjucBFh{@{!>xKr zy_M5mbqhTGMyUnqat|LC4`=t?z_Ogto1E~-UiDu=(Abr1KHG>$G?)Ov`jaYNj&3Q? zg`FXrLy=x@oj7NvcM6Phe@ZkhRKm6t2W1>mI^F*QviCvLJ522}&if1kvxcbZgjM(B zuhM5^5kEg(c#`pgn^QplB96iWhY*|dNnA~*bkDc(*1SrEU&(N&K)MWAPa7PqH;@1DZWBA2x>2L1HE??s5@q zTM~`Rs&fE<5Nwjb-p5DU_b;YJ=7JxcA2Do%=oX8UlUaFubxbpgn%B!q%j)8!3 zy?N4{2=Y#ALA`j({L>u^<@13M+;>^c))~VTAD^sw)r)T_lBiHO{wAhzP7pV-wY|G1 zN#>Q2Nf&-qBC&}i-Ph~TdW%I*AClP*!~dZ?EH9tNK84q0D*}RI$7xGAspvj!5Ut@N zbv8(yaCWz3PhmhwwWtTF=HepJN9Mi@sviw;@}zNaS_k;fvKe#gjVUn@lZ*6yhdwXP zXj5|_9D@5Kb31V~heM1?A^UH620@Nbu@WZLS)xZH>>Aav=OG9#No5>KsQo0TduC^Z zwpA~3MXH_J%C;-?mL80fP0l0BTu$-qUb}RIK#}2`6e>S<2WbiSd7U$yy-fB8qLBem zfD*}#etO^}1MB^y#B^TEam6Ot{rT$12!uhW$rvY@CZ@osdM|u^NMT&Rsy%?2VKa0^ zDjvRO8t=#72nIz#Rn#ti3!8~U#ADG}8RgW(XenhX19>Vs5%BpP|43_v{2@+qqYuU# z>(8OSnU7u7gA)NxDThiRsRfp>uCPeopFFrhYa<})`}y5>gQHON7h;oWYl27N-a+wK zL}vQEp=eB7qr-UizlxXVY%YOMx1(cC#(??@^W)f`3$Nu2PvSXBLx0E(8Rbng@N=mt zWTQ)(aG57$-ixiCCqeAtCX42GzUAah%lShbME<>n_v+Vy}_1S#i zDo2MWYblj}&Uelv7zg-S9lzzb$>JQk+UYVNz%vU{tf$;!Dpy7la7%IW%3wj4Gf*`U zQ0~himmB`;6#Q~?bAvEv#BsE3KcmrTimCQs;otp)K}q`&p_i@xy+o{{roLIPJj|?` z1k*nQbO$g416on)xoj~kCZyhA;KuT236DW0HQy_uq;j-JqN2m46w?vdFG`a{74uMA zk^5xqO(O)HE6r2LQ7TRBU9m3Y?77!>9lf91Y_|9tD$ES{CxwR@4B}8H1&KAJ94$xp z6tNH;?$W2z(|L`Y54z2EM&T|y-W)?|_&I2tBE^Pybj`98tF=wY6MEh-L>%icWv4KF z#*G>TA$kz=$AhPu^`?YVu;QzB_Ydso6gUFb3O}k>8AoU8#=UQ#Hak-6D&xL&P7V5E z6or^z{}s>M&!fFU|5bGbhcW8(1Tp!2*tFUmp(;mQC>b<=_djeM+=LU75$T^|LuCXJ zy|QP*FVZhwC4SBgzAU8+sLOT`HW{U z8lHeLZ45d9Z*{woE~3n~^#9!^;Ig9(jOM6U#>C()boU<)$IFf#Z*XR**D4g3)t+wD zqKSvco89LL49O>F?RZ&$9^1RXr+#7eyMs_P$+JK%y16nP-J-nz{KwN#=BKDSdjQ<4 zRz;F(iz6MQ7*WIz1oZmPE!FUb_$%s9ZzC}8Y_$Kpj^jC1NIbi=*qnCRH61r5rbDC} z<{d;je^Cbl>VUuFxuaB1P4n^yifj0M0ffK^uR@iUq=WI4nD#9^RPDNPcMdGu|N~>u_^Y|z^}DRHpu&*NS|cBYs#H_ z>M79vq=9=5nkBw9ZxhWhxp!B(L=YkCuhU7sTY7~amF z`IH&5%*>Li%MTa;1_oB2SF0`-srbmrzX#e&jk$&H2?;u193c@Dq3x z+T+_l<26oNuk`6k3W`1xAJ|A~-50xedpr+sc2O3Gz-F59<#V9?o+aLcR=J|lyEaX;QNU| z*bUOZfN^0@<`ePQ9E3YuD}Z61nZ=bRvVie#o)=S{XDxpa&c_hAOwyyt-4pCf_C-ge z1&q7S#(Ob5=>UCHAZ0DNuGde6_gsR3AE`As3iLGG7`sc?rZYdXT8M{>Ala)8-Y}bl z_s09h4ZcR5nE!?(QG@4<&xysN9rtybEitpxX3VXlmnPl6E{XCe$Q{X}nExoBc1MBCrrvbrf9#pu)|R388wE^mioJ+MoVLRm8-{ojO_yEp!x;7r-9GF}&RaVUN!;)so=@N3ltEVem(XwO;iXMC0E;+)zS#J z_@MeM%R=c!qxRg}cn&IqFLHw0fCIaPA?U;_%BIbVDXW{N4$$w)u(du%tgn*$q+I0d zKDxeMD_XhAt2WKIYe=WieURq+3&00`^K7xqa+p13GCw;f(?f1V%d)$t zO_f%E1?Vi)28+gV{jj?!M8o{SOui4H5%j-H@Z+g>xv7Cw^vc^mBJRi8nRlzphwj;mE!kNs(QmtrPrMmn*#F?66DG~gP!&3W>v2OBma+O2h6eTR(S5q;-=7mb92DDc# zxmTZ#VxALl82%dhqxwhS(L@n5XN^HDeQC4`uNHTc)woRmgc%=pFUplG3X*x5mr)0!hPfAsSECIz#H$ z-_Cuw@H&mdk1w_T)vrd+!tZ>x7&It&wdFppaX>PhJGKER43Pic+T=8tfNwu8>Eb#=dvp)82pI+P{L|M9dJvf_izb9i&fq`20;V+TC6mvwF4 zu&^m)Z#7gDhFd*cMX*O?h>!~P+&NUyyGXK!aVhV6!S!{%WRnXxc^hSjE(^=?-f(ge zFWXy4E=QSv1@c1YBa+4GcA3v>mRIL%j6s5FSOCfLBhR|q{M{+UOT~7p^P0SQ z-1%KwSEBjyca}T!ozzUU)KG|)#5E&q4~f}|o89W}>xhC;>}E(pHR>0Ok5!>GCQkid zZs-M%Po4&t1ow+n>pfr-tWETPS9NEbT=zxn|8-^Tg1oFXd9AXv@PW z)xss}@T<;jRT6H=$(6#+3QAzi+ZLS^gU3^n#&IU(<}N%cBpe zH8$=QEyn18kP^`VyBh zv_S=0ZG8V)9nn_&UIRIA<%^vc!rc%C4E?2f3>YGJo0fmhUqE1tjUiav>+EB(XtJUS zY~+_0xWMEaJwW@d){7l0HfdOUI29DMP`UIgT%!ih5tC8cJS%pBtBF3M5cy*LXgS~f z^U?boUNp7S(Sn2&DpSV9(zocB3TczYOv#|DzF1_O!wNmV6un0U43%C-iNj_}+Ijsc z8v}lG=jB01!CHZM^P?L4n5)H{JUh}al{76z{*gvS>|x|gIt$vmU$)W0mQq9f<&p&S zNMv;sb|)X8lmp+e=OjQ=VZ%bFtAUhimMj*7t*|R~M2Zo;z|{uOSF5^>!NXhu(w^Q> z>p)YBahpdvSL>E-TajAFti11&ZXdOfW5MTN;a3-n$oaOU<`Qc2lM-X~S4NM{F0UBV zp)}7`*B<_V7VSvKxW)+9VeK;6JU*?@@VVF?%k!s6SCx2vw!Q=w8K@l@JZ7VqYM=4yEaAkZrJdMO&eYoE$gVkGyePhVBPenFRUdz0Wq`KTTi7rY6( z25!VVnR;d5R;2pBgyk-@_Z^(JI3U}uWQfYeJA-Sze&oI{=5~1^oo<>id$G3`I}I)r z<}_4Df7^x;+%~%dS#P_%6{$whLveaHqeahoj~<}}N2!Pd@uQgAyFZyflfM*rA%{8(mSGSfqJ*=-qm84@I1qo@&t=;KZk z`v&N=p7AkT&QEE5p2gfWM#LVU&?x;^zY&h1_?9mMM=<;jCFgN^bbWY~fvK8@Ntv_| zJUb?>D4N?al8h(=J3r^eroJh^Y0B&T3;SjNlBV_iJ0TqUcWo9>Hy^M4Yb^;-Th3N5 z=SUTCvh^Ib{0U#!)PgSQMR11hid9zz>UunYy#@VnVfNr_3^v+s_pRntV;qoM*_N_C z3m;r=l0s(C0@?rf7D+^Dmv)TPjgUH~2Im=L2K`$(K&vyATbM;B<3pP0{zIqKbry|U8;enKOJwEOJB|mn zt|F0W>bL$SXVyrm{;l~_wS$?@DJi)W9{Y(eYQhEV?_|b}aZ}N_4d$Dl9J`Tl0IUk) zo4Y-9g$!9`I&^x>21C?558$1f$`)k1&18@5I*f49)P<$=U(bG9yF0;b$Y)F8 zmJq_ZPN=u%1|oqVmx5k;YfI8;pk_JU&j15h>rltI7SjCaP_tW_jN@YP$&xur;!NM3 z=XRuB{6f>#XDTm8w`5FXj50g&w zMLso{^G?`Fr+q4R{xoC)MuzAn> zmohkf1L92)9RJ&{s_-$LDu_$weW7*!yp+7YF8QzO_{(5qMR>Wud!gbKGh<)UuQ@KH zWMMT_*JF95y%mw_YX6(Xhosl1GcZKzSSvT1pSLrE zTPKgzzq<5r+yP6&BJ8Q#GqFzHEg~Y(a@kA-MkoATiJWI+Hy&Lcsy3LxG zzDVGM)H8#{$Rbn0+j6hpAm}^f$Di(n=c@t%;r`x`zIvrU+?#AjFQz}||I~b)%7*_8 zeifQwtzM?I&xa2X0RV0pjXTi-S%iJxx-XCvbIZ7sy)G<7p)rDn%lXeA(Ye*(^lYRo zmHK)v5~_$s-_8FZM)1Q&MU_Y(CQc6q6Q2Ea4FoPxSixt4g6|+29`eM zX1JcH6ueZFw17P&xvqvK4UT?~y}g>QzCQ^Qni&3iZUNE} z&9X)W#CvyI{KtTrc+X|W#3OI|9Ivoh3zW}r^$$A?hjXf)1py$)5z?D%kD!Ys=yUs$ zEByM>BN(ZzqCEFjy$fsPA57%7fNwKb%v_oJ0Rk`^%&LvQgJNbJg%OWB0fvW)KIe9& zqWa!2sh%lePMVD@w4G-0cy(ZQe_B?%b@@?R48V=;bwrf)F?h#L?Ua*QzN&w>?J`b5 z{J2a$AuU&RF1wBe+u&7f9{-T~!_AMP4*XgLCJp)J{$>pymU|Q{5V>Qls;iTPf;jUI zmTiGj5i6diOUne4b1<3Ai~DuhW8OUz#fwv-fziLXMB_*5{;1Y=g4FdW$S8FgBJz1} zCY>dmTXbBme?9a+x`sl2IHn1SON((WU8E*SMbshBT8MLK(ao(xJLwbd&X#<1n%bvk zw)*V>5QhYJq-(2r`l64l0M=>wkHCnmJB6brPg{znk$G%zL&mrIA3SCE%r!G5WG68A z;I!F3eZ>8G!osF8(d5&=_`1|Ep!2}uY|<)2n__UcjRC5OKW9qmd(n5yP4@NoSt2Zt zjHKG1yT-*zQ)6n)(!XapR3dfCEGM^kLo7R(1`HxIhNgbjHWF&DR{zPzhdjjUSo&v@ zROO#=5t&*H+3vZHEIxV)=rbZd5J)6nGcDqU-oNVLObblT$Tj|#nP{vVeLnQ6gKcS? z*GfN#8^7a6G+y(~!B?GKeh!M+=P&>E85=`s_5N_=$s_5vkc~`mC|vu`gEeDC|syW9VX$UR9%7DpI*OUnfm-Qiog6c;L?Y zcWNc-zNUPEFJ&M+Q0(HwkS}wtNiz6jp*r&MW%aT|Y6|OfRXj?HpZ?3t z^N(QncoKE&M)5r=@aXDi!ZqO07}Pr^1n6O@;4Ua8Q=?y@Dw*#TA+_T_&#tx6G7ay-;G$KX+()<$)gpqG{;_Gxz-VMuVof0h{5239k z6xw}H6j+yvA^089^Q0)-9r#GM!z)*!@#3o;ZZ>s8zeBRN0XzS6(t5#=vsikewA zY|_P)j>SeMN7}yPHtg46rYlYrDmLMnpSDN3X(^fr^$>^kYCVsfN~*N691m`}P&9bn zBR}?ja9liHSojrvrH3Ph=pG@T+OEQQ&A10rfLuAk9R>qnSB0;qY2xL*H!l(-cR#M4i z;(DvOb}_?+#+|_o6g9wR>G>RS!_n%(B`6^Z3I|`{e#{#9ctnF(V2{;GV`~J1@p}-H z{~TZ*A0r!wzv{jEc{GzK){r!YM!#iR3p!BO&IJnm;!o5FtddTl$bR#*?ai@TO~AKb z?FsP!N&!!_e>iD@Co=t>tN){`f4PY|yJTa?P!BquT)cg0bt{ml3n(@C8cU~Br9sxk ze9^aM>T}#0lhKtDh6$amf?zmS-?h+rE!~&;^=%>R2Vifn*vRCb5OZU~Hyi|;B;p+! zEhkIyzKbfXb>>z&Jq|X`mr?I_4a%SOWkhdtbTiyb5#1=4mpZ0DZ$Ge^TF6K}Wh?T0 ztw1z%9(`Kx1?&&@WP8mTi7yE5-q#vSX@jO?K}xU_(d)36_y#Jo@p_<WCQ-xPSGz|KP~l&9uL`x^%GMCyi$zXgv=Q``LFa4$*^qZl*(GQJ&<02DYvPv&IH@X`3NrzQp z5#xF*eIA^PbKwsdGao&_$W)E#0t(5+(@IUAYx)qfVTP4N38*J~n-Of3Wdo&o(@C5t z+u1uXWz+9?mJ>=Uja|yIV13D7);y+E^i5|x6whVP*xltw`2~7vDtnfdywd2z2b-Pp zJ>+IUVv=%|cGLVj(!+(7%DR4{o65k0AdoPnOuGL}zTx3tKJu9&4l(s|k#-P!v##@$ zGer28%}CkgdSgs4ms|4C0e*xe=RnoIt>fAAa3j(`Gl=g9m&%+3U-;XWQ-{|so>biC z5RVrw&Xwv}D3Qo*h()Z*7WY3k=HJ7^+wm4FeiNqc~9lj1+lt zS+5{g3#5Epo$OS97t5}~;kT6n2LC>snXYNji|+0z=y=X2z!*6JJ0)aFdupYV@lijm z_j1r^+-Sz=aVN~lj{IxyVY7ed1LqKHcUzzm%a$)0eTP7=@ye6AiTFTb8?NHoU2X)L zHpp-al{}r(1md;G6Ay3C;Yds1k1#eqeixI;d{*LuQvHF!`%JfAb(t|R3t+GnUPT3B z1*`s9`TXt$kEdP8sri1sGhQk&;l(KNdYTR+$^6*2zw;|9rJ66n;29_@@fnPDv})Z2 zK>G{TqKs8dv=QDop4@`i^FDt4tBbkP-paI^!vA-Youb3Fgi5AKof1i9XHdpM@x@%G z@wZ8^&&!Z3A@=liFh5tUC1a7-k)3>vS};bbtSYUMPN(CueC#R+U$2evX-@EXpyN0k zEp&=g%8ELkIr47}e+r{&7CRhRrEXBNyIR5O;swVXL8b6r%rNr2$tne>ErcQk^F|h# z?`7*-2LJHN#Bim0HBa^>Jc~pl-9I~QI~q=vXRPPR{`D?gUPreaz21(~X8Uqgr6blm zB=Yva-DxlM&Etdx{F(~2pQrCwwNe+zBh$w)L(D7D*o4&ocmqvKH}6NG7Y58Ez}-hC zBUcZdiThFqgpG|XE4$G-q3RPom9{_G;b;#^K&MxJRH4?Ak)f#?+hm2))J&61HlEcv zYATy5^C2DrpBKqNHBi6;vqom3ln~1HVqT+$ta7ENm8SmdlB%|H$+j-{3`lHsC|-NL zkbN=BvruVSbD@4K5wewv*W&|^Y4?)hOW6cc0v8dV=<&d^r7HFE0aMt_(soa@5^kS1 zR+s9_R7d4UR05B)(s%@X)hfm8a6pa-%bjlCp-D5aJ(r#3EUACFOs3mp_9=8}!umP2 zyvpJ$6?_jbjJ9*EK;3ZXK52=}ay018MQsF$zl6=MOYcS9!D7?8c#n5KYYPQvuOV{&Kv7BEMxho+N6)DBH zOm>Is^MFwrpq{x<{18K(*mgAHm;9fPOlReqSA?)L$SnYsiGlWYN1_cj*fWd9-rgwA zc9Z9<{OqO~U%ZDM8{hld;78yflt|4st@M(1@JA@#YQ=0Jf<=>dk*CN^u;wA1-fGmB zmX!D9+&_?WSnWPLx{KS__WYp-S@Tw^KBKabXoDs?4`9Zg|IK&q=MzumK>FI=Nb61X z#f(Sz-lx|-`TgN_8YLH>{it$kYJ?=K?|Nw^eV(^fP=k2V>w^{nL!+tR`GQoHYNeEw z!=!>PSbKenpuq?&=JswtShf>mQi-%e*24I2T&k#8v^uV&-l0boauqm7Bj`C@XdZcp6LNOfjsi7l?P{z4=3d@FaIgR$1t6Ekxq_)`^=b zcR(fM%`o5`Wldn+W9as<#+CP2RwzB5L%D=aB7*C<`7epvNp~-d#0o)VTW->JBVNyA zsj}y=k_5L6%O`Wj&G7BJq!^;w;3Lk}~Uf`BmlmxK^<^7{x-WIXZ`HJVIf!K5~ zA(r(hQpps+P#;PjY{4@3*y*x;O8;QwKfl|MB{dszRya&Uu+$*}&)`SlDFFppFCbDHwmb7oArL{1qP z(^CSp(!_S46S+|M`L0d@lG54T#j3SH7HxZP%r|m=aPR1S;b!Jd34ip`yLjR^8Y|%q zy`{7fwP`Swn^p}PO6ji!wZi%C!-W#myXK`i*HSKVImtbTU2@;VZt~D?f$<|@20{d^ zlVmhzXmv$T576l0nV$hGh99mRp!|3mk6#0WP`=9+e{dY6TJ0lAc56N^dzr&Gme|AE z0{;c&kW^MVZ(7gcg)6>cuP=E%m+wwa$FsV!6%5Pb8HLPD+2lpt!fG`Y_#cS`%Ef&X`&{JHobA!-^sizsS(@jQ z5-n%lmA4WjqIxyK1mcX?j3A*$`T5RDe`YhYHC?U+I{*J1cDXW1-FzYIRNXaGywB$T z6T?h&e$skC*f;XeMq8V_BfTNz?Vb;0XrzK8hZpeOfEO4?cy1Ll90AlDOllg7PR0W| z-MB0ikTRzRrF`B8rW(D(9~c>TDCN4gp5m^6(`aFIIg??qJ{Sw=UKy90QCzW=G}YfAIzN$3=ucFv({75HXI2Vg7NB+|Do#tu2A|v(EQo3ctSL%jh$Uk zytNq>r9|1rfh;L$pmz-^E-VP(l;1k zhooz=Xa=i9;rgBYhWD{^b1(oTDCt=x-r*|FcjVyxUlFkDGsYH6z1!QK(AH~!$|J-7 z0g6|LeN&03XYg_qQnMAlF{*BpSfJ<^xna3EJi6sxxN6Qox+=2cl#d1tf3yh&b9yfb zRcoC;O|%%qfwczzekjAlKrYeZ3|1TgKX9`&m?ax!DR}oRE3BGHHu&44_{`o4_4X%H zXjL;+A~pk9zT*;ZE)FWO?RqGWSRci!1uQ#`^DwSCb zzMXp~$A*hrvim)YaE?9Y<@$sESud#Qzngko)(iaSZNlZT8eXh&d4|zM89b3f|JHXu z4NG$N9>32b#mQL$fwqj*Va+B`hhfpr{$pPAW4Y*=H(XmI$XY8ECHrZ;qIcihHS_K# zjvCSBR`Pz87e5O5_t5+B8ZhGL-->T}4)}G|h#)=o6bLcHcoW=l%}P2LY%P` zJo3M*NR#Y5vI~)#m%#YVH0Alqx#_<123%gC3HM0WLs+4s)rr?th$v1mo7zq1!O>*zsWKf!cEbuI32R+G-nSSYMsNhiQDkz|FQ#+ zfKiYSNYN1=_!-|Rf%@BX$r^bN3Qd$OZ{tC1I>*dLd~h&gs%mJHk&U$Uzh4Fgq96yE z85xN6e}_-sl96AmbEp8hnHk84^~d{<-|;K23VV(S0|f;HQjBmES%dNBz0kd<2}UhD ziEBrOfq8WamR%HbMxwAVQ{i`c1006*#q>+j$PYM#k$o*OWyx+__fDjm3RMjgsbLW) z(6q*?vrq8;!+ki7QiC-2TL}`xkGNt924WsL!fw`W$lI*N_N_}Xwohx+O7(}^<1gHM zY7k5&a;7>I9)k|a$(#S}|h%v`x1n>K6^?z4U^ zRxg}^B?q4&Ol0M+dU>q+cF|vExBoa28&nZh)cKc>pRP+_J!B59rgXv7Jy-GI^$XlQ zya)pthT+*oCv3muQ_0y6RRl8;ZVSy|W^Mwr=@$|4H!MrdkA}x_${6(Tj1KK}pxaRg zy-mkqm9r-jMNU6!shkfUpWTK9wniAS!PBoH2LKX#`jJg1-%E zwCHJvSu3_;+r&e)VJ6(gV$*@JFfqZ*ixE}Zz_00uOXu?A@acvV)=smAahD#j zoP7wdW5rpr`G|RQ9Lq-=p^HWb=ymD{Jp(gLSndq31U~Pf2f}%VHLQmYtN1f~CY%Fn zW^0VrL?5;b)?)WkQ#6+1UMz&x1bQRq!*Smd3{))nrI?X!0Ry`^ShjTs#&;Io0x(nG zVuzg_);}(Q;r!V!XNjE3VnEYg5l<|U7kC^CmOO#x(!H27bO6jo&cM1et~kGM z2lg)N!@a8pL2Crv5%aK`vejnIBny>lK1?LuS%|>{24m2`>2Ucg8c-6d5@tpQGExHZ z#O)P=6LW!Dbx=>fKI+%6j~u^SID6U|XRmuByBaL}MI6KW6Q?^`(_b++0 zY^8|W%nZZ^zrmID<1lL3J;VrA&UAb|Hyc9@b)nvhb~x7Fe1muH2QadoxOx-v@b%0z*i77t8=romUdvW!T$_oE*kE{E-hc_V z%W%JBLg|t4f9r$KzP=TH0+O3SOSxuuY0D@yLjAH7PeuU>Wqv7Uq!rMpfvE4IBjDsl zoQu-K%8RG4WO!#ZsU-#3rk!AJzXnHdU&ChGUg)UWsA_EtJqVAVe1qb^Q7~=J?@bM0 zILZP_vF^C%RW+8J?tc=S&P1Wh>I*o&Y&beKk%5$K6LcIh6{}9%#+9wMFw|B>qiU*F z2@9g|9uQ;4bY%&6%W)% z5D+F({NBNjZ$;4&{Us2bFfjyy5jDL5Rf8x`{{LE-$WF^ZflND$J?e_{PFt{g#S$!7 zxB&AO%))S8PRt@zw*xF^&!?`<$9(F$`HL1|;nHPT?zkSCcI?7D<7OhpHdQZ=;%*m} zqNdyJKS8;=BC$b&Pz51!Djps`kDxp`bR9extxL*foz9p%YcLuoMj`4C)Cn{70}f1? zgso3w`FA?VcE-dt^DxZR64M>0qlX;#dW|F--cCz#C{iDbF207(XAeAmXV&~pH*j4hgXEiL_)khb*@#tEI`@@E)_R(-UbzV7Eh`xW(le2qn26*|{!_4-N#5}OSr__!x}vOVm(egpx8}+4 z@e1Ky5hn~pyz#)#My8lxtHfvaQ$1+dtiZy-Ei1PKw}NtzAmL9UYAnI{aThn=Wq{VC zC0f?zUaToFQ{lO9DyD3HhFHDlLHs=u zd4cc_$fQ(W26A=y7kb0K*0AZIK;2W#FcWcS`Ai)0PA})(G&Ju!2Ro1L$3nB#m1{&) zG1;C6u;FTzLRA|l7XU=XM*}oVjrF!*+Kp;J=nN(KR8S(B| zKh6OM-{zpB!!fuVc0iBjvfOXQNVX@O);&YV9T&0B!4Us)O)*Vg1?H>vVSW#GLXq&) z4R6vp-?5-aL?Gc`8*Fe|i78`7VA!x>7+T^pNI40?IYt<7+pb32$Nw7$9dtTSyKbFw zIx;H>GYL;t4nZ&DIk^6l{{Rd<>?fkp1}ZB2{W=>tEoiAoA^Jxc_kuVfR5`E<0(Git zEJc8FkRai2B+JhSAq9X`U3t{4QDc!zB;H<**-qX_|JwqB{}{}K+gLfn3Et&Y6s>t* z%-VAddl#FQp8O$!5C6~dPmon02Yu54CAJBvKB`J8S^0F(&|<(q=+tH);{Hv%tE&B?B-9N4Vm+*stmg;g^t?s5 z6r6{qCTp;NSwB?SjQ!f`u-JG2YX&qgZRDj}!_2G`*eT79MkG%{X$svjVT}WNl{HAq zOvHm@Hz75A5{w&huSuv{WR%cFrzv8d-NsvCCUFZ41JSn~vGz8B#rFNM*XBR3j}ae_ zWHd(8X5|TOT{Lgr5c%=3-2aOc8MM{wj3zP9aOX``6og>qAVI?42LmYy$(((gX*7}d z46GUmGGpWaGaY|S=HENDi)6+jLDEAjX8xb$Uk2LqFXQB-Hj;s2k*LXb`%m!S@)cEy z6rte$EgqQBI5&0xHoVQH+5sIg^~4!0HB=O;mywa^3pbamc=$d9zi2I>(WN&m$67zqHR~OewO#c9WM7g@#^wvyov^z^&E#W28!&N z6trgDVQbS3itI$vksj%XckU1IIHWD6Z?c0Lzt_?e;p=u2?w$b%3XMjNTvI6N^ub`8 zVd&MiF83xLnAwO4c!MYR9wMam46GZg2C3xFxb1uak3N1!icCxBm`#BFXniyliqA_m`Y$l_s5>W!*Mv6K*|0J?yu5gcNhqVfazd_;?OX2>2qKiu!!#|zIdh>TA|ew}}yTq_uNUWI}DI|k0r zH^We%>@krOAB4A$-0&c%6{c;pgG!b!uI@Vmx356QaVxRhye;=$4npY;BLx9>&*Ssd0c+zh0xeckb0Evb{>E+(`=!uAgq$`Ql6~S5pr}i zY_@$wP76!yy|WjC|KJAZdH3g{$IQFPsMQ@SpI^e{R)VF4{$(FVjPu3FyQeW)XoFH7 z`H1(r1(zF7@rjb1>{^OY)*XORlWqCRZcdaJ9=YDcJ&!P!Qe)7>$*}6ASV}MRKW)Ka z+Y|Un>wz^dFJi*KJZaAN#x^rsocuvUkF_swZqmPe{1gL8zISo?$^(1|`2|`F4e0i< z!We6gU*QiON&b&<_ug}Oeu+dvS{~~BtAbizZ{c+q!9R9q@nmx^p_Yd*!d)=K+7Vyc zFU0r&-?tu0kYuNkR;?}LX zP#3fyDp?MtVIt}L1oYY22)jNw!MsZQ42AG#Iq?Ycc!YcRzM>V&pQ&Ww^X2_GdN&Br z9*5;i%~5C)*)S6E`K}ADJ@|l-WExs%c0n&II}GpIOu$Bmu>MLI-KyBP6i3i!3zFt0 z@N+$j*HI-#b0~k*V~jQQD4)Sh`G^~r-XVdvUq?LRLt;e1S2n7cj82n_Ar zmak3-EoZ#s{lJrp_u%#F3Y=fC0|Ja4+XL)#m}t$=ZKMslHlb>_l&x-rh!Nuz0ZTa4(|gsq44v`F~9b;sjJp=dK}BNa#UzKDtJm;iXV z-^Zh`t=amfCY4O-*BM--;;|noweYX58OA$|f?k<}Obn#>-^InNFX8_!0x2{_wAJo| zp*E(_kV{8mVj|*VqL5TiAH(}8Rp1}Xvnve{?(U5HUrS73k!k{c+mYx_#cz!0*Kl$5 zMH+7_nn&-M$$q$V-UW|5LlBoqP_Jn_bQ)*}ds|(wLrp>DzYu|KGy|DYet7-hE*}0= z$AXQcpoCNe-aUi!4?OWBtq$55j)eUb6SON7*Uay5KktlNZ$gkN*AkirLosQ5U$hce zQ>+yE__Wy!V@~`8X)y+;Uv5S(zV9l!k7lqI&o54d{EsKNa`86a_(xJPY%^%|w8rEq zRGITJQ02=bMP=Iq6|{>)ZdcYAyIno9RAEdngsxOr=PZQn!x4+^syPTC*+N452ZP6& zf;}-NI{+uA+G6fe55x$xeGUV0Pq)F&at>~$s=;*BNEo+{!^1<%G1kH!XTR{9N0^Q8 z5B6f_u+eb58H5Ze8IX)H_&j&T@s;B+<7hDG%tXAoaUE~G0}w+QU{>#OPHYgoy}hZA z5B$C*u}>bR`yIyE?wz3D+ZwYroWMPwSiVb8vx2T-xrH&t?05&MPD3$s#S)C|(*Qx| z*I=UQAj~-7gS6j4AOlfX=D=7-2YoE3VZ*Vz@QzJE=q*Q#95@22&pg80k3R5z9i}@(}s#5ataT zf_cu~NM_QI7W4wHhn8T}n9cA=1zh)oH>?KLAX$a)pd zJ@UXO?@#b>I|WC(G1&Yvl`;c-pq$9ZOPCw$p=FLY?oz?JFnOYf zIAdBL9dzkF1k)Uk!p%DtxhZe4V~ibEJ3q$zcaL#u-C^$CEQHgY{IJ_tZDj!QE)3~V~#2A{ZG?vo11 z$G1x}F>K@>1S#8M$p%NvFl~;=hsUtV=`m+xRsr>pgP{4LBgEMrMmn8gIK&?7k5c*- zmxI)|+bKu63TGd^!}~`ku<_7q&h7c%aCs&bFz*h6lI>EgT{{yd%_8vl*ajSW{EL6R z3QBphp7tE4&UhmWlw6FS0aJFzUk>6!0}&cUHR?EbRTE5PrlhiM8?CuIw3-TN9GFRX zbnG%xO((%pj2(a3-yUM$^x?2ueG^|ZXpkWp2={)DTSr%6g2OR{@azqn7x^5A=UBjM z&KdY5F+ofFikCMJW2ucDw!Yz2YB9iw!?UfUF>Lk?q^g@?9!cP|18!S z#NfziQ!IIq1ZHL|A}d)Cf|tXeLI{6G55d`~eWBN>2dt*9#c|4?#pR^n-8MUnTj>n< zckkhTVm*$&Vp#wVjJT)RKFSL7uBSrHY!qwoY=Dmdw1`_{&R1UBHtMGYjNx#tyTjl)XTs}mjl%I`*6lR z9L$CasKeG35#n00#S%=!Jih_AcRmP;$)Y?i<#n^85#+=2x!&;cr}A8=&{;vaw$u_P zqjun(ls2qpufW3bz0n}>Jl2jg!;onw;ZqdYiX>;nVIt}E4cvI`jW1EGt%9hu<;Eh2 z9S=}x`~01~X?sZs)hIqcoALoucf;+|SA6we!A7UMR*L7`b;Cceha>u=y45REK$Mdctih*MAA z-oE(3swlc2Ws`eASGNyrXKchtHy`kZiHyjrnAcAidOfW$W9>0Y-(rv&atrH5n80@B z89es*1fNfDaPQ=5Dt6n5SNs}6`C+)TawrB4-+HRZY+dTtgW}QbUJs&YI55U2E2>Hi?!%C3qM&{`%6BQL> zvh^cxp$S2OAK7ifDlZjcyy+t;o?8JCI308nacl(9pvtGq+=o=HR{};Hd9uNh=qjs{ zfg9eFOz!3EFHXcplT`;AWX#EM>WxJ(vZC0sq|K@WrH;g)uLYUwbdUH%W>Qj7K$5(j z$#er1>bH~}4nIP^m#iY{osX6olMd=eWX1i+;$=mTC65;MVb`yk!AkN*!0O2R z;mYE`m1OU16Jj-IJ2|jQ9b=~qmD7?J16MC!ZtV=s_|B84N7R~<;t zo+a`ufF25G3-ZV>zoTTFHYaUnEB27N1BZ}#+xL;J(*}~xYKCOKYczEqgG8MhPuV36 zGT}V0U5F&t9lDd=D_nS%JNM|bDDk_#_@2eN&%fNfuWb;RX^PK0qiwx;7lpG2!U1Op_)w9PgVxwnB?2msUDMf-v zQhYCxl~z5;z$FhzBKtan#JO)EL$%ux4WkX@Ly=OGgTu7gdf9&DDH2{nFY`WbCIi}0 zWvn`ioQeMZ0uFhf$kzTWomL}ur+M^%1?5{_I1VHobtaIDVLbVzbZ5JX8uc4>VzJA& zSlKb+9+H*DnncTJ8F?64+*;COACe`#S?_8`dR0cwa-xXmrRBt`gECQ7Rv{e?M-fMt z&*k`49Mdx%@;PKb2`(AG6nrBtQ@Rs%Wqsms@q6)iW_%>O zhqR~itxWXBoFc)z?-WAL%7#vU92&|=+n_z<3s1HE=C`d@CLQ!F$)Z!Q$d6RcjRo=V z$-z-9f2KrK_2!WWsqD)CsZD_jmYFJmx)JkBFQaCBaz;B z$ewWq?EJI*LyzeiKwXN{jkPa=L^= zrGGz6tW{Xg9Ypp9l&&a7b}aGQH_B?4{56#)njT!Q6EPx z#uuf89&wFK?`B9=zvh*WisfHe8=V{PMVz?pSXJ$w#BA7Ha`4Va5|KfrB{!D5-93_Y zVbzgRCt_u1L(FX*$)z`;B#9DfMn(v6U1UVGSov*7#j>A@%enZ-BOf>Q=h(9DD@m~; zDMrzKD(bq#a_%Ye=4UELj|<|-yS<}`K07a6$lN=H6~Lh)d8~AMprUqBW!sfCz;0Ka zEQu1+3!p3I*14!Qyt1~d<{`f68@$k(pwB87T;662tp=>#X@bn3PjPg<6--7r;&v#X zP2JL-W5=os_^D)vMWfpCOq;EP&ej&tqAuhI-N1FP!cjy9!mlpD{G&1G5VkhY0(8{hRXa$j2IEPvSAyZ#Y;hb)O?I+SA2fHyxt5f zwQd7xl8U$cHsNXncYKys7yov%K<{>}T+yH~U>(jKoP|NUtx%6joQ$F_#yL8m8|x&W zyf5(jD9rI3{9qvJ&K11)C57gL=VOX)mCf6(0AbQ0jVAvzM{P=0vg4vFciesYYg~2l zL%MWF3>~Ep*3kwm>a-t+F@5S&f8`<2*T2|oD_Y%_=xWgioGMa)(fSM6KieESt?E%V zCIdy?@mMphJC#044!?NeQ?V*y;QN^cSa#(n6o#(Gib1W4FOQaPfgW8|Dj0<{+|n{_pX}lOZUi(Q4RSj8SJGjnC*y znFwtQWTDXB2;EsrlR-!L-6v37a~^w^&cpJfZt(DaioHYsrM}C7*BdWn5*f(J)hf!j zbSqfR84GoK8iYw^amthRY|1CMu@ac5Ab!{hR0_@_&w!?4jsr;yiakFn!=gRRwpJ_r!g6w37H z+py-sPqejL0$bkoQFS_FxJ7$PCiC(2#x;EW?T)_V-25fD`lB(1u38DxR>ggTbPEG? zRT0(#@S!$kr-oZVr%^3*n06T3ET!PS+79Elz81=6GLv3o$K-i%l^Kiuho+#t083S+ zSQ^7*Rxlb)6$OLxdsTjnvK-}8hhvCtL)7Zv0H>Xnz@s%7NBR9XLKK83O$UE+`Q&hpwm*%Bl*TB`wQX1Yo$`8^zI-^^NE4)b?8D|O5!5fZ2rOsf zLK+&A_F((u-cWAHDNUJX-7#(LICP-?OMbn_Yo8L5Ds&x`fvE96h3r2%=)hj0Cj;ei zdvR>VNc2>10y&umXr*g`1t(5nVYm8}jb$Ry{RCVBi>7=D?TZAgll0eDaK$ej(jA9m zr2cQK0!Ky6 zAq1WAjH8l>MsqTD@16`prG^|mmT86_v)5rfTlrJ) z;k9=$KUKawilIGFLA$7O?aGR%9=lz2vQ#xOeYtfmvJJ1K?W%$NmUrnGtBO`zCT0ST|4-|^uVjQ_?9y0(k;=wcgg+ESk#5S zBlTA*PuU0$GtnLUk9Z(g zX$01-Hiw|A`oxW}>7doC$DS@vvNDlbna;mP7Fcz74d$;~h0(); z)`zT@2eIMCH~jA2m+636&f8$#ko*4%5y2L)DNLIb>KWQ&mSN#wfGq0C7iN-1-NQ~L zUL9PAn>>RMG972*7pFY`#r01ns=S6xM3#Z$=)M)o1+BljjJ(8z&;aaS;D}Kswoi=SadlThmAVbJNfiF~maO31%#1KWa zRfvbjv!{6S_z@o7cZ1t4S3C<$Vb>)yIU2v+(Zl^X@;(DnE&5@wVOgi8h?zP{Fk9-3 zGpo8F#(6dN3wTlz$@qYMR72%lt`+v3S^@(>_O+q(O1c(R$OZX4OY`}`q;8?^kS@be zR0UFXpfqSHPF+|D-Iz0Q-1Dx;{*;4gsKEMOFySU@}1VJfmNk?*Yv_JzR75Xa?bW330BEqv-RP1Am zkncSb7OaL2)sT5~%d^;W=$sGt@I0?S#@ZCxCsE?ss*5GcgdT|N7v54afizn7=*4%B z>$+VnVayH;GVtNbO@#8gC;{Y5d{FT-pHt6ely%X8<(QZ$h>hi~6;b1f(o`z`r|y>F z{y;{WPXWtmVeHHyVD(>t9*XyGV>s7Elxacgq>;rMI5{qWt^2Y4+r2;+vfENW2GxbbM#OB`N% z1K)}sjt+B9W6NN{BTZ3l^l!T+uL#AGKu|!*ghC0Cq&3z?hc>M8BuGh4 zrb@MDz&i1k)#p?U86|YqX6-jgkQN(Ty6sq|Jc_G5P^$asPPBM%>NrhJ{Y+ zF!0ay{0W~9$`H%2qB;h>f(B+q$e~TY$(U`Y&)HO$1v3*ro;l;(^LUQ&A%v1P;h;5W zi2Cg35%5+~>WjO0nM^%RS{WMZRU?ej%~YULXq72>KIyJ;6GIQ3c_(`u@#1lTZap8qbi&&QVJ3BQyghDRaHSlzT#E~JuOYZ zN#<=Vvl@hnYtQ3dl+dXxPDQfLNJL^X%MeJRZi|*Yn`m>8Q?i2N$|0P6QuF+&fG&X3 zkY}bN@{2EgeS8G_eEyE)a!m&lNsqQ-)17Q|TYLbHCIZfiX8gdFebEg6WK0o4%Mh*N(lxJ_jZ;zdys-GcS<9QEHZ2R~ly0E4=if8j$1{ zJPt0y$~7CXZRdU*J$??CZ$5;Nv_7oIO~vdr)6kbKf~k0U_XU2jO@Jn<$OM#u9MtXR z!$v6q|prXRFGU7LsJ$U&7zHCu{gTu>~!*Tsq z?Ad=9C(mAj>jNLq^haQ<{ambe=qFT1R9XH?c-<1*w}|p=Udr^byvXWc_^^&tV~&ZK zN3M9C&b>}GAm6&ZfSkoR3{c=+62X^MM=VhiM#LMu`_2w*(Y#eFJ`ExY+4ktf32Z?R z{RD4*vun$gvuZ#}9`bcr@d`nHZg!C_S2~_3q>AHzin?75pexV%i%M%^BKulpWxCut zk!yp#ljmZze$jzB%*^k2?tBI>Y>K7?4k zQ$_6oAt|d}SrIkIZi_BU)fLlosA?Ntj_s;}h+f}9Aq_>HQP_C-26hZpV)w|B3@@BJ z=gBd&^f3I0V0HJ%q2I>Wc>m!Io;`mIH#b*Yb~%gV$DFWp`v$C7Xonu`7IHp9141Z= zP9Y^-tjD_g^BD)Puy}^fl20;Sac%|ZB;oP(BdN>VL{)J&5k?jLB7$n z{SMkx=pzVBBtJTU6JB*;I*GM}fP1-;~ujUSAm3vME< zttuLeQK{)+KM=_l!TwnH#tR-EFY(0vK5pH*iVNpX;)v63Y}vR9^T+n!blB$q#Mf`x zRG32LMqZx1l|!{6tBH=9wUH7NBhZXDY4u4scJnq4+too@{Cmc zDtB2e6Tg0?Q;OD@`+qKCmSz6PLPJ{I->IG;L({d=}y-Kqr`W6)eI!J^Q*#X>;eBGR*kMjEQaN1$6hDk(`% z;QEBS1TgId?!In;;iL5^&&5TAxb{!P67;y(-=`GQ3a6))LIzEnb32KcsYtHgz*YdH ze)kO(Lu~OxHN^k5nxRP}mNrX4z5!>>QMJf4GaFH!t~j)O0xT>R!ZoceRK)2}#e|Gn zFZAF9uK4uiS+Uu7%oK0s4X|oM zim&oljQHCF)kjUT+m)52s*dS7{0)6L)rY9=qD+`s@rWtw4lQ;Nn}^u9Cotb`8ScdkSS}*u zM>rZlXUY+{-a3HU=33w+GY0QY%*FVnZV)t?90ZhgsYYnT+Vg^vlAQE({v(sJs!(go z6?($mSFM&dChR$ZFce!+%(NZ1NyRSuPtUvQpDgAX69hDl7=m%i&K@ zHU5mUM_C--MURb!AfjGbgw`!Cg7_9upDir3mV+^(p8}*vIy`Q;A(n~@6CS$aQ+rzs z=UtX9LR|YtVhJXL<8P=pXHqd3|F=q^RxKG$Gfqk#4fs#3uX%_uo~ZKppX4GxpGqqg zFQ{t#!?{$YGM$g`2RmSI(gOqRPa#Zs0uH*}#)0|9?9PxHN1g7lUpxe@WC&899>CT+ zVVo5bj5s{sdl=7CYe8wmYRu>%`050qV%CO6uo~Emit+N`d;cE787#fOgMiK>V8w4# zw4p909l3)WPIED+eIxcDOr8U&VkcEeE>-lx8AF9HhT}F?-hZ8QmdnXi8N)JWJkHF+3BaNL(G|;rb ze5wKMeq$r7RN1LS%)Mi{BE)`s0?WFr3N%zWdwtNuLh!AOu@O#DQ1aiZHD^{HO%WP~ zMldoq7VKlBi+}kIdFKS;%u30k6 z{sHxq5MO*2w+YDf#<8Uv_#c!-k^k*FZhe$NCxb4+1x&OSFxx-{TCHDW&GfDCsNkRs z8Kn-;Xb_J8-*U<%!ykU%X;ACfo_m3dSY$a9-ba>UEs@kRbO+;IH}na(|+D@;KM zSq{}smH9I&j%v;su%HKfz@r*kmO|?mg`mDglxK^E0*pqOviE1p_H@OqkTAI23Pv{@ z^Ww9wM2KtuL@XiGMhPw1K7oOV(9klL%@JgzXR+gTT9bBAsj9$M+{;YG7Ee@t{Lf7J ziLhTx(3Qtcba$1b~KrEw|(Bp{eLn zHypPYnxmhI6^8a3gvBo!!eZ4~T-ss+0k<(0A!e-y0}Ere-sk(>$Gza6xO?X(3~dV! zCF3DK9qH_cH-Lu40yy1$hN~NgLWT2i+&}74eE+pVN(aQ%ZlnJ@h_^jZ-P9<%EfSTC z>4o+cHMXlSb33b{|O;Bn!6R6fwyZ>0)Wy**m6YC=X8U3EG8Pvv=@$FbLC z^wt@VgqE3b5BraLBB#|*OtdI}NlB)k3)at` zjg?N1@lyaLl~L;sJ$95%$CnES@PNMo2a~lG0PBzZuXz6aCkj}8L)Q@P%Zd=hpju zW{`>Grw{NxPZI_PO}N*@2qP7-VV`k*p*2P=Jc`Hu37lCmgpSm&?l?4a3ib!J!-NIa zXeDex2vZJ~L|9#^I)5eu)o!|+I4aKz=a0UD;QQN%hS0htgl`f0*_EJf&*3m*&D1Uk zfa{*^xSe1OoBk!Hs)`WTR!c0w))~&9ETmiZM}JOWi%hSV_`o-?O~j8d*5MK~H1BHy zy($W9Np>XXfmKvI)l!q}cKMVcOO=f2h4&RTw-4t}z%nUfMMk+D zTBSXO)9zIuI1OWw7sBbV0_Psf| z<4^$BA&4wVX5`aL2O!Kme`4_c z!zHX;eipGUREiv9mN$-18HirJhGUHzXYUHWFmsWU%Ss7BUQTYgX%Z}W)l291oOyIHWHJw*!f^4 z#Urj{9}HDNoGPMIf0h;wW*#LE>_RBqT$qDw*D)BW!>Vh7j5j+mZ}VeB7VC3PM_6PM zyUs~gI?{z0Ov>j74f`MtURf+cSlwYD{Kg_!nmgdQcO&#N*N2jd7CN?9LX)}`MNU-y z*{VTMkXKM7!_rNlDE||;Pdz9;O@g*0s${nEIkV;RjwoqZ8$zMLg%Q_7$EGEOME%j_FXuNUyIZ940 zqKCZ3`zQwLb{>aG{mb%#Lc)l`{gsokBYFrntQm+#X}sx7M9jAjxV&Zs&c(DqyHZM- zj@SEl<6)vKbnM2X8?PxVMGjt{+=}yV_i%Oh4A?Eai5Pwrz)Nid*p2SQo~g!+zlYV6 zmchlB_s|7861`4hw)Gs`h@!62#T4lP6b;9Z!x{5B{tEQ3ZEIC(h!4LA#@`-OX_ z@Q~$+BZr@Z)_V+w@h*Q97t*aTc>4|9JTw`~KhI&2Wgj?Ph(>;Z6UJD~$Cb36Sa$Xd z=64mXAOtUm${@V1i0;q0Co{8TpTF~fT=!A1)?!OK!d(`^Ve@_bXtCRMQFX{P_d8YgPbmg4N<4w%Rt!UXJDG!K)=*%Q{jDC)x{E&&o1EJ zT)-VrDMY#*Y-d`5wf{LY{vn*N{3un|jA(Z}^Dlr@0|VGk@5PPVxd@sI2eTHW+&oi?Vk<4+ZNQ#Rs=BuP?%YhTR#Utb^?|#ud zQ19l1iTvNqJ`J9NFsOLD)YnYPC+BnOuki3WE{yOSSYmFD=|{bx(0dT{lvJRp-5yF! z>lT%lpa>hY7DDO$5Hls}eRO<#_zbnJY@x%Ftzt-{iDG?(xt_wq;tz*%M-8xcfRSmd z3Z>rsqZP-EBTx<9GwX^VcUh&l-aMrX%4n-2r0<_eS60yAfwL3)bvot0`o(Fm=lk z^kU7orW#ydudT+&{sUk$aRz2h8i7HE{W0}&eb_sWM9acl(iEYo-h!R!+z&^vV&_HN zx^@Qp);Qr=D(4pJ;$!gS;+-27e}dWM~-Fo;9mtFt)z>>J{ud~ofUEBk zqHJW;O))@|z{Y3mvy&>&iKp zFxUp`pC_}80!e?0T~ilf!_KSl&E)*NOek3l4CHPsocI+%@%+nQINgy(gW3g1W$hdKQjNN3-C7Yz z4>n=(A+3Ic>F#U(rt!f>hRV@0a^umD6}o0VE+2@TfY*61ChY5sOq*sE5#%{ z+_Vr!Jh>aSv+jTK2p>|V(ZvZs;>$6jopVj@tT$=u|=}^SqB@ z=d}nhn-0VTTb{-UU+TbU>2a*L(?KIC%D%ZDgG&H^SuH{anhu+>xOWpu4hS-Xu4Byz zBbW@Ih*{Go!PcY~22656eTOx$WliIfQHRMuO?D%w;LA}=pRfW4jvm04d80A$NFb!x zO8pgQ=T5_-*$Z*fmnFOTi0};rJ3e59Bj9s!oz9Q&K_KT#g!}uUXhdBW9j9-^OuYtF zkio>SPp2_=a37f4PQ@&TF|g{@8#cS+U;+3L2k$a_1PaAPT5U2mE$fRW)c;BPXPlX7 ziJ{}>WBr!RSUqPPtVXQE3)U%~R9@4c?7*~z>#+NZPmv5%L_HOlE^xwi4ko%2~P4ps1z6u^G7^aBSI5xVT=&**%Up^pvAR zjMzYgum?|=hzbcRHDiMjM}42jP6Q%@0*l4ZqQnz?sYLu=1RbGg7Gln>%Xs?f8=|8^ z@c!m5%ow``;l{gge$VhC*<>SvObb*eGEg%e2u+s!7JR{R`w3XJ?B+?Q?ZX^QQk&Z#JP9$t>!7hQ4V%wDW>dJ0ZWQEBx5!g)6%9)SVe z)5r^<^zBQbz9oD^XsL!!0s;a!%bOXo-%u>fZcrNqX_?d;=kIs(bT&>`*Au08PP!qDmfD;Hy8mSnQS}4_!)@65(>pk z@q<5mJ1r)XzXgM>T3iHcKUNGq-h5=_~dXtoM{z=asSHm9Skk z5+ZIGDdgQj2QpY!ji|I$B3k-`$XJItWWmfyWJIsdq^pSo+50%01|yYxKEIR< z*W>(_^HCu>1E!NhFQP~u_cmU#LT;1IcD;zUa^d~dN3A;xn#k;~2#Z{GJ7gphO7Oi0i6D!-qp(pHt|7+R1`PqU~SvdGi*)NecR zey>jS`i>&|J^AIB3nP|X8sCBEH|2cVPa#*6xWA()_~_9~H%B67*6O-4jrNt;Z$6irIk8ugmE3uK~}5>f54 zhpov``HsGN``XdACTpJ)v0SLL~rmSa^`(J$q6}2W)AF5I<@0T zE0xziLpG3S>?(RfHkuod&b;5N=@6q)dx;lM73D>}AO|M(ChZIFX{$kcjaoxoypu@E zQo-P z8jmE?rcEMN-8z&0W4DrrVWqXbY(3=OAZUIVN#x#2N`EL_EV@sbwC~cFSk5|6LW>nu zCi!&Co(#~YbWKfLP5 z&3-(wGSDN&lXj9v6(&GXC|h=6Jn6(QU8O!9i2daf38ie-@e!KvO>t6jblk)t#&+VQYSr!EhXo@Q;OSyoUg=XR)5lwd!MRSe=>dl z3lg21KprmXN9mUmQSUs2EIIw2M0p-06O8mp2c^PiQXfjEdktDdZv5~fhsGL_ZW_u( z-%)w(++z?~d@YjuGAcW%zU1PPp`@EC&okO-6SHXt$;&8yrIJUYpYJ16dubHiuilf` zt-eHjl2XXkiIm+@(EAB5BuVfhA+8l)EI}t>F7wFX9v!LjD|{9!pIwO2;Hl)e5AWMEN$~A0WO6^M zOsMiQwzVg79PEf?4_(r0#By@sQ*nFD5L(X4fgVm==l3TaxX)439zdpVcO?OdKggAN zgNR|V_?gOkzp>=dCo1iXU*!Hub7EL5epVxTeQd~{w>+{TLLHQeF!6sO^zY=zP)>}Z zLD?QPZW`3I49GBt_2jHabh&8|R9}RvlUx#faW*k#w*^@DwI{~*`>E&Ul7#z9iIF;W zt(^|BT6CIxh%ey&hEBq7E+EDl+}|i`6I1)`HwKR+zn>lC|P;s@1t4vD@p(c{L?{iLZ7MyRN*k9-ohL z7h-qVmr#*wxd>}lev{`-wi>CD9t$3kbnc~6WPT#Yry3C*s?DI)WdIp7bvl_cxI5|6 zdmPzzKdiLhFH8Q50%8GeG}V4PG=Z3QZU0-wSRV~aP8VE_q-rmheAqpb80)GN)!*MM zQ)x6Nv(9~^zE2~M)(j!Ni^bDaY-BK)INncTzoGp0W5wip>>xJ%dJr96S?0c{lYU=f zvBZ_cvsI{od_CgoJb7fvbLHCu z<+Y0nD%Gy633j{cWl5NrzQ_cXtt%DRITxX9c!3yfSIt9;^$)inP`u=);-}w7_=iR# zIh&w%!)8$G*cDwgoARCcRgC;(g!=d*G&UQut##2;uN64k<(CB$nUQ|*4U9w@ttnJH zcZNnY{!{MRWJTe#R{-K_siKF0Dnvd2CpR8JJ^=_zDnR`H{nqI2-pHJK)moW&Ag5&ve7Qo^#=@u>yhD?70^!AU_2^{C)5( zttoU2wb6{5PiES8eDKSHszE0-6~`>AVla^v_Z5D=VaRXO6$b5_vPU-PQC|?iYz^%; z4fu`}iVZ<^hcybhZakL0Z4HZIy`k|>eaJ}_ATu!nfnHDX=y?!Q=z#pdop^d|gkYz` zGP4mEz1&-g+ge(6@iS9H}IG0gq~^w z98E1kT>EEYi9Eyy`ru1gA`0rafu^<=+EzoWABAEsf?Yw*ORO5W0d@D@$EvR71xHL|Q~LYa?>my{^7uzt z1DfjpqU=yp5c#iu8(o?j)_$xcf4)5+F8-9=t}LR&^kVWAe{CO5<*B;PKM4{9A`iYh zhGEPp2JD=#VO38)K{O))uQpG{tjkQ;oxF_Y{O*w;I5{D>wtNP5hMD2exkcz&%5df( z-)oHkVe>rsjBL-1|s4$T5ax?6wUjbw6Nw};sKz;5v5+q3AMWQPbB>bn~ z?fjd28?Fo6^Iaw`p*(UBa%BZ(?+AnGk+WFPt#qejUdS;_8nz9dxhk-~b|1^Sh&ym) zBKgidSUGFp^!3?L{~P;=BuJ3(pGU;*QV9~oL#Ee$tUD8jE(>>IA-_(&98c`GvBa_u znpk?_B9=-z{wfC}8n+gW$LhOzFkL*GPsbk%IjEQ$v-h5(HEIk+Nq{4vTyo&G*A~MT zdqRE11)N_Y>CBKIfj<&mksv{W1mR#H#&t0!t-Om|vqhN6r{j->oQgS&+Oq;z1vF-D zz$ya!?>NOjcF_G$~bLV zRMPR~!XnIZ@i>2(y`za>bhMrdfFV~oC6cwq#&cP^9G1ws{Jk-}6CY@)x+@|A<5e4a|Z9 zrB`jIE*>n8bW-LD+8e?CSml3=SU#UFnIAAm@7+KEfOS1_`{R3D2AFjk;~$ld z=%Cb4atfUU2@)hokRU;Vgc?R3lE3*Q;9DFrNF6l(M+F@^DxqPO2CjLC@%F&CI-Su= zOHs04mIMhB{%Rzhe-b1}kRU;V1PKx(NRS{wf&>W?B>Z0j{0|I@j-`jUGZFv*002ov JPDHLkV1nM%ti1pL literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips2-image-0010.DqDOOTsn.png b/docs/.vitepress/dist/assets/mips2-image-0010.DqDOOTsn.png new file mode 100644 index 0000000000000000000000000000000000000000..98b21200dbf069d5c3f9d3cdf9d1795456303c35 GIT binary patch literal 49988 zcmd?QbyQs2wrYlT9w?%kVI3^nj>r`_XN`Zyq6Efz>P61+0daYRF} z)y(VrMgp(vcbmsi7P-FNhr}1*Ql%8Hu5Q*Y{WD~&f776Ymb>8h=JkXmovf1von1X1IL_bt!RJsKE$e@*1C^k&K^ z0Abr=ls7IcXC0QPWJdr?kiJd%%QGq=^( zXB|~e^T*Ckxj-5pTl%ugy6Kgx@#XFhB%#CyH>=)7arAPFw6?o5*<|rcr6Y-Lin&hF z9h2lOuq=DLjsQt=mGUqAd%PgI{hN6Dj5g*&x}&Y(s#D7y$p@mb6qJBal@=e)xjLA1 zP<{=)`cEzvDcdf(y|Yr@S?&sK)zHMeuN4`!Fx5Qy1|IoO&eOuo*W}Ptf#k^wti1lo z9MyR!SE+J}Y^m?c=;97H3%=QSmOZAiuE>e1U;m`_QYfveHK&QTL^v7*JS07rz#!Y8 zr4V6jEmy?|Q?3|;48ohSX?l_DEz~fq@YobedwNc+rpGk^$f1e8nKA;P2NkxJ48a9k%a%6j8Ug z;d%2r7gyVMBfMt`6?Y?=@0ei&2jtUh_$~#^+t+y@{GO6aI`tr>LCxBjZV_)$&1Fq_ z&Hc-yd)@>gUy`s+x$#OBIV&|Q>8TeEC(FWVk&C`2QR_|TDaXVEYHXVYpt+cCFsAt2 zrODDPxTTQ-+;g@%8h^EUI9S)lY<@AfIY_pYHpn~8Q9B~dBdPcfw`1wVo3M2}s#&+^ z^z5{zMDKx9T-#)IYYue1lNteN{>O)TDAuYg*B@@Ux!CaQELSuLoh3}EnGdpSYiyj^ z&G=ZxFK#82w%9(9uG_5u=C^4oXDV@TM{92qXet5J18DO_!rivBI*T^At#hWRARc&Z?(;vt-owBsuO3gS#N$PjJW%( z_u(H2iMR(H7P~FlXrj8+-S1+%G_lV5Sf)-Va69UnQ|`Zk5tuM#(o~gdT;|mhj};A9 zx%9@)Zf&WJ@azt@l%iawn1Dxy_-Grn=C*W#EAv*(ZB&Rhf0+(xj_{o9w6wIaT^(y^ zFCJ*x(1@(i%2FJ+i4As9q&MS?VgOP)*dy!wK2PQv2ShZ)KW_4h|!BRaMSb<*4th6L&2Eu=622kQK zO#IF2mtVCysC$crt#r~!QQe>U9;U!a2yH=*N5#(-^TQwzv=uUksbl}M*&FY4v=Pnr#e}n8uu9hb^~{A6IdvL!@}8p2y}GpW{Q#1efW7O4%=8 zw%)|Bk+~6%`U^UQH=3Cj`P2lXS^I9K`W*h${e%=^M`knx6!&P;lcPOobIT~1Z6MNT zUg|1p$hrFEO)9%7-8JOr%MP}XBB~}C`MT0;^$$=PIR_w3@M$jEyHwk+UOButG`$Q+ z6TqJhyUNxWzU#ScOZ&iVE?{P-0f9|GPF356xk{DNk} z{wCG1SbkRjrIW3Vud%NhgK5c{nf45&VFI`SrFaL9p3myZIR3If&KVz8C-FOC#hL@GfDhR#8 zGYjs!hs+}eBfcIFBsQ2id@zj6-iY$#__--4hY$N;|;bQ-6C3E*2KZZzt%QFut$BHNi7NYU%Gf*;@A%PHat-%5&6DF0q zejMM?>)!OYMGI4vH=Y|+qur+&Dg7-1N3lzL9eA)*H2IGGhqGa68j#&DIy62?xCc#XT@w3W_=6m0V(0FOq#i?A9FE2pQtUYk>A z{Rh%GgIqsyQ#0OBOl-f)w>+{(XU*uq;8b&9S7GY$Q5C2}`WQLk6xd|`F|TmsH)!tj zhjs>^{pB<*Tqc$Bbqjc|MMu3PRbh00&xJSmIP`#vJGyf1Lww zjt6q^2hC37o|yxfk&3BoB_HVW<50{zsU>H|0_5~qu)!I>Lb^5pJ`JT=qhYfie0 zA2z3)%p}lQctq;xY7T7Hl0|yHg7d1he%#-bM3DP*s zOoT2gZgs99Q(7sNESmtTS^qZOrWS@xhSQ>Hk6U*!-ksH$PHy<%g#D!bXY?c_lx2j* zkJm%SXe)tH@zj>Ff*iNDE0sradk^?WFL{rhg2LHYvx1hk7D6}|s%aqH`vSSL$0hsu z{%hbPf&vg7r4uW!vM>u>(2e8K41*W8X?rKjCe`h^msq{W5!ZIvVt3qNz`^Wz^s$2g z2yJ67c|xZVH=MsgiOu>GmSBDc_c4(9laXpILkOND2Ib8Ig2d7xglK)P>^dwXM zQvI(ou}u-Dt#d+J#Uz6^x>K_x9#ckN^6PmYpwZsl^;tMEC)5WhkA+4~V>alesSp$BLfL++J!KOh2o-Uz!(h|MI}OJ?wEZF{lX#Q-T8ZeXj#z>3}mZ z8F>%=#;)^1mBUMk4NKOvw%>!hoClX14wT<^=D4h65VP^0wT>2QxsA^(1KYw;m;Xh4(y<@Xky~CnR!C zPGUob$96_~d8y?@&>is<0~H;e*8IdVjl3EQX+5(y^#f&w>b28{Vsjr5n}@(p$d?Bd zwRLgIwN#8nXRwML9=Df-gdRg}@1AD327TpD2Q(n0*Ap}E*E&zqnUc76kY1!lX6bi!m;gzq zA6CRmD6aG_SIhVbEmi0`#`Nw!1;5Sr7P(7$F6V|Au%7R7?azKXZ!mq*LncBA$XISe z&8N5`neXc-Z{2`2DG=Pth@)&u53OqxtBHA)uVWj~YBo+%dqxluW43d-DY|(MYlwN) zrNNb>r{|&9RrSr@xM%pR)rrldTyd9qmG&-+Z&MN(BujbpEAJLuc)~4YBF1qoc|BjM zv%syQORj9L0`X{0m2$6|;#h82GfPk^MV=hTse3~4{#Cw=X8#w^z>3I-gZDjeb=eK8 zs+R9S&rSqd$uuuVk);d@9=&M8csKp!nAI&6B_&(pw_Q0C{#$JFi;NE8&@q<WgFJ{Vu)pOz={0nd_=ll8_fslO1i2M%B^z!K~jWkMskKr#&thxXJ8vb8eVI zhjS<#$!_bks{F_VsC9#Y1|)9IQz6&BM8tRZ6ZZMAW|_*hp`E!Vy2bsi3Phhz9T}2Z zxmHPZkBPxAm6eP~U)?}m=_E-wWh$q)CZf~(_IE~xUk@BNJ{n@WlCi<;NzQ84_p`{y ztAOBsvyb!SPY%Q5%hfXKl`ZgDp_NbjRg_gUrzDBIOr^^HnNoA+2<9Uxs)i3-)Y$LL zyam~9P--4ioH7WljwQ4GAt|_bAkW}tT%+D=ml3aulV1>Pe&C3`sRi;~)9Kn)9-D)3 zb^>c72uAArt+Tzo53@Lvt<_CDA|>ld3Bb1y{Oc_*@W^Z;(Dp#UX(G7gX$@+t-1XN| zy2G6mF|uoT1~uJb`^kgX>)I&`);25K;Gn-(KIT+lB2{%;fUlOgwTJ-LRKG3U7Ldbj zwEY+O`Z6MjXOBDrQ>|=*dwr$b&-2)0g^fS0M?7)~)ahKC_u9Quu@aPxr_R)7Tq7b! z4(j3gp>Z-YOYp)_AW*sCujl<<)hR!Ii(LP*pxE=e#*Y1@mah{}>ufO{(}OFgTsHd_ z>M5nY*XBC@*v$$=IFtwNt#^bn*0uJY5VbN1kj-~Sbq3iDH!4#>Dlak;2sl9Yqk$i$ zWTz`fiI^x#;sHco^egkeZg@6#n-RQA@e8<)acHhK$E8!YS-X*Nx#T2rDeyXRc(LZa z)s$cwWwyZ$7XO-3Ip%BZ*S}0HP?yLn!c`vNLD>#nhb>umSH|WjJ?uxDb^|lJ6Un1B zKfP&%nAGv3OgbFg8iCsVjS6zfGfl71!3CoQ`x21^hH%Nksx#IA=4EFn~ z@BZhOV``}i-LJcF{5ID@#VS2xP1l1+On#~)>6lW!%>gsIypugX>Jiz#P+a;{xrG!M>x!8giZ8cr9auc*&fixRlx<4Z@_32ah700~wO%}I z=&VDweUU0Q0eq`_GPoYa^hvE>Xq6KWIxdUrDy^3OD&nW3_Q-A`(YqmS*`LfF=|COf z7VIfB(B0b({v-~1aXMYpB|xhWw^;_4^f(irPo>Kw31WT?N;vf%X`DQ)`Hng=HADYb zdLVx=bdLUWYG|^;)zMtfSBP_pmTfJhmM+7U82stxo8l`CLRSud4(%7x4R`1+Zm*6P zli!!UvffDOO;v2?;z^46-k@RWm@g|n6>Y7_orl&y!d784qmi+=YDsCDP!iozsKjs9 zFQFA1Kd)XsCzs6>vk=Yj8mnt3fwj!e>6o(VhcDbRaEx0XU)`UE^G z`XttvyXki`LMNvHm7YT;2$#7rUb!0nxIcTJp8rL&_eR>dGg;nJK?9_}YW1sy870=Q z(|8^m*fb@N4|zE7&2@JCk?cg0lOFxI%r*AtgoRsfQ~_-Rxbxk8J2YGU(bEt0R2y@E}*`gl_8M1k!y605%yGwl_G>3kd>yh!A@}f21*@$(O5}QFA>>$8>K{fad`aY&ubYFLPjKY* z@e17Rog%_6ZaneIPbz@UCT^F{tZUtk6*fdONZ`B28I z#;pqc2e5uUB*&nV&Wq+r$1f+K)2V92n)XabL!EY{@-+7I^R;cCPkDdoHG60Z#B2@{ zNt8&x?dTu6IMW2rehoKej277ID|qYx6Q)}n9QfzR7=GEXEkK+z)CG>WW+rZp?fs(Z zgg5(oWp4u3oQ^R7&If%56K0NFFzK2&_#V*74c~aq)$}e~;b5-yTOoPf)X)GcL;Vvd^fjpiFk;5~kmwD6!G4^wB#63+Hek3cFEBc#3e#`+>hLj@RR%VeOHj#aDl3Qd0A8*?9 z4*h zQVDNdop=zI>RRET=UZeF3Z40}w6UHh%$>Sbcp!Pn-$kQ65K^*Gk(`H$U*&m8QOiQs z4nF#G2#Y^?608^sH!_`=E-G92LOu1pFD))dEMF|r>(hp4S(TrN%_Yr6i)A*bV3$bU zrI0#w<*S^t`QB-Zde|LjFi3l^-ij-<*H;$CUeTSFeiSx+CV7I-1^yd>ous+UDlc`q z=E_5Jpn<{7dkDLwLr0d`J&^C23_0%zK`gfK@2~z0=?<=n3X}?QOq6WVr$hVHKHPz& z%N;3WH8MtQSWjo5!EDw%WPUyXL_8uUElgZtflUq$XMEDnnOfPc^azn z(HoiB+;=T!No7Wgy)lwlYF~$Sl2|aMmdwW{eJ1R|X@q#}iTQ3kn(z;#3414PAxkzz z8OzV>)K5N!MjtMo%yUEw-)}kSMWA7N1TZ8$YaPL?bF z-ZY<@c^|EgU>Lr`9h-9M6-nuRtI;!hu2HjxLV<%R10ds+?|n9G;Ra|Mdlic|dTr5% z8Fck{CTzYR;-2a2-@WLJ$P};laO6$pu`ymwP6fQfLriL2ME5P$G_zTnljr-=8pGiZ zu>Oe2F!}O0HNrdpE{4ohS-woI^>92L>v@y)y!C47f1qp3!x+v5udfjiL%bc=^T4l~ z5{Mo5f^(NMzte_)XqZJk8)KF`uP5h=%xOa&WmyaT$_lfmDvRRmo`S`4D`_dQT8{H7 zigQMNY^oYK?_UG$wj^G6@6U)$-b^+~$PojzSv`*|)d^Xe`Jh^+9M=m2^t;2e|Mt6^ zY$3{%o%*4f3U{^`3nuCg`&>AIad1;m(O$XKO|NyvWClEtsBtSEFR_(q%Ef{u*!A#Q zH|zIWN?Vr&MT65tV0Q3rNEL++MnjtqrpG*jmu{1s{G1N{7V=_-qxv;0N~4Ft_f{MO zb+*%ASih>DjhsCEHXzS>y3+aRV~S}*dn4$U!_)Zh7Nb}H0)03C&2U9@)F`*);`4-3 zi`#F0JfYP8E%*)!_~quMA6R})1xl>AYxZI(PJA33#nY^BfNKlim{E;s5v${K-ZZUm z9Pr0?r}9*~E3<_PxO0@6^U{*~R985_%V+>~^MB0@)HiW z3*3A|!15<?Xw0I`jN14rkDP$vGd61YrGT$$^<~PX_wdPfulp z#G=O%Icq6m$U&~=whG^}l-F@haB6eTGh1H@*Y*q-c;w=l+4gvDU36LvQ;J$^Sg;>Z z4b9h&{NdJuf+J5jc!(h63r#>nuSD4mR~H($izP3SG8&janGt-lM#9!s!-i+!;WTQ@ zc5U|aZ51p;2Cj?p}9R1HtcUNssBCf57a@yE|ha!y`9q5=6aJ^9e+#ht#{G_poacEVyI005@`)kc&Y?CBb; z(%v{ZvTD+XPx1WPT6K*ymJM8cRF}9|H7XDnH*nOo_iSac!lV`bl^wR!g1N3^m zau3~B2v)h{NKcUfUUo%b6X^r?JOC^MgkdVrzrLl6f$?JZ~@u+P-;~xLSK>_ko zR8t&WIt|&~#Y;RtnKZ%mnAk19Gv%P6aH<&JkdF^6qMEL>k|lQJ*E8z=RUzSOPg^9B zNm1IDIGQ@d88lw(AQ_6!JOC#5>I=UxuLpw|Txpff`egfq^h6=@$36iu7m%AC2U);Lmue)R{j9bw8h261!^YdE-C=i@$5LB07xVRE_=B@ixa+ zCtaFI$`&=cE*^1ousDkt7j5Tgl&;$Nn$WsR#Zb%s-G>Ko@9KNZ^3f6Y_&e53m;PE$ z{Y`jgXACJ=gExoDWBu02;(-z_boIN!ap0Cx2`~>p5dbHI;c@Qj=p4{Iwn14HNs7KF zdH0n1M!K8G`~4~53nt_hTCLdVy zh{iUSQnk)Xx zD@{)IgMQaXqT=|U=1y;5dL84v*noo)-dR3hG<6aV<$NPD@aiLslPY{mrs(4n5Vvyb z;4WrzD4m}DWzWK!&CA1gHI3I?itI3wNSag8KI%DTao0`_znhr(;FBK{H;_1PK`{3V zU~O}-Izn@~)lm=mpLom-LpB12@V=gS)Ny320|8tMh)YN&le5~6HmyF>3nM)mNI)_M zg>7l2&LY3aS$fgO*+?8R(+=M+L>=Y$m>!}71?yOh_vrL1R$`I|xviofA6^5g78bjc zYIO&n`ef*k#rHlYIHVy zSdwbjD|1GXo~V|H+Mx7A%p zA7|R;IRa;&>VJ%F*`|d0sFKtmsHjVp!ObT}X=zz=bB=t)T>Q^ZI_XPvYWdypDkm$f z-SKS7Q!KujckoO}y`L`D)8n_DQI_}nuHcZ~eOO)O)b(8_+5aO{GIw$NZCU-nlnpbQ zj=#cWNzWt(|z8h%9(59`n{Bm*0j40r*sfSpEr~nko zKg|r;VLzOPVfQ@L4Cqmw-Vl%e{5qfQ`#!379*B6&_GH$6PB9Ji zG_Hn=OTAk3{VcMHf?$&VR31LV+95rm~(Fzd(qEG7grKL>r zxjCUbSlYIHish&>>VLHpYHYhQAo`CHnfuX;SFozU74TwE)0RoDLV7U0I-S?11@c0_#yUvarE z$^$CQ7I$Z>kX%mp7z3WH?M4NWDjLH5UkH0-%6AU0Z<0nDx4L=>or`~=HH=qJ^NKYFhc}L;ZP=P12XHcRv!Ts8c7aJyWOO7XI;j#L z1Q9~xwhF{r*$>nIaq^5}L9iiJfw;B;HmE=aN4Q-CPkBmgHHt_+C!8>>O*7k0V0wHv z8(|X^>X0h^zzEvw@CF}EFKXT5jjdMv&;HYpz zCV?wv0jEPq*gFowDz5_rdYWGL)sIIF-@epz6M7d$>xRh9iuRR3go3H@{$Hs0WryDd znP`~Qe%NGGV=Q(3VF`kd|2vj&e^8Ts)@W_GHv64m(Mt?w8x8y!(YGyDSbfM^m~cC0 zF|C{L8DP)&`T>x~f04(_!mYIL8zD!I?z?X1Wh!C9sB9AC zYqzfyU+*i6NKF!YVt;Q_qgi6Vt~B5G0c$$r``HYBA}7k3SD^YXJjgrPvo>Hj_>nBC zo}~c`@O{$-xnR|QsiEhvc>3)-pNh^51hUz#ZqC84uKn zOw?evVFvCThj&QyGiy?TRdO5$<3g%wi!mF^o<#B3{%E01Y{*Um=a@*g!UZYAjUZbc!S+5)yXt*;c5fF4KI<*aDtx;`5VDs8U?rToFA+bhIOH_mfXl zsae%~e{Z3qG+XxmgcJmMB`W8>@!3EnjqmcP?HQ&=mD(q%2l|x1_qk&^%B97K1O%cN zcDZl(koi0Efm)~Y3oZ*E&1s~j^5ZOK(!}x>LYzFPw54jz=DLQ;-TBaHx-My-`+P+K zvL#)>86S2=gI^c79Ek5qN^F?^=?+WQEuo4KdAAjsIrw|a!SnwPKWC}}yR{lNy`%pQ z(i$@~A8{NrqD>DAYuQ-VR^_1ZO^}x83@;Zg-!axa(dUgu3xdUD-|%^k+^0h`y(QB# z{4BUkSiQ}{^S(O~sN+W%?IY{jZas}``t}Z==PwT5U{u0{x+@q-jNOksJ(|To#KC&bA-I>}DS9_xj&zkf^Bu?rp#w`|U1{IzjXS&lJ4YDc!%E;Dkka~V-N{7YL$q&fgQ1L@eq zLOa`Y%i&#^Mk09@X4tPcj@y%V#=1QmASquV%Kb&OR&_iw>CavJgm~8opLPfNQ}J>OQnhi$H_?2swx#=%-x*DHNe6+BTfQ{{I!-zo9UM8@IQmA%9A$&UC> zs$^*`W1N<)(ma=!)4sygovf#q8}RTV?LY@zEzT-mbF+)0k>1A58z zRkfdJvR3^Pu)$ljm@$%e(@n_kRfE}`gR;7DW%aIfI+7(?9}#fYo{KlUnEU0r_|C^p zHv`mEZECih-}9hqzLZ(;mXE`a-^?yM_ftP88~ z>@>o2EBoCWSsL!bZ76@A?KSU9wEgm-kT-kXO{aS1?c6bY=YE{=LyuG-=aU5f*B|#y zLqC^DPUoECRm3@Xd*|dMv^vzkn#t*%nM_IU1&JcZrTE%%G||NXO<*M}NFJ+WVM%c_ z-cTmz;3XSAAG_%L;dVn$BBRp4J{uEge^QVTemEDjd4}h3mvrezCZUpjo;#eBA#nl& zp5yd)^9x;H-~$I~ZpR$glyB~;{V&`0ef@ z6QQMRp9uR@^Qaw8wg;nNX9LYGNulRIcHQK5xJ_x%h|JpEEqI>9XMB5%r16CkK+-H_2?fxl-Sk z26mL%s?r>(v_8P`a&pOinC7&+wFK*|>u&U7q$hLPe|mo1bi5RtT)jK}!W?o&lERnJ z*?ReM`-~hS4J|$(zT`GnhUVP-&EQPH9$tBk?F5EsJR}_w61tP3x@4I?UP@axpF5zs z=4=L^#Ie11skKJP!{t94C@Hau(|W&bQqh(#_1w_pSbnDwQbl4jSUegUysi!%BdAjk zd*3D;tLW}vqlij&B;gXA7_lXC*9{#SNNzJ>5kNmQY3GgTyA~{jvl#c!B+*i}WotZq zc}1q%4(+?YE_8bRX1cHoBh$ODCjt_}A%@=pbhKK=UH3pMymbIOZVrp4xH-l&EFf{& zXh+sVt#$Z4(28@Au9@5o8mj`t1-uV|JpKpkD_dtPIuS1#5XAgSz&bIly}gBr<&6uK zersCpfKp5cxqQA06Gn%iugO_PuwLWm;d^$!i3f(hiG6`0bvDsAbqgltXEZFI+T@zI z`MRgqYT`MkNo6#R-=n3d^-K*#aA~5(7Ic4ROpx{;+U83H0cJI|pVg*$Tkvk6TAdTh z6~k#9P?mO)t+^5*cP7X5QhibRV?UIOeBD^{SqOrfy)75pm?UKrsikU! zzmz3mzGy-2dn7Eqb;Nh^YE~v# zNXI{<_a5L3m->Mz&3Sq9hUMU1$ss{6^W%<8*pw2&3m=k0v=C%R;0ffGzfck5*ckSc zi*e5#${*>sOMz>vBmDaACUui8N-4wFV+?ea*4&Mp9nUj`6RF%l zPAjyTMj3GZnwl8C-VqPyGdOim7GwPRi!Ch~8aUS4Rl=2%nzm?f%|V@kq- z!zMMU-*z0U%@4+oi7Szd%vJI!muKkJta+gnW;7gq9)`bG>;o3FS$gM z)xwo6Xt_7ZHzW2IEpldwfCPdFcdO@;^yM6+GDy0PQ}e2k;r!JrLgg!o0GoHkQHUII zkFWooYmT-jc1BCsnKCS#a;Ajq_0q}lOq}fl>Enbbp5L~bKTM`84aYVQM6|S3Y^9dT z?|w-K?cr<`zo|(QHb%m17&dFdKa#gBR{8N+OiWPS{@hh!9C>B(2JK2dmO5ReKE!7X0vmgw*C0)Wp^-*X0x{1=t%fgpCEYTlSeuX`fyrF~*op_b8 zeLjA|d{u(GT*o>xx)(qIttGVV9efO@3}2SgqDAv zEPYc>Ywk)dT4^$tu)kuk;1n#i;6FAJ;TnAPX65Uji3v{Sb2#_5x9{4pV4k+B$h1a? zAQhXdBLs*!N#~=c&a2`iRG}LuzH$1sG}{>|}G&ZoqeK8z@#Yqax>BRO+ z(U=d_qIQ=RS7B#{)Wdp_l(uiiycV!hpwh+?H9-VST*>4&3LKD-yTwX>5i`%Z(b4VJ z$fBXs`WWoOEDxHL%;_6EMLm z@0k8LQfVpx$8vC{%+q0gmFb7S-j>>=lQ{Xnw~@+_17Tu7BVb}zU|W6e9f8EumO`(} zZ$j6$es5hz$=Q<#F^vU?!~~BCTVbL z-pAw^$?KVF9fQXd-Dtxht5+3BSaCo8(llq5Qa_#E)Agrjk@~8983aj@*2?ADU4P|Z z*6uuv1i+gMaJ;V5lef`N<@a@$q!wEUGl$^(if^%I1rS;hMUL!Ur=5b;2CMA1Z@5bk zq4Y#v{kSu}h{KBqM71B4nqB)%xTeccnQCu53%aPl_OJCXaee+`kyY!L+zSzngeO?o zB5O&ypSo-4NlT!`)>pT%AmhU}Ouuyk71}DyGTWIBa`fpZ&FHD%GrBH_fyP7R%74MK zqrS2pX%l%T1)0X@ioG^@sJ|~{KEQF^-=9VtUiV^zpU5HC<4QmYci8t{HUK(#H z&dbeoZl?=(L_3o;gg{6mhp$tFZX?&7j^5JYdH2N~>96BjG5_8Ij^1mgWOT73t7ik6S$UW4lgx`C*QAHly%9$ zcScuzSFfXt2a~X9sahQ@#Nb0lyK%_wWD_n3VL|WBQ!TAWaT3R5&dH^Sa*eDg)(!a@ zOr)T~ZcYO_lnODV^3P>n3@}@c$Vu97vA#%BhUUQ`EPXhSTwp-WYLgoG)x(5?JB_VG zl}u-E>_Xu3a>kX!t_PQSv3TnNppm`ldy{O1uUQvDvj9YrO<0UIzC&hZHR@i8l;6D; z_8puJ;C3S>@;!S^2G7t`sXUz|J3*sy^tZPfrW-sMOYsmPrIrjEVIVrx5{ojXl!+g) z9Q`1osCTA0Q^0CG$7KSq7NY*ipTK%w9NX)fjv6#Pw=bf(;MHPzdx(!SWVbq=vTJj- z&SqBtQjA^VmbS1dn(b>1%CE-R<4%jYj#+7P;gAc0o3@|FzrrPyhz+!3s~vkXEh^*z z<>~|^hnMIp{FhR-hkPL4zYyoJ9D41o3UX+(!9KKXb0SK_@KPA~Bm$NzU!yqhkEP$B z5zWX^)7FCK0YT{L1kE>pw@@bpTsz#Z@gH5iCf;S@@6%nBPFX=Vq&?u_9nPTnosbq+ zRz@B9_&7X=ExE&DmnIP8`#t1}uriv~rGqZ8&c5wThksj65Z_IiE^KyiXw_}l>97OM zMn+dAmK|4-eb(9f*+X4P7ckyGD93*1X6fCd6$>KaPZDy;Au$iPZ$s4)atq@dCd*a8 ztU8RSKpX|zxULZ5wx&pG>3AMTcSjq(3McHaz>Cha6{ge6Qxp_LzBnQ$`hQXEN;F!- zuTk;mA6u(gPwiA1iL@&(|jtpYtBJF?ebuX8EcBC%0ek z`{4Cy>=B`1KYIvM^66HX1AWAb#jEjf50updtj^Cw6brF!!t}%Hx;`6LlV?h$y!;hI zvG1J#99!}iy|n_g4(*6m5v@Ood5FEy=&sp2;UK8%GvE2cw27eFiZ^pGO|B|( zN+$NVo)lsh^q({d%;;^C9tH=FHDPNp1H>J{|GD=3!8fa};m|w6Ang7DTSMHd!Ks`0 z@%PW^G!Jh7rN-XUR5wHgf&?`W{Zk$L9pr`jg`xW*$6YPYZ#}G+&*}IZx4A}oy(>ER z22Nlp9EOvOcT`8WEL({H8UkSx&5h3J65+I@g;0P&uwwTgk`hZV{?zF|R!DHSny;Lua}~$T=$)p0Yi?M@mK|I>ki+r`C7r-u%PhyG zaq4bUPbi^aNjx%LNpyiN@@h1XILxm}=L5tG=PQunmzd-#)ejpBw&ZcFbkg|*=qe0> zCd=grrPSozcr}*S z1-)Jqufxp@jaUgl#^Ub^FA*`ANS{@^5i$h+xug1x?s|Va3(0ar`k8q-rWIQhjv4d1 z-Em9C`0-!gj;^q<@UDABGHN2A@4wx9A)~Xqa_yij-PSrC-5vS{zSe%(;AB>Q;$=J^ zmDa`fM%>2FP2TkOq-&!{JNOrd`+maqk&g z!jIm=hPrpb+E+rS0{W(p&94`{bi5Iy56J&N;v%QJkj!7;%z_rg=2b8Bdfj-jqj>)Y z?SKXgd%n&#M?6UJot`(s&WM>8lBT~^Oo-8s4ZD^1Kv$8O9${qm^b15#QV)913~&;46K(W@fX zcVw-?{SA31ecT2}EKE}8io(l0T5JlYj{6S&yjKM3BMt|A#BaC4amZ_8tdM=oSA$PT z__ux8?uba%+Qf$w#4Sdf=|eK$Q#DgTEia3zc1*an9tGn_P7XF(+t_o)@Y7fX!?VN} zG{&;{wTGn?Jdk_uyIr-uRA2rQroQVZf<`_BlHRh;MQsa37KSO^b@OI|OM>%Q!N9`des|kct)tVBTXw1Nfo+3ArjJk8|z@)6N z+fn)8J?rB8jU)`esr9H`f8H*-aSOlt+7MG0a1QBg45x9r1R%#np@^IdwE=Y$?1vS( z$m)`WtMDgQbMkZHx+5uB%Z}r{QIP?Sqm_@~TyF7&Z;KX|{=KKK(52I)>u0 zXFv>!C$vL-+LdGtm1Jgz(^Py|--9Y&noStPQ9Q#5#gr0LNivtBrkXO?WmJBvMINF4 z?#tmTyAcqdKWT`df?dh$mbiL8Fe+)Mo&@stmq_B?J`bv z@ek_`mp!7k(Tn1T@di!9XqaUPPZLAm=3$b^=>Pl}VvC3i4lz|eg_p?wKqP_L7r!0X1r?6uSLDLJUbnlhTjB1uS(I`?SE% z(B`Hn%rkKO7b^^8(-RM_Ij}L1Nb2?rrJ_@=s&H69iO;bwFpL}8_P;nKYi1>ej5gq1pY_lk95Y7h+;2BP z!v^^8+z3MCrYNN1CVWV%HYwAM`KF_af|L=G{!{2Jy6(##0braS`$Pj|yV+Ioez0L* zh0p1{cXLM#?Q)Rz1sv2az#KQwbDZKnA@ zwleuXw7w`0YX#`IP>bh8SCgGnc#Mm9xc=B)27t5L(@L57sT%bTQ)!W^dV)S_;}QPxYI6%D!xuUD=ddsQDDbWqX4U{r+)#M|L~!_Uf10iEl?4 z_gmlcwg#7J6Os`FF~4jiAC-jh4Qc#Mjb!E6VeWV(-tXW4q6$tKk8X05SqmUtISzD! z{~{fpx?nn~HKkUM#~Sl zDCSyg&iOv?^LwUzH?>MX&Zx-ivSd~#hz#qBXPb$}HHnuNPk)&h^Hk<*h(~9-wjrKZ zg>L6gOeRirpbRHHN1m@78~2pXPkiUy&F<|TygKE*&V;0Vg1J%@V9&_fRZ!D~R`lf^ z)WX-lon11`VkPwzZqa-pV(O~?#u_=*RlYfQYq@rqEJARlGeq;DVP*hE(0&$0&J%Lg zrFi5&()mVcWIG?Gd8EnMYOkA_J&DF%YAZP9L9`owb@@^Hm$*Nm%X8}}orE;f*gi7F zo?(?NOn#b1I?jQ`R;TYg)IF*r%E19f-}@=!Wdk|Um%W&XKortS#H%?p_zueSsqq*K zjt@YIzg~&{P=e`8BBSwxwASNo-UZBVVXwWDVCe@=9&&D<#d^* z+&0T;IZ^!f8r7WkV84?@m(PDT16p;kHJ-C_Y7Y}e@2QgttSuS@unNYMx0U`7e{4;!IC4ct6i!{4ew;iTDA{K#s1uJL_Njk5RW=hjbyC8(V$vy z&8kZQJR0aQe)}DhEQ@LWkz+b4gOW>J7Pa;+qF{+7=W(^oVjO_sctB=$xZ{117VYt1 z`%84ivE%OOT%fD=hk{Aj!;4y^;XDXA^vXg)5ClVXuw2Jt5n|U)mx%#{Vd4a!MD?AU zX7>M=dP5=ENTK}ush^)^+6klV1bSy9iI2E9pCzqK(JqhV<@b!}DDnU6=3!QG=F=#eKm`=Zwl3-dER}^*~`Gi?3p1>qGeas@z`= zMQ7VYSNSw6MVzvyHeo4EEbcnL%^`1G_FICDTeYG^qj}djTH%A1cMXRtcEqKKw!&TO9tY28QA+uDsd@VjiXf#Z#b=#yTpL)qP z#}n8Hk0T|6_R_n@=&_H4@NjZ%y|4=X-}WZ=bwze(ak%ark}R}EpJp=72%T69Riw#& zE=OWf-ga~z)QX8fVV)Oq4*Y@7kv9Eb2?`{y(nUYKc--tK5$@G*Cq=GsCOM5#o|5}4 zbCQDh@oLss2g4N*DgD9|4mmV4J#X4EQe-~van8Lxkh{p!+e@EFP8HT>v%UfhYoMuG z{l?izXcaY7rQ~o;kqTK<>^0!;dRU^VvOT3%ow!XBz*So{2xNxP1_~D5d0Wo5A*M1l z&2s%@RBPfkzERJ;7JP?6Es`!Xd53cFSwSNyr&cJG*x_#@4WiYy5cga*8tB!l<8W2y zUN$+mKp3~{JD>+I4ASbYQ9Db%8NBr8r`jm3J`#=CYFs_OjKb9@DaiXqM{%T2B90+z z{Kp3$Q1eDjrLu0%FU#INOHY}PKqlQAb=uf{0etj~+UaO|&SJ)Cj*hywaZ+&G`r}-_ zN)XU^NzYEO9;PBC3@;|&dhLF@8$~R>^}<}O*Z=8`QEU5v;2GA{bzuwL`jd?vpx%Z) z9rlKLdCbyo@l6+nBh6TAd{Vv2SOoVG(u*VjK=#fd5N+*Gvgwtnypq*$y5jaP<*?AH z_jfFym8p!s6l6JKhq;-RqKnD?S)M0djefa2v-RR(%;s&b_gTj?gd1*p_oT+IM!?@< z_Om$6erxH7YAc?~_3h<9>Rn}=>NGhZXsfD^nJ5H}t9kescX*icj6_SG*& zmq}ex+7|8mFL1KPtv>EbuHzM)RF5Ybg>NDq6JouN+(kYmn0X$qRo z=ds07@U_8F={O= zE$m7c`4?EjQ*IL#)^#G!^GFmvOvrEQ{_xfa{2{Al@TNl^klamd*f1Z7mxvzW&=-ek~C&~2MwjKocvN# z)OR)G{qAg|Fh(U>!@L7fs)(x;w;^B1T0-W6ZC;y)Y3B)31BGdlw1%tAAM}sxT>Cew zFmmzW6T~y*vi2DJ17}h?qClq#pra>{CP{@!E1H*h9SR%Kj>f89!VtALxu#VhW(dVm!Ne zaw+;cITb4(-;BR5q&7L}>xax1Z7DD6A{y88Dpq)2H;O!%^;~Cu`mFn_H62e8NQQ zVrMj3>4RFJoNd5hp9AJj*&%t-fZ(67|9RA4Gal0ia076XShVdOTwQ^GsXOPB#?#Bl z^sQvKb$#=4fUVxlQHrbh_t9L?)lWljGv&L0a96$aP@;}w_Q|V8L)tq1x|x9o?RN8N z#~q#e`^9u>8%VO3_M@&DbN$sIE3J_#9E38;dg9EQbHt7^Z(pbdE39luN{``H$X6ki z(do6kBwW>7zBJL`06la0=`AlD13SjDge7>rlsk-vNFL)Tv_M%x*?HydKE7w_8*1G2leD?cFHw| zhmF?{rQO7hHQvm=Zt=(zG~~I3YZ0;2Avn;n|Vwjz(VUDMB zlAR>WTd@9IhU0Dfw|-KGXF>gB8z(9}ne`_jzxw-{td zJJG7I{Zb@uh@NOiYD=b08_=GWGCJJXV2wvJpxp8LH=fd?cha|*lnV{f^;-8(?qy2+ zOWTc!Y_%)V{Yv6Y%}YcQ7;>rKJbz7cydaO`O|R^o|Nc5g7@v|lrH{Rf-R9k_bq^*! z+`Z{oNw_B(-u&^uuQIRoF`2iSt3pt8MiwKLj6LG${qFs4OCPTE8sEyQ#sV~7-tv}Z z&(GbFhSLtg&eofxB$`IT0Ely=1_t&{fQz+}I@=jco#Zc~7!ctdr_Sgj^+Fn(GfuK} z&Nr^K_EEzGz14`&22wA3OLWKa71b*2$tE5M_p#{Gp_aF6jpf?kcdXFe$$n1MI^SrB~#0h2`d^l29O3EE! zo0(icO|kPHG=bO$Z6@d5#eLUGALE^cYx+<}C(EE`n#OdE4o=*^Hk*Ipgg*0Op#OLu zgGg+Oz0HvqJ#SZbguL;I=;~?4i^#we3u8g#gDV5-0lyQ<`S;B(I}b~8nD~|wq{=#H zc#bie_x&a*$~pPxB6DPG{JX-L+~YRgY*pu?3(xV)H@$~DvUnXhxAYW&J7WoDSjnWP zJh4B=IRwDHUWeSi!;N>l#v~0EVo^hee;1q{-+dJ9@(lqohy3~Bu7V{wh4$}6EouFK zCu$w*2qXMsqH!bhx>y>3GVt-G%=0g3);S^aFD&fmQ&xae$IUB^t~oYXbu8fiT%nQM z9Ju91-rS{3JeIN#MU*F&zAXJ@psU#JfUj%+y{Gt6LW&6s3&8U)xkw%NJ?Rbf)Sd>u z^&*iqe2*c$4a#SCc%-*A%S|41qTc6dBA1*Y>o1$y4%*X5A57fr`A7>{ z@^6b?tR3-+ZM(G5QS4bV&Fk>{-6yT$*pGplsdr-ssLEpW!MJ!TS>*Gy*Hu7a{U`&2~HDYEIx0`ZrVD#9@yZg3vG|tWl#j4K)eCnzK?5G)2=6U+ zv)7Wkc1~U%qF)YCLv`e@vJbDlSFQYkE;+~b-DjySDd^qTa@n6Kq_c_ZqPPUNn%=3Xnf<#jkcE6AXxhQt%?TMO-`KX4Jx#g`s4UooZsE0s76+R?kMp`EhM%if@}th7(?qkjR2+-|&ZT()7UuEwYC3zA>AV&%M3& zC-UwY`{^wUIuOM%q7a7`|Js?0dPyx8S0klQ#LeoTyH2TxoXK;$f7;3;HThAw?!GSL z$J%6(@Rczt?ipLuZ4=J!E$QTU8k)J$2-yXh#|;AF)cYGB7M;4dA~!*XPb^YM#^fA0 zRtoz*!hQNV?@+|;h#|lI4`UJLtMdWUFgqN&WhEkY*Xk*<_W>@>y{WW_hORjei{C?K zUOj6orm0w)*;^sNtF7toU)S}l`3%W4#te+Dkf|zbIo4KtsNXsA;S5476`}Ts@$a9L z6ZBUjF`um~F{YJt0A1~&^b~%voi>W8R&ad>p9!5_R9-Y%?Z%!7 zYb?7QtX;y0m)AJUHV|piTaL~9VYqcR!HF(cV9vM*6|I1jVOTnx6Z{8h@YWDT3A86s5~0*Q4#Tu!*AB@OrRI z5R1FAG1jnZL7N$KqR9XkRJg zr9}ALySPr$wk=cey;c@RFkCjEPIWWQ$6dgcl|0m5sA87|zDKtYM{Fxejxg6cYS{=F zN{GTCB#RO|mWdUa1p3Yh6v&8o6eTj_H>?}m=x*^OaXCcSz0FEGH<*v0(qNvh_ghcJT~|f>(10j`1mBVP1Z~Z zJ+nOfFHP)?h^)S2G}rxFcuVg&xZ3?(!yZ;^0g&$*UYVy#9SD=qWIVQOE1qD;z)2r* ziOPy7GU@icHK1{}f#fv!ItnwrC6wnXFgiT`nkEw5QJmwmmMWuDz{4N+%F(4$|4P$~ zztxV@6^2LUi@51Y9>qgd%J(0WUtAv-%M zWJvuef`!74)D0Liqi|ALA-3nA?usl@o?t#&f6Th+bDh|#jb`Gxx;|CpgUQaX2UjDp+iaTzoN2PGL|yRT*2 zCrko2Lfy;)KMsh~bIl~byH)6XY#?an*?5yGV^+QFIXWOhI40Urq1z$aZ`YM~fZe@D z5OTe;>l|wRA+=ZQ9{$>ZWTQpvjz>dX_#kM@WBt@Rueqo8eN(L=N|i^K=kRTAjX7Fr6s&n0n`cYg~hWL~i`aB})o zZUILdB*rN7WyxtnInfG$B6CbXvvnTI@UfxOX2axqJ>H!3@=4Sgv(ajd4-qbkp9i|T z_h$ERACcA6JgRZ~!uZ{gp}q^321OQme{yXI&&ZZ)cl+zYF&Ujh_ZpllB{8y0fYzLt)|+!L%)dQ8fsmJE-75)wQ3 z!9ZGyVg}V88eRSe>)^(O3K*W|G$@Z2@(U6)9W^Fm)=H*m@0KhjfagXDFKtHiH&t%fiNow$ ze^ccoGgaH6&U-3tv>-L0Kn26D@3q*@2f}m|9$(a2H$9YKXsg9>Ob~JVp?1f?YIcZy zxRZq8t~r|-`|jFNnYoMBr2#a@aNYu$I*C{JE#D%6W{!8Nd<7G4i%w;UsX%%wTO;CE z`z0fqHSN(h-mUqcOn!Ky7@f_f$b52oXDebK2HfJ|d9&NQw%DTSI+5xmoF2n5M+3<_ zh!?(OkJ3;0DIQFCiy1XN?>D%;5J7%>VK`~#3nKOrFHw@DGJomPzm%MGuN~7@ovxVM z$Wg1!S>Vf^)`oEMakVDw(@@qsCwSc52?la{PkgVh$$wL_B(F>ss`%(%o(T(%W;LvH z11KCy?M$F#{dFbQ;JFPD0owuwx1{=UP#*5VmD%8W#{-gw4>YUhy;5nk<{x(fPwceRvO9SyQ{-|#E>X&F zduUDTN&yeNqz#YYlvbV|9({pf=QfGF{-hv@Q5NWFWy*wi^`>CY7cqM`$NPTfj={bN z4?95QV~WQ=1yia!Gs+BWEl_4_=O}2VAxyIKX2qSYz?aoNdU@HvY4fZ3Y(MVWS6A>8 z#%ZRM)&6~bAf?SAyJcIokWv&acTzFKuZ`qm;r zhDSo8Z~!`B(r)kv9UMG|WWvKyHLAP_{}GZ27uG~`;hGsG;<&H*ZJq5eYiJbGeeiP@ zX?d35kl%V6V~Pm;2#@A4c&VhvhN$L_4G*>v#BBfd>^Uh5uIsJ&$1SA)$Aybi20wxS zAD`-R*Vcj1unQa7CvFYY+B1bSoLI)sZ4Y)FCl~$_WF8Z@vW_>lpZsFqh97?(a!oy& zeSJaJ6gjDP_!+kjCf{22$Wnu+xS?7?&A69$MBKhG8y92A{|TcT97?R zQ&`jl_Jvg;bwKrplDyoM^*7HtxA}SYc*GWHrPnkgnNsJ0)8z&8%Ye>(MEkUlVqW%G z%lM&A#i6~saN-!gdbw-bI~*1EfiMOovRRXlNAqO49vt4)-o7bZ4@^8Gn)sGrNIP>4 zQ)phA7=*{+osd7f(%kh{%T)*^7CRh7h=J1#>>2Q66ZY z`WCJ;4^CAJQCcClV)fFSdVc_#wJtTsE_|{)&FDQ4C@+c{vuD3}wRlVoICB&vkPEHy z^?N~~;*nMss3ZJ+`aluH=a%-3&GQ(hao6B%gG_s|w?0>va_|E#U&13<;2XlsWO{y- z_>{^;q2AQ-7Z)9L3fuHT2K40jH&oP|57^qB6{UugA&CTYMKtjqu4{$Lg6y?wlEFNd zLpvEFm{kek<4eXG3p-4Uj?)jNw;jh1#f19PWkVbzKYDM$V4r~qvwXtIVrtG?S0gzn zYQ(_`5P)Im~HA* zWV~t8OrquI;DbBw3zaWtd-t3?>;Htx0BeimWR;*RKl0r~gS)PMi8bXXvFo9dL!Y;7 zB*Ev9v&5WPipP4G9PJVt#~T@ik1ch!Y=Es1sBPvw;qMs9$Z32)A!c*&6eOYbk6RjL zw^pJ1Juo{&V`==gp?;LX_|Hh4W+DTOK$_M3KCyl-dWXg=`N&3MB%j`mPDh8wWlw<- zjSpGdK+6Xo+_;+qqwyJ8w;+KP)dr+uQZK$%AI4Du>P~b=2biUddXRq*7FP3rX&pND z;mr?9mlriV_!are+*&@jDjd#J5!Hq-8A4chQ9Qmlpe+o{RY*Gk-eH&`4;Dc7aL))h z19Mn-`9)gDOI&9Iu7Uemj$2NX6cr_5-$)txaGA$LoGcd@%Hv~oKFeY88Xz-@ZVwfR zn^o5mciwV7j$5Y_! zPk8b*(>}k&BSQ-PTDg``Fny}p{K{%@YAMmf3sElmoL5w9=ryaU(ihc|gYo5H!HcoKiDMq3RD&6_)t zO&G!J+RiEjGH^76I2}Y2f-aP0Gxe5KT0BrV>ep#JGgvK0uXR_2t&!n%MdEX#2MfCZ zz&CyU{c^KB7ZO@gJXVB0TV2@hvHuq&DZ)^%aBeWA<+43S4H#AaRj)A`!xJB}trr`6 z<9~E{ldkQmDE0mg4OhJ0o%S!PFNexKs~n z5((lI)zAV+gRSb#c`z$|(H0G*41~Z471m#{aLWBWPw2=m3*M|1f7;8kb$_Y$-Bl*F zD|ji5Vlb60UL3jQ^&4IuPHk%<3}X3Or&LN}%#jvfsUqdi#XRLou6(tIodMauvu`7p zy@wQTzB~6Cw6+&#guISci}B~KhPhSr3oG&WstE|9gI%3Y0x?@Um4O?}xHhu#v0#aQ zy0=8wef^V^@-J_7;msQ6zZ-HzNz~$6C8+u@2_$cei3utVP|6y)0LCxLhX-#0CvRWw zoDgz&A7{7@JhGgn1Ebbzcv6z=zO}a_Y@hJB=Xm&HoJy!emX?R| zBu*T624Tp5^y~N5ilKinReE6e*Z24W+igrt;WBuw?Fx$Y$=rg!X`@>*+8Hn5uk~t! ze=eBHh3%W#F7NVTO0-wvJexL)(*T4HW1%Mw>0tD-q7)fsM$&EtN7Wgn*lKm!FAESu zCQ@YxHy2OHLpK#p)<)(&zy~Aoc`$_V8C@}kO}l3*W_+$jQ}s#XH@kATKh9kg$$0JJ zDjo6>Y1;i)W}2M0#oYO&3J*DbuLUdp+q{`x9cT=rEM1ut>%@EV4_I{xA!FfLNSEm$ z6yMitgD)MTwyd~PsgiA*vQXhktP!^|8r})1Yo^F!=gGKVx0aGs6XoJq9++8duT$5v zS|Mke$W*ip-}@d69xXE({-Zw^iGgZMK~9kz=x7 zt7xtA&r}9VPE;<}*ibf&1jm+-e!UsKPUJyAQmJ*vKEZM5!E?CG{+O5m`pg)|_f=H7 zE^}=rxa<`*-G^3=Vk*%}#V31^hRc6y4~m7t)9PXeP1-MWrm2$SP}*Ej9z)Rw3QORcg!BP7*Zz z)cJe+yrtR10b4j%)7CgzPrm+Q*GMpHOrThVkBnE|KAnVJ`RaZe zOV?jmK6$HraTrZ-VBJnc&ELbUkvzZMSzqtSbbGNxZ5Y<*257pJP)i##86XO&^sbq8 z;=7^N?@%5#(A#W`c(g(1jZiRiVo{D_ih6aZ_xpiSMNF$6|2B!x?{YM1!a~dy!`P^) z;7-WiKH)F2thJ#2Sb8JRQp^@G`;utH58WT7oJqNi*VgAOVsS~i?~8FRi2wy>CX8{| zz$5g!$41s0hn0V)R)nU843*d8k3`WMFnUhwL~yKp;u9&^1oj^i3ev&HAc2mY;wdwP zt6*)icq*Skwlk{cG+ZlUdoDmyRLP(dgi=ZbO()l$GGEz92QVzAe>aUI8gT$*NZYMC zhU5ZU%|=>bS0KTTFiCxm{M%`_pq>|STaF4VDiQb{V!wI2lLfXp!uYDnx-RV61@2A& z+bdWpRoNP76eA@4yPqmwTyvz>S6!)XDBWk|-d;K`0uj@teIhqMa~Ahc{_fs>oDp4J z)yfwr?iA)Xe02!O-;EKDy{9lP`HwP0`-123Ttg_g{+R*H5sjX~HM_`q4Lz>TM;X=h z&FTaOX{w+xJ1xfk>d}0t{MsJ%-pZ-c)3Q?V)HgnvAIvM_`94f_qx#Mj)j#U7`#`^S zv%+$z#`0K>1+i&)(TXIa)kZF@m)=wnP<+DwmS_#qXDxzuJ7KDj9z2*?7xIc5GM4Y_ zj5S*hT=_HGo4*8;3GT1$wGdiL$Ywx1fq;{x*|XcdPpx?dq3y3h_(60)`nmzwy(vr=Aejk-Ghf>y(Z4`F6R>|M+6qx zirWxrFKBV-s>+`Hi|qxZVTJeC&L^D0N9)IkTmpAX5-R>Q-CkYln>XzR0MjCFtCzUn zsUhZ*ymxaIh-xC+Bfk zmm=DdAI1J(Jwzvh&F~^uDA9N|A`i$ak#rZ-mvC*_{-?=-glJXy zN0z7|-s={hKu%BL2A|DrAo+hRK1DdkoAsYLO~x5mHU5ifC%T^pNXBKxu@@%V?}oJ-SWtXo7U=ynJlZa4)$65`psT^mkq={b$G6?MXDBY0Ewc9A`CAA%iU0*|5+woufl3JNi< zlCr4{`sl0gPkGt?qGlF8w#?CcoyLI+LPJ6KJ&v=jsWr5b#y7pMp&!K}Oc4glAACqI;lo@HT)^Tn~NOp>{c#&54{raPw^a19nayDr|7x? z=Zr6&d-hbB?m!{AZ^wam=F>?u`uQigTT{7$=fqr<$ubHyOLdXY@muFFJY{Vv9gOwR zdX&(zC6Zr)Qbm$WJ{ZVNgIsEmW4Z14z~CtJ(LjC>4_WA4%-2xqv3YL#@oVhwn@Ts! z+Pb^@3pQlJF>j$Gm_&r3B(gCzD4)YK&9>)DaLAh?w5~y~HRk*TC{K_ z_LV^}7nhB7gdtThn|CRks$LUvKdG-Wf~b0cYoN!LBWs7yM|6H|^z09(IRKA2_31C< z7wAR9Iq{Pg_opA7x@wYdA9^CB^d0Js*n-g=jU_`iZ1~B!*QwuK;zKP}Mi)D8VvZQC zH3V;nUG|zRL^Ch>3RtAJqg(Txjwddoy#E-PO+UQJ5}=|ZzrbcpB44pvvmyzNZ)HkE ziYQPxxYEfSjR_Og1!%W@{#Bkx(+@uW;)U;OvR5x|vfq}5H>pY#9RzfA()GC;3UgB1 zP~$JjZL!9x z?(HAU37=i)(^W4Pt6#l(g(-1C_WdOX&R>!r-miUrg^7v#0@0COvS3g50!h69Tv1`YYPio63=_i1BkZqKn$nx1Wj*XSV$dLf zH0gx~1%pswmV*Wdr6UInJxH55%}I}Dxqgde0z29)DlSFMX(#hLquVA0qK2fGhouF^ zolJE*qWIpMLenhs?`VK8oHl@v;}=wI^oFehA*lgFe>GIeu{?dRAt+lxWX=U|X0mNYnTBt8%*zuSf*xeht4kDZ<9o_u z?a*FkK!~bEp8Ihud!nBLC{n$tNFrB8)*R(K@-5dBQ>gz__QyUW3{tr=(9iQcmts04 zrpFBJV&D%;CQtcyL+S-sWSPbIa%C?bR^zhx@z-TjX~N2Nt*~29r7!f(@|>pevqC>I zP@eo!nSSDFUhfU?h1V*EIutiE;OG;-(}1$Edmy{Hu|+K7l09NW2l^8BrkF zG}&=P!y|(r0tFdDXLn zUdyCJh!pNm(1-gI9wku=9wWF@Tn^_l#+->}87SjGl|KZpvP9qq3jPg_59!0{ptyec z)opM(C~LOWmbk^^+;Grp-y-}j16w3rL&&Gde;eT`Fne^INWnScfADYT{~J?f`Oo8$ z{yXEiR*dO=rPgZkIX(<~8q>5yIQC`oky<*D7ne(F*}Le^T{KBw%e$pJH=PDdO5i6O zwLO1(CfO)OAksVl0Ei>d-VQT~ddIY!WGYN$gXrE&8CH3h`3gBWM+g3rxIH&^{?{G4 zCNB6Pufty%05oU8zWBlaTgA2B+l;l))o!yR|C@<4G{-J;qvL`3uECqLm6jFrI67pV z^0k2LUrV~g{H;KI^>QTRx&>^A!Y7BnWw&D{9g3mwt#od8n!gUKLmx)MBqESVFeRmY z%4*4M(P=Yopvpupv;S)GHwr&L37T^f1;ao@q`&YkrcVY9r|UibR5NAmgDzX7^2#16 zAAF#aSdNG6LlQUSU>p2_UB?v~6%^ineT5J60<8hqO_vV)U*x7`BqUS=bR&ZHJ!3yT z9iuDthIqK#+tU^|_N>AX{)7?#$@)^J4P}VKddfgiuMmmQ^#1+Dy*cMH+Hfq!9p2Q7 zz|2iswJ4#bJsC9mqLkXP*r|RPL}YyN{nHLdf)q1j-0>o$DO*ST5^=zM5H>OCpgr^g zTuo{rV#H^9G6(sRQ&DcX-}P8!yd+5p3j*AdOcvcw6>|N|q&mQcebY~w-0=2dj5ePS zOg4f7nB%n5Ma}!p$oajg0gGoQ((?>wKh8Y^j{5C1bGC)jk+@*dy~A9LEdio}32_h`(k@1DO}E;@ryC=r<2>hzi)N4%tWR;SZF>1_h|q z9Po&ST||B^3>Ql%02eEJ4!vs0!SD!(!ml*e?Hvk7euPXnYm5)gI7Hxk5+}7%7}^Xg zs2ydb{msqlXD0$S#u(X0QW>upUkKz0 z3UD5Uv>7eV*z<3Gj4m+tHChJYHXmQ(WR}E-#N2agaG28`Lqv?rnN0T6=)O14#P6K% zs#PiR8&TC?(y#L`v@JOrtXFg4`xgnYt*qK zkbupaLU^%Aex}2oncLfr zmGXq|dCHxqeYup?x^}fc=!TIZds{xDR+PevXzjY5FB@N!#v38urnI@ND328`;y2Qa z`$}NCpf3bge6JvRf#i17)&Pk*n zwXnIj%+hH{o$#7jlK|R+FcG+w~ntDVQy ztxup>*g6u^&SEDEU)BFUEdubIBjRuG-^uGTOpe4K4RNTw{mDh=uX|*tMD_;a<&A7U zECtiZ=3hVQ$~xT^i&fv`G`c3z`r^{HbuQqYd;lBzF!`7!W`j<(wWneiL{Lcu>a9$O z7@Li+*o}_RsZGOY5P(`GNe15n_q!x1_n7(^C=+_G!hf-bsYf=;HeU6+CIfgY1`_WgN-c~U3d5(I# zDHnE!dMQk+*sIdC*U4|G)MaEl*fu*sv&W?{S0vHjhr(FJ6JJvM|exS)x$ja;PVPX z(3djMiFJtO*e55o>9#_5CRCWLWVj-zdzEAD-NRkhb#)-D(Zm}=u-gVd!J5d?Qn1Oa zbpYg7mO4=^V^GyBm=7+8&WoIUFzk6b?)KVti3S(`Zr`ILuprPKLSyxdh6uQKnbdXqenXpBWA0GW%;yG<8c4?$y9xjNN-PN(@yYeB0r_6S zWZAHPdA}L=yfip&7Of{sjQ8T6JK6g|f0oK0)_-u>)(xE{WCxtTcR?Be%Fc*L?xq*B ztXr=GHU`N_1h5s)Vwd=x`rcoU&yXImB1+UL4Dh^(=zfWO<>*Wt-%p+W-B{hO``_r6s-z{Z@W-Mp(< zj?5ja9%aukF0U)^n+Bm?8*|F7e#rr0lvJh14twmLO4Dfa>10LYWP6+j=%7jOeAYIP z6V8aUjdUt1S=TFwXzqbH$947Xt$qXEx@1{c3E|1Q`Y&Rkz(!WCYzI9~!)(WRE4Ai% z4VpW(U#%=S-sSAGe4SN_$j1xyet$FO@8@RfSa5`F{b1A)^!eV~%w0TjO*-e>m;z3| z`v7(^b$HD`m^pcC!;CGz-6#a`RIXnC)!gGF+uReYUZ0o)^M-F)vz|ARvV++GJ>1jx z%QP-!B3a8Gc^iV-GcCs??B$7cT0JP@QCc|6JMSm_miQd_eFoTA?vGp6H>Vw#IS~A& zD-7!bXXi}^x|;?gOx=@x%^!Vs=`9sgu=PaF+7;9rj5F-^R6+jKFy&5eN8pgES7+&x zRuZAQ0tL3KYJKZPzP4%)_MPjX(PpOgOtLlEVf`qZlJ_x(+ReE+EZePcX=wSw=#Hsz za@O7Cu4*d_Mz_Y_4tKiQU)h_Eq=g^Xsc}8PtpyB6qm(W1`;_Lk&;;-3jZ>WTy54bA zlLHTLJpxRVVvK}0sy`OCCk$DleA2&IzGd}Yx$+YR&9bF;cP<((IrE~rcw|)1GTG<$ zP=4B-`fjr#Qc8A=M+~Q7_p+Jy(z!$R@iM5zuNNoEccc#D^80?1Mh)G^z>%=7$9YU8 zCbA*kd(kzUya4J{L`*!8KY`_;q)$b}U%xWX)qK|n3H8gfW(K2VGp;>$SaQn3-!zEN zP*JPhCR9{-%l7jg^&IR8MCrQ}SakN(FF*$z3S`DN>Y*9No6-oHEjFaB(ie^%_h2Sa zV+u6bKf&_j;uKGnv_^7>k#hML`;YWl=iCQF&6@Ij?iREmn{SO5!JeUwf{2dUJ-b2XZ_6C!JLv;33W zwj^=uOqA5n@z)5y!6{=USk^Wk$pA+7DKw+key+PfS}V`=+*ae|mK3t-#MH zA;WG;S=$^Ay`zF7;ig@cD|>U`=`WbFk3>`U@8N}#&z=)Q0#XJMds9b9A--NG z(=uW#8C{PqZ_2N~#|Wp(pX^?~R;_N`C;_I-dcWi)RxBa^@vCP!y9(pVMRT6`-tVcoEh>PrKGTTl0hj?PYc-Hvp4s@=y9DD(@i?2_3C zsQcNQZxLH|^7T*XQ(JqgX)lk1?J}l|3S`c;MEvOtQ7w7;bycp6 z?;onyGgJPq2|R~)O5;0+?qe@)OvjR58Md-2efChTN$ALc*Rv7Nm93D#|5lkxeA(B9 zSFxzuW#aI-P3BjA9B4uEdLMGYR{@%JQ(D*q#BW2ChuVT`}Blg*{& z1Iw`R>|o#l0Ytq;+G)*2hx+TJfryo6i2f831ea-$m$%BwK)0v)V@Nb~as!59ZF4C^ zF^H*i)yk+Qbsy?`;{#`;#-1(fn5T;9%5JfikJ=rPT&NTq&=QS5C2!HxvuY)pzA{aO z)ao;}|FL=Z`2>=YJxxL=BlDZNgUZ;*UYtuE&$}ZD0qj7(lytLjJNm=W66&q|TKr3M z(>dUBbVRdZ$LhvM5&n1nZYW6kXs3VD`IQ&$CaxTqKZ*u0tFL5*Z_KTZ%mSNGx%Ga2 z%PmW*n#FGh&xfE#a?UcS%s0Cs)>JXo21gY*M!%T&nF(=Oitmg8bJy$X$&xwx`f#c+Xuc|JTv`c>z^(-H9Y9H!Lboepselvv0gUoC^4B~YHZI9~ z3x_~wCx#caUVPqHZz!gUTg?VWUM<=tfBd>dDuxgc+Groj9LLs%4T9FBjzfmAiG2GV zKCxv}tT^U6jb;ZfpuD5(Kk-H-gOFh2xI#~a{#nq*Tj*8ur$A|4wd*?J{`w}%uvl5n z5M9_#JM-OkO~z^KG?Vp1j6ZLsWxF9LYg^`8=h%gPkVc`v!l<+uiX)|A@D6i|)?)Kp zJBfwnVT{T2IxFU@48hRD@<@0#X*i>Lz#(yW1bS_=-iPcewd}Hym3}{FkjRd_gT!4@ zoh=bg(i&Y6|9qo}pF~f245MT^`1k#dDoFX@)kVHnCCCF*O%}ey;#C&6jpj0et5Qb3^%-^7KqMw zhWs>%(C7f+b@JQ2_2)r%rr|LAs>$=#qK7;-!}5yC^`NwDkUZ1_LHG8U}V=cttK~RW30fCci9t5O<-oTAp_5%*^!->Dui+^p;&jT>`Cy&FS@#A>aBaFMQ` zdZaU}M-2CE9sxoZb#>A0C|Qb3WTFWz$mB~)@*db^WJ=pui%+l)*5YE_PnjDY&kd$8 zO!_@{lHoRqh2kfNtZ@BsTX&6Y%7@R7s@=3m&kZKR}O6sMF z9}hFpMXJ4Vd^%Qt4Cbf5?&wU`{VlYiI;Pe-hwj8k`R8p~{b1PRddcB|AXWCb#gnK(WiWRtZs*W6Ra97CM<6zw9Qo=~$#RrJ)HM^SX@xfB)e7++HsDMYRO64|A``9(sFqCX&#vCUP<@5@dYTYS!NCcvd!Q8BGjK2<3d1^j-C)%S(zr#QEm1E)HaWGt5A?)yVlQaP+Ll^3zP0fn=L=v;K=KbiB8anU z**Xrvb(TB`SDS@^L7{$)X2Un!rr~dz1bXcM&}aM3+t^2S|8wdcTL{@pyCb*{tL7!) z0RhOh7}QqA2!R)`7ND2(PTd~-_cclK%1EyFZ93Z>htNxa!;jgI05@V3yOE+pbx8Fr+ zfx`Ua`Ws+Ji>`j1Z&0%w<2>xV=u0W6ou?Ngx?Z2_CBunFwq(kbuX>KGiXt!h`}Ek4 zl>$cay`Znj)OK{fT^oe`c+f_iQjCU62!msp0W5Tj!b_lJz(lPhUevgUGfma)c$(4x z`4rMfM?Tx-^`nk9M^q$u$~}o?hZdj;56|Z)6UeYIOCs^cy3fJJj!Jo(Wx+!S9Fh6j7@6Nb3*JBd>~Sk zeFiO5pfxM~Q!qF~kSeI^6ALpVS#k}>*we%J=)spxE3V(;m8r5Cx(zqmzfU3Vrie0H zNK7N`FW|saE+e@X1&}B#+{*1Ynj@O7xk+rZrS})*OkUp;_q+J`Qti|CJ#D$ULi5@7 zjO_Sk004pkv0-1_G%y<7q5;yYWcL@I~I+^^JZxN#ZsWu8WdNae(n& z(>)WQqGj;3VAfK;S_w(?WjDnBFfZyksf`?5`|}9eO<+*I0;j+06|S{e1o94y7y-Jz z4D*)Wv5GM`wyW`=YlbVKlHnXk2W+xr7;*+>FWPj5vypTA!*%Npj@EO;(Vbm;*#>A^ zjnXPyHtR^GCYvo=4zCn+3?U8qC*)NGq!30?+&_NnG@h)stUe<)(5Xvv=>;znoHWRV zH17s^N+XdKV*9^N>b;J>1q^2Xqtjx~+1W+qJo9UW(%cN+snG_u!%%+R1nEKR26LJT_+C1smG!v~NA2KfR)#^K9r@tK8T8*6Wt&aQErS)VML! zO`cD~4zp+5vW@*cF2DR!Exs~v7CF6`r1X9Fy*}1hp9)3+jPeZ54eVF`eZi%CxDe8F zdcW+WrFt@9GXM!G{0-QkV{T%sCAR=9UhxeP_%8yvzHkSsfalB^D}!d zJ+I4rb8rq(f^h^RgW(9|9q6+l9;ym$t7M$cw9YH!&JPusp@?oEa_WePyU~~wf1VvW zAXsX7JtBjK?|gwb)p?>>vt7y?=){lu%3%$RA$HVWgs$~h0>NC42 zTLc*F-0jU*FFVYXMqsv{)|)-#fc$3e?kWtwp5#t;PhcZXSY~mk-Y*cRsS8$sDt|ZN zT*^yMH=+~mc(o(kDTG=RyXGoPnGmAuSo0E9!VJ8reI%%)+*WnSERC-AvDzx?!BB2^ z(q(zpUWz0!D0ynulR^yy6sFI%oCHiZRo8IJ#b~PFYtlatB&v)$PuuW z(Z(b{B_SY)L6~W%hA9gg1ZN<|-YVHtPp}RK*bDmb8jtb83w77=NxD2;O@W=ern2`4 z^0@$$&iK=pf_h6aIFs=+B<8tmp})iZGoEq6%T%gzai#auyOO&wiq>SaGkW6u4Y=1c z8|OJgU3R{vfW|5$$Y1n`+&QAZh|=azk{u8h-@FS)k)^^#8wGxwveX_!Pn#;RrW3or)Qf0gnZ7*^-#h17HLf7d znmk1zn-=Crz+Ka7i=Q?bbPw;3Q#7ykdhtQB3|6Vhc%r1F=G0XQmGBp zzqpqC=lplv=|7Mqox_<){C3r<{gwmPTW{|@;S62AQ18RQA$rXK=1;bAxOqG>Y5ctl z2NWU_WPLkUyGLTxapSvfRx5vc=J^Tpl|xSILK!+n)1Me8ySMm#AAsSY6n$?YMznCh z|LrrWc9+Bh@cWD3g28=bx40>y&Y>dpo5&Zj)h^PnwLcuVM9Q6vdQ~>lzE>o&L{jF- z->POqEm;-sHS`?#kl_sQF`S9Z6r(qBkm`x(>?BHwxn#OfB$e90H9h&eKYA%44s=~4aMxzk|4T&)#QziB!?)G!Xm?yN z0h95zR(3Q-Aa}cg@jJ!9;nCPI-iC1md^S-yVaG6O6B=723$qy>_Kj-{5k8vu)=@S~ z@ugSkAx|m0q$&rGdvzk0&D#jIv2vB1e{hM!KqdJNaT0of^pLCLQ-`~>g0-+p=MBZq zlIYw8B^mtYMl$ZHB81#)%{*zQUO;Qlo`5iy@2!Ag|DW%#vi&5%opr@|Fnt#GNwYQX=sXJ>>HA&uG05G z?#Yx}|3#ap8*BBDqYAxNv$s;~}lit{Jv%@@tE&_r`1wuXgwPdAwj8XQ#1W4JIvKddApFrp%!5 z-kGCjiyc~*cA-BoUB7O2QawUNgrzDlhv>^4^jq<3j4Wj7&%}sk&!GTzZPf|>z5l+0 zTGzj3{?9;{^Ur&dJvYhDkhL*#hJjum%KP{u(4li_e9P1YKMkaWNb&m676*S10nB&wc4`lLh^z=jwSG4{zDF>h|u4v zp8mUN^5@yMN?L;~SYNHR>SZ__ky?Ib|i|d+|X_Z`p1?1k4L@QHP`xfQVur`dUN!`Yt3mFe!dZmOrJe$ zfyRalf!Iux5f5ZfR}Vig$2t&qC~YVvO3Fr`BithuSv<4a0U)2d^?i5BcKWe)LlWjU z{^4G;YssT%Qp$v*AGnh1f{>z}pA+9?{qaM%%c_C~oJ2ezj@nNB!p~f6?#(Y2lnSjK zjaucMb1mNG`S~~6a3{=-k868>dSKaC9-qf0A(UN5$tZ1>YV*$4~@B0SAM@`{`-)ZYsu+q`cJg~dhBA+tp7Lrkf&Ygb#VoH-&y|LDd z1g@X?4A?D(brZOaRlfkKq;@xFkFL**Zr!aE0HSt$KQi2h4;FTvXTKa?#}p8+QqBQd zVNis9gI!9T@JMnMk<2~tLeR>iSEwtoDc!8^TO>A&%F=#aKCEm8cBF>8jYK+yKc+$_ z(^dr^MsNu|n>+eH_>*3qQr&ja97{BO#%NhG9k!)4rt}@BYoZUHbddVmi9USC`Taio zc5s%`ccuwQ$`cmY-l-&u$!D*MXe!#N#hiiVA5`#I9W!`1nsh{)8Ie}Og@v-nr4Q|n z$shS&M1>xspRvzK%?v-j+Slg`BS&jZhbO0z17){cM%D*B8tW4yh#fGqOUkTs@vwo3 zzj6vDgNMIRa4Y5P@AC0~h#M10&m3fP``es9O@TZAoXnh3%(9;RcJOIuIxa5dTJz_= z++fCZRP)a9Bk>wW!2;pSc-|HHd#Ka#`3|t`0Z0SJ+FWVm!qTg^=W&zch0-q8N@qm1 z^!+rcuO0y4d?mgeRQsv}v08c+Kr#{B8Hp~r&rO)OmJ(R$e(JjMP?t;@?x%azR`*@L z1xxX9Px+61$oLvdXL_e?Zm(Zf)H=swUJTx^Ua_FEH%4g`y7HB1F{vIrGfwW2FTABM zRxf{kpmxL+fUH*0c-qDx6+FSDU&jMsK8qFQkTT>2`^FzdHQY)+HeSF7IRZzk-?}`P^-)56IB$cxxV^X0e{-9n&c@ zzDJ+IG?Ag<;hMj`2n=YK|M*g04`xni9m*2=`WF%PD2>7THd1x*fecL(!GR>A29$Nw z41+{dxve0N*U1WVo?mjx{m+nQRp{fJI$3#+r^$6u5p=!Ir_7s6qY>L7k3h5d;9_}Z zP0pl;M{*q~nX<|LLU>ni++W}|6>@%V%iq*!U;n$#Pd&F0u%dgBr65MvUtTQ<=v zR6!sRhrCWfAtB@rwoNuzL9ld=?ET5{E_P_=1+okJxcV%LkSX_n-X{6Kz5o(&id^x$ z|FNST44lIFy}K(kJFW8GKE9WAvw`YY=!;J3q55L2Yr!yWB~``Qzgd>@Y1M2vW8N@d z@e4T3(=d%Wl-?1`;JcnO2%vUe-IRe6kbRuTwH;n~^7kj?)2^M+#<$+FQy!c39;_L* z64%YJ3+vBC@g*m-?XU4u+ zZ#9E_hD3g^uUr2C-(myh2CWWpcC}1-0wgXAtPyG0`d;I%yXB_ZIAE!ylaE{HcRgO3 zmR-}g0Tw0N{-N3B3=%HtN0o>-^``4ANgN0YaJeOxh(}oGO!7cfrs3huR8e6 zEga71Cj;h_&_C|{t~T=Y@pO`d%%0l_?D<|SL<)!rUr{ezI=u($4{iMhGqwoV=IEU> z^X{F}i#S2&H2$mH53d6Bmkr5B6+D>Q{p!1wT=2RH&uf@`JqT!H2W$sBc~!Wpsy=W> z8T+>`t2YFR;_Q5wee?Zp&J*A6=0oRYwT0TZ^9b$O!&!wNVC8NUe#gsR-CyE3CuG1L zX&T%UtEHg9>HT+i^=+#uAMN#OSBVR8?cZk^i2L0wYI)3}3#)7fTG}i{Iy`4qiBsD+ zo+~LBB>R~C`LNa6$h6ZkEul`k5bG3FO&3LGbgldT7*b=Wfx3C-P(doH0j;G>*wd zYNfnO(78-tnhu)+tUqS!qRrcHvLmq)b%`5TOmDg2^v=kM*3$!MW#TnIB1^R}Tu!d{ zb{Q0TgetxVQBjEztqua};CKnxL;$!Od9UHt9)`6=@8+uf&idW7m_K~Ur7s;jJI81q zr6#a$Tcc=kR0&Kz60k>~JFqO;-m-1Jb5&7HVv@>KwE*$wvV-$Rqb$CQi)!1=o;WS)=U06(7u&HD$9dya0AQN&EvNf>(UKCi)&1X%Ueb)O!g_&dmtYA8Yw4LK7 zw$eixCj-bgXm*t?I@m)kviF>kl^0swFz&GAj^K8pvH5bF5t}68L!AuThNdPrWlCC9}&oHSV0$0$JMKg6hXtXe6ul&4{hcS z(;M(`;isNGfh&#yPp}KIon!+Bf@2Osj|TC(YUz=~(;*<%rFA6-$iHR?`~%s09{7Lx zQiRC1Xlh!6>$)(51r;XYr|OA&bCaLy4waafZPqO^qu1_l`_TOAvbro=p%?ps=1fMJ zVdo_pg9kLe9){hP!oAR;q93~cS!{N%>wmz%WFS=#6G=vk#5nlNR3ByPSM)ROWw&ckW}mtOJg^uWXZW!2%mV-u(iyYUgk0rb2swoLIj zIFyO(aG>oRj=KKJ34V z|DEgqb`^bj@|zuhXf7(1l(3O7{41qg+Q{nU7?+^oDlLDz%{ZiRB=BlsF=*r^Pfy85 zM$ge5t$y;Z$OZBFhUQ3cZ;pBk;O)g{L%R!;-e=(KqB)UqJ%8q?jDp>9$7Pbj`v_H^O z$LGVlaz~%8%XdhyxQBRB+sy5Hy8L>ObSix^v&fm+V-^zcry>V&J|)}m7v`9*8!%v2P&e630^P2vqNk zc~kkQ1Hn22m?@9{?-P%*p})2(O(lceZ|wIHldqy4C=RzSZ#Gv=y2ky|huX@PGlXc9 z>~*S%GJpR5!GB;Tf^dQ2IyQdH_tCSz{gk6|ej@nnhSTE0k>+%wKyn*yQSAO}Z-ONt zbBLdR?nnf7hkasdy|!d z%XoYje_YPF8_>)Dy1Z@JCYpz;mP~#48a}i!jm`eI`(}#HV{=%NkW@CDab_`YYJC>G=03xRkhuTp!kgyIlxg4I`qSxF`&D$ z?z`*Pn|Q$>)V z7^H;HI2^;ly-X39^-BfXS-_NWCpjLfImxg0Qb5RahhjxV zOvW*koNd3Eb>A?-2EcHZ#sOeoq?=Dox;2)8?VphFSN|2h-4@;T{)N3lzRDLMJNWy_ z^nXVA|4(Bxk9&F?Kk9E|3Z6?DUL&@tUI>uSxi@TBR*Tp#jJQqtX@VF3hsfmyfAj8% z_mwgIcXDF(W|thKV&d14 z{LbKm^y5@gerx;rV5vjXr1R#hpBX2!ib~=){iI276#Z#Z z8TDqb`?7iRCx48AdoG}NlDEV-r99iAougwh_ZsbGq(y6MTByC#igATd?Yu)z(|fgQ z2S0(V(hKa7`tA1>s$g5)TK;_r-QiF#%5V$NrdORhuP-s%YQ8XGJTDS3Ud;N9&E5lV zPd|t(34gdWQcy7F9<|Ln&H^>ezxMOG{nXJ-#kg0gg0bWNRn%qCHQvi9-sBcfHs(!U zH}fuizw-};^1{h}ZFr2B*?O8n8uoAQDX9yZgl&ZqwfC6 ziO3g1(^N2e`E16Q3EKk2NwHzpt}0ik)>}kpAM4~K^+?CSZspA?u_^6V;`HQ{L$n;s-Koii=(!Z3bBqq2t5j zUk*2z%dL6W&~wO1)IDN7_Kipy#mLN;2tW{Z-c1+ELG+m2At4YH^JSKQ{b=|Vb zHmN`yOtua^m~^b8jFqr136O*r16sR6vFbVJHW{3PReQRVfOA4b`-=}-+8W0SQ67Ggc@4;d2fNU%hF4bnvv_xs)OOLBP#Hd)t5kKTl4%=M3C;}$vH zyb{$Z#a~y{DbU-5IlQQ$8%rg@himNhW$+PrY`lB&1b>m1X1jOk9E+s-L#6Yiw39q8 zAuBv+)GuT=;6q(n(luIqQ5J$9CHW3rWHY*f;i__=o3D*LHD(63>+)cRTCN9KOW5k- zYGT!cSppb1-_aJ**di4=G*aB*`IZ`}3s_Kk<^5%72ASVe<6%c1fU3x47=_r_`lFJ(O0!kn28TAw9py8u|O$it7 zlBWxsNMu&76XMgJy7BgO^iG!b6RA}X9fH=j)aSU^9S+X4O0QbXBJy=$7g(Mk)P{ib z#cg?qTp{0U8fy#36UNlIqQ8EkKE>q0WL0|6Zz34NM7}5MBOx8|k)G3>x>=)9iiczW zur`Sh;BomAQ%qc%KMvHI8?4BhT0Rld;6H{9_AsRld`4V$_cQ zLqlxlCeI&^ZJSd4ViP>?9nyIweO_X8h=dM%@1YhpJfM(&5jW?G^*-iliU_VqpA#g& z{J2C@+Va+(gKqWYqhl)N5vxY~zuC_~F&)u>W#^}Z=W&&|r89*1XuiEyi2sB9CC?pxCG~%UeTvPKdxB3vW6C-kc@GrD zSi0w`z|DY;sWeSPY+q)dlXp`rDQoS{eHCV}gG!%lR%uGl5a+$`DXU9E-qe;-C1$rg z?Yte!D8Y7*Y*T}w&Tomtawf<`a_d! zKiQiD(-AAw#h7$;!BH5dG@Y12A@X>B3n7+mRZ98_A)Rd$TUfeEoyZ~DIzGQMYjb_l+XRi(PdmZ3B9HElDsz=W?>CC)pa{m>nqw`{I(Q*WcD z@kE0J=+YYBrnk3O*ZP2ccO>)1*hkRBYrmV;UVbg&WfSbc96V6du1_) znkFF@Y1i?8tXOA3Zzx?Mmp6KQs%Ayz!lx_{9mzaN|KhU8K;|*lz}+l4BcGt^T2(`= zSSA#$C?_MXUB%N~lF6i&3iVX)qM~jAj{%m}x^faqo(({E5H7?>kU8^!GI(E>${PaV zTQa{o6{_K3J^AvRtMG&)iUEEB%;m9Ugt%e;x{G+{h%PDXDX^Lyttowvg|uJ!ohaN! zm3N=etF1f&`o57${>D)XPk?1ABmGbo$$yNV^ZFuj?T_n!Gh6-mK02iIAj(dVp^uVV Sh2}qyOF>3ey8OLq@V@}oBT?D_ literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.js b/docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.js similarity index 98% rename from docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.js rename to docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.js index 076d947..085f69d 100644 --- a/docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.js +++ b/docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.js @@ -1 +1 @@ -import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const p=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"mips_main.md","filePath":"mips_main.md"}'),i={name:"mips_main.md"},n=t('

This course covers the following topics-

  • Introduction to MIPS - Setting up and understanding MIPS ISA.
  • Basic Integer and String Handling - Learn the Basics of MIPS integers.
  • Integer Array Handling - Learn how to make integer arrays and operate them.
  • 2-D Array Handling - Extend your array knowledge with 2-D arrays.
  • Strings (Advanced) - String Handling explained in detail.
  • Floating Points - Learn how to handle floating point numbers.

Introduction to MIPS

Main Documentation :material-google-drive: : Click Here
Theory explanation: Video Link :simple-youtube:
Setting up MARS:

  1. Video Link :simple-youtube:

  2. Sample Code :simple-github:

Basic Integer and String Handling

Main Documentation :material-google-drive: : Click Here
Theory Explanation: Video Link :simple-youtube:
Sample Code Bank:

  1. Reversing 2 digit number
  2. Calculating Average Marks
  3. Finding Spy Number

Floating Point Handling

Main Documentation :material-google-drive: : Click Here
Farenheit to Celcius:
Sample Code :simple-github:
Maximum and Minimum in Float Array:
Sample Code :simple-github:
Round off to n digits:
Sample Code :simple-github:


Integer Array Handling

Main Documentation (Also contains String advanced):material-google-drive: : Click Here

Introduction to Integer Arrays:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Sum of Elements of an Array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Greatest Element in Array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Merge 2 Sorted Arrays:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Highest and Lowest occurrence of an element in an array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Binary Search:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Quicksort:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

2-D Integer Array Handling

Main Documentation :material-google-drive: : Click Here

Theory Explanation: Video Link :simple-youtube:
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Traversal of Strings (Advanced string operations):

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Length of String:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Palindrome Check:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Concatenation of strings:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

',48),o=[n];function l(s,d,g,h,b,m){return a(),r("div",null,o)}const u=e(i,[["render",l]]);export{p as __pageData,u as default}; +import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const p=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"mips_main.md","filePath":"mips_main.md"}'),i={name:"mips_main.md"},n=t('

Welcome to the MIPS Guide

This course covers the following topics-

  • Introduction to MIPS - Setting up and understanding MIPS ISA.
  • Basic Integer and String Handling - Learn the Basics of MIPS integers.
  • Integer Array Handling - Learn how to make integer arrays and operate them.
  • 2-D Array Handling - Extend your array knowledge with 2-D arrays.
  • Strings (Advanced) - String Handling explained in detail.
  • Floating Points - Learn how to handle floating point numbers.

Introduction to MIPS

Main Documentation :material-google-drive: : Click Here
Theory explanation: Video Link :simple-youtube:
Setting up MARS:

  1. Video Link :simple-youtube:

  2. Sample Code :simple-github:

Basic Integer and String Handling

Main Documentation :material-google-drive: : Click Here
Theory Explanation: Video Link :simple-youtube:
Sample Code Bank:

  1. Reversing 2 digit number
  2. Calculating Average Marks
  3. Finding Spy Number

Floating Point Handling

Main Documentation :material-google-drive: : Click Here
Farenheit to Celcius:
Sample Code :simple-github:
Maximum and Minimum in Float Array:
Sample Code :simple-github:
Round off to n digits:
Sample Code :simple-github:


Integer Array Handling

Main Documentation (Also contains String advanced):material-google-drive: : Click Here

Introduction to Integer Arrays:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Sum of Elements of an Array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Greatest Element in Array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Merge 2 Sorted Arrays:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Highest and Lowest occurrence of an element in an array:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Binary Search:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Quicksort:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

2-D Integer Array Handling

Main Documentation :material-google-drive: : Click Here

Theory Explanation: Video Link :simple-youtube:
Sample Code Bank:

  1. Taking Input
  2. Printing a Matrix
  3. Sum of Two Matrices

String Handling (advanced)

Input/Output of Strings:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Traversal of Strings (Advanced string operations):

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Length of String:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Palindrome Check:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

Concatenation of strings:

  1. Video :simple-youtube:
  2. Sample Code :simple-github:

',48),o=[n];function l(s,d,g,h,b,m){return a(),r("div",null,o)}const u=e(i,[["render",l]]);export{p as __pageData,u as default}; diff --git a/docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.lean.js b/docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.lean.js similarity index 67% rename from docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.lean.js rename to docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.lean.js index fedee67..8be2f24 100644 --- a/docs/.vitepress/dist/assets/mips_main.md.B6bGXt9J.lean.js +++ b/docs/.vitepress/dist/assets/mips_main.md.B6aCW--E.lean.js @@ -1 +1 @@ -import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.CgMb17D3.js";const p=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"mips_main.md","filePath":"mips_main.md"}'),i={name:"mips_main.md"},n=t("",48),o=[n];function l(s,d,g,h,b,m){return a(),r("div",null,o)}const u=e(i,[["render",l]]);export{p as __pageData,u as default}; +import{_ as e,c as r,o as a,a4 as t}from"./chunks/framework.DRnJpP2i.js";const p=JSON.parse('{"title":"Welcome to the MIPS Guide","description":"","frontmatter":{},"headers":[],"relativePath":"mips_main.md","filePath":"mips_main.md"}'),i={name:"mips_main.md"},n=t("",48),o=[n];function l(s,d,g,h,b,m){return a(),r("div",null,o)}const u=e(i,[["render",l]]);export{p as __pageData,u as default}; diff --git a/docs/.vitepress/dist/assets/registers-image-0032.D-Fn2K0t.png b/docs/.vitepress/dist/assets/registers-image-0032.D-Fn2K0t.png new file mode 100644 index 0000000000000000000000000000000000000000..7a2a9aadf3539accf0fdfc9dc204262e1750392e GIT binary patch literal 11981 zcmeHtcU03^*Dfjwij;`bLNy{5B%nwU1W^)oK#_3-ktPHMga82vASDPQK?ngAK?NeB zFuu}4OAsM~2?1#$BPEnT070ptgpziDmYG@az3=ya^R4@>yVkvT{zwSPIs5Fh&pzio z`+0r|=gyv#+@iEaL_|dL^eM}qMMT!u1Fz%a>w#}zZw%~!Kbr$iIRuG_NKwDO)}`&2 z0*i>~U`|_F{1TcuJuvA2J|nCC-f-j30b8C8Z9i4F*XY~FV_VMF?M;cPOuuxE3wCzg zs(Co5P1^iolFqRUXI|uaE;6M=hV}^u);5ZWlzo7Uh}i$1ehYX(B9R;^q9QYi1~G@$ zW-PSUE!$-Od>UMraq_Y%Nk<_9!w_%aEi*B~XvK2hn&7%2uB=C9cl)UwyEEc^pGT`B zlIlgvQVO@rcqG|oT$U?MTOX6Op!{33Vw2q#@q-O}taT-Ne_ChJpb)qDs)plU^+ttU z-8yZL{ToFU5!-**7%{cdc7uQGs<%b9<#^@K$5Qm*ZZQsx|EY~};O{*9%X|Ls?exFDo&5d%U6;lABr~Dq z0^>$XP(X!Yff*7EA>-Wr(Pj;+O{&@AGu&I;}ndoH+{EbmY;%h{B z5od)RGqOZ-%r+Z^(9b_ZER})f1XtG} zWvh9iDS449hLx=hO4S3Fuc)PL=L{HWS&iVX4G(MNfu?6V+{!Vz+=OVsvd)c1d6E15 zeEUxRW=2W8`ZRJmdjl#qZu9Pn3SS&4jL#rz*bX|Xn3=R-weAp4Vn&F#lderpGdhh= z)qF9Hp|Wa|ng~y2Owxo1YXDOT>h%~kg@iP4J%64mu1j)W>0OfTz)-Wz9z};137)|F z2Zh?beV;6FwD!k+%aZTMG50)|Y$B@)0i@7fb7eB&gh(P--c^}5Q#Ze(6IeU=(aw&s@)f7sB60% zxG9r#i`#Z5A@oXSeQ84Y*3!(MXoP)s$IHzx zTBodCxTA;j!ZZqI^7BEcehoV-OX@AF2X3lU7vzza1)11ZmK)c=T@Tk-v7H#%;>^G_ z>s$?{8EY>)WJE`XWE7&F7~7>?$(gZFfay(%wXh3a)z%Czp#n70bNNvrO$@9ujNUOG zI@r@Os%@IM%DS-hawbsHsrD|7vxCyYmF>V|ZG zOnlsCqY0_9!+9IoIy%?FGaW${di&gdp+&^YCN{AgiNdz!OSbn$9{fs3j2+6S4QgDw zytuPN5y-$$ynH*jxa4S{uuyv`3{l(GQ;XE)#>MEMLM$pLIg3OaoKdqJ)R8v8_O@g? zP7&rF#_Pgedj$LL7`3D#Ep>z~X0Oae%b0MgPjlUA)ums3A&(lzB@Aod){81%kMC9T zk$2B~d}54t-|$-KRzId0l@z#3d3NpTEsn%Mpc0BB9xUs>z)Bm$vG{e`+MYDA zZn$=(KVD8ttYGnRwQGpHhlU^%b=;B%%iTO3@}YWW?j(k3G)KG$1_hOfr}wCX?;Gtx zpKi}Ub*UaGI{7dkbrqc2o^}AOcE9%ueN&i1vFlS?Q=E91)U-p$#f<3K8C^$t{{^(# zl|v2fd+%U;W#}OHz)ZUOQ+ImQaL<;tPR&S^-O$W?x5*xp(evZOcb!Niqdm&Shbr-g zZ%>pBo*r;ciRJz*zDF!>E?ih(b#gQMHw%yO)Mt#hE-GAIyZgxn1{4n(a-&h$~)Ea*X+ys1%OP#=A87m2bkDN@LqiP4_MKa-MraofOzJl5OWP3(TKe1uby9ub0S z-T}P|OTWGinEPcPsRv|!->j}9V$*vY$o!s#x(_7GLxLBC#rIJ5G9t$aruKW|Wnyv4 zSE=*7*xcj+;aO-YZlYMB{GvL+idiIZZUqLLs$6@%EwMIKLc%XZjV@v18vyJF16KXE z`;Q0QDmh|@OP89K`3`{#>r-u01MO1jaU<1LBY0y!?VA*yHO_Pvvbzp->qt7L;aAA* z)-a1v{)G_s&$*PQ>5+(gYUWW+x* ztodxqXlGqW&BMaw^JGpp{B@*Lf<{|P3tp*Fdf%7k!0gg=v4gB?+vg+C$^@@0=uvZ| znO&(7AIR3W7|Ag~b+6`PP-^I)piG_>%<03h{TDe?+XN@Cr_%d9#t=1~S+@+<`#m*3 zt0e!v*}{A31IPT@3b5VLByPpx^vL;r?nUoyFbj@h$$f$lMCRP=$e}H5E~0zJs&=4? zX%6A5jbVPyn6a0pm|X-vVr0?W${=tb%+UFZvxX?&R4TCcJ;@KE3!RNBKfJ8j92FYc z=sciubuvMtu5QVLZg(@2%>7t=ZBen^?{#Wcy!LZYMX^5IW80KGzf&C_C9Re=@e8d9aqS| zUA?UnD|k>=t%sz(T9l-VA8ZQ`qc9>oQtL&RSoNjpvrX>dy{{g`rZ?;aewzr#4$zRd zJYs`Ys=cUnNBfDgW^TbTN#`#~vw6IJD9GF~1Aemjjpn6;X3ps3V=hesL9u(2;S=1@ zuNfz_&mLM3Z1Q{FUMnkq{oPW=w-WuW6i24Iu6`6LQ?N&8PI=rq4tD2&S<5A`1yJU@ z*<*UINELmwsZ z$S*QfbOPH{*GgP@|#n_PP7J)FGkf5n{6U* zyGo>$g8;sQXP%z46FrhnVBPwNvDYfn4B))r57(-Fx7a-<-w4iv`-Y8QpGrShDM6WY zBk>H^2ETRPx+r53=Y3A+8U7xd#e}{phnsaUDHv#2z`$FQ)>k&7((l*!9$e-NH)ut; zP?HKX$|ev-n7fNXLj_m z)|pI}7+%&3!&_mmtSF@GB|{n6wH5L&g@KIDij#hL;o91bCJwSpPDBi9{|;EPZw6NM zhjXg;Sf6-`!@k^3sZAWVC?ja9xKh((7Oo-ac3#;o zEyUilY{6H~rgZuU=Y?Ya-mexeUf*i`)T;(YLFxF3)6wl!B0G~$KI3(@#jFo4lLjF3-lt$8%Ld!N%iS8Ig!)0DP~p*?Q7s>b+0EOtE#RG4xtn zFIQevI<&efAXw}0w?X78J`mJ2O@DGzx=WbK?8Ju3GSMx-jcMl&f7^j>ATA^qbM@fi z<*#d+F33+$dP&WvF1Ym4N8dIe;9wA52EbLZQyP~%WolsP1AMJ>^q`})iT2yTvuZZO zx4(}Nd3B{gSF$NqiHO0~_($XgF&(LjazsK~tT@pjA`syx+pG;3u??)a$_)W-Ug^8b z?;K#^zj6iuL_C;%#@f>Ax-N2ru$>TE- z?BOZnQ`qb${KwV29(Aexi%3gr2;*v4y{B^3M7#~(_AZ*P09!0J4u3SIz;fwG9li0$ z7cxJQVN9(_{XxLpE{{ZF>OE^CXWKa~S&>}Qk{s$Eu*^ds{VD)V*{ZVUq@iD8>T{mrCm z%^wV%q%kqS9kC82qk$gB3|7>H3;k#EM`C2BXHenVX^k}5>JvT?wA!R0VkGoIlpQex zZV3jZS70UlbX=^NPqghqMWwP@ zWDSc&N$BkS6rKhd54=NzOqn%EuUZ0N(*s(HshXi(70UvIoSnQIMu)7htbc+!!|a>+7ny&A^q9u*BbYmjll@>+V7o~QzTRu6izywcJDq= z)_CDz*qN5lH#FGO(&a2~?!T)4$c6z+)sBl!qObK#4YUF@JRWN!zY5Z3};G^HEW;-$`Z~$KLq$bq-Wz-RU1B?<=uOVmiNX zwU3kCzhw6uL)69m2*)qhlW#u$K2+Za!vN#o<8I~+?fG_s{|lb_Z;tM7j_yB|8j_41 zGX@6-*P!#1V|w4P#Gia+i)Ng+UW$m{@o%u?Pe~+bl_5S<`3+hmRlU}AaNkUN{`EFT zw7ds?xc%dY5?w{un^pio{ga5IO}ypc_3gVu*UusHUq<(Jz|Z~DIweKrZ3@`W5dW&j zBxTRNco^;YKR5YHGR^-(=^qC0pQ{!Bt8(=(REoATgY|zwVfq*95Gwo7;mdzP)(Y!_ zze)OW82uYn?O*v8l(*?G$XZ724DpQA|46O-7k2-Y?ZVprl9V^@0A6?K?mw%5|JLpw zxo_0|P)Qf^7bHR-$X|)&A9nvdzW;kA!arjYY4dt*70B3;jM zm)|@y8KKkZ`T6;$T}>nIHjZ0)LyX22*B9+IH8&ToE{qQkyA7({{P>Xb_EI@0!n5!u z7NP_cL;>?!t(~2nGe>o=Z!6h?6lFT}dA_c#t%P0zf2H>wDav=WciXHo<)l&b$E(Kx zE0_(C{R+=SS?Y47>)6Gs~oK9f4vasdTky?e-JcajEBM zVYp%!!1*hltJCs^toTV4WhWZ!tnE7OdouBsoHYMHB1JGc`4V<$PLUyAo0guQ9=m^z zd+2Z`Z?$I0-YGIF3LtrfZ|t=1mb}|ml#3hUdYMG?-zw%1;N?(NHMQvjwKox-9_0`8 zD5XgUyZaRI*ku6dEBqEIyEI{j114x zbOKf&L?#F;>%V8Y51XH_CI4*g>e-~+5AI5-wxFzADbcooU$L@5*b>Z+=80Vb0iR2Q z;)*}2y*vWmR1N9Grughl(i{q8h<`$(r9g6>*rD~7%m$({RiMVFh8w#2RrC|}dMOP1@qRx3%CpXN^&qbeaBoOPU){Ymj!GtcO1pz_UYMV> ziFH>&-1Bi8kPuzgQ|9Rqm4Fn3m;~oqp%G^@K`&(@1l<2v)?^;x8JryupwwYL55)4O zsMA?+T>%GrF0`KLO>N7;^=8(-`prSiyeBAUIDFSJPHdfSFKNj z4Q*qH8w~d_u-nzNkg3$HM4HUBjUu7@)yj-!yy{sSh+OxcppNTCR^Ynbnb~krB+x{a z)idtpUb?ONLsJ+6c_6CL{HXEG+`Z*oMU6#0= z2O6%w@UY~}huAaZ0Hr!oh?`A`*OB0D0ZILrWvwCN_SO(IODwq)Fqa3qHTh{vVf+fP zUA{m!)viN|J{}s_HGJ3mR(nTuvp1wiv{O9hf>eo)B5ijDTn63^7Y7@i0fqwpDawXV zXbq_YT`ntGkY}tVhZMelE-_f&rJ4J!tG8&u*9ifwts$4458gSWi&M{lzj*~jE5=*2 zPXF(Q|38GMWfVB=pirn#zz0un22wB&^fjaOFHO#kw-df?*rdU3{g}&2>n1;H`zmjmbHD(qY*!gwJmGX7bUi+vLp>f6qfQ zu`&d6Y@6uH6M+Ow$|0ReX@~zrvb;Zzpq@3TLUC+J3wIn?2zLswsT24F8@}T{S@# z+EqV?1@;*h&mZZ_9b>14o?s@KHL?=p9^_B&J$S)}H)XHyxEdVJ<4k_(6W`|z1ce`~ zWZRK#R=zv;!qW(e9pe$N{6xD~M;TZNX_rj$h^Av@G}9ll6UvzGm65K=F(+%yU@}jU zb^yZpd8g%QPki)LIf#^p8)|Uplo*`_`McM%#8D9i@PcZ6+~wc)`9LmB(M+|E>z~l` z3ybQ;tXTDHvJ7-8E6Lk@3PkVB!slj5Amn2O8coG@aBPJBq1nuVap=?hC_20^6p+Rn zLXoKF4~+C>iZ#>>TrZl|6XE+X9KjXp02iUj?xfK!&$}%oJl#GzvS=JWC!fLm0PhE` zt3DG>-j^Zu#h&T>hj1CQL%7B_i6;cc2NInxaSij+5?o0IC%*V^7Kbypm_zVz|iu`^N)bH!W z_T_?D_}SAa+iIv{7?P^5n&wdXTjO{VxC7>f<{j@RYuJA`L<)AQ8txa3`xd#~7s7IwMX`Ffed$CAS+V=~~EzR5VHGX#a9a4;- zrj#DO*zfa+5vSZp@(0!cahq1(#6NU+UL0nIpq$6!A14qo`f_@zjih;Q2p-J+Omk1T zGW8fSahI;dqJ*VKjjZfttx2wyY3G61=k#o`)gDY4E#xQnFXZP-5xvG^aULuhb$M$K+V5hF4XM@s>=C?U=n0N94JsoYu zMZhGsjl_GYu;dkr&wx?VKPF#T0ZR0yCTxy4D<7!#aJCtt1lqr8&6~=y2G&2d@k)a6 z1FQgIu5L(jDxmkPJc3i38ztpBVl@stA1?-@0+c}Eby4Q>XlKV1E78EX%l(F&H6kTxwn6o%`9dZ03a5A% zx@EeyH+S?nElQKxH@Hy?9qt2J^V^-RZ__!^ex?;FCAf4PGxjbDY3brq$|MUn>KrKq z&QcO&b^kk>CIcJ(1osUO`J90O8Ozy%swfxil&QuOEiymJ#BU2kQj1Kib{6HOSnZ#G zDwS9f&%f7Dcb{e=AU~&EdP}STMS?;3QPgtv5FWd9L7|oP1kTi|uvh4#dhJq0W0020 z8Q9(GGk2}DZ3=LWho7;3V#$a4D*c(j7stLnx)3t=njUIH;9I&}do`e0o7N0j3u!mL ziM4~gO6f^oPSgwumydksjWM%T?Y^j8c`?&1l`9ZfCOHMA_#9fewulPnzM6%txtG<3Tqv8}D2Cr-d+a+TvwH}iY9H;S zNOQr|)EHhDaaJdLr}R-}lSd`M!!0Pe$0}Tlv!<7X(ZW~KBZC+=--aZNuEtAvEb-5o zdl|1d9$oR`yQRxI&IpTEb^`FWPCuq(O)Da5cTwA*;UMt*g@cp4*+_SjkClh4On2E4 z&alx5=mj(rIOx6t!Ge{|1nz93jc?Em^N^`()EO+zak^Q}z>w^29yyS_N|k6{k|gfi z*?nY6O|uvCM#44eDr@)mWE@`bf#|Sdb?D(_%wn&NF9yBo&~NXlp3!{4d)HsD-M?O| z_QRof`tF4r6tzAn64XA=GDC+)Fanvk2_`epScNaA&I->FFcn`5o39wvOt_hl-cNpN z!;y7Gjk+%$-flYd@t%U2&5w9Ffl)1*{%cSNdtz5jn_1f!-drE5m{qZrf1MG>&H0{A zFAH#FKW)@PaE$At_47Vu-~G)2u+8J0-$3#4)`v I4UrP*gA=1_Z=}6e@}!3Ib-7C`ADx$v_YgRB{F*3L*xwWGF(BRFY&2 zD3UXhQ8Gx7ocZR{-EVil=bSt4_{JUgo^RaKKYA#%P|vgX+H1`<=Un^o1v$ypOk0>p zB+}~h=T1{dq$OWSq(%PA7vVeCu1hiFj}^DhshW~V%%6z=7(Dry*-0e5)8|j0pj!F= zY?^SSR;PdYHR5<{%{7nX-P=M^&ux6R+hDoUvpsvr6js*LE>2N`44$E^Z6QlulPJ{V z$8DTR+}xXvORZUFvva~WaiGpp=H&8^4|g65nqbNN5SUe~dFXYgb+)gs*h0Y)d_HO0 z#RYQr3gT}hmjjet#Gl)&!lj5mj}M*mB>r@2eNJW|{_=i@67lGyyOQ+Zes+mF^|zl~ z&KbRq`2Lz>|NMggscVqyxUlFl<%GlpiNv&QDamQ!`hJFc7bi)V+5Y+BpEdX=4*owm z3-e2J!|yr_FpyYRq3SG@t1nviW{4qo@Bh3nHgrA+3Jm0AXJ_BI(c8<5t#P?tX<&em zVGUg=CSEINV6c(Y%6-9VCCMo?=76v_Y2f9D%ppYz#ZSnPZNml{*Io82Gvocq2GzZ_ z2`w~f@7Vyg!?p1mqE|%Mm~%-(ts9ybk%GI)Ma8?EX2K8f&q~ZshTU%aTq?1U z>9E}R{yw#oswjoFV&Rrdt6x7jES0xCadlNox?bKCc;@+7OhPvOrOub994CrdNleGT z??e5K|Inzu=$$;%$Op&VXn|e3c9F^SQ_jqm<}PD>wO>Ac61-j(te$2f;$5<-k;>5e zo-cDL>3F%4&ns!t#Q7_llPpJ+diRQ`;K2ubSv4{&l-A6RH{6^V?KwqXav_QJZ;!k4 z-2=y=*7qmwEsML9Y%o7NRbF21VuYuu953|d=hw>4)XH&i(W@8NE%M2-ooIe{`y)rP z&JrDI6-CkSt*Yl~wTYcQUYnQB_V6lXXbq&y28=`!cf z_cW%NUcY{wO7$?gjHMI&(B>{NK$BW}(#X0$xmNJb+8t*F^((?DG^s+beKRwIIp*$y zyPD{%BI2$YCb7)=b#G~%VYY%M?fH>G4kCN^?(Nd!7ZQ(Ncl`OOF6Wu;LPF*>v8wch zJ2^+k%X=y#b0&YdZs1c^&#>56%YnB^m>TKgSARDoE=#fo7+bb9fV?5Mz-yoAwa-ry z5#geia-|Ig&PsHub(@>8$AEx-L`{ic#xTl4^c=^(uQ_RoHD}psLmkmc_-MY}( zh*wEEzkcK~`MTISvv4UN{r2YC7a}$v`IJ(l3j}We_{6kwF^<=ICH_ZE+8;5Oi&bzN*2c$Od=tw2)xv_< zuty~<6KCw~?6PdeY{q(N>9!J|ThdG;ZFyc4n8u4ny~IYJiIfYd3T|{?(OCZVC6D7w zf9j`Cr-imI6}WTv41LKeCJ`m_37whGPgktp&B~{IqnfVN?A7+gJypN*tirz96XOF- z>}%Kls!_YCqpRUa#4CNRlua|Ui|LdjV{Bkc6Q(ZwpcEevA?BglC zZ;TpJnkT2G)M>-LiKXJNOu7E`ym=v~oEvR@2Ndaz+4i>hJT1q$u?CuyY_MvguEENI z$|b|M`s;-{zHZdAJ18h(D${OixVJiHY->ZZp-NMIrnRY9M>^HIw<;PjEM!o1?nD*)P<(vNdM+-UM0?t4 zoxB?(qm&L$J{82}=&vta6W!$>i(i}dR9Ht!c`jCBY*O4HImij5er#*^>@6)HS3He& zXc4lnm^qJKY+ziyg&HBV*_*5ps`zl#s%Ms-WR|T*F2pFu-LU3YqpN4zS$7n8_EpD3 zD!Q`^%b7+g$6ex#9v;kDz;Rb9_7hUtiYQ5#b6(9Jk@MpB=PJ6*b)r0I^XARuqUk9d zx@K8~&pWSOLmQJq?UT-kW~e2m_ue|7vaz3TfG z#fGM)TJid$UHL~#`}z|kvQA|(WK~*A7W*EGyz=qkOCI_8-k1a_uf6B#OD;RRM^he4 zN1Nbj(l||ietW~FIzHGkD1L0vBv{(Bt0VxAuhNumKGEOM#qq+&CyJ-bE<(y}!OUx< ztJIcV_{O(2R;w=toQyWXtM>Ht#4ma0`hHnN0dk);*WI#MO@}F+VuQKqF@1e~-&9BM zy*SAVr@Qo?8oVy39_}c_afrTJ6Dc2b|Ni}=Sia7EnwgWHDw_ID>0=1AnfHubKkJhV zGak-78^4ksA_@aCmboUCmS*^XSV`j*jd`&UxtU>^MKw75{Wk zp6si`aX0GTPRV$ZHz#C`UqbSw3aIZrX4+X)7IJEF0z+T3MITdCw=6l{rD?$AiCGi#~)_+UXbI(wEtk98$V~MCzjH{L&uoa-#?Z1?Ct~9E}alyXR(e(UKVWaZeXd=EcAo9`c%=5bouk61QaOZG@t zk0NDCd+k*?y7iFm6VYBg5kl>q-PGG3H9$y8S3S9v3=btXTZ-6@-{_wm$Qazs!xJ;f zYsQ=K@ZrNw38jee@ThfKX(ngd`bzn;Cr_?b@cibsP^TA!v?`bNz(Vl}k>(RLvre8o zsZOtAZgH52v*@l(2-+RJVh<0Wn!%zv3eDyzj*H=o^fsLNEwU%xAY2Pv(iMeoHXlRf zv$>0-Y``V97qCgWm6~LcSh1>lkG5`BvC>$xeWs*f2~J~Tmbn*IwM>yT6udNbXl#`_ z>KYFu2nhG3CDXsDOy8aCU>%OBFMJgs{PhlPB*MDEkWNBq>Ztk3|8{-BC&I$0ohm z+FKJB6Uz2!@k$cQI-Du`CzPlQJ?0F&hx+DXfCERO&o1s8Wow(uUHaY29-)eowInVuO28lPF&^V`9eM{c5VKja6Nb!u{QuOIJ5* z^;q6UtsCE7hfI9X*RA0<%d*VveY%GwCMm=CqrzLaCr^sJ$T)H)qumNOxdP5i{J6&= z{%-4KWIMkpoCP6Y;byB-68xH(7bBO?-hKA%+r{LiQfbJ+C1XvhzTr}oU6T+ zLi$h3z8|GGIX5$LvpG|>^y@VD5U)z(cR`hza1R>#x88UbJs4T zuc7agbBwFSr*ihE_6D4-nG|ug-4R0$dl6!*=d!Ho>;}HDGqom0g0U zFP{1GVC6>UO$UMjXtrn_;#GYcF6~LL90&_UfDMncWG=gboQ-2oK%d-CKK)x>?^hB> zYPvHrh2Jghu}1;PR+oWQnJ7gvKE9qBW6P`PN_@L_>!L~c;?8ARH~i`GdM1CA&DyYo z`V~8Oee*AW{zokT-fKD?O^cAy3ICDjid<_oEwN84``C^hZ3Uiuiji`0)aVx#Z9WID zCD~)cItsllqXl?*&zHv_Lhjt;OOK)YB0En}qb_ih$p$Fcc#U!`4LWhOw#Ud3QEubB zBtmKzg(gWW`1I*h?uy2PN)98%!tUbJ*Ri9CO?_#mT{!4Ca#Q22@9(YWk*msD$-**- zJoV803n23T!-vNaVpSj4ZQk6&8I>z~hQ7C(mtOZpIS<6$-2pb8h_T6o+@LHJjqH1!|h+2GhS8sUH`UA+N=9l zReM(9^wIEwyMc?G7~{_EolQzgLZ#}Ooebp>5TGa}1V^qQoss$NWlE^l$k;b?=Eln< zxs;X+XIn}???GA}cy-33457iXetlbfM3_(bT%iYV8-+%xiBbq-EhT~koncF+^~h*X z`yJquPVygF6acHt-43h$5p{p_Qmn*cGC((@&Z-PhPiDOFm!H zowFlZ3j6oRd;9v1op`UJWuJ`hV=!~1h@d`w`V&wu+y8`1VjkxQ=Z#Cmto#0o^`qPNqI^%BvAhKwD)kC6y%mkj;rXGDEcH2P zHi7fa6V8AB@`Z0*DGpa_?i~VkL>#oAHd{TAWnS}WJGh{sjVrlGMWH00MB9mh&f-@D z-l|LCyGYLC-Rgw`_&6Ro>SpGzhZ(UkCRIS^TGkz&=%2|acmsJgEq;D0pJ{&n&AN;QU@QdW;e3}T18tvcx0Db!rX?2K;#x2cEha?nm1}%U8x8^Z|scpxu>3Y zy>irr6Xg?esm;@4wOSj@Jh_`@kX6f&akW;WuyIpk7sS+XQbB zHjZs#J<$~N9OtU$6zjaaOPI(w=fZavHb3dyZEWHspEC>xx)AsXld*Uc&OKsc8a>^} z7mUnX%UCwLtI*GNva47g?-+ z)o?pV;fcF@?Q#T&Nm~YAnkbW(NJ6JYd;VHknMlP|HEe@Bp4Sf}eSOv|rzUH(?d0Lf zSkYbDzV~RC>D;t=fAmPNX5aJj^G!TFp6gJ&e9(-%Ot4s+80*l*2j>vUd-8ws;q* z1s}bDURlt%aT1WAmgT+MYn?)`EkXvFQ=P9i;_$YWpf|XE`?f3FzJi5G@CGe*BeK8? zsGwT07Z1*DMiaG%z3~P^>mA;If5HWZ$N~=!kEp09;JS9lS& z#?PI5G=B_<{nq+rPK;r%HyqtEn#-SRtZbm`62Jiv}6!dFOE2bgRbazB1=mMAcB zZzHM~Dht@%H2L-UAJHVCw~07=+KuRg2NmP@|M3YEZ-)T&j({o!iYpG1kPs2M^hUPS z-&ZwfJwX$pKCqT1*%$d7MB&N0-S$vaN}dkh&i(P@9&i*EWy?VoRwu>_-=I)XE?%O! ziBLmco~x9XICJ)Fvl9In`WCq@0cTFxgA)V|H$tI(4mxnG6wz9J7sh~CJ`HI`8|F~*mGK@|a9C+KJ$btIMGp^{SpY_!x&!IsAL;n+H_MQVa zf+2Y1mes5GDTJOzBm2dT-4a!S@u>5n z6W}D%y&vRY^Z)nxXPc{o|5x<$WMo(Kzu4#F@*jR9pASm?Mm{Hk#S9JgSZr8Lr>*65 zoW0GjL|$W1Xqb={vEs?5gP}mC0Em}TZq%XEkFyQu?NO$c{Zei8yk>q5{G$+8Q`qwz zlHzFYuUxs3I`gvwBy)yYPpIT&tlSBS6w(l>Z0h@o1Fy(A)4hB`n}KIt-P{6>+zz$z z8ydPb#>O(oe)~LF_X(f>#5_NzOud%!BohdtLIX%B$g!Ef_Uz_*c84GwOKiz+AeB0IRs)g8LEx)t=8~V+D zhPjLPf_yb!n4jCC9+_c1(us!8)5UXBlc9TB_Tup(-$Rm5x0Z9KONwX%2q37dxYJ6m zfS*fGhLFPMz_B9uTo^X`@?oWcuc6u8hhp-G-iuF(95 zvCSMDO|P$f3=iLVvkj8aZ2L?3<^fYrn-mb5al#G`;wsUZ(?1hSJJaQDY|^jZdc1B| zHW+@<5;hVOTR@QcgAtr*aR&o~UN9v#pmN*@(0X_2N@~wy#SX+Y-gK%jAqVZ=Hnd6z zN#ohcNh{&E26i|V=D`!}Kl*AD5|HkI2Dc>Qgn=3z-(O(an#&;j4y{;vPm^U!6M7Ax z){+@d;EiQ8@R~N?20OK)ySbq*G}+S4_z&5P+Qm?#BL~J8s?pgG4Gn=WO53Csj(1S;mxb~nO30Q5^ACnJ)C=I zF~qI{uZg#`0_5YquQ{4oHX>v1Znp_KqYdbIPF|Rw8ALoq>qfIheb#rZUO6Y!plUV< z+C5@X_?9oWS`4f!%p7Vqd~ko;I5PX~I2JTa0L!CjF-pgL1 zQyy4QS`Y;>j=H<*vB%uit5+doM%<>h2{D*&B2w$MP*wdGA_Za(3$k4N2BIn><@o|S z?cyk_%RG1$tA0J%dbC1=dx-li^4YMMQg5`{e`3s=Z~Pz_a~p?&>KN}p|KIrXAI%3| zlwJ-06;xs}KWlw6toK^0&}DO`>K!UV#!cz8eFIlt--&*UYD!)`aI3ht!&|E+wkAPq zaQ}+HL)N|7ML`l;$jg;H+}zwjEZaz|dqpJrn7&J@4%pj05H4OoTlvf40#7-D?nX3) zVbEL4;n%o=R;W|lrp;Ep#3q0t|N3IrekHoCkC35qicHj(3-&UD%~>Pamiu#s5t`!S z;*S_Zt`o656-XWvjOQ6q2s^tpo3Xl0K)WlX)dnG>aQtunEno$X85yZP|=N=Slv~q6QiIkZw_a(exA9RO2Sr>smHFG(;=8AYJ@aVl@@eh2A>X6B&fX z78=?SY=R(fZ`V#12h5)Vo0Fw=N#fHv&ppSIP7YOIok%Sb$DQ451 zcB%9Y;-(SdiPHFxg=;|E{?_!UzF0!KZo1xJ>#?0oE71%dW0Ie-=K9PIHyJL66a@*VZGt=DBF$3dV#sw?u1*gLNvIaY}I6 zngljfpKjxExbt&Hg^8|mMVaxX#AYv~RP@DfpzkwzEwyBkdFTBgp-2nAz<=Q^x_6aq zx@uxXp!pbUoXj_fDj=!#bmxTU=O$XlRCHcHd$vX=$Fjvf6#@Xn@=<23Y`e6S`?}?h z_ktYfRqq@Cj#}UwKEyZ24`wJq@a^YXRZZ)T4Rd;Z5JFG{n`TtTBxDs7k1Cp0&Y~V{ z4#;C~=}-8|CnD!3cz)@AZtfEuN_ijbAHON^&lvV^aGW0P5m9-wb+7udt;e6A?b6fu zKD(z-?5V`nPs@v2<^&oP;tMTSfAf!~tW{9Tu^eo+&hd)ceJb+RQB&R1r|)lZMK&tT z==oWfm@_*pjR0IEDJLsSU@|`C*ds>uf;*lIyrf$5;HwMn?B4|FIk6xoupAJfev#rQ zq9*BMp(EpcwSx{pg&S?ildbny)Z_Dchd3S@r$Q~Nw^alvg%GZg_#2#L=~E~}kS zSDJY@@u|~!e(*OE{M~}CjZN*s{)z9_tE1dNJy$de#s;=0x($klCVY+g^v?Z|*zd~Y3%v!nZH)Y~qxk-2d2_Sv#Xcq`1Q_aPP)#%jW8z{LD zn1)BvzCbvPG3u|NxlVL!=L}G}bAsiDu5vil9R>rP;<~C=-se26p?}<&ckNvOT9a+G zjcelH0n!nYWnB)~Uyi-&*RKaek3X6T_21Gwcz47yOZZ zv9~hP-BN5rzcMT)`T6Gt{i?$Y7#}`hA?YfRV)^cK>7-5k0?}UqO^hIN%7J9%4<;Zb z$FDEmp#)pUDa%Bp56A;P5{}seV}x5`^2f@6JPq#gm-6DiD4r3cBc&2xCN@XP_?n>l zy?b{=?1%&eGMr7;>+Imjq7`{8Lm^*8tx&!fvuf+)3f}-x%jqF^l}F|3oy9b%ePR<0 z&PB1p3O8G_#dh!hyp(4yH7DVX>^(=wikBvdZX{+gp_Fb0Y#nBByc6h6Zb;G(W9)Tz zWSZ?=+C(>xOtym-n)-k`mCG9PAn5t?=g*!!>tm;Lh)FkCTdp8AW^7j^-3ns;y=K;( zg_q#LB^efWH3{5>a&b?)T*k~!kO zfKlv;2o$qrE8&ke-T0_v{Cy{5uC(U~bt%8!pLlRTPhj6j;`+^-^}pLRky^cff83Ja zO8lK2-Mjk}ntfe;$He}9SP`G-h8(FxVOhxN)A|47l()zI8? z@SuWd-x}K8+yl2D>#IAijQ`rzb$d^`%LP-_ZN}c@(jbY2;X-~!?*PtKlwHPMT2^~l zM;CUG&TNq9BF#z~zDR|O!7`cBR0Ug2uKQ12ZSAcR4t8*F04$qo`OJT2ziBsCt#T*F z;5N|~gRDfS0Pl|({x&DkvxonM8>iSMwd|RJj2n98ufgBdyb&n}`q{YeQoH!uBc`1) z{Ru}iCc3ew6>bD|Zo7jhGx!%;cE8S~tTo9#e?qS|4n<4)+__EA^Be|h;u_G7Aw0eM zc6cpNqiw@g_3ds-!&H!vGf#A>uamm&1i=9+iNyjeOdE_nk zE6i-#uS8z!$*Z^4d4Tus0dS7&^Di#0=}MR45wfqWO333`f`e`0?ZBlIc48rqIxG zybh6@K@D8L4y+_CeAlSLb=Cj0TGea6Mty>ogyoqa^eM%a_M2l(YJs;Ji<pgw?H2NejeyxF&chVxx4<7JxSZ4%?nA5=yySQ@M4V`G6 zkv5Hx+L+}rFzhqck0z^1_;M~OpaUZB>L;J@oKM``(D%n)?MM71253we!Uq=}9c^j% zDU*t3sTe!!wh*c1Ftw{;)gYSO9YP+v4_FQ~=C0Ql7?90(d2i{z;q8^*V~#W*mR6*e zG8jWxkpR1z495t(K4W3t1(j?-EL7@Mvx?3Umq)PIi5MXKjSI25Ajeg(VNe|z2O>6k zjm*)GDt5T?XGiTL)FOvjtJbZH5X1uwsq$U>l;~CPbOiKY%&SL-&>IEySWncJN~Jo) zuMF7yjBGjvnOpbO74%Z78Z7nbkn2I8_y@@bfV^Sn784VbFumvedqp5kx_1iPtiz&= zh918NRoRI8fe_YGyTI!ZiSE;S`Bhs4uPZQPuPV8lRnp?sQsdFJ)VJ8Lc-E#FQ)OJ> zWu2pZ6b#eSCS+igm0mrL?(A(mW&ISqKVMVideB_2Oalk{D2qxxM}Art&LkJWSEo%p z;V^*1K-`CcK;2jUP7I4pvfSV8_??L-wMyXRc4S^Eg;N1JMbz?+KQxu922)t4>PK1@ z=2P$L_-^$eE!K+8xb^)cV$(%OBaf${i;OmWQ48BEU$uB?S=l+{JXkD$O}4o^J39-* zDFt!(Tb6BVXJ@}@2DN8E0baL{A3m6rC|XJhLwnojp4e5~QmSO5HcINnbH#BQG*w}b zatnvHOZ?5gKH}9UbQvvXJ(Sl86Pjfn)th|k)G1gj4$dFWEafv;G9mIx^i#&N*mD9-$|i207cIqsg=rLh@5rq{)T& z!u54VqP=VFo^MoNKR@~xNj26sfO7BBqpeT>z6KT$&!;Y?;9qr8W7kicy zO>uX3P+nEzk9-#JUwz!va0iR{WGl4FIQ2BbTNda$W-WatoTFt#)P;+vCoKM~&pN*Csiq6B{7w3QJC)42d< z$4upJb&$)K6)RS_1l(ykqxw3UGWrg*CDEY6Iz*sTZ`&;MKCj);qVZ8*oyqrde-~d+ zhfRG^hoLXBP?TeoWm9cxe=3koTc$!j!GM0tG$$9Ln?f>@Xl&u%m1V&KUdNvCgP*Ld2Kcl7GQ)44()ReBI zSCtPock{ukpPq4_0x17(Ofj?T^wks8MPOxE4i1PXL`ewIuu(!co7KOMTj=HNXi=nf zuigKghR%8MvQ=eq*gy7TR=3Q|k|=~6A6T09{^Q?Y=!`2HuTOQHnk7EG&X_W!xKnWn z#cA{;p{=#p4vIbah@FEU@pLMAB`*U=q`9=NKv00UbZeZs!LL-qmE@)l&UjoEWx4v@ z?Q3~?#Coq7fiHDfuKjKey38gm*kFUh>5I*oyw4F}t~;uG!z8^4O%`i|;N^4Y@^cpE zZswJ+NX(Wsg|D~)&JE}jSciG#%F5*f+hdq~>$Q9j48`y!2FyJ*YX+fhShQaw-Cx9< z>@L=nDr;bO)3qdqQSpoJ$~VBKzUY7;0mGQo9Usvbe?<-bDFCnosH7=>_G7;nauUQh zZ);a~ca;yQh=DUh+Jw%}30#GC*;XyW6bwH4yuIr0Zh_`bH%H2KiNJ3iK6~M;%j3ri z`wi;ecHm?;o^>07zJR8pX_wNjJ$Z*LD=TQyLM6!3nTl8$jbCFD3sdeH+A+It0gm}s zR>}x|1Uz#b{>p{ESvTK~{ccDv1ZroJ)A$i&a^k?XXI z>YG;hY*B5qRbwpyM-Xw%R7^uks(_Hy*Y4Xw{5Tg8Y2+5l5#@Bir?A( zWb>g$y)BD*ACQ&c)BZ41vM$&1Z%e_V3A?Yhw)VMm=dhiNv?MYCeV|C9E03Wby`1Z` z$e)|j=HGtc(xrOzfdtmW0%Rg9BEoO#Sbu-8k&YLFXKraBRE&+Ig1-)2`>O`;T?35; zWb!~=q8`C1cR6XDzY;}!Vo-Oc4Wuaf3 zv8l+A^5l^ZeB$ELTNd)sKH$Y|LGQm@7t+=VsLm5J58mUSA@=|z*bzfHeI8H3(w40H zqhXy50w24_5We*<4R3*ey1TnUqRfIDG%kdtyV+r;A5t9*k-O{QIr;YO8{{k)V5MyV zWzILi4j6iydFzo|uy4Z&3P>p-D3}CRQ|dP4ZGes{aaijCeJ7l`9HPRKOp$?=B;j$e5H{t~x@o@C5dtWAQa zxj#`RNPG^|h~I1GKg3(+&6r98FOs01_M>I-jg`f_F0c6=yS6UuQHE7o@u2+LLL*;M zOJgMUe}O_But%V`VXd*8L02rDdiM0`JrB(Xn>7x~G;HWHs{+P=mLqf$11qfGM<6p#vGi0NWw#+tHa;W0mu6~-@k7aZ33@+ z{cycug4^apXWNAToXt_(+Vob(RgSI(=iy=TLI7usg~fBhH`JKp=*SVu0m~~v*)?gk zaOv&%TSzSM2ni`2l+ibs7-%wf-L8E7dQ?R%mZZrGYY5x!Rfx~N3$mWyzkf%kEhi_Z zJWPF3wCbGaz%&0rCxlOEXz=z9^k}SI9y{@R(~YL3ylT65?{2;wh~bi25F{I>0Kmow zY=s^lGekErR)W4IiyH0b7dTFRQZ-4q(IDZ06>N$WGqq>@35`_HEh$Nm!vydQv6AcK zl5yrQpU?df;}=RJ8|-x+bkYmsjGCY@S`(1WbBl-cA9 zH4VZxc8r-zywRMbjcJM7{fCJOq%DVU zOm@DyshMeYa3m*MKyM)Z8eKizOdlm3PhKN_+--}H3k;Kh#iDY`Ez=}Jvq<)4CFMo1s)EJ6PbNEZ=mfK)Sp>L z=>T>@W2TN)5hD@kJLDRKMvhTBKrF#LA9)IP3lXcK%l!)wXbg}4hmSu1ng#?InuaVr zwhozHNe~Fmi08$j;o7xx=R2{HAhKai>{QUg?3acsXt$yCZ#j7Nw0J`COSg45u{ItK zSs!m=wgjUV9$2PFFpysH`-PN%?DZr8Y%YM&`zI9Y_cR=?SSBmiat?6^&ep zcQKjbBSnX>dj-z*Cg2zI^Ye98J!&$=MtsRgJmB;_VZFCqyC2rw9%|$fo6+lQ4jsGw z&_%D~!Tn(J*c#-O-WiI%0`x0ITOe2K1)*yTe09BK+R zoN7|ZvVlys!u$$IE&A3B7*KI28~};Yhh(&^y4m>d7hH#!yEs2mQU@2PoULRyec>=!ZEj!KXVNy=K!r>baWJSzrmt%>Xhl6V>DULCr%%PLhr=7LAR(5DP53iIg0F(TCSE-)bS<8J z3maSKzzisX27)n~V4gbtSHj32K+&RpqRgT`_%(#Cd1pT}j&cRfy{Cb}edJ#{D{256%XqP3RF|H?} zD5ZOEKa`kl*bYWWBzl>I)lhImoOLhbV1`W6DGKEUbM2f7|1$$u-E4?FO_q83#i}!1 zng151|AuXjbHDga6L|e=++v_{^P~+g)4snV8vobJs|MQ2)zpocJ;O*{S>>mIzhxvQ z*jk?;TMu9AIOX&gz99IrKf%VoeX%Cok-?hWy%0j;6#R?9`tQbFIgShmVuOS3kVq_B zsG#5|pzke5H+T0SG24{+y}7(|NXn?m4)A%5eeAa=i>vSYk3UIF`GAE%z8**b zCIM9V?I)j;SFZV6h04B|bX@^sAESBw+PYUokWGmgwqJhVYN@QxL?B`w#xT^*(x!{ zT2)1DQgjRcm$R%s#faU9j0vS_s3mwiI;yN+itVWcPG_*HPXVBLs-qcKZ3=###YfTr zp^d#rFZ`FcOx%7tDdW8R=gD7fqUOEfubS;Ant%s;G?y&MD}|T+c^8F~IWZOtU&L?) zfLfCm$^sh<1JGW;v)xt$(ptmDb{LkxqS$blT=q3>S-Upmbrp&R=sSZ9O4QSOtN}c8 zXD^uWDMmb*r^Z&u?oZ)mLl2~NUjCHZrh`9x^#Y^8SE{QwVnqgzlwv2zB`Dek5PJyz zQb7A00iF)uh?;uG0ordAVABgDci03JI-|1SI|IZhH0~_m`23WEos+XUNndg4wYe#f z!DutZ4=9lZ5qGB#RW;g-|BN1rb!$kySzEH@!q*GsmrhVEjnI`1tl6d36b(el_p8@| z_Y^@D4w$9SK>ShOrn0@iF>M6)z@O?jy#A^&v5+wAp}GQuWY|s^r_vl3=0ie4ATFt8 z*(5gzz+pj4MyIPgFRVm<1p!v%=+P;Hf9U2+>QFX0E-!UJnH`i6a%k}lX#hiZ~0e%6Iuj=qw7Z=U`w z)|P%fZga0g&p{|h{twYmyK*X>krfm7YX)oS&LvS|=t<(d`a9|7v|xXm7$rn|K>OhS zLm8NBLlzouF^iuU^LF-^L4aAhb7H@;HyKfeo|Kk*V!(&&`4+hTAV_54h%m)Hc}C5d zM<40)1@t}{_606l)Zf{N zLD!pqwLT{=5v@fe}s$&0#;z-S)ak$BH}W&!4yS51*lG zBa7oM15C^x;F!ck6}Hg=wJ~YB(w=^%t*cDM$a-{jm|mVza!U^PW8eq3o8HnIRM>wK5Q!Y_-9Qxg46bKXiib&NyvEMA-Ce7Ri`_U&+fcA)5Z z-iwnvWh*Kui%%5`C>OZuyFB)K9IBcfzI>CkTgS?HgZHFG4CF1t+ZSDZ;q++Lk`mJ| z)`5wgzE?U|>5bng`Pnxzbw?<%uIs01%d3XI>GX-CNM2wlhyh-XsT+YclpnIshecba zO3RUWCr}%HZ{9ZADPm+nDf0v6 z;a+=Yqw_t92GR+KJBlj0GMYgT(TdXG$;Q0{MDTZbOhPG(n1cac#U;6?6uXc*zv>%X zv`L=J27NiGAzmM@-ML$yi*>{`-v9g;I+@Aje{@)@(xEz#r=Qm52^P1T^V6rPz>)6M(imz@lECcPnx2OOFB~-loUEK;M}d zj>sNt$K@dQKi_<*;FDn(^iY)Jjr=sasw>cT0Azt(rL3?Rj7_|qH10^A8xl$m@czS3 zcwXMNPRG00=irXjLntig7pJv774;j>sXmnTLePb{L;%bMM)G7NGx40bSB21v1corY z5OCH{)6^yzrTIDf85TV2S)uq)hF#Ib7WJX>GYYFLT0E39-i(Olet}2xGC@B< z0Sw!bFvRaTRS1J%-?(U(zbQqx54B0e*g6QO=dclf|ADKY9-giWtaCIg97K(D&~=KOt$Dx$0Rw{ z8zE73aA?~0CwIV!S%;4J5T2vC$$$lPT%>d&-X_rCFKYHxrt6c z|4gv|eEW~U{vW<3_KTMHTv$kDbUJm4fs`-*Ek*QaF2lA(I-J{hXxbYNY2|GdA}t9i zTHL<#2Hnh7du7BTr@IQ@NW&MH*D;KEQtR&4k%BK%g`D=+9y@tD<7%B<=IyghxC9LU zs+yFp>^fUE8`=?Kb=2C>Aw(emS%XR-QzFChD|_@w8hj@78v()FpQPJE?#l}cXD|z? z`5H3T^m^%TrIfO(oOer?ksgEuGMITT)_s_=UxQ6vjq3unm0M+}(xMCJdN&{UzRq_b z$#LxMx$uG-T=U9wUTksqy7!7YuA4S@F&T+6Z;HDnx0JLr%!ffcAt0*kdBfF}!E>%J z^Vn}n;x<@T&#TLxy%{$>`6c0sg%(GefNJx{^xJ)EAawq2vpsC9nS702&H zYm-#zK5LlAJHHu6woPQPUCZPk9^x{2^Ko5~bu9FZ7j01qv^7!9DT{Q zMN3x&D>5Hmp>3ORqyHJ1<=h|Nvr+ow6#wqEb-wvLXYGJM#XZWIiv8`!NEfW=8;^U^ zSCTX=jYckf?WJh$fs**{NhvZY!HnyOXr$<)x)%5%Jf% z4Mz&}ygB0!XqDFy@&3As?6kNq)HvIV-@&5%O?W}c&qg<0((m7YNlp~Ga4d3c^))!_hT{n}q2|QrhrqU$Hz4b$`x=9pI zu$WS2<~{p!Zl$=lIGRFAW7@>RB%zHDZKd)$sV{xPn8#^i9#+WxXwh+Fk3INK(Xu?w zw+&7V#~&}sSIWJy=2rd&-}NMy(@Kl+<&m}j{a+doo|st(NBkFGw+2`?_q5JK8?c4! z#lB@rMOY2EDmcI59i9hlJRrAZtI3(pB~IHFs-67GzI~glkme5nE;Bbbhg#;CHwSe$ z6;d_^M^m7zfGC?>E4lR`uD2v^ibD%~G_$^B`6ix4%xT+A7ae~dWsn<^3S{=H?F(_u z7-$f=wZANLW;F7gVN;rW$ZmWDuzZ1jqj;x7&C%hYmn%Vdul&_{*2MDsn;Kw*$?T;Y|Qz_ z#PpJ^cp;~k9z!rZ(?Z4LxRQjpWr7??&scR-{*Tw3jfF!ny)Pc^O4t147YWT<@0Uu< z|8j>`@hW9uBxr%KJyI^nG^j-uz~Kk$W;tND{PWHqa2L!D7plZwEdSw)OFY|r59y6} zm$%KdEI3S~TaH6BHr*rdm=2k;f*D|Xp#umzjBD;X;rewB|EPg`G7>U}r5;|}D?^|C zES&u-kKf^7fOkcL{xD43-5v`uR`daZ_G5p<({SSD_jd`9&KqA5_7TVVS+>$lbYQS^9uOPHTA#~-(~0Ly0pWH)??L0B zm>t7NZ(naOMuLBt1t)dDMUc}S>iUqG<5G63?+WBX;`2FP9L0S#wV2qbtT4QOJ%6E_ z#Ofo((#}IGz=fHF;Kp)nC>M=iDhS!CPOpWOIjZmWVhzhCSO6kMb8EQ1zTEzU#c}du zQYlCuX*EPdHOvVhHiZu#j?k0K;k0glPF%qW867co(dHm;qbBI1g{e|UfvsWGx=WUu zO#+#}`ooad@4^>yhW~p*sx^c{^_d^vEA@{ek%wfI0~yXbXmoi3HFxKb}f>V(BsQ^n?WdoMHjt4}f{qQkz?l%71dxVq$-p=4K= zHvIii9@9Jh42j!UVXBBYnuY;_9JT{YqPaxpyEz)v1 zA|j3GGBXJm-)tYNjD8~*VAeNt03$9`EiDNQWixVGZPSS~8->H&Zsb+VR!l<$&5uUD zloR1KDjk>g3Bti`G=+&b`1qBxcfE_F5`rk?*9?3dSik<(FI_eH z&kUuMqu+w1?`a_nIx6*(AO&y$&9P#Q@H;h3!|0bNu{4!W$d?QG7UmhvP3-EsyPG&e80~cwLh)> z#q3Q-Bf8rorTP5ElttF~b;GfVB_ciHFU65)A{Fa?eXRcQ%urT2nlLZWbSO1tWw&~x zBO_?H;qxYLHtZ<$kp*DXIExKVL>Zi(p7t2MbR(xPKI4+z({mNbX%!lFa1qT{cxQWD zy)qJG0EwczCCAZSS*qonYI8rPndOd-n+DA^!q%Wv{}!1Lx=lpj47jA8>9t(P_nnRf zbw`L1FzMTYT&b_`a*7_dZJE*RakFMLAGx(}Ra%Xi2E8%M)>0%6Hy!C0c=fPGa_E-$ zt4>P@5RZ47csww0VAfNv-+h@x3kkW>ypRgx8ZiT;zaVShqkvRoI3In0_sV`uk81L* zWZQe);r8zSad*erYe;h)g)d<5LV;4LFzy)K_Ei>8q?l{#GG>1d-RCM4S1fHS*(Nx^48eAAPN=ltbRew~925?vheH!C%mY^Pr4UypNUQCZ z^S8q9K%VH2lQ^`QM31Og+)h8cU0Ld3t-7hKhK5GZ44LpO0TaA~V;rWzTl&rx6rIZX zgcYbOw+d*eMV3?7uKk{LG(5;H)?ERG`!n_iWSD6ZCDenHAny=vhXn;aEUfSsV(}E^ zj_M%WNX*ogUa~9XBT*%3jQL9ar(^MyGCl`Fva_>Cc_nd4^?8NxQO%lmwU%jRrkx<_ z_s)sH^y9(pIM=7;{oCu{3Tj0rvG4cS7qnQ_dDU+=>F=KP$JGk@Wx*#vHOicSn|T{G z3^gwe5CEmiQ_c{ZE$Oz!GqeqsGAU71UnAI}zic%vy5h{?^|r&bRIK)^Hvu~@ zR?Gw4+~V*Re9_A)U^kC}A;1=NiA%#PV3(GGA;cea!^?syU?DUlwe0=RSbFi=+d$9R R^MM{<@O1TaS?83{1OUyDSY7}C literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/registers-image-0053.BxUth7bs.png b/docs/.vitepress/dist/assets/registers-image-0053.BxUth7bs.png new file mode 100644 index 0000000000000000000000000000000000000000..35cdc1b75cd69802d66ae1ef16231eb63feea03d GIT binary patch literal 15281 zcmeHud05PQ8+SN_REG{BH9AtXN|Kf-M@XTeMbRcnXw^Pb({Qxtgd&x;8C2S|r(IE! z7LBy;M$5Es)3i*py!S88c^=Q>{qJ3__q|+~HuL*^m-~CKpZoI-Jfo|{yMFt6E-o%! z?Gs1!xVV0);^JBvvvwu?kJVKjKKQZD>BM;#E-t>e$k&Q6DLx@CE>$b-qkkB9#*BB* z7oTg6bML0v#<8t#2dsh>%kMmEC`@nrAf~Wa!1mPs@Vil2Rc#;T z-LsBnoi!Xw%6hW%$xfZ_NgO(ZYOQY+k{JoR~p?L>&Z8|8P@Qt z?JHeD_<8uYb{PD48!EYii|dYrAuRJ(Ov*1@Tsw&d>)>Ox$H$C-s!$Z^YI`I9= z&lmpxkka9K6aMQh!`rx3syA|Rb!RjN-kxav;h!PKiNUTa$&HQN`}Rm|R+PVRSkzFw zHBeepRt1eWd)8Qcg64|568%SUQeeZ%^~w7Sb=98yE*MKJm2DWdj>oE?b3QyVYkGTi zg`YuWOwLO`Y21ZT@qmw~fAj%ETl;vzrtxRu$9eX|*YwAv$y{z|{DjfjFBx`K@Y~c> zJA2U}Vz`gq!?Vdp|DIIY#+K*&Z0>C6!@D}WJC2>cyXDM@uxe!!llRXO6G)FP8%Q?D zUcZ!k^GM36`u2_^ThKDBc(FLWK=-o(ajSpRvv#g;*^)hUZCGOWwlJOCps1}fPeLR^ zUGnlmj$uw}?5$2Rc=jKA__@^oZBl)B$34xo(0TY%f`#{VB{kK!#B(~r!l%%#J$tj% zxh5kS-b)fI|D{dKC-E?4eEoy{N2`>2Jo}OpiMW2-V0Ffj07h-PoJX!MO40VspQ|at z*V7`8XA0c!21_Uy%)O*T?lL%X|iZEJ=)v8@w8I6bFGZl{T<3z z>K@n1l`-3GNW5MjWNnpIH~vdOQ<^ZC#N5ak-aoYy76wCD4OW{N!t?jir!*ESnBM(@*#@;B}k6FNG6DA_%J7mCq=#t z*ynf8^>|ITU#iB>wcBKrv2jC8eGFRBy5^BbFMb|&GUj@A)mKT#ezD_K@+f#pVsyl7 zhnCAx>mvL7)0=C;q%=b%PCa(&!BT~N7AV}pt{>M)#5he?3I?zV@I6dDj7Kp|hc)Y} z6WxvrJDONCiJ$b+U{444VyUq`Cj{!rg)Y<{7cyGZm`~`S^w`Tl0SVa)xk-5)CK75~ zp7drTvId74(y7#OHICkDL7;t5;-7CaG%{0k>?v_GJ(bkoVVl9L!8gbERWiq7(op!> zhM|VIR!+wnA-jFv3sYHERsOGOi&Rpp(e+wCtrLl{?j7RMcG;}C&H#r163Y3#sE}jH zbY7=}SKmD)S@V(tW}@@c=Z1=gIF*FLmYL+OAY9+%>;*|uXRr5N<;BVGL9Dm_$@|`7DjAj%8{t z+{p9*!TzqDVj6twk>f?rUrIV1iv5BXQ&Q8{D^(#IohYRGpTwo6*zr zx4pfui3Zp<%K$t`3SA~3!VAYogYht)kKJlz@)vBps?qaZE}i!IW}a(>qRK`l1L`e2 zy4`vg-YMy#=}pbKXtiA2;y{FB0mc8MY+*m>?ZoU0w;V;;ghc)AlGXb1u_EN>l7+`@ zPlZXHd+}J_>bUWnNpGsEO{`l>?zKiq@(Px({wUFFVx15rK2(>{ABtg=(4Pi~blBy5 z;6u-T3{gAjQ;ww#cEf2{nh4K?xzsCDZ*hwZv3N#7@+^3vlsQvt#1d3NXYBNx@AjaQ zNvLoiYOF`k)wp}*!IlC3Rgwxe^<#LlF4?&=t^36vm-MX7YLqewDbl435iv?vFK#rg zVqoJ0{iTICPUd`ee`^`rt>AG+(MZZis=6cZ4SDG*bFcBuB1d@Vww1f7TbBERACm84 zXt0Jcs%YD8yL+j_!;i#u=mw_}t8$WcWc4Er7Hgz3VhHR-(UpE4kJYfw!y7N25$%oz z^QHJRXe3S-CvEc8y!i=#)$!cMAh}ZG!5kUm%$+e_lpH@VnfNNgVgzAbuoQb5#gVsr zMfC6lyGKs4QCD@m5u-8AN(vFl@AX-75&{$4Q$n4tLZQ^fn4T0rL37-2LMl4}lW0>o z-r~@*Q(hp_`0Y8=KEo{*=qZ(j&$kh)NY+n$E}PRl_#s-!p{syW5umZyAIj=wR7;TV z3ah$T343_MP-~Vp(5U3H#lh%`VitS83{iEQAlkQX>grC@Pljc^{HZCqfoidz4y_~o zbv}{5x9CK5m}E|2x&Qj})Dd#4}i z>#kGYXL`U|y;InuE2~;O`Yx#MU|l3-aJ}jTxIVr>`4`v7y)o(PhI343Mb64Rt>%W6 zMGtrF%(|1~mT9ofVHSkJ5(156&G(Xu>dx`t)tOTj`Cy{Iu3aiq>}sd{p%-bet!F&k z#32&xCAIK0F>H!y_T_2|4x=^G zyq7iMAy@GE&+|D~66A{TxV+aF3*|4Dg>cm-)=cfW1XgEGS6%JP>j`RUSGqXp(Sx-S zHC#D-XyvApk0m4IEZDUc?EUdI>jcE(LEL%?HPd}n0RfdR)cSF=S#Y2tE_L#pIY-VM zV>H7{(Jr??8BG7Y_JYCPs_d}%(_xl2ua+i?3Cuo}*4?es7|tvvzsva~$A%a zG2(K=vtv)GCU#ks;8YqpX`QM)7p&paY-r@y=P*0e zpuwc@qp7U4XBCiU(XnI zpGGTv4iVMFu~&~h+NT3^7+!G37!X^0wX?lI+nen621J<&P(I@8H^=MecyofLiV|5 z54Gs{r0~dYat4hRcgRsg0Q!FH*R);q6t1o;GG0G^JsUgN} z3!ki0Aa4-tU6}5xxaSTzm0t*wKBPxU+SEPHs+BGF-N^{hV2ajhV%Vl(v1^f?W&U{G>8pqv)BM(_q8m3jdezD3pXJC z@(NxbVnX86K;4XcwME)^3N&fBpzl7c`e4^s~SK8 z`(kTe9ptToM=EI+Uf|Q8V@M+Rt3b6jxF5-$JK`vz8{_#UPy$jqJ4hOg2`swi`yHz8 zV-SV6`-tRKTd-#$7HQOsX`1iN?cvhiL?nPCXIwV@P6*}ndIg1efiCBI1h;{N1-sxO zd<2~ma<@2i!lUC_Vx)r2T#4qPD{_zjIw^BE*_FE5=3(_r9|@X&2v@-h2j|E z4)zm>3x1%VEhhTUPo1Yn^GHJsXX<(e7+K(7a(c!8*`&V!vr^E)d&HKkMmu#!r8MzG zqVJ#+JL@%p84*M zX2tSx8WbKHb8S`_UWb|fY92vZQziQM<*`XRQ(qpb+eh}7Ep<<}pt|m>P8_oD$aT~I z3JLsEof8u;nS;VKmqjDBdl8~%)Iyz|D2OmWYyF?F#80s2Coc69?fVH#{$GN7N3(O> z+Ow_YN*BK9TSOnYrnfKhC?@C7LGW``R|jIwfWC}$3oxKYaeLM1R0v=&G( z?C(`do0lB&emE6bfq97c+w0o?%p>M|h`LZ#)kK3a6bGrV2P?xq^Ua!y0DcrCPG%n6 zFRwN{d!s(m?a|u}AGdPK_zr!(In`cnqIp0VfS(jcMse9vvAos07o`t_HP{RJQ1cvw z!~>@O5TmRx-CF2gWY?go`#n-^8WEd@K!iuscPKme1F(jaYe@WsynQ0+Y#fqnBZ)ff zWR*`7q>D%%ItFPhhtlC4%iQhSHW2fbyx}RhlhTJo@3yb>kw} zVW^@B@PDH(IKXTmyh~;lPLE_wX74wC58Ss}+t^}K)W$L^dI%g=Pp>z7kvb26 z>IWq#SfU-x^X$%Zf=9H&s&=@XB8P_JXX9&VfDpo$oPLD_$vYe13qP_uKsB(O2YS}6 z|2_Wb&96lgWZoa2YVs@hhZqvdj5-VoVH<+xo|FoHjTiuTV2e_Q?r7E+2R8@+!i#Bo z9bP@93J@k7``+s>l|hNRu^rSjzH8oFO{dH<$&@DKMYHcjQ4nA?>)_9u@D3H|}X)WxG)Dk!p1mIEJvdb{f*QXSUX3O4tTjjq1 z-ZX|q(*UX!6eqk75iMghXCUChtnH?a@-r@YIx#Ut$_(qhu0Mcnn zcvvk(ijXzie*aHU5?5vz`D>F4RLG1qDp{df=d^2%lT^@Z=+83)8GG@RZG@>a;&hDg z+{xLyXI(PA7oaHEapUbqq|Ux`XFwyR>caDy_8c3OThm$106si(x@wnti8!L6I=cgm z)B_qnLph`}^yGH=u`XH-6)GGyz#pjtJg}zazL%MM9^V}LBD5eVPJ#ZacCWjJis_jL zXI&H*r;v&@cqjA#_O)rzv1S7Z#6`^KTPUhoQP$aVlL%!Xf-cj*M!`?E44yn$i}cBo z`=@HCU{=1V*t*B^=nQW@jdrM%gH_T5~fY-bcSod}H zij;C|W!&r#eQ90rO)vBNpKh4t4GAZbQVC`Q?}QwgOd2_YlOzJirgG!UTUaL2eoHOA z>HTMI1jj5nKHoNpxk1NO{rg7;r|*|SRA?^0O~GSwruaGg07P-)kb=H1?3c>m z5bGjsz0oe7#>!C8BdN~oRs}iJ0;ifsdjSA(ucpdhArpn!UF-}SKEhG)Ua!J^lAEtB zA4y@b1kv+STc)LG3({P>K@yExR*`?;faPX=m`;_&;tq9!Cud4xj(wjhdF#H*FJgn= zb@}y%7nXe|4zW?PI~aa5vD1et+16hKc^Jsh;yIW^^1S6JNm z&lP?nKYYKnpFD)`XdnNFDHEUKE~9=#<(M^g+-%)rAG`VZ$?w%2Xdbi1lbj2E#c8!2 zS6BUwll?;sF-(&;zWxIm%CHq3bK(0B%)@L9PLwCj=0{yj{+>8un2J?N_=wR_kX*H0 z`k&#>=?!+dB5ackofNTkar+;yxSjAfH)VG!VNVwo-(qd)8h$J|GpaitA+(&!Aj>v> z9YWp12vL8~HMnT&hvVr`^|cqC@1C$%hq+&2zamtT9?WTW&wKc@BF%{QMAHVu9} zO({fI@Yuw^k#B61IlC?{zbF>3lTzbtu!dRu@P%uUrt3NZ$UFu*TuiJQ^s@q!#As)= zBiJLKhWQ$HpZe|00VPBCsqN`0jcL!^@0pKw{gv;rM~-&(JokLDVl1C$>n+{}h2y0#l<@Bsk^I`?1MT`vAC^;-w<(pE4Y!USj}yeFXW{ zG22*J>usQ7ZcgfMn#K*C`bd?waLA#IH%t9@zeB zDDt;n4L$b~F@V*RT=PoUCVOe_4W?hmQ0zamb<`Nf2>7z3#{BS9Y`ZA!?C?eGD)#uP z!SQE>%g1YLannFMPViM<<$SM>uw3Bt_psU%VUbOm9tz9hMea$TU)BU{M%CP#11s2c z`Tgj3p%O;Bjr@`FHGe(ur8ay!K&!>TzrtNPye{)>zcA< z?lNHOs>aNV`~;zHOiMzYRzgnl{!rZCHr2l6I36|@E9sMYqW#Uot1-v}(Nd(pr|SQE z2%;oyZ{RybxKeWYxS{ReO^~1yB}F@1omSE{^3?XLCD-Qd8)+OmM$TN=aPoIdWU!9I zz`!|vvx=|zW}e?!(s4PQf2ED_CM+!cM}sOzt5-aHuRj>~&;(o9tl7G6SwIo?mQ9Hb zK}5^xZQ)0W`%z(CHMMCXMgCti9y^{m^?T;C8-mE0Vl$5xllsq@JJ3HWe&wK9n{1_D zn|)?u?Crn%L1LZO?)(|&)PQu$Wi68JEKkC~=~aADkPcL{R+lUci!edE@_uza-^8?S z3&~QufA7z&{yf#63cSn<{pKEiY^(VqGA+ZyK@WaxtNnlYtr?!3t$hDuWtw?%dZ*rv8x*+U2-%i)3y5zCf z&Qck-d5)fR#l5?wdwpc%=e4)R_IK&TdP*P7`Szl)>COw!8Ws3l_4I?ew6=;_O8s%# zxzlv?fcZzASmKQ*p;5SB4wdg*XR_}4C6^T+MNYpO?`jG0P5VVLtB~tz@beyb2ip_n z=l+apGv&(F(sxwNS6m*$PL``9E;&E8bNj>0uqWaN1-Zr>nmX(4d9~YgℑF+?=~Q zVo%NU7qi=)6!>BFVXp)9JQowqO?jJ|-VUyOf{wy<7N&{*oc5=Xf2!2~#DI*o^1E?d zA1{p#=B>VYKSXlH6Zil6)rtH^D)v1O*Xs*2XEt3p4c9L&%3$}bOB08S2ASb%kN)4e zj_vsyrG&dn^F1Mi`bfQ2`0v5`ZWG zKGz$n+LK^#^?xKNhvb0(i`Zn4n?3t;4+Q_{>pm8?=!`kBya%!K)5^= z(GI|)5e5oXx$io>x|lE7%)Q-uq$!y~1rlr_pm)$@@d4(Sj7i>$RTjvl7p3dRQ({y- zCZG#Y3_M|kJOr2&(#=44ThNr?Q1}UJ9bX|V#%Msj)74RQ6lWR*$dmq^H~_K{`inb& z(m;TFIiGwI#%wWPwG6~N77afKz+=;kyDcxndr0>^D7@ zipkD^_5*>Y=SG$AWbvdra>r+9A*=x5rd-}SZ#n>!QCA?DAVTe3>X}E{2aKTATwGTp z;3?oGLqEbDxTRhIv%%DgKKVpg=tW=*D)-%07$lP{2(Msn8CYoHrOLk$MmS*8tnNvl zd;m{-dO4qO^IxftLFoL7Z1V4vxOBj&Kx(qgf)N{d@uCc@dW*&C_g43nuPjVa$`(I3 z9(fp}Xxs8OP@pOpMS#=91D+#l=ukYK*J|qWp||v)$3$1iF)w}V1%!aAL2uI6%mj>; zyCPbJwHh?ZHSkJ2FxrjkAItYauSE#@VZbgra%cw{Hw%PoIBG|KC8YNTF!TV@U87j= zI7R{XDo0qX-MH)cspx}gRE1L{b+mUAFoxvYIA`Fp)M3L9C&JJOzY3sofOCa`>}&*G ziy$%R(e)ulKu+}p4S+sUEdZ#CK#^LHYNk2VM#z!&Ti3ubX#ioU9uGHgxXco?mv38j wo%ner>*qbRpO^1`-Z=buFY|xlWmGmQUTxDEo@Z@+Bl`QW~O?Zi$@KW8bKa`a8 ztm;H3)`!r1;gUH4tf|08oVE@8e#5Gb0l#9xVDPWRA!q{&i-{U;BY41{#>&ESWh3rjRI3wD{uli8*St z(pyjF^~m;Z5+s>>X=CVRF~N897`PYQYDXiicJ55xA6)B#zf^WDHMAaM!10*yo#-s%+%qxnpEWA&$P{!Q%|h zLts%J?ym|_^7k9IK2Eq~;6mNOjIYYC4U`Rq*aOtLw!ISPH8-C5@mYKzbgF9MM9DRZ zZ7reaY?7r4( zFQ4cA2w!Q~ZG+h@2z3&$m~Orb&iMz$d&y1d#8&A|TyjcYYPDMJ2AIJOWXS`64;>Dx z9iY&QD5R-}K8N0h!8xt8k3HNDS1FP=(unh8#^{|on5#z_nz*7AWgCpKM;2a>%?5J} z%u(B8ZW0IY#|uI=p@k@u5fV3;TL9|R8ZM>;c z)rS+>pN7K|sZ>dc2^c(FY)c82Cy1^uc0YbwkUSv`C2oddbO}M}cMFNg(-rAO`h(`D z%$PtfvZT)^`3Gm#rKg^6=`laj0foakHwTfMTYp!}3u-X!#u@ws+%qmCv~~koj3M*a zVsNW!K*U`g;x-mjPe6?&WcWjz)|zW#BHA&1+ruqk4fI*s-tW6Sn;dJ2BS#xsZk=h* z!f(rN$=OVb-yH{=A>-<8!D5aDDMQfwM0WMk6eihgMyD)#p~gVRO;Jwn^~&->?N{fp z?vFt8@0D*zhQSV3DD0fa#$|345{O)ucfPy`;47cZt5Bh58GzS)#eH;V2KtAV-RC-X z`h4HGBJBWPz4>HS;QoYmF)T);wpJ@ru)bs-xYS?6rpZ=>2XsFa_z3H! z?bdA(#aP-wF5=@?W)4{i>6>x*CC5JAc5HQm__Sg|5^iGBYGuB~hjF58nr{X9|CgP< zKNc1(feOPbTpD zF8)BItm)mVI#+K1FOH#xJchhgm&gXA$XixO>Uo%1#Z2X2`WEWD zXp@?sJKqy;DOjfx66(n<5m`n4{yp$2+9#Pq;-%LtLRq_3fL~p8Va^%AN=j{Bd`E+S-a+f(~sWm|5aG5@zC$(%G2f-CXOVkzs zv1wd)1@-mUkc#Q51wsC~N7t&lE%CV@Tbitm_)3k2>PbC?2|C6)n3-$xFWk7c+^^hf zBV5fNxOZkU++2AsX5rHD!VsuF(qMo;{W`I{UxUzYI+QSS>PYpaxk263Xxm$poi+q7 zwjGftwSR_19@F7VeNVae^&PQ@8$UZc^9PCMeswTD1k%|iak851CdPgVe>cV>f|NJV zd5!mJ2P%hX738)T#4MntXBI8*6NeMYln*V*Hi&WfSAN!t0DE*pRBZAxjj%G;;ydBj zop0s)`5xP0lJ}^%RhyO@tDk=}C< zBP_z)`LMhAx{EcmJ5~udI2W+EY|v$7PwweAf-gVO?7gHG=0aC9+#jylD?g-i@R4^c zC(=f$D!0Z~hmUI`+hhn|OcZ{c9JdLAIQvqDd*WppN1e_|(`^0DN}@3{dSS9g^P_dr z`a%4%OHSOudtaablVrYcgPsQ^oycY7^&?!1FZ?;W?x7U&RI}prVzWn8YN!{p zV#vGE9Cl$2daMj{RcHQi9lw5S+}q*KsN0-(W{Fl5&-L-%K_^k=y8JV^%(s@l*9n3K zF$N7>6Ik^*@oQXMJ08}khH?5kUha($oZRfITf~pDkiU#iXxtG{QPD`&uhMOqF1urv zxHzWRKPtY!(cEQ4^{~Or)JAaP;aqpozL(vqCrbO*()>4#yxc!GayU`+eX!|H=lt}l z2i4yxu#|b32~As*sj~VubeDp~<lnASN-L&~$CPE31^D^8b< zCt-YMW{k_?3s$5MxvsCQ|?S$aP5 zjqPm#c)wXOr4cTvx6RkNlyB({8G417_f@@(<-0dg#Ay@)k*9B$F}B#>96N&Tb#eQ2 z#t3>aT$4(q%{UVE~E(;Qjm!3NE~!uSy~NQ9tN()XnxO;@Rb&2TC4huf#-Kr^_;$X zey%mH@grP5NNS(=EHr*|zgGLnj-n)-X9W46u`aQwayDXzfTFmv3T*YstkwCo^`?#s zA4e)8*vAqkdisN>^p~^Rd$lp0cF%5zUM34k%8$xTXAdN_(?uM*+WX04u4tidPX5@T zH!1q1>%hSvg zLV4Wl#No14#xJ7nu^bVWgm$9*9(1VDzD?2|PlS50TZtJqK67e)BTXZpQyat|76U!& zQpmZ*)^$ZKD&nG3?3g?1b{-P?7~+u6g5OS+pQS`dKgo9*VyY~DfA9PAJ^w`R{_|&Q z=&&0lcmIscs{)<(ZPBIMPYMsD$ew!uhWAjK<-<4ON zW@b0>`n0FxM)&H$??`k>EA*w=h9V(*w3w8eEW^FcDouIPuvU;kV>F28^YHVpd=F6d z8hd8Dyfp3WJJ)Cx^NoRIIFIUu%RlV$sE$f}NI;5p5D8v>z-4)pR&r@zoOUF+BrH)M zO~CnNW&dn#=&aQCEX)aa8;a<(NNVKbDL+3EIx^=eP#>~q#<+E~FKDPpNaQ|uFho}K zn+b}r3h{cuCn0pZbq7j-w{a%tL3DUt9dCb^)ocXDGF?gPMtO@}^yLZcJ*Rrk`9sWE z(oY*HC@Zx_?de~)gvO{NMp8z%E#0!i;m7)Jeucy~ZG)$xV+>&i&4@+-S^F&;f7ByJ zjkJ$pgvE~wQjqfU&#$nh0lkoD$#f{OPm|V)7_e+%Q`i*jQ0+CbJrV>QRwuD$AeM3!b+jVghTz7mpKY#)a(lF zjkmYyr5JZvabU?!PAlh}DNSvT9v6IK;Z1Xcub+tj(2ffjD?87g&Ex0vT6VWJMZdy= zoYziuNwpS*mgc*wV`z-GJAH0@?hcELjP$7d%A4=IG+Di}G>ykA?_l{n! z^U)!(9Ni;1XHyGg&NR8s2Sp1`c05b`cIr1N!O#Ns`rim^Flo}Iy($HajBWjcic4?lT??caKV`Jm^S_vgh zEF@T8aG^~&v~Ydx(IHTYxeG0-FX_zr$lX0B=c)CM#gz<9eT$5YT@&lpw6BXVtY=yLZHP+L4zY` z7Vam|XsqIX{A?i22-NND;Yn(Ee&el{N>Yq+Xt<{zO{`etHFFWr#sz8pF!K%c(zP)i zPbnmFc0pf+6VHa|ZVS4ZpLTBkDYBUnD60sMK7&2KI&uL%8I-m+r{~~ib~GU z?yzp^iDy`KKyP;rvghyW$=e%0X?Im~RLH}gedfA*#4KemDVSoL)SSnA z-6)cCQYbOdWbMb>*Ihd<>Xazuec?yzkpT6ngHk;BR4TjAx8D0wJd*sqJa5iC%UH&W zHOr?{+2bRq#}vz;S3<$Ir6%e=>3@aKJ5Z(;J8xgqD<8-VgKx!MN^*UyAI&;9>XYB^ z%Js*9SQS?nBxL1(8W*Hd>fJ@nQ@pirTPTf^r;NoYj7QPN0xB$}*#yh-xib^EK6g<@ z-1#QpwH5}YIwlf3`^~-d{OAK$bfomVgU}hZccz8u^o7|-c8}bb!wDBA;B$$^>6R;$ z;x5O><(2YHpj)~W?^<5d6R!ot%f!#B$8Hl1DHr9%o4bx)94^W4-p@*wRCDTF7#7Rg z$28M^LCx(`A-wi1zw}&id^>5{t}&b@%_eO3xaP0d3gp}_9A=Z8D(DiAF~$O<&XrYm zAD;w0jP=)yg=o&#s{P|p08kvnob!gVS@wnO>dw#38Y(R0-e2p(0_m`qS+C$Z&|d}V zI;XQHj&}FLZOWeg7nnxg$rlFFqRILd8gon1vX`HRLb(IHbhUV@Yn)ds_dd|OKY#JFkVN^xM_DhqFud;xQKm^lwcvrj+#W@O)?-}%%v&i&u>>`y>VjHUG3 zjmi@`psRwt!@BKR%gwRaQjeI%2dH;zJ*UQ?`E8`c<|4(fWBz>r)fG^&F&mO4$$ z81nk{8CZ;mJBRAkkUz<}Dj(r@W+%owcqOLzHfJ9My)_O~@Th#X&$nr|Gg*`Ge^}E5 zd?nmI>VfLKL9#hb*2KbYcg|PW; z;N`(gSY?w zN)D4XNcQsdFViE~_+^w1A2h{g2Qw`hd3l(0#|GIKMH7(-ywYNmmI56Hx5uta%&_Kfg0?MzVOa++b>q@ zH`7l-LPMjP55U~6==WMg%1Lj6lTb?hm<3hTwlh2C*`__0hJ)3Yt=|V>s$X* z>xl2vozxyn&_oZnhT^N2EP8{yUAVK@qX|noIzm zoQ~zN`An>03J8yZ<-Z&|1qfiSwhm|kethJ{yOqq5G^-FE7jaDW?2fH7ca~+A_BS5V zNEWpk=8Nh-NwAs;iEhF19lf%OV$i^Xs3DZIW`gigQ0QOL!d7rNkEriph_^rSKjEFC zq~(-8-br9*^+OXfRMn@TyS12);Gbc_U+SW0HDqh`3la z2anY|kSSK}@k*!RlzHd4odg{&i58EYc}gif`XQt8eo$4~k^0*z%QoBohW#3!d9tRu zC5vb4VMCqcuAw*Ynuo;C+{y13=RJBjTSvI!Xjr|m9VK#Ep{|D;i`klnvQs5lh{%@} z-59A?z92nnpBg=$EK%jPxERjp`3HNjH! zLwIUXNg+_67+VBIk?G<01OhSP53)Y2kvKJn^|32<={xwvVe~|iJq>rVx*tmrFbzMo zYyd^KNbx(Q1?pyp8NF-?e>mmp1tR|ML66H5A#(tw74|hHfhQKeq980%s{~zqseUsK zrpbxyY^e2Q>uC1^Z4b@{B3>iKFN%&%AL%_K(s1p7y{&B-WOpJm)L~JA|4e){8a?Zf zrsJei?Cw>$=n>mY!GFPEqRaXn6V?M&@*}yF>PbHwYBTo;p zotTT_X&F&p)|%g>kC}h&#WUK8nd!Zn_cPHi4b_F$6NYpeon5b^xzx+V16p6TeTIC< zrvtr7+eh4&3`AugDs5&|J~%u9n+a4rs+M~oXNte)v;3(h-OJc9=X!${*X3ak)yy{8 zN;2+O%-_3$!^{>Exf^HP?&i|YdVa0{s?~_acqWOf99gRQe(HUHfr%j(ppUVb4DCiy z_N|Rp1?}XhkOSiVBX&u_X$Nu+a7(p~i4BRB77{msnX6i|c5IxK@hyv%HB#_(A9>^a z{_INSCHrlv_{ER!u50xLCIw7t6mLttsQI*bV~OO_g^s46UUXzPR1405*R|);G^z}oXn^9w>pOR_;tHbJiu?xNo}2tKfNGm-YvTQGbjx7Sypbv2S=Di!ch1%Rt~noH zq*3|6mUQO^>F@7m9}gSXGfhoxZi}wR3Tr<6o`{sOZBt{jKXFS*l^wH2lb5SpaB-oo z7JvYrk{mMieT?S*q^VNLg9mE)LW}9Nc2{WRQZKq)YK&%e87}l1y=Yd_Wk0d?iAv_2 z!H%#bHX5jc60Q9 zbVG@qEw|{CbKMcNXN}Y4C*$q+Rd+3I@eG(Oeyewfz7LFc3)h&}H%(s{Je}2ci!qV^ z65lB9FO`XplxCzD1jR%h77 zuX$bwbX|nCXb&`8=JI)pmOv|Yq2y{*=Po{?kX9%f48BcU$~}Y za|bEvDLrp*Uzbh;=udumoRP6FVScHE<@wukB0DJHmR+ENgx2W?cVPAm0v@;^I9e`nf9Yu7}V>2SnaH31TH ziC1#_hyTp8CX|v>pzM4>UEQ(+$^Y+?0&y<=?XGB>_3fy?2fx`4MqTS#{)e>uPvsAT z-K%E1^;+&fh6dYJ|5^8q{B_tBE%={x9Ef^$)}W+dm_6F{dvUp1`~K5Gl@klrvU1P_ z+y{YI{->%JMvBYTs&{SsZoL|pC;V$Y0_o)_PuHpGsm7^>mg9^V4#rwJjBF~cRz~Mv z;wQ2AIcJ>x^}C>EjQUU2YTH35JFJUkTE46Nn`6iTW{;36Yy!T&R-#&CF*DU+Mg-|g z3U91+6v4jlKiifiwh$3)e*~z{9ihJfWf+*ub>xGNRW8ln$>AX_hGR9bnrnqDk%E)D zBf(~|5xxuW%0@qbQ3x^(KR*~RC{5Zd=b3M(T93nsW*IWa#vgo%qLsq+!=Kc5L^dX0 zr%2{7a+tya^`7Z%(cGMt0;}(thZmpSdaF-v)M(jb#^56yQHZ#O1@-7XT4~7S+1Lwz zEhMmZzbr?|C)lTl^9Wux0GqJ_h2=yJ`H%K@BQtt>VX&ooU^V;nZysS0^`=tc2j4>s~ zQRZdRwv{}M`hWrNwLhdLnua1D9NGKgN9fnDsr9Cg0hY4+7Vrx*rWNB2?lq-w{Gx=T z7@yBg*=<;S0O*|F(BT1j+g)j>c3ED$UQUOc3mCz}XIE>(?t?O7rF0m*XvuJy9OSZn zA%Na63A5t8m)cxPPuz^~S{a_f%oxZQK{+d%?>`6rD4IsxLoydfX0Z<-?SJKG>T8UV zo=l}FkA^6k=n{192ARGoCoau4s!J!@hjl>dSAk~&#zZuWCd{r))RepRxogn*0k8R- zn!x)n=lHI@?y2qp9b(9a6L{epVD`l{;>_bipl$mDw3ZTCw6Uu{Py6`C=REJueOj_h z*8wQi{dN0PLz`Z9X;DA*GiA9+S-=A%&jC@+6W6(F=ArlRMJksJ3bXEFF$?264Xp$c zBa(Bw;%()?o;~RM5K`_uVEnZ_FiA0wndQpl2PMhYp9G_Ny6}o|JjU{BR)1b5JMwWp zE7=8wVKIKL0h!W4l@7`T-W>sdahAEnu>z+t{gd3(-xJSsJUudk^`*BsSuzK_KP%5_ zQK@?d7c^v@|KJK16C47Ks#?RxUj5BLyd1DAjMq-jrh`jI+Pa{ViSXDqEvsIacs<$q zueWFa=z_=z?PDKdw+dMloDm#Ji(y^kBIGc zb5I?@#=9<}O?iUK+6ji7J7Cz?E^)bp66H{*yu?U2XNxJbt0&G7gJri;6pDF~nbmnWr8nXicjT$>-o2TL{H3>cF9HYZg8N_B;vZT9C7nG}k)2r`2 z3;2ac2ItAavJZi3sfrsgSe38JF!Tz|&1Yugm#tAs$OP&abm`FW>ID6$JG{*}_ zkQz*3x7yuu;z<#C{VNnnA<#X5&~@QYvgE}>WgiQn|VpjRna-z(yyfH4b zMgz5#m!m-3MZzI~3CP=^y+))lVU|vN=5GewuWT;fCVw;HS!;KXjdviOKE?Ga}I2>Y*PIONdDYRd@}2#(!k0$3f>I|S6+h5mK9|4RO} zE9Don@vobUDugG{RoBk!(@$L|tk!J2cG?BRqJyqzaK^{4A_**h-LfF*gXR4R_%nnH z>wxBf_EM?2i6B)MqQup@AkQS%hMu*K9zYAEZos)B$8&P2Qxj4E$%xV?U@u)UWQAg@ z8GB0^4Cr)2u607}Z<270*+JM25HbMx)(zo^ki2Vp{+G&1J0Us%&tBxz3V_Dba^jDk z@tqHfZk)RZsJ-+v3kfcxGKbdtDBv~+)Iz#uQpbs2GIP$uR383GXF&03V}95`D3f9` z!ti_R9)cd^;?-C68)Vhb0GzudJJ?MC7`_ZJ>@djv4grVxqM0!`Qe@7t9wQ@kF-f)X z$aq8TZsKW-G2eJ5Ui~61;$|3dgh+hcDd1GBHW*6DXI?V<)?1eb%?a)DN<59erkJ@$ z6Y48R6j(|-;o+PpHx zZa)mzs~2g=iLG;~?j6uposB1Y3YYVHHCEm6%k3jNhCTy}?zRE_o16$mk#t`V;4@k{ z_51htUfqNV=)<^hk2~($+5x>t1UoF!4``Z$+`4AxnGZk6yn^G0AkJ2_AmFj2e!FqF z0OsxslqW0;MZsk9>p|fulQj_yZ%GbkyO1$?lF7cHj3+ zLmdV)ntZ@vjy?ur{4-K!xHl*?HZ-MDo2vJc01t5F1(@}h7+JKBhI<|ZO2rV&Pbp#9 z*<=4!mBh`V0ZMkTI!&-RD+K8%z$mf?nM|{5B9pDU(K!&~ByOcpgCWk(8^Ge%GzSQF z3G3tw`)d*|tY~Ajcdcsz?6&;=)-?zaiKk}4WWTKmy!yntIcr^G2(kND?|2ljmeK^g zC1^4NlOx7hez1#dtJ_Z3l8beXHtAD;L=GFCclg5ndjjAw>;aEP0 zvaNKCgWe@RQ6CD0QirNcFOp=+=butXAY?-7=VW=Vllnu>%^*|;fvf~sAFVLT<4owE z!r~Pt-YpE~4OmPi4px7;Fi4#+a8|8Zn60KaFSZPl-DtNj;WF?(Wb{-m=4ad7L9MO_ zj?ziz98$)8_c8l@hOkCK!Xte7q`sgPi(XW@;zia}P|?z*V`!`vDV5Zz$K&NF_la($WZf&^K<8?-K)^?Y zxwTI32R{A8n$oly0(P^mhJatuWzT7_YqI8{AlTG(LJxcnyZ%^f1fGC%zdbC-3L1G=;g8;Qbrt^We=6^$xGB7_+ETCq@%h!w z?L()j8eypiw}S|VcqJme^&fkGU*)$P@h=*?+(EEPJ#v!K;*N{7DROfqjh1nqNJQ)L zTK(AV88<-i6bjvX?(YcwuswdH0BlnF_<9s{^KWMj2r#Jg0|%64d3FSOCo2gUv@f{$ z9Bdh>l=a+u_04Jox}4{01l~GPf0bh}L|BW|uG8MuDomWY^W$0Ecl2yrL^hPRNaKW5h^nY&}LN5c%-3e4`WTSE*zJ1#dF&`Ha<=P-9cycTwMAyq0> zY5IQ%Jh-YCyV!~|v6JETw2U(tCn>eI2EaLJXnh8YuCf$Vvjzur5%2!yvUK7Z;If~k z8RMbh11}jKaj>p2TIh}4XL0t%Lze;qfF;4U`<100+B@%9v`5}HT1jL| zQ>)L-5ap=p)STrL=wH^F&l`-CEeK>%8tE;!aEeVW7ufJiJ|53c-ZtyXuyKKW4EVbD zqm=e@cH<|Rc+weG?=Lrbex=UX$>c9I_c$xb=w)=QmuqO9>ZnabWK7zE_-kqTI8f5g z58yDr;L*{`5ub*#av-3t2!Uvfz%a9>hg*biISfEs_|#h70BZ-x)i&5PO*zV7)%zWd zdrm(-x@M(9)N0<^^L#ZHH(<*W?%Yua=zi+@XAttn6KD+0?& zyM1$k4uN#_WIbJ_fBYTZFHM-5`IBtxj*BRN-H(4Exovc{miZsNX+1O~YdSN|ST*g!S7X9UE;&wZKt0Hs^6u|**nqMIb^G{8{vhSaq$08>1 zcYbHNsIi`-JD?4jk3dL60$3(~f`-c3iq zCo*2w3{(gl)bHQ7k|Q*~7Saxq+J64r$s%tT1ENm)W+&Pu4dpu-T$=d7oPa18Yip}j zZc4TYO2#JI%EpvJf`eH~7x)rX=N8-TJh>cXoVs1oP$ohcEO}d+{Wm(k@ATe<&GNe% z{LJLVL#M}WAG?Sf#Oz3+T|3#RV{q8&$as|H%88pOyD+B@!)iEE%GU$d?Vs2>1lbKz zqWzDe1W)&Y6PeDE=fI(}_{6RJpWa)@#$N?HiDeCCL>U{ql^Ih{!Q1Hb0IkCHib{&J zlkV8K2!^POlI!%MgM+WLTohZnN0_B%Qik8$%*br>_mK*azmwCV8+6XlUHIkS?x)bP zH%`4S+j#5AxguYq4(%sKw-?5hjkYC6wPnZ+u?WQs;}4BbHk-ZHc44~u`YC`x`JpsQo+-7(`QOWl@|1kp$TN=tjIM>14GtlZU*L+2O zk*>C(_DbG7uNk`}c%(irYjShe*b3Xtic!-=j!IhboSSoR@tsZq`<^9O=MbbrP*$+; zFjde0czmiDnD4BZ<^D?~d+qM$7<`jEwMceW5#_nq5)x1p2}e{L#h^L&{5Q zYe*#32-*cxEvx}<_l$f{&;Db?X6ZqjsgIZdf73GC-Gm*?@}v{l7tOaX-Kx2L?2e92 zOgjhasKkl|pNP~{!$Dq*Ej}RH2b`;UoA&6L4_Kbj2ymqeY_P7R01RW{w5Rt71jzd7j}{QLMO=n6mbblB4cd(K}t7DjiiykC{O?zBnA? zba`j8*{iuLY9G`pfV zw`!_LXX~KT4+=O=QoPW*pXhQ1llVa_dBRO$hId4z=JRWv#*?vNUrOrr^Oa9#BbGzn z?%1qWd9uFo)ho@SN{z9W4HL%)?-tO?YuvMok2RhsN@;O@EEjF$8PPARhV#4X#H&qK z9BbE`eSg(1nbVk=Azq$HyqT6pq{uj9d{tLkdAXi0`NzL-%)fI+XZ*moBM+ozjg)j+ z3sK!Fq0QAH(mQY1e6qKHvqAM?8Og7)v;MUP2u4EUPnu$Pi>jEIsdIeS(W)CbcDD8j zJ^8)g)hrHey$2Q+;@-KbL;e$IHPpJ*q?I!-+z#1qXwOP!*9reRa8pK%E?Ct2g!b^9 zo2RTK2WcC#W*?_6Jyt$izC`^0 dHp9xsR{PKN6Ac>C;3G*Eoik{SJhdzL{|}<(q4xj) literal 0 HcmV?d00001 diff --git a/docs/.vitepress/dist/assets/registers-image-0058.B18gUSRJ.jpg b/docs/.vitepress/dist/assets/registers-image-0058.B18gUSRJ.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8a5547f084135ebb6e041fc2412a2fb115400ce0 GIT binary patch literal 34921 zcmeFa2|Uzm|2O^}BV!jK`xJ^u*^_NZ2$g*g6|#(dr!=BfmJmu9CHoc`OGql&vS!Ji zCA;i9<9|(c?vu_r_gS9%dG6=+{C}O-ymDs7_j`S>>$AK+@6Y?X+Wxrx3EHiyq@o1D zU@+)B_z&9dh7=%D5)uT-E>Z*nK}JSOPDxKiNkKu$Ot*)Io}C5B!Op_QwvYP=&pyt> zTx@K-#||A96cQB`Me-b%kr0+XA|fhGxCo4ljEs_kl8K6nNq9foe&N6UXZsaIOGo;#J-&IxD)V6$RZENrN*x5BOI5hluWOQtN zVs3t6acOyFb#0ySTrdd!?Xmv#WIsI@EqE>>Vq!Qkg7920B2Vyw(-QC6FGNBouZg(e zyoXcx8Y%sWu*bO-WLzRzvkVtqn#mctMF$Sd5gzU9ll`>^^Z!Rr_OA!~^|`trN;nKG z9-J0JK`ZOLPyERL!!PFGSC{;qb+c184#ci7^?v4(ZE}t!az0ld8gwKXEne2#)!x4J z*6IBbMmdAU+E2TA;ki$qH)N$`HRT^$eli^QWDnmA&j$zB817cPeX;Ta!(`&0)di9? zx*vTB+7lb=JQ)ZzEk7N6{z3P{7asAZ{%#c~8X@l5bLKhFNb&fl8fI^opPK9E3G}66 zZG7g6^w!MP_*Pg)d{LrfJ=QjLJu*oDu8qLw7bddeCt6ZH8lQ|FOf{PD+_M_94V`uJ zr|=rGI8Qxj;2IHo^**dX@rW=#oq#=eM~>U*b)~4>!@(jd6~tCyAwrWeIL4F5yxDY;zx0?;XglwY z&7Py4E6q85V=`zZf#Ux4%X$YB2hSWk{meL}SS8U$I%#)xkeU^O?OGK^wWY8)aH98; zzfROKW_=~ftVON>ImWRkfe`QiW3T!)g@S0W)TDe0$ww&82o zH}@n{^Ye@6d^cCbITb^AgXmNk^_|$Jd4l{AEie2;#(c+LLDulvsVOl;duB?+>6p&& zY0sxVKHSd1y-&>^M#IxSS>>p<7Uz`-c;8jSK02o&aVNx_-N1RpcU?b$cJb+_CPbud zm+tz6(RJ6ZKFNA1)x2(-I_F3pf3|0qG6f+Iva8M(#-JGbOP)SrVT!!Od!m@4f#!6F zS_mqO$p{)gm|yHjH`yXk`tG=$E~hhd*K}cmOud!j6AH8$Rgdv_FneQcxpmlu{jIbO z3qjYG#-~lTp>m&dF220*x-S<4jpMxco`_i*(l#rJ9s^_(4%S(CoX;OZ#dgou9` z>B|=j>&P+trRv2`gC%G7Kk+?6auv=|QBOm6rrZ0_6LSO14A0wAJFd z_VH^DqK)~5QGp&W^}~vg+7$^@-Dgg8YuxB-SGH~)dM_lLaXD=t3C9p;wiPzZ8F?wP zbZ^o&l+uWMisKWnn*V$cl0Dn2!I22uSwRLmWG}kmpl$XzpZXv+$&9s$oLoA6q zudP@9S^2XZGqUp-c99)?Uaq$dZQ6!TJ!)L12KVmIz~IG8(M8c<8}ULQ2*y=YLNGL4d(JrgPBj9PoM;=+W+gS{$v+fnA*@-==aQcWv3bc!Ki+)H884~AFTBcNA;t%{+m(#1K;{(s&)?Q zM;H2^L4Aut|1(p6HC_{DiW;qxU;bec-JRcYbU2)eLy?C4Ws9x~^IL_Y?69lT7OVHS zp-Q0)Oh;__I)z^01v#20fxOV)e8Cd08LY6d-)OBI4ZPG-5qEN)v?s{ig`}$&q2U^W zz7%s-s%e*KUSXhjwx$qwddB{mVcvbt-OMt4yl!`;Fg&%lY;N{X)hy(`#q>@#$~PJ{ z@hf#fjgn^`e$ZAELgsO%rz6hm9NOj7*&q*%9?#H_D|mMJlqFvPRKq2O%n;(nA56K( zpyosV>RJ1+IAe#=eWaD)pbGI^cr(>2Hlc~Q%X2d^&{%3RKZ!=IJmQ|Btlu66)rt4# zdJNv1Id&!s4>9*X=oOk?Sy@l?!65hqwLBx&fBjN4mnR7vr zL(PBZnokqOu$R5sJAB;Km@c?FUA&07PWtppXeG314Oa@}O(6PPuZFf9mN&{}rHYj8 z%k!$~K6L44X+G;$ary~MD{n)b$sp;PYGcMoaE(Seu8s_CvF*=~tR^Y2=A?)Dqqwv~ z6d~Eab-(Z9yVuf&zWp?cF+}R&zDu7}n6&B^dq_7c63FJI@cEc{G;)J)0X6?CR7k6I zyt-alk6rHl6vFRiiKq=Y?s1O|KeKgh4f_iI_{y67qFCI(%-UYj#`VR!AS=-~PSsUv zoh?ZfhkZ&aN8Q)ihG^KlJWm6NWKh0HD@W-oHqCnV;l6;_N1n_#%BfR*J0|2)l+zAH z+Rt#JjiV%V?tMPD4L#dp9j~a;;5TSjN^`cma9Ksu;XovHos++k;|ZO)prHsq8Ed%C z`6i!^Ll-U_nPj-xHP|pB#yLMQ7tLXa^cp_p8F}7GuFBz-!MNuey!m?Q5aF3v5~%C~ zh4~2&2{KpzFZe6+EB>1K2ES5aSH!>qWJiDnaN35(D2zI{A(_djrm3UIH|yxCjJ_vg znUq zyo&McID(q^yJLGZ!Up4=__0^E@JfzYzRPYpI{HZBd2^m}7n|sH->yEJ z3%JYeN8MgIoN`I2aSgjZS;02!a`}@VbqZ%tU=_Z$Y)9R6H-)rp+B+t5zeM(*@! zKXGB+>P*bk51y^O!>1Fdg8npi!Og!7W=EXC{J%e#(5!>r6`2x>pX1By(FY&9da|{D zICXMzcUScsEckX=+>7=Z9Ax1YjaSUl$CrKv)yx^RM<$jIRPTl9zybg$Bg?wb;)hhE z*MR-Q7aVh4PqA<_sAC&i8nxes9@m4)`ZYgiH(m+74XrN}fV++)P$_Q&FN)nsGLg3$ zuopKRp-t($2<1+AP(ldpDarN$D2U@`p4&7>|C!z9@8b>boeY2PDV)mmYKUA=%3NmN z8m0^Qxa(Aw_FF4Z?NFx=&rrk^Ut3minZIy%)lCP(n!^pghN0YBXG{`P6A+q;^pF1$y1T@CI_&Xro0+{sVKq7b$KEi|0;Qe^p%7=NLU^*s&Pg}!H|HdOx zqI1@mr!bQZqubDlo4Bgp%)2|@*oJP+f-3uGo5{PP2H-+p27n7L04^NF-BN{o{}-q_ z$|}y{(bmlTZHT_lw_v&Jql%T|^M*)m4-UH_p7_Lt?2t#-VxXFB=z8=?5ONx@+t9T` zcu~x2wI`S+EA?&Y)pY=L+FvCS`3YkN4m6|2L{he)PbV>~4rZD@8s>K*PG z?<+8`t152l>y+bw;@OQIQr_f#!Lvo;21p`O^|>rNazS1=51!6s4?aK=gD2)$ZQh2+ zj(~ZWk3(%kM0+;T#za9hM$m|;jOVGsS^Oi)uB`hm2QpR17E6fT3;?KQ2guV{D6$UC3g}aSgaxNJN;P?-XID}P7imaL3-tw*Ll*%K)P)pSdBAgj0m@Zr-vr~1WYisMW^*OTDRXp6T6;q zK7Qw9-hS*2~(|27+l*n)J&_@1JK(C07E(=8}YYxLFi^B>ja{Ei^Z1nO2be}OMaXV2PQy2OPgNXq~S>!wwSfQ_rUw@?h-6Q0dZ`Z;$WIvR}#Z_PU|6c=*qY04EXn05sXV`bUJyT|hs9E3I+V8|Uvj9i z71%USA_ml*qU48omCcfVM^czU74pYHK1@-eeIzs`qm6 zVo_KGm|8BGTU*{>I>$8Hi!u#A-^J6R85gvPT0ZS5!uTL(voxI%o6Dg&D>tA^J1OU9 z;|4D|qj+Z9(;0QRyHp`c)Qh%Ep4)!JsVd_)>0vVe3y}3Uo$38!Ha0X0a!h#EZ79r2 z1+1V%`6A3{Ya1f3p6%bfE2+Na4XieSyklln1D^UPN()DyY5?lFz=U-JXOhO{^yP^; zu8~^zFD-+*iqi<{0N1T-8(jF}*6k6c6Ji{tKcD1v7e*f(l!iz}G*&dd&o;fCVlJz-wju(PM zxoLtj*@i-m9oM&jn>XJzvRH_n1))QD)uV#F^US9s-4E`ry&LiqzIyd6g!Fr=PHn(d za;Qwtqb|%>qQ{9uy|LyMT=sRroDwgbysPc-6?rzHGj7c_4pVhv@56&`$&vO|v8${0 zFhuig28#nO7HUVi6!(g#`mdZ-yK|{r_>@7e@meeL!6NJx@`jsoO3QOm+HHvL5oQ&MziCax zFgxupmG|hCNuki*h2Sd4VskSVLcva5Iey;4P{hkZmoL*XrJC4ZPHN-RRfU$X&+(`= z|H0uY?s(>$w_($KMtBdTm;0`2)a~pbx6FDk%-iH4JPbsfzz^&oN10L_jLU5m7OQ*_ zdEuUXB#c;R8~PXn4Df+HHf8-fu!Bn_+YkpIYJ3FPlJWtYYn6}&{!I&JjMWNIu6s5Q zQ3oW(V&3M}gD(wIA72h_H1Jq5{Hym1?Xb!_r0 z1w!w^Q-J5ZXOCqIU33Ev8gRDIzr&!FtH57E+d|pSn%>P(wKKEi1gg-TW_^@q-WF=h zwD*x1!Qnzq>IY{(p$tjzKjyZtz1|XyoyY_*Z2ssLxgT;X;Hec}-ou+6-|&04t(RoH z_r~VK;l}n})a&Ov9k^1N2bFE58uEHIFQGF@|W7} zLb}A@#MVSaTW@Jh*T>n)x~fOIXO2V(l}KJLn%n();Zy~e;^8SD==kw;mXliCdwLp@ z4wl@1U+u*w$be|&%=TT7Bg?r`ASf%$_@$exyFq^43Uvcbo|f8mx0~XwN%!eg9l?nd zHsq^MtwxsJCY^Tm{zxqtR6Ak5AD+Tes`IeZNs zfN%R(@bD8mwT2PMwd5$#QOU7tg>=8y|0EIqrPno4%C>e`i z$_2#89MqXu`smp{uVnKvIz<=E0kKRe9qkhluV^DLo=vPd>tm zuuFHE&-n~H`7$9d%83(Hf0c72tZ!cUW9Xkrt9@w@zqV9ERG_R{RgCJA4AQF>akS^G zJ3e*J<<1g<(pT^$E}KU54-u%a*vuLUsL0Gb%GYHj9TGfjU!0B$`f_XTPUC`Edt5ev zMdGOSjc*}giC@3ux%>4SaZD;?GVruruG3)wQ)eKXW}T@oE%Tk1G~~H&DZJsLPWP=C z%+?^H4KozCy81l5Xe^6Od1ANF7MpHK-GiyDJ{#c^i<&hTeR$bxBp@A0ljmKXSJ$o; zJ?t{PJzf^#ya*?k@k^lMtLbn#Jl)Ss+bj3>vUecarU>>mu8c3Px74#B!dL}+M2GjI zgalVAK|!f^>7nc4W~S_CN6)`Ljevz(!8fv6eOx31jNG7$d16f@v1yxH>g2pD!bd@_ zpUtvc%ydEJi|N>nwAbIIlN9~<4w0&1ER(0~E(*(+{4vS=ul}sXaTD-^05%VluQ9q| z*B3y1kz>dK<`_y~44;E2*%52D4rN^h2KlE9C&@}?uo?b@xtLR7Icv`{9^!hLGhj!v^iB$p*76ScY-=fT1im05|5KEtzv1vq+La zb*&|}r&HORqy1u%fv{w(u;AFM^=(M-)Nzs=cqO|vob`R1J%#dtbknL$;nxFf+fZrn zjm9De-(3o5OzK2|_zGitjSbaX^u8#4>Q{oq_L>!wJ)w`YUQtVkMGm}DzabhMPjz2< z{ffVzfKjKweMN?cg>(%KE)7-1vgKRn1tA8XK+{$z^2A6jf2H0fw=goH8diIE*{ZdG ziZ6WIkgbp$(`T`wtGZ~Z%BdE{8@V%nudq&tmJCDLq)eOT4ttk^s1?$@+n>(W;QaRO zbwHRp6)$oY7MUekdf9k-X{#XcR|Y`3W%Lv1>aMh>t7O?7D1qpYh@DqC&K;keqopZF z@dr%<5){aLNJ0q#Ap7d1KF(rt8=9vH#lM`!EM9TX>hcqDu!~DZKQgQ@tARW77jwfm zCc|QV{AtcQS85fPwF`U^u#cx8LEky8!W%hDs(0mn_t>q~7aa-)>yJS>7=J6i+zzuv zD+bB-t4B;zIC~#2igSHVkteZG(N!$H5q_48xuVi`@E*C**T5}uWGeh~Sb!S+cwEFu zIm;!s;oZKZT-SQY8;VNWrjl`m?$2LroQyy1pr+tYi#;s(<_SmKmXsSavqWZ@HvWXq zceC-^-8rGJyd^xn|5mBqwE$%1tys(p`i=J3-Bpfsm2`YciR9^mP?~kZuD_$O__Oam zm7x;YKV}U_?r2;9d*t|SodLQ3NkKz3W5`mzdEL!%eFL+_6NTGoSIQw2=&bmcpoOpU zIe{t$Bsx~Zy_k7n158I;BmM>zx?QngpKy1@jukBz~TT{tC{23yvtJc4M&QhJvaGz-eTtuqrshy;{94- zc>pYpD?);cnOG{!x2SgCY@IaAye03eTA>|aL{m-77^k1O_4jJ(!nmO<%P-{@FOXgs zRV7)h0#QvC=m8P9UQjJJ{{;Deh{mvBFdNmfza*#jXB*|gJ8d^pc4kP90@PxdHPW$f zwV1j9dS?Xvb%u_${Pe{TTv!IUgR&BcBB0m-;#v5mztOGvOKKaC8S-yEPN2m6HWyfU z{nQTQg|+?;ck&~jaty#g;JmcoaUfXeohI~c?j2Y46stCaSuk5Z=P+YV?d7X_>?>XS z+wHO+KaUxwBYWaZp~S2vYT>(VDyuXu$9nnYeTT**3lOFHLf4{~kQ-|t(^T2C`#dr| z*J!uwDIRh1&VIufkw}+UyEPxUD^1F=EP7;aomjM4zBKS8$}EmnOWNU*2Wf)CKKBc) zf!Si{{&i@=Y-Ju;aYz%9?G;;}V_R2IU(;E=YhIlvCT%<^KcmE=FfPgS;Q$xOq20cn zOiRvPFZQ?lvHw9BgG&)AKlL9fzxJ_t-`Irwb=QSF>#RiOa{;(WIWDe%dO%72 zbzdm7t?2+1&aYwJ7zZIz*Y(Z=bw`%z4Qk__}X~$SwKaCzesT0cjFwUQs^)|bKJEz}~ zg|Mn^$SBVpwMI4;fRFDF-MAot?D7N(rhC8z3TNyAqdBb;qgFSqpnmDYk*p^KBZxY6 zsv__tey@33l`S+*K*0aW*C84OHNfUVRX-Cyddfd@yMJhYztF?*%RdF_tgB0Z5JmWC z#E4&WPH^wLS5nM1E(f}{q?vae*O)<6n`{8Jzd{TE-9I<%IMFW&+tww(*It-we$+W%g+G_+na+A4Z$h*0;wU78Cc9Kn57jo zfg{LrXp7hxs0(fXwEfHkz{qC65D2^_W?M*hpoECS{CJ-d4fq(a0>|0F>H?5KV!-}| z(&_TW;~8eFiWbJ0>Us?DE|30owpJM6;-mpBy{{Tf>lfe@s$7I68KhYw0bHjU_>Lw! zWEXN@i~4^44sz*-O!JT5|F2+wvUB)Et6lxK?V<(`xQjpk6rW!4q(ZxujdA#LhrA(6 z)fc0N4IJ*(5zI#?4Lsb*96t^5d~|0uTU~6W1o=)nyW=V%!TIKrUS1&Vs1G5nf*#bTyDSE%i};r(h|wh3TvD|=(O5j7qWRx$8*!J-YN0RshoiP zVN~dPd`#ToJkyZQCyw*odu{ z+f+n{+=uf24Vo|qzWZwtKmbyPl0Ug*0z%It>GD>b&2j*1{YLc=88ejYNh%bM&|^Pi z-L6*-p3nGB`RGj$+Gn~EKp<)jvv)^J-6_S2)V`<^R22v5_e%V!6=sel_Cf$hZsmN; z0xmqURhfhvHZ^%m+ekZVcbn-dE=H8%)QF9J@{7VqKdl<4(S3AsZ|DRmID~rEn@Fl< zmm+gQSEH3*p~edc6o&d##*-p^S1b8ClGhyU=snfN5EQUiK&FY?(t*cQA;kNH2mU^^ zwp4fWD4SGv^64*u{nYx%zT;ixvz&aNBWwE%vPEOEty$SBRycOlX(OO0I>Wh8?|A}Dn<2C*(frFHM z;j>$bG=u($u2vp8f>dQF@6vui6- zSAv=2O%X|YL87z$e81s)p>0UOUNad0Xus4x*#}j{2~+_q-j%u~MQT!>F{h_ZiBju? zq#=aMS%_mPj^jnN$|F{_j1=x{>6?ngY`cx*63+Y6Xjh${(^jyNex6morocyc@usk< z^2gX%MhEr!Ykp3MbABk*!YB%ls8OVCTxm^iXDF-u7kVhMgL%g2{Kcri-(>>{o1TAS z1mSvxpm%!(7$xVsT#!8oQYk7qR<0y^>iZf*dZxK>^%SXBB`G(etfPx9j#I3D${8}s zzeNu-?efabN-VljsLYAbo}l4OA*MB`R*GRG>6l&EMM!B|qjD3!DQQ?`?cYenU`=y@xJxuiOXBfD%LITx2QlpOU%$~Os z%xnzjF9%elr6y1Y(wFhzz~V7PVs5C0^S&zvfBH zTjPe<@AsuHqRQ#iWME66b@qP4$L`_BnDw;pDdV6siVRxw6H*eV!y|$QbiJ({Y7>iP z@57X}kv1iH&rB7n4@R>m2Ho5;JHJA_u2+S-WMEXCcgEd)8$xOixdeo<(7f0N|o}Xp+7#Cdntg=5k z?7?%PCGL6OGD%7u4&=G<=QQiSFF=)ihu?sb^3PkQf59+8rAK5QSo26t)>Yly{Xph> zHQ^imd$4*6o2_ZGxEvKZwxPPLgK72`JB+-96%O}VS881SO6MUmi&;IzFd_1s?8+T4xi>`bXQG{&ZW^e}M@4dXNK+Dz6ML zob@MTGUPx4NN$H+S^>_LM5kBo^KZ$gzk#8?PDH}ulYkaKp;8n``JJfAFOvXea}!WD zpd{BwY5>gkG+Wm^fL{3~_x&-^{q*zCCC2a7vA^sp`l^P_{9`q2RqvnP?jHgB|4MHD zDquh)(R18~1^+y&nV~d?6a0$KuTRH1i2PinjJEkNV2@@)C`V#ZHK=Jfqr0^;w~^8^k>=Iic8 z$DggoVrOa^`%~I+XR(mgl?S5C8UpY<-*)pa zgP%vYuIAux-wgiYeZQ~W?XOodS&=j;_6(G zM+OO%+n#M`4?oQ&41iM&bdpDbHr)}PGc_eV$WSSX}L zJ18HxE`Y)ZcvltL95qT0*3M4|zjM)>m(Muj{l$^15P|tE5WzctoyY&k#ZW9FGjePr zi3dwAB$@QdEMxZ--ucXXIL^~v%NZ*%lwwo@=yC>k$MwZW1o@5^&{3Hf<4Z??QBoZR z{Z!qy^2r9rEvSj4=%1tm5`o2zM4f`?dEul+29}XeWA^M@qdX76kFGRcZC!B8frn1Z zpyIco^BBC0;;U^aFhw0C6RB8Czms0J?1k}hu%%+>ELv|I1Z^03R+>!+ET%DYBA8TI z=#Ci--QkD0+|}m)8`n=Z`I{4;D(vthbm8JY;IGYN<|a%y1}~S;2oGI|w2Wc2ZcUXs zU{OsRE>b9S#@44@|5oFs+V^~mJOCXm2ViUUJEsWv3e>bY zQrInWmJU4fb>5Mm-|-W2OfJK>{_k9`ZAc;!YXnv8SVrX?G4bQ3^=SM5Q7`$6E;pAb zy9f&xSo(w-K9B-hH3?U+n?BLXMe$$Wyf6A_X?W>IkgX1Tc%B833UhaSGEN4~|7H|_ z;9G$g8va9I`#a4G8L)strDE7s#eCo?V@`l*hm1N#+4*BXn71!Z^R-tS8lXA{P8Dw9 zIy~AaV!f5w`38zQNP$t=-@+q+i4gXa>zz#(N*ya-TJB#zXtb-LBy^1gzsB17W-+`u8H2Q% zf45#jUBfjHopcc`8C+jhUlxKTZ}fFyzIUq9JXk-Ym~ANbynEIYSaoAxwz+PMz|nV% zKEZWs9(i53+^_~(q{l!+w=`Y{nwgd{Q!BpaetVj`AYPul-kSYNfy^=fJrzp~E#Y!p z>>2mxc1PE9F_9}$1+Lh>=tlTqd}^%jPF5}_c+Y^1+=9ap}-X|}}^NJv}X zBq|YgYCuZ(%z?NvUn zn^^{C#LS)9H0zgfJ-Me$l)qdYt5ot@W+;(k!{??>XxK6`8hPXNi|Ob(NZ-q9(6Ac# zw2F6SKekU-NJj7OzEw=!q;6+y&M=%tRc+_mLI2`?8ckrna+Gc`u_yE4kMPfCMmfHo zj_GJ0ldRYp=Vfme@8O`Ew0=o)+|J>btqNQGB-eS_cOEN2DWGgtkXN$7so?DCMKa>w zB{OyRW8ps7lFazJ1I{r$KTIjS!j)#dn#UxZ=P_5)dVtQA;{j>t?z!dAg1EO!o%wc~ zLa|ysH$dxazCMwU%}`}zD7*fR1BR_>7trZ~LMMxGL`nZTENSlOW?&akw$=l8WKjp3 zJu-g$GwpgvBZ^o8T)TRgX+GoW5-p4Thj|F`Q9v_3bP{M}(m{Xr<*aDxz!;0=XiLB|(+*aSz_DR|D z0floyOw}2e*Hy1)M@AU2Q|V!^f|z@k{pfjz=jFyLAvbYPjs7lWSGYrnF7>Tsc?O5v zQYotgH?LXROS}zx`?zAN_tYl81^EsNR8TDLEc)`@%ly2=D;=<)6H1(-x)WcNC5D|O z+tjDo%~VSYS>Aet+DrQ%h-s2sbMSG{;|ch{m2@!Uu#e~6!#Q6p=BF>=E@OmNPx@Be zRJRKX@#T}bif>r5%da!OJz7*$6zu;1PXF zLX31O{S?sm9BkNeRR&B*+l9QM+-gIM0)4%pJ;9X*u_19XwjDtRnAbwbB({dZZscyo zbUasE=5X^Dx36*Wh1o%-M5p1XnQO7InwrG~>W9o0uxMi1d&)kTEdBgjQ_J@%W7n+H zunCn0J2(#5qf}eQF8hdcz13Xuuf7<>`%#Z}J>NGcQxwl#{H#284||%xd!`dr3EX@9 zedo^1K~!1R93E8VU2L(73*uL>A+CYg%6R=v&K0~z%S-)Qnw329p?--3s)htTt6QM5 zsUQFjusF(P#<+!DPdQC3NFUcMRfz>8oyj;5JJ?cNGq_>d&=Ee@d}pEmIMG3P?20I? z)qHa#2ou|m>cTayBY-CFTker<%f>WGR)Or%|MftUcc=#Is8vQe#?c4(?($1R3_%zwyD2!tX7)tgdCt+9?iSw1vP7q9E^r{}A({+Y-tFvHc}(HpMdN~FHWckHu9 zt@8v8NtUJ@y}err1(8uhZCCH3w7>%=F#GjWE7?!Jn;cOPr>$aA#(1xI>2Pvk)%W=csxJ_KjJz5UA?8@BzQAJu7;e70}+_@$~iL+7d} z?0wgG`$|l~v-z?XERJuGHSHpkpkgNz<;GG*^H`KqPlXFrT@A(F(1wyIxu4osBur_VcWT)H~f_TGNkG4>*5bBc^=xN zbjcr--PGViz9%nVZD}HE-l+kC5zkgS(*|0H8+>#LNeEbFsl~pDIav^FdwAbXaj9}= zrW0A6*E9qb?OUw+{P?!Cnlv4+@^ z>BWq#@%~2f_R|>zgd+!j?-T;^4!!o3d!ok#l|@g>T0|-ws!Ek+3F%OM_Uv%GhhF%*7jb9j>(ZJzk0jgB2!T#O5hY%!2~^HXHcXeEEt%1Fj~_c^ThQU@dE}*4B${zqXS^sVh_Z=fT0kqW zG-SPn^>zSpm;yBEFLQg!_A^9}i+7w$KM>uSeReD;-YaxPA=X}?vt%$QoEI8DB}bII zXm7S*e0sw!m%?4&(o)y~IMic#1LcTDfqvI`PCHziOb!3LdyteaKBLh?1BQx zG75F%*IiyqW?y%C$%|!5eiH=!NbtS`=q@b23SasKv&f4+=i62N^BaHI1fiTZlqC4Y zH+CC&fS^ADm~sAVyN*!JS^reU{nLA~r-D8-IJs$uiB22J65!I6U*Hlri)2t*TRf6F zi|vD1pUwJxC;W)l&?UpY+|&Drn5+uf(M9Zh;sP^k8i-hQ2g`jtd-HIsCTF0cs|)u6 zqi}!42=UrYxkmO0rrSlcnWv5nh3`o}8TQEY9_h8J+9baVLBsBorjOz!wT8y!*aYe$ z3qrH9aFL1mn&aA%uh|QQ4y(ZOPH{(k=m@Ny&9At8$`WQ8?aBneibyzIlm3Onngfq>Hoyoq@iDxUm4EIUS^?z$C`oJ#k6z=wUh%=YGw zDztm*C~tf!^BCT3t~KPL%wFbmSwAUeLmy)vTKQg1|D-QPE zqsiWz+R44ob38rt?9+y8U-7j5>Ef0v0=x2a_QrMv=9PM%FS%1u0S_BDj`0Xh!#Knl z>&J8^ox1WMyxYm77$xE`Q!^C*vK1C~^+?XkeQ)Ty&}x)I{3pt7x^^RwFCpX`$1CI! zC)>=MH~AJswN&R#OPv*wePNwN5dO->Rfe*yXlHONj@5GZ*p1@n8?wTlU;0aug+kxu z>A%*$laN)|#c5paP~S=|T+J#C55qR$MPar`y2j-@)9&m-Q`w1y=-WdJk?o4i_c7bD_@rL-+u+&NcbvU9}})!Bo>lo?X(0|iyM z3y+U3rAz7sV=`@6BM0IJQf+ zs8OuJOG%|`(jahT;xd+VI#KE2%5D%7!320d(Esm2vL3-OzVC z6RS_V(obN!-H+2sXIGD3y0D4_q<4j-A=*>&jbmD8y@-{a^ZTHxa)@m)gCz{Sy$ziM zMU=eQJ1;(FV#cFDro2@i#gLme6N;I`P#?oQ?*J8Q9=oG@alzh0?o5UK&)-MzQut7P z%%UzUUtby(pD&fAy?!Gow@v)sTt*P144g=0rlYSQ`-po+Bb}K|fswz_Q%&W4mu{R( z+vg-Q8L!eHrGYRatCB$+&*Yv{y1OqjYR$wv@*=m=u!Ef+f^8HLRw@5vVsA|UjV=Yr z3NMBI5B8lWMO}708(Gs`dH5>%vl!!0;XbvGZ#Aajb#Ek4f}iueN0T6*z{1%@(T5aK z?ELpjird9Ze3svwZRL6vSik4!aAq)xhPou z@fFo{&}cx-@}Qs8C&ZBMk(sHfd#rU2?B6)C=<6cJBMc;W;ghy-sWvQ;O(o$yTu&$I z9$TKLCJ&JcZGSp)14O&=7dPl9($~e6gQxa?+=FDhxBv9Cn!XV z_2UPRitqEwdx7y196|;g+#I1OdLQ+?QrKT7-+{@hsCwpHZK@gbxZ1Elv59m5={v5; z`5@tJAv{BtE~bso}3q%y+HP<@ibhjhuXlvthJP{f!%~jM2yV z+3PEaBxc{vJBeI-r|ufsl6Uy1yD^GBJ2KTwV6fi7fi|2L8ReoRF(D!2;&Zu84_69y zR^IL(|5#rbgKbJoD&qdZwC2P*F#qsH3;nWAx~qZ)ze%MZ2o78no>vjum*_#)9j0?D?GogWRg zg{~e?2sGk_#ZB_d0n~S{e`)PoEvEEISLTSQn({{oY5f3yP>*LRf$FQq=LcR~D4S+e zXIZxWD;t?}?sGw+P5Pvfd)xgw>7zC-@KmmQv;KnK49$U%Jl^ZE-||!Zk< zPRrg5vN%6jWU@y$RAIKHcD9CJW>S$}b8DRak)Mpzf{~!tlTRk<=v135D|a?3XEsxx z7u5;KL3lvL(zqPE#=Appm6b!snOqZvp#`YT;MT|0i|RAIXhip{`j*hCN&H`f*95-27Cf;R7oB_T-)w*1;=11j+Bf zEz6fcT0jOQ0D-> z`d059B8ds;hC&Hf3SDu;%o1VnoZx`E!v?d`gs|I zX3PXXnPqO_UVT-RC+$7X+(s84^PGWm!SAklUrx8t=in|@D~uW#Vhp2tR=H7(gq9pM zeelN_{D#q_{6;?!BWMf^jai^zHgk3ebzFUvo#7X$=`}xG0a1wV%)={e11T>qpX7*TyVQ zhT2s%C0w&b>-6;Io4n5#d-vDyaq2@uA(X);`li-IWYg_v@BJ4~yols>uV6}laWWh;5K&Nx(BT;F4A6zR#d$##$KSG-|2m zhyisfY1xBj0k@?1q1u86r-LJVjGd)7i{7bBn^3W*!m+KJLg>`Kty~9(=_AbIQe8FA zs$T|IG^#eXZi+-TPfZE*PwBPf-p?#CguzFMV-c^gO*DDAoA3z|zulw-V; z_b0`8_Hqp+pGBw!7|fQv%t@`fvJzdeP{r|9eE#~Yni{q}@#x1@Nlew?2=}9>@$Yeh zzIpSL0wwQXM89|b5cJ7;+Q>~4oB^~mgT4WqPDOTu)V)HV}&nZw`tY><#%QTqcB?iW-4-XjPc67 zWt)cy=EVQ74EKDmtJ&CkR8DfQ>N^#p&K~I_RcRI$Ko!Ja%HMtMTwhs6pS&|iaH8VK zL^Pk-d&{lfQtt{6R5fPl8dN*Gj7znZr#_^HB*BZ`=n`r9J7{R-h^}-aE7f9Dg zFE}pyK4caD(i>}jys^xBbOfoY(H{r7)(uF}OnH9b7OB~zYDxY6SVlzKDLB)*w)yOP zJ-x!bO0l-jiv=@u^{z)&D%g0XArX`POHU`P;lWLtoM9Cy=WLNShSTpBY%QtiRIsJ= zR`Hu``W8hpX{{PxY1DX}+F5Xvkv>39cgh#hy8T8CEj(&>kF!eCwyto16If~{243OW zw!nEmcseQCE<)5e_(Rm_Up-0)GFca8%J~x0CK%VxK61vmtOovn?VWd2lgS#!Lz60q zibfGpVnHcVr7Rd&L=XfEiYCZrC2}dD7!+x`Va2r|T?5JrvLXwJAyPsVWdRof3lbo- zKrB?fY($DdO2W<;5N|+Kb~$^l_uN18os)Cso0)IsnRlM|_X?{%O{K~B_$#7eE&Xv+ z%DadvR6w_9fN=Ijv}ojm=+IavxD4^`P3?cb=6CX(c5MjTNVs5QUgWOxF@DtWNr+kFCk>sCBZOclnz-9-5zCRk%_jk^5(ql`rC zu#r7$fuL<~Nn!{%7g8$R^L^{0UpNH0T??~i#1Q>^i@er~Mj0$8zT7O`fAL{dpaiVr z2vgq9a1_SQBQ?t zzVLiohs*WOVbtmiZ}43v%L7te^JB>=u3fqnRQ7IFs)V2{2&=ARMOc=1#{WU?Z}POS z`6KS;VAOng`27F{1L382Vfsj-J~mNVDCXvyV;h&RT1+#ak1AWFWQvGG1um%EdBOs7 zFTc3!m921Km5KPQ@9p8DhjSZXJi}Ira1ctg#&l=2nhdz?1a4(XIIFC z!OOG&h@t!AVY-C?b<^5W#=Ucev*@i)PWM6cJ2fG)ako}#HxJtSj|h;!H98qDthXaq zPj*$7-qWoMwGu}{?17!&EUDdl9;#XSu_oh5So5LBJ#z4_D*0ZL$tJ9A%O~=WrcSlV zabJkbGXnq^V`US+Q3e16_ubxu}OzbsyG<|KpUxa4-b3u9F2lu60kCEEjU{x z$qjHI8eD5G!5tJ6lNjc5K`^U_(Et&iHAi6-P^L@d_$Z9v33*r*8PqHNf_q`z+X`P7aJ**v%^Bxo089v*JJ4nB#I@M$9ci=TM&LjyB8a z@^S~)P@M-2j}6ni9dx92(PrvU?^KHE+g?aV9m)9l!tGnAovktRG?c;(wbMnFgZ5lu zebWj+G-_t=JMBJvfY%?u;qqV7AAf&vfVI7Y;y^OnSw+6lO6!i<%4$0+`M^6YJ4*ZP z_GR@}n^-#dVuO@1%HPwsMBgU`Se8^Lm~X(rR@;s8BlId{jlwd)QH5prVO0=(=V+eM z$ZTq~_FZbKW2@v^ysJW1w9~n@&5NkVPGJ+;gwl>1K~oA{1T$6!sp*J+a2oynZu_DS zOp8GA4kX+|rV*Y!0&i-4)l6q8e(}8A`Nk!^gI>F{PZOJ6+z|Q)Xz?}R7IaPA!Vk7k zz^IgX3DB(&YS&|6L`B{n>=>D6!Hy9Qc8oszO2WOdd;>=I>iYhMHvjmo=aS{VkFDM$ z_PpN?%}Kun;n^)cPLE{MWoowHLS{ZhQc2~5s&5wol!L+I!5`5LI^TnH47-NfT&)Wf zP@Yv`#ZN2iyfu5@5$wF*$=%}mgf^5>;OrzR3=2dVhZY08$S_zZ%G?N)4TUd-z*|A% z=b*D=jvku?P#W)-g=D^wtSg21>5=?vlq>)0M9G5a>EI%sZ;?XsuTCCgEO!RA0Qo|Z zj_huSmY|fXmGN`+&z(VwFK-DrMqQ6Gd-z&AH~O;1OB*+EM|j>k^s_*N$=9cF+IvVr z;n1?$Os0yG%sBa>o=1LYN||D5_#97W20Qz7fe60mRdv~!gtmj=yCdTwfp5500k@{D zwImk7P4JT88q0tK>ifNO^4m@K8=jv!a7P$MFAW(laX|qdo(|H{S15qG9D_uR^}q6r(@ywS{@5NZGJkxA_8Hx8jJaf}{z_pOal}q+ zqn3bJkm)?%KFK?&zde-@2pi~fbYZ6eZ@T~;xkL?8`7o#&@1AH52}GDpR3@g?DYLzQN?54kk-^g>MfM_~-hh`7qHa{<;1?)u=6-h@jUezaY37NRpda z5d^M_OE&raiqizX=3;KeH(^<1gXYi!?hA z-t4~PmcndWeV3(j zw^AIgX&LgmyeuQ4wn`c*`!KUG#B?DFgy@>MOdP_YAifTcr7+ahT^#%yOZSLB*%ub} zToC^(^eo9+O*Qk{ajTa0%`zp|L-z#huiv>>VJM_Y7#lUWIMQm{;p*F63aG0!M;c;P z&NZd0?b>3=jxDX~)AUI`-yQSAsT=AA9(78rUArpQUQlDVY}eCYcjAzkL8X4HL79#~ z9zN78v{TpSTQ^VAesf>fE`pTLs*~$hL^HQaU6Z9n)0dmdCA*}lr*s&JZ-^nEBnC@v z$nT5!ZNw$d9JX)cBGM76H%`b=`QBr!2t9C4se3y-W!e%B)9H1o11~s;VTWP6Kdjl6 zgRd$vj<-vX_r%vD+V2Z>S6RV+N|Y?l7g#8|8xiFRL;;Vb-xY3AldmRupbtvA+5L)uE6w&}D1 zyA!u?hv)|BxHPxY>Y$z8M(HjJxmlL1rR_duDk9kCFwg#1R*cp3qwcR(sijHg1Qwk@ zxEP3NpD@iH%B7K|!HGL}&?$-9*Vj zKmiG@(&QW@=bTgb-7o0;X6E}&-MV$psq_6YQ#CaMbiePDc3Nxg=gAFaS*m>}_EAt! zP|3?(RimKTSwTUu{mq{3@Eb!@1#0-uURyaW2MP-6GUVTF7*1+>3JPsq`Kwpd@4p$} za1D(@Me&d_nr2XyaN32njHn>t`Wr@qM+~|l*hoY zE~?;n!pB|J*x-jBAspK%C`_aOZ+_*}ts;+=wW;Fb`!l^X;>&MGvnV8SF#6+3!KZEV z2Eqy#+r}Dhs(7wVd-Fkd!>$r|m#KH;7>E zk<%@1Ob8vRPvE(opGl4?-dJq!G4DCkBaWVn?6&+gQ(o#%BheSgziZ{S*fL)R zpDtZ=gzpM(%-0Y7h}2xLf4gw;HHUP+2zhX4(sGNAeu&F%xf?KrCa>*gu>I^dmP~6c;rg5Mm7DZPp)=OdF90LKRm)TsWns` zVeq004L66rM;spfu;_eu zPek#`4;%Al*Oh^AXD!5q6^ub*i!HFlDJb1>r0#%5 zMIhZ_#<5q1&s|}2JW9!Q7&C@ zcn(co!N-RPyyj@WW%%p`t85pXt*q4VuPzvZrRaJtG$N+bTPk_K!>+=YywQ|X)abAe zOTzK(A5<2ZIHET2E?lo|h^2(N+qvDU-KKa$+alR%xyL`XU(;wCvyK{Dr~!t)6}Em! zh_w>z$pPNGNF8SINCeFK$E9wM(FWXCe-{36-5fZx{MDEHzUh-@VPEO33%+p|blmTI zwn5yJJ}%vJX7@?QV0C$Ri?b-di@BZ7?a6tAuM?u2+dA?bXnFKJN~ta(hGifH=f0bk z$?rQ%P)Ijs$pquBHeg*aaqN9oV&d5BHy)Z8ySQh9uSH$$vHthxYh_Ct{b-oH8dPO{ z-RHR3D3ayim?e3z>WHvb`q5jrI9v-(dqJgB$K%mM$!}6Uo>#q8Ox{RaSSM%}sfn=4 zO?(%#Ncvd5I+iM7P~tPaV3pl^Z@4DLef*;=(WZBS1tleo;|u(bONh>2Y_sr}%muf? zU|-47Ze3t?JYSkdY(6=sYjkq5XqLcP+VY%9qG-uIPz+~Z_V^%+gI^3i|Gn$4e!v-V zX-d*6oI=;e%5;+!bDEcLRECikU%-mR5e2p}n;bZSerrGV=w>57&HM%k7Pv(#9@?gdtI-!7J@|gYt$52Il zrT@Sge;OvndnWV4wX!Fj8v24H^h9v8J^oDMmMv*hUP}dFaC*dKH#Or|t;oW#==kZb zrjl1vVVwHdoKqIXcD(Ue*c`Lf`H>e`A&S$ia2z#?UQ7tF$`P@LKX^J@z#?T4t$m}0 zsV;RK5X@rn@Vl>7psa#luXZWAxi;S9KorZFs}}Ls*%&vGpr3vauVC8SpvA)Gcia{H zg;E6H02pfIB^y012EVFK%Zwi-{fi!>=|ihoN%u?kvWu>bXXJEyt-&#%t1~0+=5hB) zMZCbxRo9;ncAHC6QE**BcA5{>RK2IpA-XD>|KWN+yTo2{fl<{<8}wRx4>|~Zdh|0h zviiz*e&Tp;-*XleX?;k72^q}e1rx9Qf&#=o+Jqw3)>(q1)#>gz!2Ue)V5X?Vs_!+v zwQ?<+Om`)vn6%nPcg4DBWzg1FQ_s~HPOUaL5gRzJ(K-w9gtqRovC+|e2IXqdPl0J-;5+v;@CgFrKbBRX;r+Pk;atNPj5>J_x>g93345PI?mNMCr0z zrQ*fcVbovwg1l%$UVOx~{u4~|`f?_V#78ybnI4{lj!sToI@w9YX0S9-h-|?^h{q*` zZ4i^&l%oa|0rY@~9!dZq>(GA-vovmvUAA_CV!wecR`vud3_m=M2dcCC)%VEER6tNDseEC``rOxbUrMNl- z^G|!>d;R#et*P8$rHtSW+irt?8HP z5g*XUrSbE6Y!nTpjI@LU7f{@{((X*VY(JSuG8iX;BV1EN)tl%_ufTy>$)! zRgvP>!C&7${+-urI%ZgLySIi(5T$W22g~6{DwuROig|-yKYmnuXStU`?Dt56OIsvv z5yB|${Q9%1pKA6_@2+2eJ~hePM4FdVEc@2T{1NZY@Zn`R#o0%XxQ$M&@=`As8T+-S zKMlQNg3GUXz~Q>roA2_l)R{w6hNQp!`f<`|xFcR&#W1w#>1S^>8pG_Fy2JxdOu60$ zbHs777X~M|orufSu)KZLYqy!|4}n{;yHcunZ-vd3jYw)!o{c9AD|E`gi1;rbn&e8f z)EYdT5V!TDxwf_kqbu(%0$1NDFlMF>o;a_%gXXONeXXj)35Wmr5!_KH^B8q4qiI*g z5Sde3Z~2t%%#7pX&Wcq|#&B3@gmNi94nGrcHS^dFIZUmq)r6#)v97sHl=cPG;#mou zd$VO`694?-BY8~oZI|1zD1rFzcg)h|u3BvKRi}$;ZlXSoDu1WZV8)A^1%pz~#GeU0 zd|v6;uSGzH9#6<^cq&YF2BjRq$5xBqC5?|iYPPvVfBWlT>m4<=WVwGlR~~OESFQ3U z?0uHE6h5%p!eaMJjy^Tc(bFiw(?jgaiuKx(EU0TkSy?;eF?KNbmmKsj=ME>l`{xVf zF;rD6PwMN0W3s|{^hw}Mc=SG99$~`xhBa05Y{LxuG;6E||LxHhYfbxDg}_lF#n61c z3Kqgt1ik{eUKoFFVBF`ytnf3&y=t;TsIb)3)|%j%-h4g@tGSIoEv{1dzPn#Qk1Y$!v|GX+2^0eQVLF-Ab#U1 z_JEAe0;-D|8mrtMKYvEIVMU(8P;1!i#q_sx zG<2mV@0Y^|Dkg)UZ4G{dz08&TPRC-V_v?RCQC&!1I(m#-_xNmonn5Xd?$3wQ#oxlX zBB7u<>C$n*r1}jcF%ygJHdsDm!u&{mIQQ)~ay1l4v?D=NHDhUeuinheCaYvfM6qbnipWG}{o{kIe*5XtN8U$HW)Q`p zkTaROvr;2X^Ndl@xjX!T*A z>8*2ncF|k%+Vz$}T=OWH$fj(arPN<8fz-@BWo}~%jb5Ja(O)5GO6}wl7j__?ut++1 zs@G@l;gaT@)_ajL(*Bc>7;Q-K(ph^!Q4FbXcHFgR+DPO*RtWW#boKc)oYXn(aW-Z& z*J>N#j?pU)tk>#@UrM*b&L0n8P+Z|0nsl`96tq8E8#(x;IsP&rZ%iv2NsVv6>ZG~xxY z{(F{ONh?GCa(PhO`HHj}g(y)A55I-vrN-=znIhNXq2S_Uo>s=ZeAh8Ok}Wk08LPE8 z7?kmQ!k4M-YZYIQddlGAvocLB#I|ZE$``3anrbHBUmZ;Et%;G|Dp*`U_4v_4Ue8!! zLR8ISM|lm2bmLA6W8Ek7kh-8_)I?%U3mHq=oi7iyGVX~%mE9wLsogRIPzBGrczMz= zn-%V>-uBt%SUAns{BX07@K_A5?ufqkmc#F{aFzys9L?^zy?=M%e$vNPey!7WvB^i( z24FWX*!Nc=sm&rr8^8Zcs8tQ(e!X0E<&VGZ5k02Srq4)^b5_+JA2{ng;O)}h&CFyf3Eo&c zGwZzyw&*`ak@m!;JE@R%KD*R)9}t7WmBGl;qk66b7$ha1$Z9T{DW`Y0ZVcgAYB3C| zV02GPOyu;b0wc13(g>;jo7YICC&gEX<6vyqQVA(ZPblhKS`7T#h6ME?cTLA~#W&yO z*|uU)+pMuGj!Ml~0~|Fbf+H@N12(Zu8$lu<+m<%n|G*aOxhMpg9H1@j0!_E#obN_M z{O3%`z59qtu*Eq1wj0(D%G>A1FWl|OwSzLR2Fg!A>XX7?0^&nel+B_rj?y2cVoYws zF<7Vu{Hf@VF^(ljY>cEEe^Wr`2kQnD?(9#M(bu8d|EI}cKlTL9i0_q1A&0>#b}U0l zKj0A3H#z_hk*4#U2~Y9*Y%m8L;ZEC) zHX%dgm_d0_Fh8`<*z{w#_j=Z_1?MA{-vKTCrNmWkR9%>Me%7(`x2Bi-f{+6F=KJfe z;~y&q0pFqjjHK%sKLh~+P$wpETeztWJYkPs9?u|lfxiV?(v(XOWBN2c0gi+`hb4P} ziTR5ZgH7q(@9{k#py+n@M*crt9faC&U3>XhRAbUv$&`LhH8w8%hIZoLGT~y|o{}zF zC_6$_#9g6WK_wsHYY^wz7GYZ4tf3*l>9_0es0|E+>qncS1QNdoZxo+G)y1}@BH_<# zFv3Ln6>ks3q7jGMhksBOEoP-A7p;yWMNM3Sj{joQfAcJq=Ix^{7Z%o?Ey#+Rjv=`g zi5I|N!jiMVho_{ZkP;fT08T>ifF>;y1Z-2z-%*&=s3=L@Hk*aPglq=a21h(n)Inv| zeMY)1mSg!z4Wne3YTl8i*wWb>*ruK-*6;5y|xjKSB zp`bvdQ+V%M_Kp5X>--TY38F9C^+K3&g7Y2aP%RWg0_ev}+Jy~OF7Qe_;{EeFNBnwi zke6epQ#0GlO)J1#Dq8Y1Y`_gI8*@60ro;&frHTM*!9U3m72nn{KpYBV@IV= z*+l@-6+o>W9JHTa&=Sh(kTXjA9#o``C-6HAem4vfbB3^i91-cP(&D976D)k*27)$J z=_pN2&5b|D(!^Jpb9dLAp7H&fU9<%yf9xlDx%G!|tgU;O6HOJIAqI_Sw_EqzpI=Bt zZvfg+44?y1g1jEgfrK#|k3M=&68GH!hM(Sdr*ZZI8gEG_ir^ziL~QwJ$Wu69XWO3( zP=t!`NIXPfyKh`M>wpukL#abO>wXn&Fyscd<0t33K2sq91`alKJJ;6Wvabm&3>&+TLd|);r`6Zw$PzVTI*uXf_RqK*FJ?VGYxDJD zGkedf!6R|c)%kMGlRdSX=OAww+I?{YeXXzJ;>ah+IuI}pK{EBexS{Q*;GiUJ{P7h4 zqNM!Bjc0r`yXQ3*mY7I>>JbVX0!b5(is#?)Y`_X6d$L)cV+1S)YG@y;CRh>+rrkl4 zwX#f|03w$h6FgG9)GR%an%n!dr_`Ux;rj*7bMB8r4HQ=s0SN7YkUsRrVRY*00pog! zJTiLEezO(u*{_71Mgg=4PN`C?8Fsj&lzfVyb3tjT5y_SWCm(5{%g*rVi>ah7(U|U9 zr+da!fBKMKx);Dw{#PzTJ^`S_gm}0g?IJwGw%c>*q|pwV4`8Ccw0if)l5=+HHhN#e z<%f&ho@ey_63lTESM0a3^yRC+NmkKBc6)Z4d7|y~eK;<=SFB=lAhfJc`%CQKJ(z|X zC7T3D>+bJ<4v@O>;P(j5e1F@^#gO3?tv06m#gRr5uzG0C&=8K&48`UaHjDYZ$oF9f z#*$yXU*qU+`NAIi*i$dvE&BG9>WOKSi(c15^s8QM6(@AM~psu+RpTpTOb(0okvti-QMzT+;nd6 zhe+>Z)@wnG8<5k^7taGs9(~cQEZ1pTs{yLhXGt3lCKLmZl+905UI$YFlcaP5vvo?TCgFA{at_ZmT-eEu$87bq1i zlc=MMUoLez-W)e}&b+mW_3Uf!g_LX2qkamKsYj3}W!5XZ&wQojT>qM;fh8Ql)m&rV-yrBP%B80T!mS;@t_9h!eumGa#%>j%^1GEdW=ik5%M0Z{E_#7 zoKCIjufm>9W;N>>Ry_9v2>zRX`_s(TE4v>d9g#xV&2su2LAT7fr5YT_pA;i|Z z+ra;1`7?T#k$2&X@dP9_Ug}PK17Pff-Hi7q^M?GU%*Ywv!rnI~@VVhHDrr4g)(C|1 z=oT)0$N>Y!RvAdgUmnE7bgv(<yW{ffq%UGQ+`{CpecLbzu2+)3G2z(xQgTy*31HKduG)KnQV>&*B;`uto09qdEW?h@Y1&uMbcmkky z>w}kyfoPI0nGqika_8PBFW|8T#;!WiZELZBWAK1gj|f=UTy@}UhBjTC`sG!`%_Fpz zv>TJ4WlJ!Nv{dCASPY=~=t(Dwcz^-?w!Sjwp2GB8KQUSI^1XL@R=+pn_1Q`wBD0{* zre3Lpic%bLTTTtCkijf@qZe&gW(OcQq0(FiU|Gmxc{)j%r^`MXD$vB#qSei~HlC3R zJO+6F9>5jIK#0*6Q5rPU^T}i7v_z!*ZS5;gx-dWh12(n$%I=d54yw5mw@||0mH@ zW8Uz@sn;SdlL+ZL4P_?y#~!90G!#)YP{Q(jGO~h#RtzhKq@tQf&b?E3dl5W_(vj0X z!3d-GB?zgDSw`o{eLuzYyjBK)dm9H@PxPnvj;33NR5-qr18ve9NX2-;u-s$4kBMn| z&&eWV7BS!!LTEfc48_6$nRhHSOas96jf{8F5|FnK-s)s)AO2xqZt9{<{$#f2PbVY&5l&as2)wAQ|85saWs6^7as{-n*lx8PvUCEfg_NbfFaE z6qZ^d=h-~9S-q2ufxR3^LPHEL@q$CKGDP4B;b@a605ovo7T!SCJP>-b8rX_Rn1!tW?9HD@c zU$3N_)@C!+6B@aT&f_Ihx0R!Z>OW@NVuSq6#u=q2i z$KvRwE03!F_6Z7{kJTfTi8cp3#|@dr$cbrc#^%N;WPlx3ke5QzH;pB)V5QSCX{x^{ zoEk8PFDoOk4tUF^Jl5WLyW=V# zmm1nv;t7uC#iSFbj4#yeJ|CArh!!)3l6s5!^jdEwIxs*N6!V64IttS*fzQ|XUigi0&ytu*$ah$+SA>!#i(n9Z9%#Rvwnr= zB%g<$$fPxZx1a{4RTiBo3+6y@23mcOTJ~FaaT}`^XDuhtYY7ArlQG@*ONB$@>phW| zUl~_ut56fP$WTYKt3->MH+%p#%41BYdvys-c7gE_JqGy;O{^eHjW|esYt$A+X&gTn z5IV3=rGGdss0#`s#0^7^9&}O!srq!YEw$!hd~UFQYHSGgrnUDESEx;$q5M!1Y&8Oq zgOSgu5|Fes0L5VOT}K!{#?1@;A%#_MCHuI`WOUEtZYFd=27u6{2L;pw3!^ww0NUE_25>>tPM!{eYGVw6PYlHlaPk?J2iC^PlF>{nEfwO6MDjXugIx4jeQ&5F zJ`h$F(M16F9#seRR!8r0l-!ikg!hYd)5S==f~pG@42RPP%x5lcjgXg5WIU~uU3})r zrkj^oI3#HF9(QO*wKn(z^Eo4>I{P0H{3gH`*S}X1>G3(-1fT^h9oDHy>m1|bZN7b# z@TyLS*k(8Jf`fma+avKm<{2Wv+XsAkM;IQ}B|@It?5Cmg-rmm?U;;Gwj)eI+z>C;C zbv2AWYgsI)YfYqnT2xiegT#w8qJnmVzIXCB#xxk|WupnD$0R(5ayJ+TPWg@yVz+f0(t`0+xalx&p7%CMFU;gnV$ zfF&;EjU}Xxg`^A(C%|?$z4V7b-hG55oc=`qPomhcniiq#lncZxrckN!QQ2lk4XWM!@4892{NoUIHd zksKNOLd3v}fFy`z9fT2!7Abl6Dnsaj^+j|La7>{(a))P%uU;i=!yGslfM-8g=>tCN zp(Db$7{?6uF_D)EmnxoRD|EcqUWpq5XBZl?0H`!z!q)S+zvhm%glo6a}6) z#c;~FM}~em_%F{{ZkJm@hSf)E0tVlUa4x|J!?9*m*>TF)AiYhQta6e}B(F$Rc$oqN z43*4~qRx}1?fYos|1xQ=L29DZD{8F6BE0#5WEvxq~_;n_7A3Btd zb**NTOi5rqi2(mv!W|~!a}jQ*jBD>C#w%jD4xXb7X>r3e*hPUQn*|(_-xzr4w?$Ij45n_FzjQliznqq^7CGqYLhTxj zc2hS;BAX(HMXfsW5|4pQFJMZsn_Q3b72zB8-Hx4n%*G=|S^A@6HUKOFR-=HGFx5gQ zCWW0rkw8?ShVDR%YWkN&#?|E|ElEAYQ<1+uiRgmLLCg1Sa@`2|vstxo}P0;(xZdvM%g z2thE~h8l4cMm8-$>VKzZdtzH+p46qvkD02=()lR@;$-=ULx@oGH;^o1f*$Q)oa z%LGk6_r-C@?QH?^>w=60@#mF92iq;L3njDa&O^1Efvx%8AFhy6N{KUwIFAFY;RZh- zd>VU{V;vwKxdUN3(cqyGZLoKa8$du1{)iyAnk*)b6{l=e!U!@DzIp^wu?3J@+4q(` zDF#&5jhjlQN z?&$)!1Gjn_>#s=|V<6=+37 z>kJfDntJPE=sWUI1VSOByrT1n$>z}>vsG0yT zXHa`44L4V>b>r`?FV8?ubuIVe^&c>|?x8x~ublZgG6BbK0|)@LLx*F%QmH^G8h}0! z0_hn$ zREOlqG8XqoaS0{CjCN%Q5hkhh0|M27L~jq-6XXXs#U{%CasISa@u2ypE@%(tfEucU ztdRvI$@x?RckP1AFb;S96V8cHrvz!{9ml0hpH<{yLbk1kNlgC@h$#>i0K$j|3;i65 z*!>BGoQcI2Lt1X#Tf`G3l@dUMBAnL*8j(jJ1oW*ne~I-N+)${`Kh_HKNs}%+fdz%s zWE(apMGTTLCR~rGo7tOgDq0A|e>=^*3# z=DotZzY}0)F%Satc+CU=AZ8wwGg@nkA2&pF=xc4wf?fCf5Y_sVNcL06VYyq?A3{_N z=ceNMBS?aDe*o*z^xHVKR)#oAkMT1cgcFfRMEULLN3fj?344GT#lg)KrZS_P_ZVxb zihDpYu>?wGAiFuQmaW>L_$$>n(I4B-+YrqRnDFad*HcK6#1h6JzQUkKl>#LI)DxTx zk1ensh;kbNp--#aM{VV?;N>(Nsat>sEj+eJd`-vK0cZ=cmtmH3Hmhg#^h? z4r5S|7pt@2n%W24OVVxa_Kd~6i-87WV_KP}waq}{8kG8N%!U^;bnpiNKJ)lg*~=bw ztUkCn@K{cWK>wTRs1ViD%@i|VkW-Ee^&CoZP}nDLTwRP0Q9g0Wx?{LDjwm$rCasxa zTBDY%XPhg4e;!dEL5~NWkbOUJ81y8hNSPQNJ<(7fa1|*PG}71B7jueF*8M~}7LImu ze6Iv?NyA)T(hwG19Ga@^ z$)nGs#3W8lO!0t*1<*`eU^zZP+r|b@A)JU3yQSR{%U={GV{&ZM5CN+H{9)(MSwV5? z%A0c_5P!%@ND>|G$Li31|LtK;&u?hI!qCs2H&5RE=G z;;aBQd}&Gd<*#L$tr|ky6;d8TnTH6LL746cjSD?Ym^ZsLwuE)R3J5w|;wtp_Ou%je zXtV-&7VppU3vPIX>Il=ZMzrR6#B*=wSpGEGo--E7SsD|s5d|9X4l^K#lXZLgY%8+< zE*W=;mi8~t^vOPFSczlWFqJ{)Gh5?5U^L=ut;7_FjqJc9W)*gT+u;=cYP_ba-$u&w@pvi|OY! z;!6Q&;!*J&y~%PaW%#s?r>@7$*B-kybhMCVfIj^HAXZ0x%PV+@uXGqzy<`KaMHM1` zgWdrQxkBdeRV@i?;swq}W5snaEg1qLyFNf>+a!nxlP*qL?t_T%Va_uUP)&YOYci4F z-@mfIVQh#(x*Z=JWzp|mbxb+ZX52)Y1XrR?sv3e6D;hxBo-V{jriTIL`vEX$Cgd%M1{|y@m5ADo z0hR_T?XA)kRvz(~&0j@) zHzm{J%Z>HA-fT~kt%qL?P9 zm4V})e*hKo7=gr%WRza=_pI8z|K)p&*@Qc-du84p+ENJr<&>`7ny^V8u2X2bM!%)X z-Si5Up)Tq`3Xj{ceMxtCDp~cH2hwN7-nPLie$zjmEQ4pLdHT>;_UHq?P1O&*8Zl(z z!^0auTPomB@59;4?Ajj|rLj|C)Aw6e5^_pBQ*I8He1I&_2Xp-EHr(bYA~Rl=I+z@bFO|nUsztvB5Jw&Z<|wbQ`TWeICu2minWL`b8REt3 z+1wt(;e7tHz0%03k z<0XbK@d|ytDhQgXqvHgW(DhD=On#638oD_!7g0B_q^cWhJQ92#=N;>G)A(pb_Zf^X z9ie%CN!b5Pl>t>@#K}=jbfS8ly8QFAsu(S*wpY&q|4ZM=rs+2;uu)hYBX568nyK7h zxUriZ<6 z6fqaC;B~7W35%+p*fOhD?m)+Q!WHp(^Dfnxa}axI%zvxrZ)a1op2XSn2DOu89L`cg zE0bjmPx_!&NhfkEq$>IY!OW9r^b?AS2n5LiNDHRx>y$+4l z=FI4}#>bbkKm2MmMC$%*#x}pdj!c)1`-v#5ewCG2Ce>eOo6Dzr$Q8oY%XT+Y`Yk_^ ze*5@{9LCN#_w+0x-OpL55!~8K;{x8g7aV;ATls!O>;LaW{zTE@g5|5MoZFHW-E1968F>|1q6M zRi`ua|6d*@l9(k?1|F;2nsr#X`& z+n+Z6=l5pPcSXgL-gCq}Nc611eCQRC-s*jl$J~fuXuIq$Ft1uY>F=zDzKN;%+$cV* zpgt27lcC<(Cy9wy_;8)t>P_hh<}8I((VQLy%;}%3sHMv{*l>q9LZs=3(ss!uzZAcu zOW{cUpWi6KjRNui`KkcimH>rJ44+V@9kqpb1M~pOL0crDzouL$X`32c2*7;q-!K2W zVE-PH|HFo%pnyr-Cb^rwzxEh~{TbADN&82lZQCWQ5rec2merxC)JpV9In*Xe-4_BT zFW^Z{A;0M)%Gvz|B+XYDe(g}83S!3d&^6A6k}?jY2`x?9CaI>zre3}*DfIoszrX(f zuqKUsxLIh}10_Bw^xRESp9HB7=$KC+!3F77YiH+4ZUi>r$sdk|&XN?O57taxft5guF z$pP7df&##?Nr>1AF2fjc9XrHfl0Z4YYe5mLP8pA&{QAHOfCTmj&^<^8VRR}FT#XU` z<1Pv}tAbvw2OxFkE8x+fio}8h6$TM(jjK5za{H{m)(-D@ZDtgR4W=HmK0gk4CkSkJ zeJUZ`8~_r^x&MW%*Q5g$S{JqRWuy*|JH{~FqoR0e{y<#_#6L)r7cv!KRd#2?*4-Gn)qw3k8 zdlAe*2I$tusHMXlg8+QU?FB#%DHZ^92_!(I^^2OFe|dOyl!yzoxFJ0spgD#aa1fgu z0OGX*@C*?84v>=6Cm%jx{FjA;hAjkE1Au%T*sHq6#>P3&W-g?HR;B}*uryY}WlEB{ zi zF#Q|1`vfaAl~8GAvZMTv4iXX~e|k6o`d3D7g?#M~A-|`c{DK1!t^!ED3aX@&K+Jtm zjgz{Jz_UOPEJItOknVgz_l9)rXH_GlqSNv_jHTt)97@idJJ$HB(5Sd zXP_(=x_jV$rEuihA>i!tp^E~!?kBCADzX!4kxQ-67t0JC?mI@UhbZBJbaSa<3-@XF zlcOu2K)Re@BGGqNC~B0JhK{3_f-FjyUhgg8H|Nnq@zp^-CeDT!IJwt}le{&)@$ zTI>vVUGOL*92#_hdYcTrI`9#Sx5i(uV~};8@GpZ#%-3S>&cx=@E343^-UiJF&^;Fp zTpNxgvOjXfR-z{x>D&ZiMIO?6LUpC=59zB}QNz&bZ3o`oAKhGZ+-(_dnxSd0hXawe zNS6%iTZ9}XH+O9mX=p8NV<1c0e^+WYy?0F5 z<(=3QK|O-Jyfy9Z?e+C@AaH~z$E=}O=;q`&65(YO>Mk^stoX6FVl zpR=dZ(x&^XLO`*rIKB?ov7JAEPFKMQt~dKA0T*d3gM8)#4tI`+CrI{L?r^0ow57b^ zH&Ol`0}363dfnqeJCxL16#kih@7>rBqkA8gRGK^msZoVvKF8>_Tx}aW~REjdP8F)tT4t}P|$w* z=NC8{obOAZ>v*B7(49D(_;9b8s9!sBB?2UDFckOlKsspqe$ta|-I?E45riFS-bFF= z7RFsvR5Um^_-aiYT4_`ReSPiX(a_n~*Mf#tAKL1hPhSR>t|MY5Am)(_Iz2u8{rmT@ zP+>tWcSHqC_^d74vz4}njfQT}SKrD5oxeUk`jzI|Q>N%oKu7zs>Eu{H^DMyQ%^PU0 zJ!cflw;v$fk~mXp))JaUR&kRWB_Jih`e8^o1@dLAS|88D5Q7#8a3+Axde6fSY|ov5lZ&3v+p zlhY6AwSc?EE?nU9dSX#_@lu!#7(XlrG&>oL7~cmA$-ejFb*=@dX%I=bgzy7~YQw$@ z9Z&CO{fNAzZ`%g9KaRd}pja+0FXUJnZ-rfb1EtAj>my-D@aaiOmW_B6TvLWz$fB8a z>tL%ZIKGX>#zl3u!`+WJB+k5t&IGsd7HG1S9v}MJ_%xwED>IWp$o_QxgyuFWTxah?>I2xTiYB-|Wm!{}jp9!3Ofmry^~=hdC{!WzaKq4j z8=s<9fb2dJ24p_#;15XL5hd{l9SzKNkFQu^Q!}$C?Vz`Ex^4mnk?*;-*pqlvLe$LC z@(o;B(~;Z%Qt@?Uq`RBjwe^NCP&ZguSn!40_Zp@I^oT`tW%@nX`x@rWETSD4SoW9{- zKC!X2o&Nk_2PE;sqobo!Q{B0ChA32Hi7zG1N#TngS-rG{ZEbDha62Z_IW}t7V8M*N zY!_Qt=&AGMxDq#0NpT0PPzjNBsy&A%o6hXSrCt^Jy%gyB%NoWEK|0#n;O6HcWKfe= z2vVqH+^IR{jrhhyO- zLZEzm`Sj`2glISOGB)R@^&OCryh%>jJ#@H~ilRCn4Ek&p7$}%2STz_0+}#N4x`4r8 ztgNg`H97T?6TnW~_^j16HIYjl;UCtn<0M!ijTBuiw_SIxpY%u#d@}y?%cBcF3ZbA@ zOy!6ATnt3x$7#Wk#W;yn)%;+qfna$ZlWFfFoP!mPS6W= zeCnpJJ#H6{+*EtnCQuV@$(tj(FHKI2kDuQdSp;RKpn!mYpddJ3^c#{3e7!nw29I2L zOQKRo?zMHrBNyI^qL7<#qmidDs~{KQ1}7lb-B#X2?!oQHA)lZ9_v8Pr%>VF1(1oTb Y-nI5g|C(troLCBZ8Re@fQgIntroduction To Registers

Welcome to the MIPS Guide

Introduction To Finite State Machines

+ \ No newline at end of file diff --git a/docs/MIPS/img/mips1-image-0093.png b/docs/MIPS/img/mips1-image-0093.png new file mode 100644 index 0000000000000000000000000000000000000000..85219e0ec8ec1567fd0362603530586cded92dc6 GIT binary patch literal 304014 zcma%i1yq!6+pUdC2+}Pe(jZ*|(hAbu(%qdSB3;tm(lOFKbayvHHw+y^%z5zr{_}nB z`~7FF^Q@@Bo?f!GmWC&mRGMP8sSb zfL||cKB?J1c!1V^|LJPR>(PMr-c~05>QMNQI_+^O}{a0ZXQ7qm! zI9PJ@^g*cCdV->AxX4cHQZKjaz#i5R>w{aEfbpIE#(eGigLJ1zir4FzI}g@gAa|6K zJv_DTp>Y^h`1XM1!M7(3-1CnxSb2CJX?tg!K2D@f#HUN^DnF`ExpVI8i$S^i{auSvl8As#i?x4lAo<3IM%lYYCY}WU zY3aLm(kCAh_}Zx{C0{4LsTN1AeM%qCn*O*HGaM5St(2_2rFFjh`O~yivgby^D9+D$ zlw`ZZ{8eAA_}+X^=kgsevh^xz#Z^xuGh)WR;SN*XP}$jqyruHa9|om#7}K3HPplMO zz73#3*T8!5nu1K(ce?Zq6{DsuYYta1Hn#yqY`es2^*63qCX^RHclAZ_SC&+LJ=L^Z zhTTdB)E+tgdPGXyAM`3_6Ultt&fuu6@@w3ckWuSTw5G3`?ZfIWH_dOZW=oAdbcK?I zHhuEmzftDL%?+^2!alFT7vL1>O%mKwm&MAB52nItMWvbQVioZnIXdP#TCtE;t@uFV zfgkXI9{u^&$4Blw-AFSZhexJ{k-%#dE#Druzrj58_W6#V81a@6qg9`X`WZ;59qDP? z$s>a-57{SI;I^Whtp_XyS)yh5+)FRoUEdI`wVDT7#M(@2kh`GZw+J-Yf8A_#vDb|Y zL%4ed+z!4c!_4^lR+wblOgOgvjousPXuK~K9jG=s^Mv8w#6i<3w$Bc@E5cysKd634 zw+gp93UMw^z7-6-%%$%7Zk0z`)GZ1RuWc?)&mkoFcKy*YFJyP=S@T0@U$6KY($l?X zYO&;2rpj9arU=WY0`sW8#4~{*k&Zog21#kg1+)2esD+>GZ}q*_N^@RqCb54wE(cA% zn%QizzZ{C47TS9=>6g+vsNePb*~hNLQn4apUdE2L`rT3sXH?hVn2xB&j!zJWoDRm> z3G_AvjysNUa#FfAnHWgcLpmKcDf;ey+Fz{8xZX0x$hBb<+k?`Bj1N9FF&$4$CE?9D zKOQkf3=UjBevr1&($bOzt^IBE;M~2r3(@U7==V^7xc5OaZ);3I@EQ>#ANrDp5GubZ zycsY4F<}jf@e*2tx4`p9x^G^#`xv~(5KDV{QH%HGA#1ay?91L3mfr+LNJ-7gzcKe7 zB7Jb_P$&NM^o|zqyW}=%!iwFd8^7MDnJw+k6c(D1$Z+$LxoCMwaZe zUXivD7l%iQfzo2ZBUf9m9T?O@55#sy6t+Z9d1gZ)ACcaFqtWMyr`h<%{2m9#H~TAo zJYJG-j4rk+yRtuf%e4pgZYTVAI2T6lvcvqtgC*Gy4>wn$j?^yoJoq4(;4Y1$!pp}E zqzJL}*C;Q}pD;a2dLQ=lbG#5Wr6PJ2CK~2ovvI!vVc4g)JLtuMB!_BW-Wg%$V&Y>(6NhGRI`15nR87u!^EH-zw6| z70AwJI|ywDjVfdh890_v&IA*QZbalp#6?y|Bz4Di^Q{eGp$4&c_`jCv$?4B7${v>+ zls%ANq^6@wqH(9~qDGG1qy{T3=6Xn9c^`{oOJVb3$4V3gi5Ldo&Q~cQm4nHX4yT)jOa_d0kEs@wO0G6^vPwnf#2Ed2Cu0xUP#zdd3^&0W zNbbzEH9awzGch*dQx{<{XQ)!HQm&mODMl;yvXrt^*%#T*pN*OAs;qZ>PfSN#C0#No zpYoW8(}91RV4KD9sxH`J*8#i*nIg>C1b0o*jm;H0Yr&%UwFEv1h=XdqeD3%j&o>Xg z>HHehBId*T%#)%*%q4s&jGEB)YrCcJ`0(KSNt?Q5Jo8L2p(UKGQ!--%`|W z0iNNr58`h7(B={28tmHS8`z;5jE_@^Q_av5i_%Bm#~y1L3o9-vjx54aWmn}ZpDZ`h zz}1*ihgN3HUe0`}%CD+5lB_VC-k7%f-Du%ITRUSoqcp2kCceM0|MZ-2k5~M)m|GZD zSP{w0diqMU1GQbAqsvm>D&Df{%JkBuU35oYv|OKfWhi`OZ6kWOVqkmFWMD)$OHzz8 z)N9{jv){4A0kR?F_`)e+e{}1(cVaOHRyT5%LpWerH20_)ry6`fa-es?bD{kTIh-w= zHk{E9ha#~w-gYo*34e)u?j(LwoXHt11b(aya^-c|bb%dvadf(&fcmSL!#(;vxcD_Z z>O8k0rjR7h`r9wJTwW7iuWko!UGL^@(^xDMX8ITU;~q*sT=~}a5T>SGlu#7qt@`!T zSGBL=KaFIChT-YgEk-Q~EtM^|WL9Kj!qR@Ph1!JU{GCcer!}2W>jnBLER0mmYb0DW zFX4y&7)}@~0Xd~x)4xmUx)wt#La@X*M7*h;#(VSJMat^V7URG2>F)eE!9;zxA^m~>v*l~!(H1r#b@|zn3Z`UgX?Ei` zDJj}9i$jLCQ#Lw>H?D;)jUJ?TFYm@s(n7HDhgdvWRE*Azt_+n7&R(c~Kl`pSlQEN2 z2Hg}{-|NQjm+DBp$rAl#H0fMLx9ONcH+HNFF<&NHFmS~Goo`3{Q> z$E=0)$|*2(4Y$XK9Gcmi`AXq+E>Us;vl3UOXW~MI=vXQa5-x&+IBhUxk)_R{a~}Vd z5sR@^f^WY>+62p-$N3EqtwI}n$&v|4tQQxrb0e`Stv4wL%oDBIK!Z2pO+RkrH44;e z8TZwf8o!PSd1QRm?IMp8-No^wTaD48cBTbWBg*3IFI&M`Ag$}&rN|IUV#$R#D@`%Y zcbZQ6wb0`fIyPl2uuFyAUN2nfRLQV#u_Uzu?%`|Rk;5L%9?8C7PBF<*Qm$HUu@HBr zwhLByrg%`yQlzeU=JnXREhhAiaF+w$k`{VuTK@H9fPqY7r?9wyuIhC)j-_WAgRRD# zbqLg~iqL`ydgthGBYrVBol?wB!p>fg;>=JiYED_%Sg+u$vxr%fTRNCw)u)}(xPINt z-vima9y^C}p?jsTzghjdK-MR$t-*F9G@HwxoZb#dmPZ+Dy$9_ z#Bngax?M-8xFc%rQdL$|e89L(BcSrt;@!De?=A0Z*zuyLm(IB$qHQodB-}DBlArJP z!#eVI-z>OFCkf&Us^^X8P-9QAW1hFI+(j>PH!$KFMRzZ+h--bJB=wAE5>&PNlrUh~7+qWTk9jREj=^m6Vzmlz-cPwt)B4ID5{ zZ2^C!GEMD~d3j~HZMsc7*3O&o`UK6-l}Wumi$iGkT~oq#hT`mx?aE8Y|FLBvGi|PE z4G#1*!A~l0bfl@YFKaeWn^a&1?%84&D(2^}5fII+xjuHc2F&S8giUPK^1L3W(qBuws8AkxwUoWEK0!dO(|LVh`Rl z;e$|G0G)P~pPYCx1`Kt-<$sLv_pMY_yQingZ9Q>6hJa7Ic&7X-MQGxJb{@&ijnDpu zjG6*^rtMW?SK;6991x(YTY-I$IkK8fpoO@&TiPtBPT@6mTvS?NMgRK^FeFuhH(LbN zicR5~J6v^n-ccY8G{jy6ckTZ8$3<0-DjF3V=Yl8d$Lj^sEqw%4z`ui^{bMlo@=e@p zjjC}A{BNv_&&AKvPF*bjc}u#2syK6q4%n@W>DtQ~7$XU3NEh9I-HxoXQMT~}F=+-m zAMZ%+ry~KQ{_|#{6Uwl==2S~D&4I>^qk=d8_+0s`C8DlrVW$Jb;+OY7-=<(T6_jTw zrarj7_aR*6=|6_0^pUyw$)tbnOez@ZUsuh1Pez=$jSuqNbBq3E40k(FH@lyTHa3W?2E_dblE;@x+D`=G@ho;Nrl3e&yS4b2j zrD(@vS+ma5?^qzQFP2_KYP$O3XV!YF|=2vP6`R$_FKMT39z8No{v|k@7gDtH8b^uI$BqUn-Jj5eBkQjeA}sV zGt8Ko4}({G#!Zqo*_$}XzYxH^pTN>q_v1^N+Aq{McHQqI%IvQX z$SAtLmU-*mfPKJC?(oa(x|HGt2{;^IewFqlN|bvnLJB8RBpj;tGO5>Y{Pb)%6RJ#qYRqqTn{%J?#C||O^u)s z3%tp!PBHB3?T)dpQIgbQ)?V?KG*ug9*##LmHYM@g=7wr$B4!p&$0lv{o3Z zir4elnFDuY|F8$QK&RS07=0`TJA02fXL2zjLLXhA(r!bfxD0isQ`iPPT{_qeNt4oh|+XpD89sdi8cyiMjkas7gKSoK$$^u z0n^~|j=8t|V>tZ?ZObXL`>~a`RP-G=?BTvFA4*yO@*%<^-hI&SU>-8}^8}cHWWz~B zHxJwU_uXER#@fC3yhaV>8X^gE)%#_$*m~q=yK_+4@!uI4@2s1mW0ltCX0z>8!o#`# zdg*tww#Tcn-cv@l7(exagU4T7_Cpfd-*oe0stLmHVJ3N$0>4Gdsd<8PpE6 zUr^#@FGrx^TB=a)P2;G|5Q1VRGPN%-b@c100?<8>V*d&E6-sz}>BpDxxP zT*lPp5#5>JJ|@cXgBtY@@X)hbBI&Ix)a>s?!d|&G#vFNF@6H)vCELkgT3>|WFYxw) zSlyl&^^VCHq<3Buqq#IXI&EjJC|=ww`X1>b2BC{ZZyxE_%iM9K-8@fgc+?0AAD!M{ zkUuu8=^Wf}olETSZ&dClW^aAPc&dF0OV7h0D=qf1Za04N!nyh-W5p6uM2$wL84&L9 zzh_i%g@-fWPxT6eZukM;I?Ly=ciQ;dGqu%H(PHhB#04){jr;#S*k_eu;GBW) zK0-er#W#CXJ+qaTpo6^*7L!&6f^1!AwHA+a%q`7CIP#IzMwZU&REZD!KtO+<8_@VJ zM?kWD$PzY64MBtESYq%dU02xD5J^Y-ecoT4gXq*u^J_>?#)J_+>K8E$;4T_cHDzV8 zHa3>t1{qwRZ*u~%?YomLTV*{ZxKL&=!g3qgO@zb2>9HLIAmr)Mx!iUNuOl<`6-`h6 z`9u{~wyg~U<96gRKb{F}nt7Fvf8|e-b4fDcb){dob4ty2AI;1Re{yB$VCu|wlWBt- z+S(oRjgXAssenN@>DmDSi*cNjxX(@d{=vkVyxw>qB?Geah>4fyNl3shGrkJ)Db5CV zB8b9@Lg$&8h)asC};P@C?ofMIX-31e+^^Q`lMe=B(chB-Ovs>pL$B(G*S{XT`0uo}=WeK?Ho_PD`xX z&MgK)wpgQnq)~h*o?nu^<@bw*Y&cbW8W#HtpBwF19;w$i%nYVR_0P%&vwPi)ne9RZ2? z!g@?u$Ays3EX4h>LH=&CsA)j5S+s9Y?qI;PAktHC0n*cFeTCv^#H?8EM>musT6%BZ zdGN{2lkd>;3`6|%>I%dIH0+RNurU(ajD|4UHurua`+J(pf;Wem{O63P8|l>fW{3Ut zJW%5#8upE}$(h}iNUptEStqo_O%1erxgD0a!Uc+wSgv@PvqVh0Fkcgsa44P=GqNH* zdzQ~4dd1?C7m~5|_!^B#t>R0CkV8kNujKfyX?|NrQZ`G#qkYNuW6NmJcM&?25NKu6 zU4|~ZMBC_QQol{JiPq&hQ?RQcA0oUBK_G8pU`?bmio zl1Z+=M}bF#Q{$@eqpb$tm{(&9WFT5ycpB9u6MAKqto?l_+50iSVqn^l@Je^4)|n1P*}fp@hm+g&>J1>b$v12^}l((-4m z!gTTBP6QGWQU(Xu)K(wZjefX0N(JJ@0yz%=-BMgmAvOaWzmOs7n-(ey=NAIG z%V1!qa%aycz4_|}$?bBpAZ@rhL51R{l|X|=^z5zV?i4w{er`3D*0|!+BU7t1(DbnE zwc%2Ba9fA)nT4m@1z*I^HFAlzWfPu|QCSjx7e&Niql@0GFAC?gZuu#0O_jmkZfuG| z0U-x)@Txk5$ZiZVrdeSVcxPy93c#1bJCJdnarH%gAqo(-;$e7(0z~J!)M(d}Un2w` z7pubyQ2fR)_xvl{=d3m;N1Y>wDPHxyK}7nGr31Sl>BP zCZ!ZQ^zl4{tUb3I4O+?|Z-{r;^6`RSLleNNE~yk2h7)<|xIrx?k`pH4AyX-?7fVq7HR5=Pao27x({5 zJ;X*on6usQfZsoR$;5@yP3_-!648Qa%MJ zd~3rq*SW?M=lN;TRrnn~ziX4f$(F$7nz)OOz=eUo%$*bL(iK&NOgW7(j%c^O!pp{? z@F!kVdLCiDN!~4MNGSm)#{~6y+1^+%8Nl_PI}teaX@k^#WjjqA-< z$l3PAt1_NCJ2EW+`)}{206fX9$VdHa)#=t=e;+N6ppGPm&ZnDF%yY@r-pzzgn z^(=LD@O%9H-xfV~C&FN+*B5&ueaj3{R8s6GIe4d^qW!L_l3W9c#7tEacLp=rIIM$g zxU#b|ul%G8dm(KDBBsew+)$cd<>eN4ma{t={8%%~z+v=`HRaTyKz7p0T3rKMiI}S1 zdaxqkyG&mZUZm5;Xj^z&@0WzB?>G|jJp#85pJI_JxTOYfGI8%wUXPA48sz2Ga}?j& zmzCscX^0pTyvrRIjrKeAxqCdWgxy4L6d{2HD{IpmNQy{hcvnC#!zUK4O(pi-?p4!Rl|02Q4Xjdzel^&5UD{$KUIXQys6|{nO zBke_Ce6d9XUn^#YU%)ijx1eB4RlJ<{X6;)`>F6;lbG`GpLg=fV?C0ED{uev@0}ajWIqidjAI@X25hzT0|vK5=_Ti{&3={r3EV&}2bc_DHP%e^Gf57U^!7RJHOc7R zw?Gt!zphM(<&kLTiYnhJD6Ns`=8^4WlVTiw(F1W%rD(&XJvL0-X^ zG;aN>MXk&q_j*jt7EqF$ZeOLRKPV|)mc`U^!ff>UC4|8-mpaG`^=HHWE&wRa!DPSx z;X|r!vn6XA8gZXLIPq^lV+89d@hof3Qh6W2lGSLgM`6?lxLBES*=U(*C z@0c<~9R^%MqtyM$P35&Ue6DRs%4xg?=7@6)Dc+9Dr-U4%HkQjy1W&yQN{c)8GttAt z+3pFZo~!bH;sG?LSi*r9$aNK7i}O!uPkw-@o;`gg=?n`RWcY9=4E?ReFdXFIIU?t2 zJM(*Sdv(lXB8XJ_?OUx6pwA@&37`ta`I2L%S2~83ceTFmeb-l2I6j5UR?{tdqwO>R6Vc>~W85)S?PI$~j{)*5HaqJ(lAD@RXbtnn z*t)^rB@Lc)jM#6c@vq>NmMimVbgp^AqS zC?1NJ;zG`StGCgKnsipK#ZSbQ*9~D;Efai9xp+>llc`Asq=KBfHfmHk=E`QK)I!Kd z(B3DZ+@FJXZUJg?Bs`ihZ{j)fGmUoSJm>UT$9M0{!byB67kxGD)71Y$9N=7^|A`tM z&_fM2YuB&P<@wezC7udco^u?vLO&*RPltcF1XkKBKZ*nincFD)h1t-ZHbJQ6CsCsi zVpeSd;!a3~4FBC1tXs9y&Bptm3)B0q~eZQ=hV%EBf zzCHZ-8H&RVlaR1;GJ*skmvn=W(fE3{W~H(8Dl@5Ys{4aY5}V3hb;mnkf_R+%hIqo9 zFVTb}2){cQ0T?d1g(8iMpGz z;w0?7LCP>OM9S@PnCI@xrKsaWe@xfvR?mNR$(nFEu~q7(7gmLl1^GI2xG`a9oMrk> zLVqnlj&|rLneYPM8%eEPFx@?sM$|O~0oooTKOIcKVKpuyV7+3|>+0De&+v8k@mq

iMa-<++M;rZQ{PSlej7i7MQy*XuliqrcfEQbbMjxctOm?AlcZwY!y0ubgTCTJ4Fi zZP`qLJvI%Q5Oo4F4XPxuwgeDAJ72fYrX=G9tTQC0oUKd%Y9KMx5S@k8k~e1jg_gF~ z=uF*Tn=9^MMeH_;Rc|*8pp)xE_wIoax^;3dI&S@tXtdZ)0&>BN#Q~4CW#yG9aVTpK zx?P<638~r<*?g9}Oa`PjOc6RRQZ=QP?njVrZ_I=2eyaowf zc|DGuFV`$DN|6WO`;}WpmVuMuUp^MN8z-)~M7vASFe-+jBi=g`4E^g5X>)h@T?ZYf;mjla=U}>XSge+0i+!QVAao2n|CW(&j^aKrSJyBNw~rKrOoL z7QFV_1(;KMi8guvICv zWaHlw_y{`4!^p~pa|mT>pg3dWXEPgg%U(aH(i&+7QensNG^}~qW{N!DB%gpnPWZON z7MQ>f<~z}*tqH6~gtSGZFm}6k>VMB(Ro{$jtQ8l*I8V8xMlGe7A24&PMVOYzp(K7= zp4!-B(YHTU=%-TVd+XZP@~W)Lkl+GEg2 zP^f&f)cHVd2V^Wqu(SP4ON4goj0jlYZJ~hKr>rs|MhtJZT*T6?5ruhF{@5$}A5;f) z#2zr$(vHA+t(RF{U7@#~GqWe{FQS5y`wfSeff+h|*Cp1q9rs#h{`7TE7F*DsjlUd$ zGH(N0CR@=Y*;MZ?C|Jxi0PCnrNXp{6nEl>6DTJf(F@6aU$cN@N(VT2B0*pi`&$;7T ztA0IxF-OHClI`SJ`N9v4beVqhKx>3nL^^v>j5){7JR9JSk`$SAiA66_P@X%~ z6aDcWMNVcJjWz23VtF25aZ{`(W_UiVEY`E1D3&fTWnLcR62*`M3Qo1y1U@cM>X#9~ zFJk%#nB3PZSCX}y;Fd!4m!6dUhoPHoNZ~wtuDDs-JQ5TXeDyleJ6hqa3xYIh{@p*5 z49Etry_eVYxl)_vQ{9p9Y zHWRPM?eMa7E88R~0MsXT2==%I@L$*?HDJUxFZe;arnnq|+b3@x8IjN;Ao26`QNaL3ifAh!&BoBEnydcwN_BgrF}K`rH)iGo^ zpKmmHi4qva`oN5wENBX zEKeWjT{!}V%0@PIz@~W~c#g>lF9B&_tsF&&-3J|g+CeaHp0fD3F8U~7z3rO62he*2 ztS!+u8lG@*blx-AK+i-h^XY@rTfqXzYEn!D?`hvMEh0|XFR=YmpGr}}youwuaMNu8 z@YbAQLCTT}q9x9W0HUvk^D70yIrj!|S*R>h+ZO9x?&Ja6$1j^*?z`8Qzz?Xv^^zB4 zku!$F-JheN$fr;goX+H??Fwfp$7zM+1XG7rAmg|B`RzPC2Si+h0g%DZnjj?~fCL^$ z6?v9|aCd+uxYCG&k|Ac(Ih9R!XGg%|K7$7*?~JzGil2Ilk1xN2lb4z#LWB?iYuu6l z*wsw_m%G#=NJiTRRL7LyIcZfqM3a%0_3rmNz^x>T8kHF|emV^0ico_ZePnEQuWCCZ zc@9h+lT=#2=k=*VntLmmjz_*uFZ)IQI#BpA^T!pC5JqtT(u${Srox+^wj)oIR3&RB$`r%HB)^T)GmkkT9|@ExOTu@s4CIDT;1iKc@2ti-67uIL*lU zRZtmT67#pJz1qd0k`TUe)TnmKn*I7&`mtkX?4_&(kE=p)j&wp!udNdb=DlJg*XuE> zk4&=O_B);CL(*tSn#s}^8*2Vy$&1P-BHU>?Uy&lFJNU&`^5TJQJ$1X8C=+Ez=aBi& zrUhPW@s=0wT<022C_GS@@tdqOY;RT4JdR*aq_)8|%({z+K%pXjPDbuYXVY7t0!PLS zv2#bsoip7V6=r*x#Rl-dO!dGyQ8E%z(?3q+alRMH%`E#as>+#Cqn~em7NWwoFXOQ| zNcAiWa`xS3FaBtU@$5`*xhP?(2`4$lRZWKo?zOC!Py(Jnz5JR!-%18l!S5AkqkS$T zi+#ks3sDTwwVu{n@E~)8Jp~+UVwggt!r3KuWkqb1qbP&I3tR?G@Gp_z@D?!G{H-?O-7^jJWNlFWld7EDaCud|Dwdi(-%^yLs%JW%GE2# zMh4V2LJ2v-N!Z0?R0`uupjZp!KF z)T)&R(#}&C1c#*|S*yPI>+!c(DQ|YSXV6IRDJvuYGm5GME&xd%vs76G4Z{cotiIR0 zoh5%JDua8*dG$Y$$0{KlTGO4iB3(4uvM+O|!6>2MaXjJnssbo?rd%w)mk{np=WFs@ z@0cHB4G<{Xi3G&zvfo+KsRm%{DiW8#B*!P4761ebIA^|s)o6sO)~-f{y=Vb^SE z1y&!A;wyPmK+t@`5H9+@(~eB;(Zno4~;VSs-Tq|qMwSzlRINvjH1 z_#$-CoCq5~%PbP8iF#U2sGPhaem^;ut}HvSF}Dyr`kQBhSnR%ZVmdaflg(+lLP^55J zjng*i~mK)vi zhjwhH^00KOMT?azMDPLJRrbUla3Zhqo@+7VkJlS10k%Dy2p#s_tLL|EbPL4ux*nJP zFF#?+?_POW%{w{uWco-!dtI6uUycv!v$IUx;j_s3nKVG}K8|q?G1}H8WZ)0MwD3sJ z-R`Q4fEEvfbg?@Q7~wL(Q{!|ji{Elzb@i4R3H~aEzq6trw2vd!T)Mq26qIA&>ClGC ztzH1-_4@+Zv?rek#oI|H>H@=Zn2wgySMRPayg&Uo^NEqk1vsDhZw-EzTTq3k5dg?} zO-Twf;s*r5q)gV7R+WM4*Nh3_TCG``;t{t1%O8BUvUEp5dP{o7VsU>F(ax=lFd&6!y++lk<7$|@UJym<{Xiie!ZNPVuXKCv z=L_AOj8n<>6J5F2@=UkYK!x2QV1iSW2Ow9y7SlPRG=5)D3L*aOK#z#AbXqy-+BB^K?EhD(aPaw1g{5v+~9 zkctkH*Ba>UzO~wM5Jp!D-eBkoPqG0j!ji-gbMffjH;W=MR{3oyjZ%XfHycSlvHW*i z3JHuar^brCAPJybfR^R6Y^623t+n8$y&AC|kvR+$aB4j(qNX{Mk*@3u;5YNzF4n_p z*ZGp5$8{hACHNfC5nlYnJP4{3^dhSpekp^*PCGCB=@2P$b;$ zH|tO`e>KxVdbh{gF!OES*1WT*ZNFa%Fy7^-(cv`43aYwGt@-Pq`taWKcb)K7x| z61+pymg9;pzc`}OQk)nysmslNSjKj0MGYTTvj+4C6GO$=ZpB+qVQIkQmdGT@f-NI6Iu z2vH*5$R&CW?roc?Ab8=4XONEnsMqbtFFk31My+*`PBLf_G2WR4H?0~T$}+=OvTcr< z(A%>CPi$FIgP0|E@Q_Jity;^0$WGY=43-dQc$0IQor{}#3j-y308 zg||+x(1CWbs}!xe?tLWu4^7U?fgKF2laQ#Uh59$j`o_zt_`E-kH(NpX?PBB_%+4dj z05S-62jSc$5j+*IrVW?~IHQ|Zr^tEFl67btLCtt&ZH3j4*-`eG@?7%|Sq<6&jKy*J ztrB8660l4H!0m)R@%Uk& zdds~Jb7b!3%rc+*Qkx<+RkbpOg~$OII33F`QBmAYnsXqXNdqPS_u!T*B~&{OHhLhg zfPyWW^^woz*-Zib$bL5%Wt)oF!b^iFE6OQ+j(gns-~EW&m_(~|SU`uPs9quESr?3m zAWE@yNc8^Ui+&bp?GkdD$0%f8Hk34gWX6BFc*MA zK*%e13cwl$n(@9Y9(sSmij#oV+V+$J-JvKmvjPT&!5hKa7iy<`%`QH z8Z;Ft|FR(e_4l77LI3uD*;k>}GjQQT`Cq-m4OM-6+5gqd3!g37&_4NJ51%grI)|V9 zp}qh9q31glo3wu=@z=}zd%v=arHcItpY~tvt$)4t{m=H7;C-_L_HdO)|MB2|9=_GQ zZvbdf{NJwR8aE^PUk%;km0CSL|BolN82aC?U*8)@^{?>$zrV7<`+u6I|7#$YD?7Tn zNFM@U`~A=Dn|x<%R8-W9|J--~|Ll-`m4EJ|_OxiaIS$U<{pSa=N2RytbJnRPkN@$> z#}0o?nSbni(EBKp?f-AzoORRf#x~DC4_5TBK-|JWd|F8V_~cuVOfA>Z8gQCt-cKgT zW$T#Z5en#f4r=g9v%zI#%+dQb1sz@An9{eP2WdYC(~bZ0{H53|RXMa6AbbI!3o+rvh;Gq;lg&O*g}d7y)_q-$YjLgR>m&N9_? z1OJ+{+HSqKEbE|dm+`t#@8h3gn#Rcf+i)y>j|?2ODJ96oBqru7`&q0}y|Z1tB9Syv zRS)oFzj6|dDvO!Mi$%KQYd9PSvE3nfCj9Z^w=X@tz3Syg1H0g+5@lT^6uhMwiCEO- z<>j(QJri;YiZjX0!IY#AuE!0oCqY-wr&bT(1?9C*p6yJ5nY!doV{&)xQZ#lkBdJmU zbATS;P6yfBe-@>k52CX)#LQj};kMuCz5&c8VY{p2bznTCwF`8bAh`u9u@1YFQdQL; z#9j6&aCvPnqYegCIf4$LmG$pejNaBJFf}#3M&<_HHKb~WhXB%Jeja%LAipmuJdQ)Dd{Hy>`7f7zA}unwfyOhB^BLn~c_2Vh;$|z&sQ?#|f5C=^kx^RPZ6`k$CGK?b@@g~9 z{d|w+)#Xnhehm+oS}13^%meP?*fGY~xw&UG~TymEF&htRc0lVZ5hZ zw^u_TC+0K|!~EfDgg8klc+>kaQvZc&z8;OlY8NS}!g`SO?$94Vz^W%OyQ3FsXxHVJ zas(R!Cj_3-7v!$qO_~_PbWudxCJ>u>f)^H+yWxw7V zgUg`4<}?r-PAEsPQ17f_iE-9+eNewX1a~tK4H}g#T(F$0V%MZKiWY+{HqKRB!+vA7 zwzWx?xhktRc{LV@0n-DFmDvVk6l((tP+K`KAUol^n5O{QFsG>$t1IQpQ#zitAdxBx zl2q$Oiy`iA&q(sL8|xP9E*9N~me295=GQIudW}IitU%L`u~$TSokq14xbZx30E3^O zpK{vlZ&4pLeRq`DKrTgoV1hd6J_P|?>&6-=_$(qhyT%&Hyv`+Sb81)sIsy@zM_@<_mhGoT z{R{+wu#nJXu?DAZ>yJ9e-QM;o9Z=)1B$J0sOiW6A%)`K!=}VA0Zcv>04vdUMBdpW1 zwY3^tO6#(Lb$hHCsNWUldDw#FHvdhRzX`~KERCMm=XUiNS2!L3r~#|CV+S7DW)SVS zMLzLmB)cqor7`t`YuQVBbWaU(XKup!b0*nEBY5H}~Zo?|&uhGSHJ8>N>TwpPl8wvIdFrq*35I-Z8z)|^F0L#sqm(FTP=e8_K%DJ?e1Z`2R1det+y9YCf4~n01)QgVT}s8(vB`F z%vo#&iuq->t75m2cM~^}=E=M_0CG`?g~%eweA4zf5^XC*YpP>!lLY#jJ-~OTV=^qI z>Xl}>GHF$y*z&8QD(0=l#`?DInB#8=)Ih_<^D{BRS?bI6IGy(RUX<0&P`VARCLYrJ zXaIU`YL3LPxC^0fyTt%*5=vl_@*eM`I4{!FHU8rL+iNysSFIGu!n}ab4I-X}r z{@F@EZzHh&x$6t#ffv8F*KBlC;;Vj}sHBNT%71fE58G*!18@)kj$POJ_~mTis|XMk zDw$MzOdZ#CZ2tjgjx>S0OMRvE%K%k~$5o~I6jAJwAn-kdJVP&IzTsRM(u552sgjO{ zU6oCJ75-}l&v!Qff52P)!PImkKcOjl|IVs>qLOy-Q`0ktwkpcMy=S&mkBDi7=~(9% zFG)JH+v|&J?2abHt#vh>&KLSh&+eaOEcU@T3`9nG59A2Wb|wgUo%Z6H_3tjb1bU;Z z7u|L@(?Cvtrg2Y28wkYlBI=CTm~LV@Zi&@Kj2khmxv+CzHDK>zF6>L z>rp=bM`>wk#9P&J=}>P@?J(Ps!nE9RR1;{33YfYIh4usV43H(n$A2|qX|}5o85MOm zOBy8oo@xDdD=j6r?rU}BQ6m;IgN;aF>iS_i z^y;c?x=xKVc}>f|HiLq#L6OE%<}93Eq*@2rk9;{^b`Ai7{PCKt`n>+h_?d-!(sKg}u$WM}#D`Am3Scb!cCyPQpNqDOKNF*)qb-MWg_8Pk zKYskU7bYd2EWB{X5pDox+BPSu`1*GHU|T#Aaa=ma!9Y>m-Yw9Rdus8#^My|}{oi5H z3hL^@5LlM+*Cqctb7|ahpUYFd3OlYLwlF})lyj9|WFa)mIyaukGih_gaFx^;cDzxbcgMPPm``z6e-vB{2aS z85^sbr^@NJQh&NhE9U;%*w|QFx^t?|1KHdRKxC-gMhjEul*d!OPgZ8+)vFvbJGm3G zRGFtecjaD{c^q!~9Czt`LjazIs5a)4mU!*|cEh~?bH~a4nv#+dw@$UPRM@aRY37HN zlmb-=MpqQJSC7+Fty^vf5e@VRNOuvJP0yudm$4gte?+cemJNh1cZx1Tw{Cl`ZEK`R zqFeU|4$#K8AWv1-0jE@umhQJJ68<}MXfsJEDP4wjTt?}bSjvT)p25~xU@*PUq5yEy z;psk4ZPfDB)6yvz+~!G7kxHWe9c*EMCFOA1C-7U9n{>rO%zD=+s&)bLs_F1r{mj2r z)ipyEGkyW?hzTCTtR?47`YE7bK)GOMWqo(J4YD;)_Hqe!snIX@FY3=mGwyS-%LwuY zRI$g##`9zfqBHiq>VGzPd;PVBI^WYJ31TiMsvIrLbhAMU0a#MW8)E@ch>eXMoE}U> zt4hJyKn;qLKfl$_FRT3K-ya2CU07HU+aFXqsN3$B;kE&E;=&V*6$t_^ziID!C1~gu z)6wP}Wed|I5ODaSlpQ|vN-hCf<-Cz_qpYK^Pwm)5*-v6#OFy$jhBOKsdu1RyPt1W3 zBzBHMsyML`YNE0WOp*$%_su@FIJ4XiV{=fQcI*>7F_nA^^uQT<2FW~b|F7tQ++O<@ zg^h#TPL2RIDzO7*Imiarn=oh5`IwwM33MPHgKJ}9(lKBofE$lt2+xo+<XrkB}hgUaCp_mOD&=zBT?&8G8p|>Q@PqYu4atL%FYIHx|b0lz6v4%K>aN;G9ZqK zA5*B;xjTu0c)X;eqB3V30T^cYR7#5CN0mvt$X4xLj)$h*@pmAM;+{uk!@3|w6D-4* zd3l`#Y{r!RU4-Z|9L7^kFSy>2NRW%Z+L?cWRN1u)EE&yvW|yyC^n_mMUgd7DNFvl? zb~GUU_tix?XQ=~N<^>e)VkU%9wLrTHBpSdA_iABVjFbn+7^?a?JDakh6aWDr*=IoD z0(kjx$XUsJ(-CBi5#SdQ@7~n}Ev8vLeHY86BMdYKkkjl+S#N%j?*5#wTQmdW@NhkU zq~5DWoA`3L;bMVD;^oVJphqMjEe)W$v(K%@-~u~{+|dG0*n<=O;cLoIe&86(|IuB|Sn|ow$@sNB_Kw`NfqYF`EMm ztAYVsBk}d?>Y!i&;-+lq?CcCA;KJ$=Mu7tQ;Z_)IFCr2BFC!>g%Qh2ud!Ld(WyY<# zp$m)@NU-p&4FgBJg?_yu7o#T@*8vZQ# zWa%SH*PH+L2EkNGC@U6t@E#|wDte@=YuzWi+%r(*BU_~Y;db4{@1?-e~>f&!eYz`|NH0DtPzH2 z-u(BgSXgW()_~FPzNY`*H|~p^t*a{5B{$uNOJvGn1X-zC&kTw zJ9@uf8xhs{-v##_zcRki75AI->-)9?P!W(PLLLX!3TjvXI*ZP0V4dlH+px|zAVuc{ zqT=J1SEN;pFM#$+kN-x?pHhPey=l`nV2LOJ-0X;A)e5`kd*{_% zFq-U#g8}G0>|E8aW1x=Q9b@b^yzDRBn$Hb|JA*Vi~`R5PHnv$G6ddx~x{!0`hf7jRyPWTcGBJGl@}u8iHlFkUd%#(HP#wNJDjIx2b>$zop;rtrsV)~OF90kB`Ct*L&qfKZT|=W2WeRu z8IQRz0b}>w#U3&By0;;jY+-1${VyrxegZ}sHi4)0;bJk-F2U^!?E;Xl*(vUwvd0S{ z3}Wu>u?3*|w0Gy^x`8}Ec)%?4K9<68~|W`&sUa}WWtFJxtJ2XSjxqL+VUs9Gf^CDj5J2za9H!TKnz zfUT(KQQ`fcEo6rq;{bX}0b(m^J1DwZTIRBO&VCC`p9i%n?z#N-H?T+VvO#?nxqJ6+ zmtk}(2E-r$Es*vvY_BlgWR8;(V!oAW0Hf&b@9DlVoWXsfbernt%|8+WOQJh|0}Kfv z4*QF<<2z;m5F|TnMSu7nYcSmqaz&$nD+)AuEdbui%1Q!CuF7_jUtvQ|s|B>pZ#i!A z6g*i0W4)zZ04gPuMcY|rKjSa!1Bk%>p6XZ4jy({J*5l z%MrnuNk9X;_X5htrCU3Kd2WMJ_I;Jydk(<98^2fua@|-62bYj7%t88|v;-r8*@~%Y z5d4Q;kzr5|X$0(|vShW)ZhFc)IMFA)`7HmYquqS_hr>yi)HHS8M_lPIeqHG&L*(xv z4cxvdpGz+|!>wEC*?E89NC<&fG|R2o2f$|Qf=oD-+&kV~O3YdM^f+LUlSCBVXcQr) zT4t#dlDD`T3jCc&H^MiB?icg(^S`Wnj4QSJ^K0H6+jyBG*`xV5Wnj3$=JWvW^A86bWzy%!{ zOJn2DDbs-UcH|-IPkIEV&w$$vT%ilUgligf!6S3?&~E z67HMMeG4TU=~n-hNfz8) z{A4+!I0>A!sF>KKr2PIjfFQJ7z|)h{%>}tBOD$lVgbdn6hLCjaH8wuV6JnK5Vp9@! zUN_eh8}gHsvhdvLVCBQ)!8#(BxB(P4>5L>`Z(;f|i`{VW?+*9(>ww--F0ZT<99B@i z4KC3^HJ;H6+>qZVy8FJJWk;meawl}rtmSBX&O{pE5lHmqYTI9zW3To29|GBE6A13~ z2L73F_m*>XutBo!9|}P{)R{l>)VNhD8qOBDF{UJ_oX8}ul=u%H(&QaJG+|FxJ4L}$ zLE|NhW_3BNV%l44%1S(Y;`3u3gXXK0^y@NGX( z+za6Oa*I8QtUg~sB?P~0?0R4ZNbgD{tKG@bsVVuRHxaT!F56}xW>6Hqj8Px-s{k3*=YfJCp!u13JJ1$6HJa0yOw+1t1 z<_8mZ%!<~67RVh1pu)80d3T=*EcO8=vN)Ue_gVjo#~diqfFfJ~)=su`+N((;x)oTR z(%{BrOgRP3YE23);Fx0gk>@O^(F>Vv3?8ysn0k-}_*(0}M9-442ahbAujL{aJgfnr zqcZ41&F6!zHh}AyRbcsYbrnS@vt>G51xJa6!$5}IftbaZM+Z0WFDHifgF0IW#Ghme z*xLjP@CcHGRC3UEN$Va3jthk3S4Y8j9PhuJ+4e5D8R;q@Yf7hhfk8nl$10JJL6}N| z8g30R5DvVk{(*s1p9?pQVgo%qN(F6T)zt3&)UxY{9xXBJioVl#%9FYaMD9nSfPetd zbWIUEg5(1yIxNd!Qc?GXh#*PcqE+MAP%>6w&sosWC9H6DbL)@+0`DU`U_)NO zFgKaqTN%(%ZuIO0UHwjL0K$4tp7=|{Jdelo!rZ0c=q+cv#n?N3usw z9F#|}Qz}}6z>fIgtwi=Km?~|+EsMW?!vfX68O*~1%PjR`kJW7j*Z^Ja?U5@OQ*j$^ zmI4=iH492Orc1M(C;B8kIvx704uGLPpy|$=6Y$trXF#Vw@O84P<|?lMC!IKz4SjGh zRb&+M#S_?_pao_!8>JwglW-R^o*@f-Sj$v#FJgM(XgJ&rBr_mOf#7DBXCCq0?6*>Z z1p+Uzovcoe19fu%*f8LKAB6%p`}!aS@hm9-ygSVI@2^JqoMl*_0y?zU&3Ew#J*cEW zo=xZX2vC z@qV(NzEseo&-vj4wn8%Xodq=|C5D9J649)Wm33)n|599!Bm;g&6wvb42wDojILo@{ zpqXW2sI06Eh=8?#BcKf!6214!>>VrtvL*MX*``^YIU$_sz*~jT5VB| zTb-D7=#{o&s`PaCZ1v)kn0?Ny^3Yc;xx=l~WABf}CqL_!d{zfn(t8nW*O>xuJ~{ek z=eD>vDXQlVBe&%a90?)QQ zKW?rFcHE%=JR7eLnNNWj(}oi-Ag`c$b<7H36Pg|9F%O!a1yzd)u}1X=WeALqY0k+o z-qazbo&|lRtIMEiTd;XK06QOGJ{&$8NWJ)mQx7Jro;fn;g9+`+<33E6RZ>ziwnv=i zY?Q=GIdFeH02p4LOCr-2LOXb{BfsMTU{}w{i}Eui&~K7Q1Vg+Rdy`MY>^za{>hHs} zY^#_>L`0rOf%U;Gk`0wtT<~ANV*aiqjERX^1JhMShLRc@@YLb2U%se=dIRJP1OlnD z2}yicpN%c21GXHLMVkao6_v7AbFIuWvFkuajktTNKU-W_09?fybkO4J_Dq1^n)=XY z3^;HQKE5XvJyE-#xJv0l_P3_NR^_->%ScP#ssF+X#^yg46cBsWyKWmoPt%vqPp2=% zTcrSU*45o9VuS%R?*;ggljG##Dz^yz>x9#ZGNOM!cYpk%#9;F!P|s40j9T83Z`;26 z`^u7#+0m`Hz~wdnkTox^AtK$XzdbAc1d{fm){Og=#jF@t?Y${4b}qTvwxBq3Re{iY zfv28|(h^sVj?gnyRC*`cpkxW(JPvNfu}sZ1%gj#3&Gvuu&~^c!iBjU6ab&1P(gKugp{D zG@LeDrCHF z-k@uscVsN0^=9I&IJa$OO25SZ47IdKc0FZxuFVULEw8X0j7^~&>K`-rC^KidXJf}= zEmH=L`w-fy$(k@ESG!6?sz*aZvr0ERdGoKM2Hu?AdHrVH;6o<#KKOc%{Cu{cTKdho zo-ztMt%E;@@iaYAX{R#*KFba-JQ|KfyB*&wzWp)CXVD~k^r!(JebN@9(?6a*f| zM6uaEbtS{X#$1`eLZ++|&d1IX_#+htjXR$xPeq!T$=jJl;M7cotU!bxA$lzWs%(|l z2#n}mo$UIo3(CHwBis+^iH%;F3LGFRZN@8_hpbfH+4@#|IA{8Y)2wbDDcK>YYBbNX z`MU$V=%=91okmtrHg##Cld|f|ksF0m249iHAxPpW-5=VIBQC79I~GNT2t%BRwdf6h zct@%Cts0LPcnZ2T5g+xQCZawci=Y7;zf!+2?DRb(Etj)YH&peE+43a35J zQM5)=zDgAGe$*P&-^V%1gEhpU%$X+k$Z{|HrA=*hU0ub^rmnSHV8{NmM}aqEUz=N7 zzegEJTeyz%WkS;*mqnHufmhV|Ai<<9x zw$Ba*?+|SIe1eE>p#6{`#AbBje83D4K9fPPGQr|Xw}#9unL>6B8nyJO@KWPT8R|=n z89iTJcnk9F^ML+@baxz)qV1Z9-U)OR-Z1*Dh+Owlz#rw0w^L1kOFLYE0s_&jE>*((Yx9Z*un?u z6|GNhDUKQndY14Q9vosu-mAnkd|35E4b#jE3e)sLd&RwpFudS#Zx_z(WPEt{m#;a& zjNWeqWEkfc8T@NulYVP92TSwYNm}cS3mdZSLkla!TU3Nlo-M|?!v3Z_I8U)=d+o(c^^t83@waL^a_WW^U67q1^_))0&(@t%ZMGeUeTcm; zg8L+PFYKna<&I{*QyT24*%6ggcZ}`Tp*ye%P<;&4c!(;i>t}r)A;_&M2%-0>i^Pmw zYW!6_>MFubk=IwtQ>OkdHlP|5ejARKisARpg68H)f0E1VE~7}CJFfC6x(2d19$olr zv#X9h42&&&%Mz9JjlZgJZe+)rzohwn)7*qOKEeCnTqGUDuSq%8>t{g)5$;Z=ph8i2 znPfo?UwM6@(5Ud{+-sl^h>0OD%rC8MX@5zh1?f+7V@MfI)imvc;~RbG zzg}^^sW~e`7A$XpPNc1zGWC&X=&NeEP@L)wq@}x~<#b@l@;;xUKnr3)YAYR}5+{rn z)g780%GXu&^HX&DqvASo@<-geG8Smj8C@NteE#9tAu3w*1s0Wt^xc!ZieQaNW1Uv< z_UKl*hq zN8k6ZqC*|MKr4#yfT9AE_Ch}%4h}NDup;9P=lDun#-uV9yk4z3f}L!*hR6)-W0c4p zQ~vewoB6Rvy@Ai@;yaE|d+e^YRrm=SL<}7&72nN7Tr02Eix~*5i`mi>RtTiS@sBTT z!f}Q_J`<94ir?TFHb}wQno)4O$H?E3*2t6q=b6wPA@Nl9M?Mb;B`%@6MX!F1BYCAU z8aGehNr?D|8ULNcp-E-IqWr-y4}%JUSfog*7Ixc%&VZU53XN}DBlHJyA`F}cp4scI zyofK%y6)`e#P5mDT(JLj5nKo{nU+4%ruBcLJ(AI7c{Rc^(T$d4s3J5$y^MHb$`=@k< zQx>+e%vydBDE%~<(CGf_!RH+E>_nN+I~f4Pq%BHQ$X_1>yrhw~7=dxyh<@)Ms?bodb zN9fNsoEHY3G_`YItI$5WRK#5z(gu|_s+=}86l9xD4v)n%giuw+!A7bkje9Ra#GiIc z`|FlX>&U!eFTxOk-gfr@I`Q}`eG8Dz2I|6DkH|w;Ej#mWrwn&J9wi!6)qvC6PaQ!e zYN^MIuV@!iCw3Fr`>u8_5#*8t$K0s2cj zPwiT+;&q8r@7V`y9vOOqOXx|!=f~@^;4BEW;GQT%+%l!h4T@4*YF2#5#}pyzx{M|2 zoWFhNxYv(8OlDsf>s0eB34gR4hj{Va)2v-q%FBj-ev`E}q>U$&biI50;C7=Gql~X- z#qrLF@`%@;k4~rFShE=4F(|}7w2e385xBm{n?zC-(*8%t2JwfUz=JaTukHw(Ljmfg z#;dJKkvtVm%6Q{_Kutd7c7}J*QBNrO;a^c^y-z6{DjhlK-AX#Veb03*H0|3JYdiB-Cq57o;-Xg z^}l)2@|2xO07H>TX-?IWFlnJZ;_(+{YHh&~C#hx4JG4g97V&JE|K!{o=Wv8b%AlrE z7W6G$d@I{4MQQjD8z+If>gLslZw+)7++EYFxkX2LI8dQ;=)sPt!YXf0QI%5`QOz2n z|0D+i@!12rARNY;c7rtJm5@`$Wx}3`Pz|FZY?$P1#X017dt`1h&1+gpRi>iiS=08e zDYC!#$+9?$(;Q#B&O>jQ=rwU3Q!!&2)Q226dL_*WH6j%CpPUd7YrXf_5kUsYZ1QZa zZuWhV8Qz0kwH2zS5?}U|M@34A?3Ro8oU+EVBgK84$isp6$I}5KFByH^JeHc@zBQzJ zH-&zf*;F?2mDnhtai6?k-Yw=YU})^t{d0|&0DTt83B~$;VuN3KpY&%4lM-b~Tz+J+~qTTy<8PCQkHmtVISmtYz7l^xc(O%JR-I^i6)tcs6P-{!x zU1|+t>mehYkDt8k0!7I9o{2X5Z6%Qr-D>{kdAqNbd|5lh-t5V#=8=3%4nZ$+VtQT- zZ_}e$BcUoxgUgiGz9o5MN$7ZeyaH4e=$4rJArrLZXpsH_jQ2*2g(a-Uk(A^4ID% z(;FQ>+nH&Y*x^QV)HPh$rPG<1DOIIY)Xog-vE40B< z5FH={F_`iPCP55(0h-**glZzG2y|quT?&Dpm|cA^4)`BYvadARWLovL1C(?YW?hLNvrcfZ%$-OV%k9*u9W zvEzBPS0cu}@(yv}KMWHmZWQ&mAOenFiD(-r6!qvK5AUTRh`4ZrTs6X#Rcd5;XvXb@o@bS_4ymnFIqG2x57|*+{ z9zKNH#GTQd)V3E)sBahmrTT-7A^&#_p)yg7sI~@Qo{rPx0Sm7cQZ=SrT_KA+phRMPQ5X|UrQDXY7 zpX^MM6f8!>IX?6Tc58KOmf^8ji(WaT{Ml67f8uNMZ02K62&(RYmjUyOe<1wk!%C(_+Tb1UEBB2 zJd}X2TnMCsE=Vgp$!~2}JaEkc=4(Zq5}fBC-xMSg(LAN((tH@Z5gf)UkDMk^za~KG zd0l``6k9|r?n)brb%=L(5fd(w=twK(ywB|Ixg7)KN7E%QMLtD&R8`mq1HP%IJWY^{ z4L+NP=v6I5Fko-?65F_yC+(J%#rn+J2^F`+p<7Jt@qV zJ;*e;RFl5QhSIDZBENs-8`iYWMME%OHt=Dn8QQoLeU0a5NALni?Bk(Zww!4ZrHGdL zvNZe(3V|P=p|!dGz{Dv(cZ!%V2%O1+-glnHcgdO}IxMIsqoCXfnL3afm$4W*e6$=L zeEQr)8kx7${Oy9=EUI5$m&uu(2$c0974q+W(ZL%fw^n4SYNB!b7{M7Sy@P>WCzXut zzkVLKEpkZNq|Y99mVU#0mrn8_+5OBJGil_a+iSA5=+;Uhkx!{cvg~0anb7q%m8B&S z37?lVXCcMsRJ&%X0&X_1`QAH88x6&JeXm#1-T%$KW2V1CKshsKCLNFpjiF6aF#Qg` zb?d#u#e#{X33GnBN@iiXFablJyf3TORIpiiJ%LM>7(VTqb7SxcpZV@+m=~vl*bu?y zND)0>>IXp=aoKnXWp9EQo_wM>+0>;zrMiX+&M|#qX>EFLOYu%8g-q9d{a!zbLam6+ z!rW>KhtQ5Jo0*@*wlX(7FIrO2%HZL(*bMMuvYmK+GyVFeES20AhEvVjYQw#z!PC=8KSocisf>zRK?~ zVP_{#sQ!u^c9)%>iAX(hN$kZ<2H{Fl_aWLJ*-!_%?n&8hF*z36$}P9)#%@b{`r&$_ zANfnkU0QTvq~Bjy#Cs&fw|%zj^?UKIM1M`zg3kFvVjMvTG2_*qdIH{B%<`yRTHyuh*PV)xaLpjZJ} zR>jyXkVWq+AXbf~Iz0HqrLausGeNL(A3@?pKUUgvso^yc9)K2cAqgmna%pnQJAAYVDcCq+NNO-; zJj=VbJG{^+l9GsH=VAI#yX2flR=W)EV!7!jRcoY4D_--v4IK!BZtyMZTF(T$ijMNvmb z#Vb4^AvdA1?NG8XjfK{GXU1F5b|SGbt^U@};b9@&ixS#XO$L|eE>s5>qDN6}WT<@w z7TtM;)OZ{_SBFi>>IhMvvuxeNrK|1Z4PH$6KZl0&9_EtTa*9A)nlHBY0%}9puO2Rm zo6?{*nYz;8xXa>>B=fwB84kOSOm@5X@rdUiC6<>hY5LEoaQ-L-#oS#^+TT<b!G<>T`nYu~V)%EfLChy(~H_x?P;&8|GUw5tjOeX4n6o*D- z^)+^SO^1Yq_z>nDoZsHm9VSv$i)&i;xSJ4-tEMn{{Jw+hsONG~Ok2rqItU>9a#)Mz zJK8KR2jWq693Q*GGhvXl&sw)CZgTR9?~np?EMQ8iljWHZn?(cD-_? z(L4(`r=weIqdD!rpIHmOT9FqN;Ya1q`x23c8gcwnnJr=(|N4F9QA@P_>?t4x( zcx`MR(ye(0$H!l~v1wcAF)V-7gqO`UK*i|oI>u{%>YtBGe1s~65Ap0$uM+lCv@eQ?eIf4^ypXO)ybqQSUkl9XifdvKDw*Vi`tfb@(Qc5obN{65EsYM4IQ zD*WDL`u&RSHGPw#dc-(7E^)9+KWq;B@PEP23y+EZjsRqnm zH9|)^0AZCF3;H!5_N9zLHlM(#0G)JN8-CR5(XCJ!<^-@@^SPW8^B&*|r}8tSTdU+S7j{n$3Kv4q*A)rjr0X>OIx6m?U&2p9Ls4D^VNt{cA{}b zCj-phhsyMSg0Y9?pSOHZ<)v7Q4z4hI@S3wo-eP3f5Y{>y116{nsf`}?JvU$XnB(5M z_ZURJqmuKJ#B#SG+9F@hZ;9i%xw*x~e4-`Su3d9m>geeaGsY&ia=XqD9)(gCO!NPz zNAa{4&7W!D;|<9yGJkO^A1c_e`{EjO%kKE}=0)h6U9~%9XgVkCD)%-B34<^epiO{d z3)R<1Bp}}*Z_N;p4a~GAX;vCsw(NM*3RKDV6a>P&Jc-{+a$5Z?2#(5@4_ucx$gYCC zu_%N-s#qC~Y@65{G;beg&SZ}4@O?G+49U5Ym}VJDXlE6gPoz84f0v(nF-eGEI0jdi z>um6Izb}4FYQi9${e(Z=h|^QE5sR1EzH>Ov+BbpopPqAPV)ypqSAXC&v4_pI(BlZh z-zVU}{JV{>LSSP0O4}Rur*9MWe~vxV-&1)S)vrF^NG<|NI#bRhg{31a@wg&0o1 zQ*HWd&u!$$36uK^J3b^$bA0X%t-f|}lOVRg&_czyEHX~djg-%c*K0`kX!E0&{Zz1a zrM(PxQgkg|xLy&-&hv``gZg<-PV9K3pk@vErY`zk(!?Qy>*fWIRS|3|Z`b~XMG-QQ z>m_~s5Rc0fA*a=Q-{^4Q9Y>9R6%fa_28A4B`D&wmfwH$8)vlS|7CePRXdUr4$xR?d zO|m%Cb~U?1rnUiVJ+*fZV{c48IVInF5W+-U%e7=s&ZF72(A=C)o@mnS2wBIVBjuDRzUbUm6uI5fcd~T!i>+CVozfm8y3vTD!WLr{6hq+jVbG5tOx(NcONxnjJl~M?gIu0lMbI z9NS0Jt@f6KUG$7r2r{&WrJ1qe)TrG{;iYPJ<9E(IAJvAH4~HnUhJ}6W&V!>l*!uth z=fvxF-VVaGbH_sH&A7#;Q(XmrlDewf2c9nEP(72j@YGAR2TAIQR1UGJkRzq0B_R7B|`vOSQ!4a-#M1Np)>2{Bakwi0So zp%+(_j7La>ny&~D4kqH|rJLt&T+PWtY<(Bgk@$pDyNW}7TB5qIIrFD35rwYfm;TIf zwWi#pt;X3&7v%eE(?JI|*HtB<>_otLb4=y~U&)zhFBy57@-(XN58X`Yb%32l-`Puk zKAwWvdh%H(vxgDj=WpVTZ{HgsX3r8u9!EE3*MuG?^xHd-n|1|k+414^!lSUrZkdYo z`cH85Tt>Gh+U*CGkeODrUu}K6an1gFt?bds`h%m3oykZTJ;W9|FVEVvLp>U*(70mf z5AdPY=_^a~|2<JY_Yp`8dNN{Q0I(|wg8u}m?B_+;mT%7r2LTm9B1n)ArSa*tHHpAFwkvYG`Dmwg(s=12)ujWZD;ljx<Cbp#p z-hJqe<+Jx)RM6sDGglFSBqDTuLL;jP0&yAtxy0C*B#wDo;Vfk_4ClLPHjK9LZOC!=Pk}N zlE5^r<)wUG9VNWWxn3swo^sEl{$*v?^Sfjy1Vic#46!yA&yY!#L`T?hhlt^3H?P-P zn%8$e+~N#~AkiYKA(HkJ^*&3NtrXwAURyVO+jaDo=sJz znM4=&efYnv6!C$yswyG>5TJ$4OIv|b_WG-DIv3ObMv`+|`r((@c651c`xr`vvq;7w zPz!V`c=HvCHV|6T4K%HHGD!~xP^&}*FP}OGtABZ>PF{qa?=1^(J0fb-uu zOBs#QthQM(Qon~vtKLB9y`A*k*97NDLsph+2DL9~(#98U>|6;No@Z27SAY7{xXa!D zN97IqaGB*b=znU__0(;rHnLL^f^JY?jt?euS%{bXsh6Aua)_6uO$UYbRK3MhAJeY( zagysq_7~b=I!cabNa8$t1~*4yPnRF!g0Q;V;V*>NL+4Z}8b@gfW^@o-K}BB{R?Iw} zY(AKif4D9Zf2~RhcL2+UNb|-PLoH!S&Bi?(*F*~}hSV|voCGtYlwdVw&JVw|0#kyf zFpG0w@`&>h|$&`}i#R1{zbu372T`IYFWE@Fm{bj&M_$ zNv1Go=IhR{ynr0dt8fqLHvuyWlok3Rds7oUc zH1M-yv2mt?O~sACC^LGJ6ba;k?NYBy;8Gs=Y6lmtRK+@S;DPXbA(%fuz-Va%oCg{L zKs>(sHLEd8M*B>Y0L7C<2iMQX>IdqTYNe_8aBCC|nlNM=w_APWGgP+w8*ZO|7IU|X zSz^9KCC73AeLQ^5>6s9CuRGSUF6k@3nMX|@JN>-R+PNW!YQ4l$*0E0l&)~+-Wh_+ai+7!4MQ-6Gn;Dt z<^C!XvCB#{o|iYh09ae^G4RjVm6pFLX zv{}TXFKD7{UR&I#T{_?+Ra~BfIC}&XrLCX`fY^g^0nZiXO~Ik5%6Ix zPmX(JuOum0Uwu7GJ+yf)*Ui7!()pBOqpXJ_F z6t=ctpo`PQ$}Z>)@mWs2Qumcv;P9sB@en0b&2F?r^1Eb@{ z_oPC1bgw2YA|Mq z@T@%lE7ER2X5IDHgKYqB4k?XWy1NX(nWPS=PkxmXEj25|H8pK~unq?^6swlCqhIoK z?}yYByF7tpeh^1%t$1fbyFGDFe7PmV->n3Ywqy5 zl*aq~;4x)~C$5Xo%7huOta|hfn1lYufSOEuW2ph!nF3)*Tw|F53$2te&*9BYcZk~P zq(;~woneuGuSOilN$m}_%1M!wZUKFQss?-yUyjYBj#1Mo1_S%XCU@b6Pq93vBq4Mm zLu(byc9TNaE{gRS)}iMQ&W29SP&xw&NTx)ECL0sRW+O&VLf9D_iWN6Wpnf}U2yJGh zfP~%izOI*`g@3tLFI1RcObi?_|1d6bk+U9=cBa?T0ZZ@qLEneqvW|L_qb0EB3sC1h zREZy<*Z4AdZ4RMF88a~d3i*2SpL2Tn*{hTIgkwOR6UNTyO9z}LqLT#PS7A@_?{Z;m zks61$D3>j2+&tQFWQ_;wqfMtBSYLPWVSO={_@Ev8x;Ps-SDzqZkEhftC&+qgB>t)F zG_f8$2|Lxtj%;1XfpZ;V0m;vW5&PI*KL0!QP;tsOHa3!yE#N^NHo0#I0WvoRMfd+R z&~yg+zM0T5#o{#1A;XBjbL(7FrV_(^r7Funrg8nsw|vYhVwzqcCFA~+dtmmxE$eN2 zV@J$yM{ENVQc?VyU})lnQ<>2pDT-Q31j!4Z$96>$dEy_3mwOI2dg7{Cfgt{y2Gj^X za9kb~A?=mp2$Z#u)pWdfg*E@a?-o~AKmY~3ztS*y^PwtTpbvEsg}ata>(AGMhVwO% zUR`45^3;WhfCbtoW;ETq=Xsd2cCy@v%`-<8mB){)UQB9<~Ye# z+~;3K(>6ruohmf^oLK0p$W*r(`tZp&MyU$@g0iOvwSkLzc8qxNK9mg$#MWJ$Uf0X& zNu!=;E`0pWx?53>39{7*je{3XaTv~8MZ8I`P;NJkJ6V%dc_<9}x7yqSN;JAdPgd6~ zQOD7(6=0T3aQfpT%g^-;cI1{l=W@NQko)>3Ce-;~*n;v@GaL1ROJT;Gq#56@&lljm z-guDckszp5J(R+Ve)y)rDjsS6rP~({VGIDNNwRa{CxQ3qG!`$9xgNsA{vgk<+qhQH z669qGUbyrGR!@HGgvpvgf`oh(ho1vaZRyw>cdBQ#>OnEE7!384qw$1RbwbE75@d_ZaDLvtIZm^Vv|K z-ecWYjqTXqfolfIgNz-2ohAyR<%?((pBrvYOidyd5rg45AfiG}5xyA4EMu7fHYdJZ zn36c90k0k+Kzoo_M#h)dG^vo4y%}OLDp}YiQ<8Sh!F4i)rU1huU0rv*hiz~GNBnKh z;z(BChTA`cJ=4Guu*$ETqu*mK@xe^=uVe(HtG^P|7Bh_b3re5D@_x;>zNGQ)T?K|W zt`d!ji3zy#KJA$)6g6KN_#0C89i8aV^!$ux4{MR3cq}YWO&YZC3<|HddT`)`%ZL%S-NU1+5@jUT zNFsoD^O#5*p=u}5WuX?|$2DUg=v-A3vPV#F@*&4Jqj5%46R-8iHp(f41=mg|oBolR z$y_*MFj|~xKXvx>i)QVe*PK(jUG=f@b0STzj9QxrnyJkQ;Y0Stlyw&qwBU;>h!^R) z{V2s(uR^2#A7yVDkmb59>^en3kWf)XQba&Sq)SRkK|-Wkq`O4Aq(lYj4n?}V8>G9t zL%O@pc){tMYoEQo^Bw+(FYv@2qwjIunWMWC))}lk36(;;k+& z61V4QTaETn0=c0~w)84dp=#?X7XHQwKF-n+o^Zt0<@&ZC)dn5|_~4orkk{Dk38B9) z$=^qR3;VPe|9j!=gt|64O@dXq)zM`+3@xmihk66$lfj)=%BBP_%w8B~i&V5cil2Ai zyz^1a?-D^~$vvYe^EYQ0Rc0{eQAU=R0c?jg>-k~;-0uy zzQ$up{gi_9cIRlnj4WlQ3&JoyX8T`0y(_S@#$q{6ZS~#-^#{Td|3eQLrQSCK`nc(% zGRqTiTIEYHMMYZNMpPWIHgMx;`;p8UrKpOs@qD)3T7>I44w0YI_|&RbYdg0;^nBBR zZZYY!OT$$uHD`y(MF0Ib#lF3Ac-@69n8w6+yy6m(-yL>evjVoLO8T|2>m^YJD|1yt zawE-JuTDmVm9sHU5}Jf9?N)^?+nTf29OCRQ8bz4mybs^HUSe)qVJJvk)uDi7n9hRq z8IQ}YoJa|)nED8}jN!+%_qMjz74sR14_IqUgmlj0*c)j)TMs>d>1EzgBfCYmw#jwO zUSiWbvX)8q_4({0Lp%%YrNU^6cUp{rvsA81H;OAn$inXKK7)MxZfn`?4fbKa8HMBW z%ORbzfhFjJUue0sw#PVG?|6j;{e>;F>`G|U>S(bYd9}DC6PNQqlx}*AsD$0*s;yox zCX0HdAK{^rgT*`trt|-^S|Mt^-X8TQKx|3tBS%y#-I&U<=Q2evwd>CkUc#G2$IabX_~_?eA2W4NxBc3)(9 zC-{UQW9{w|8#Bs|;4rb1+Ut#p>{YD_Rga<)IEH0i1%dJ0@x#ae`Gix?O0(%)HRN^u?@b2*G*!M}-Y(iNhCNq1w&PVm{K*CYzGsvW9&=ua>8(|bAhH{5SdmMd z)>F7^KyvhO4sG)!fy>`ZRmfTJvsZd-b(k_5Y`ikl#hdj*D|MkZ-}+TLPHxrtBWG*e z_G%Z~eV}@=q8W__!?-ez{LcIuyTaXpnKvuns}}8l(b(2p`z1wnAM7)m50Di%cJMQ; zKNe|>SnKm86I@GwviGFw)E{{_bi#`Qg31#PoBP%Wm5UQ@R?g#J@*S|omN%Ha>u8AS z->eO_3%9v^KBfQe5z>ZBLw1Ykk%8lC85as&2(5V!=U#o&50bCbR~WMKwsU)E4pR6F z=qGNXlqt+EY0cgmUi_u_e9mB&$PzhC;j2ldl`q%KfTcsBbBnOH%GEI&GoveMp<>3B z!^kp~BgL7)`{-#tX8AW)M5;{|9fX{B>94ZrEyKiu z+fnUo_G2g+IjXE|Yp{TETCe!iQ_aYwMPPMY*BGiZ3GaL!5m9g$t&g?g-9w%Bu zX^pcPvCHs`i-%`2n+isH;S^rI(zJeUa8&kzp4#hip7b`?0P~iNE;Ce^aFkJ5^kNKQ zCk}x$=fkUuZ=|XPWxFiVL{(%iP^5EQtV?0JghJhqbl5ul*P(EWqd*uK*dpSoz+Ro;N9<7l&I|`-gnm4W={C#51E1^3iPMxcFl=*T7+%uqn2lP1{RwW+eG;;_za#suvI#ObQ{3{R)(AduwAMlgGyylU|z z{DJ^gn{%nwl2e<=(6R>-4w2(m%%KYu)J<-qy;b2(HpJH)#(UJnuNvLPxm6im#r^B( z>*lFbnA4#W^VOCpJb~NqjcLA8n;NThB+JWl?c}~A=t7NTF~4Yv0=`~wk+Bw?C_|KE zvn-91PYw&JDKYknzHcr)<(u~vCR521HILcH@tt)0K|I9q-9Sj!NyrR(t$@i_ zinu3@1VRL#Fjz*>l=NQ|5fM?A-7*wBKvU#e<*zq0y)l!kAJzBkHJ&1g@KJLmA+&p5DAL|HN@@D&Rz*j3#~W#2jq zUh#ThWIw4fQyQxZt^Jn#F~@KYOR)kr;(adc8<6`k>A;bd zG)FIEe9vh+p7@vdKKhE&hWnh`Gom)u%?zGjBVq;BAMB(_heM3Zku0R%csU)i7#jqy zMz`cnvto7;1X~(Y&^Oz<*{r(dViHg>(W@3@KlL*c#8jNpdP&Y2{1C%$7hRT-xOmuU zjv@U9)bNt+CGw{6c&*r* zbivDOTu_q5F)HjT@G1HGas96D7ZXXy9j@(9(t?UV5?P+Zy()dxqQ`^tY$K}a|8%?M zUF^+XvZh+EBx-*$+D0OQSJIM#Y{8@|H04Ii{A`xhK5EV8tUg$cD0KH)iP`~SJ49<* zRE@aHjB@U%fpvG8Olqxo7t{SE6Va7|`MV`=>inDpR?@BI3I{avtx_vc1{v`z0<4pQFHO zNlS2s^EfP8gYgVrEd9G~$HKu=<4`5#;Lsow+aip+lG1t|vc_&!x8Sy2Nyb{{@b9QY z!M7`AG};fpaK^67mIq{H#2^oTwN0;;U4j?H2Px{IBt$wazf>o?QL7HO{xP;@@4bp| za3&0WI(2`E2~DMG#qk^kW*_m*fi!#hQV1#i%CHw7FVdWtu&|^M&qwJ$ra+GBQ{J5n zmUxB*{EPGGC~5e#A?x!isU}{c%H`UK;KfLjkn+E~%S-W>n+%YdpG$C!*|C{(Fe{j!tH7e1kCc6x!n)(0%R+@>t$)uMiC z7j|!zCjFdNc5bh;JnV0WIik%ZO*xGrdK!l1Pw!M|Cm3AxP4KGk#it?YD>|l6{Cpyi zLi&GgzcaF2{+i~hNnV}Pre}Az3t!3oy(<%h#1-72eyMD7Z{l$s@8v$cklOL5_xcF; zJ$q3I3X&?zpS1|v+}3=2OrAn{K&r?xq4Gw0@LE0i=o4vuZpDVYve(mp=5nOXnJl{} zQ-@;@kHOpSB!%>67>TLtQGG8wn00ZiwI?eX8=k3&7&X>n63_wie2_4Rr1Wep}9ma2P7G` zH9y4_p6W3P;cI0Z2QR&C?AP^el_5tM72>_rO63mqq{5?^Ux~ObhqPHv$NJF7a!!p; z+>q6)DC}s~^$!ZDfJUUM+AH>N$M7dwT@)#ZrYm~h&faR?hp5d9|=+@LKaQ z$p;UDLpU0@M5v{D^4vI&~5vT*T*DlGw*4abpO zpWK=a7I|gZ;gTCxnGCWGd3QBMoJ`e|YRsxIB9*@!+O3cY7|pJxr0O{`EqjdMsu{J> z&9W&$n{>rH5)Ey}Dt0+p;G;{R5(^bNI*f?5>g9aIVYF zNc(Nfv8M!drO)@f5TWJC;h)|iUll0E(9#cnO0D%d954>gz2T}o3h!wMpBCQp+>6rI!JdELs!Aj^8(oaoGd^}5(J)U?5Td~!d)?l%Uq34+iEind@O9v|kprdA z3R37y`zP`}#K1mxkwGiGI{y@6S1CX3zdS^o{5!aiL;0Wp<_YNJhi0TG?F$6NQj_jL8opGr={#&DIi`->-9A zcJe87Gbu<1BiCggHOyw|YmQY4#zb$Gomg;B0v8^r0CZdy0#mG;vrM&~xF}W*83eVL zL(u0kw{y4Khgn@ct|@n%1(mO8a@)UdwrU26T=dw2_6?`=qL-qTMx#@5lR}_9mNda0 zZTRu1Lq$%HGs)LBi#PHg45mK$AJgMQl2T^cwu+HG&Bu7eb-UMf`p8Wt>V}+jHOTHn z4hGGO)wA&{5wC{tU>+t9l~3-h3?VV=E8ga&7hlih$tV@wQ_Ps#Z{D!bqlp)ZLN85n zWgtp*7aKbWiEoQ%P_~T2PvIk7)E%KWqY2Kr8rTM>li)bSUam3nn>L3VmpDm>v)$Nu zX$pVtJC&PQmhWRGtt!~Pi9}LiERinA-J{38F-yfyVdz*V8t#TY8&lQ3|D~A&Wx{KT zpx&o}=nb;}s$g@9o8JUSknrR&)21raXsa*|YdHyCPUF}d@ns)uds2!LCfF_RKtM~lxn*Goi9ocb6pNwzn3Ht*r!hQjRzGu zpn456-QX--60DDLeMnERayV~iw&HBjR)lXqVWHxvvOgp9Mo1TJTCdR_QMVPwzO!?& ze|y_1Bk6=ixPb+|xHS9Xd*X038!tr^72GIfl?dOz#)2~m%UI1&mZCDSS6C!3>*0%4 zrKPvIOWZbcpUA6A9$h0~53?lk4+uaoTauC*hdv^Ue(ai@F5s>HIaH7KcZtKjyg%g- zF2}O?RjIJWz-mU=)h=u{e|{F6+y9jo&O>bC>a`$V@A=uuBH1c`WM+=a!=u3b;tpqt z2^SVB(X_u!Ztg1+T!i;*WNjtzMA@gRj0)$FDMVW4%9SIE!x*C8FjP<6N5YF2t(1*t z=uavph_p4kakNdpIRVg@jp5>h*s7@AP?T(}z-LB!usI8un#EZ6IU39eX4&quuF+fD zBQcdX6`98|EXk~LcZI^%6d2^{bu-o@AryG$Lcz)US4eFAKoC-4xkq6WYW8jEkT3Lq ziM`=uF{(_w*gwDAnz5PVcxKTQo*CF>%q(9`0;e8b?o-_N99H~1V~s0u+tyA)Gp^bo z+0Ye*&i=1Ckpq(9TyxB6<~N&CwTw#k)$BvF;L{~v(Z7|AXNF#2Eu-gDcqpj%Lg&&; znM+N|igpvd6eO+JLhF@HzN<=)e{n_}tWI@m)(Vyr+*zpjj`XdNJYREJw|=aUjV_<( z>`nPO%n5CG<_*2AJ{v1_ogTI~Ywh`U-UW->g~ts;zazrp4ukpy0+s?U0-9e`dpi~O z8K>X!A;Gk_j1w|BX`Ow%IHH6wm?Un$%qMcgXo(+J;2Cp<(uqZ8Blja^?M<7d7CYNL z{E)H5){=*QcWk+;l_y{1&3o4SViaO3Qp`qPwH0G*aH67>@gJdVnIbH(ZlPb(Z~nG6 zVp>42y7dsFN-M-eInR9+b>GmNmBfoD><(KQNao0>a@Q`ptcg9j#W$y!loN+$OqHo2 zC?sanOfr4A#in{5OZm%g2KeJ#CzHe^7F>m3{I2Dir&p$3EvhQk9EI2+@>f;Esqa=; zm8+OXPf8Z%WGbmomOdLFb+3ER4;j~igIXjgv1zh5T0*rJ??8a!*R^@qf=aG1^@6Bk z9{x^5DCS|?AhK3E>!q%p!0I0@@v1`noF!?Cvbb`b)kC8nFIyJ7vihmSJE^>e&>(bX z+2_N9+~xJ4&cAc_A9sY^XJ==x5aGxPO~oJ%g)bovH@k59MosQVb@tmO+=PS$OmR(g zpk*waG;pQix_&@(NV-g{$5W8&4WH@jqSBJNZ9mKt;WF0n*~FjYu-{OgtzdkS=Cp3~ zZ2zFKvC(lz<`f4RT#0RkUljuZW)IV~yO_*I5(e;EKdq!7OOUVlBWpRgk0qF_Fn@3k zxp8ixOW0^Pe{nsG*92JY5AG-u2A|SNZfq!&Y)v7AaI6<2(=#yOckFNnHgA0P=g&iu zsasZAT|u0sM4SV+NGsgYv=_K8GvFM{(El==Sl=4ds5edGwa-#>shG9~VE^$;f5vY` zK5C^%`>=V~vuEhN&)rJOM4tyvLON*K5U7z5*f=_(DzRELWKrEg#1d+17z(Hsuho=` zertg<`)R}S_YMv4i~Va|bg=Jsv_y?pY!k_jjm5N zaF|X*D@*dxO#$K#>hT) zaz%MaEpASExBu~87gw9x$N?GmCUrtd($D5QOUC1P{QUe+8O(6Q6!qz~BcKLO672js z(_L`E3#bJc~bAEf=+8dmdRh^}%tX7g0sME?h4| z+lRC#OMW9bd3;ybSaD`<)z#g!w<3J$vhh%DzUtsYnfIb%PINM$l!bTm(^UxK#}l^3(i4Om)II6{UU4LS zO0tUiVQFaEU%h@TPmehTYCc^1?6yZ8+>#INkq~DHn{h35Mg~4N{R*er-!`g{Svl6y z9&_(tr(RMhli!!0T^(z|OeT0uD9y5#TYb2%J87hBf9Q3nz))rI^^~3@`*!Ilq;;D6 zbMkM3B>_QatDz_L;*E7hT9H>z+=iTz%flU5@{P$S)C{aiL)DSyHVZLVS&UCzX)zUL zW|c{1PcRjAX7T8W8JnC|zp+rd4c#!3z#HwfevNnXgSIymjx*&OnCQ@!#Lsc8W%t?P zm}2=yd7V6*HY6|gwRyhcZhDwAG+{Bik9ou$ME#6&A#f$X0$P*sw66JhB324>xF7xQ zY&G#P*G0}jBa-kehO35SD#-YuqPYd6@dRGMrKp_!Hgron2k5f0uaO6cI3?B>JaId+ zR#8XM$5na{cUQlXM?1Ms-De5dd3tV5f=M~~!u@k#<706l(k-9!5HCN2p5O293J|k# zrt}K**3F=o$dr)W^RI+LI?(K;RX%#(Alcsy0>V4SW7tPkRYqxFzI@?v$K=E5s6bjb zJ~`-8rub6>O`sFY7MY?@j$BkeHl)zS6kln~Rh*d^JA-{K7^Bt6S~Oy6r3JE(I{oh(Gd0MIa~4hrk6Gmn*ICDF%l zpJH$xRmt{e9=@;~;rTJX?ST82gXx&UnuVB`n=?h4V~EYh-L{6Yi{U*3Os6)a?_r4` zGn$&2dv~T$eY;TS2MXfPcoSx7J?7^%_q0=tXs^7koKdJy}fl!2=gv1 z>ZvLzF{UJ@InMA6y?NfMC>vaUCoqV>vH7Xwo!~{E*{89EL^@;m2GmefeT6ntP{-1o z%I}woiD3z3QHug27UvMwm^76wRy5X|C0*JHy{;vPGJDpI!bT|rip_d zut)COY*MQJ@~;g{QIu7bzAQ&rN1=5iJG~!YAIZj-qc)@_9BV+0sk9?dI$}r}HXS`K z*xHBIA36S+3{{~YYWZI6oUt7?&x{b`F9vNUAOJ>gt5T;7<1eXr@enf%6IzqV*=&*^ z26@(kbbkz=HkK?wTw3})FlBOba;mDh4?iMf*7B@9V^_TX=TQ8;6~qBusMIe&-Ay;s zzmku!qenFxie~eTM04$Ec1+w#p(#!T|9jM-bMT7UD~M0@-Q5y%CZoS+qyJdfqzeiS z>V=VwP}qERzWk!UV>o&EkV&QQh#`vdW4Hph7U6LArLVLyNt5%tc$+E|1z3Nm@b6U#iJ;1yoNXF5HtgA1A{R)jdLNeX z?pS;L#NCX7N-9n1>YRiUoY1k`Z@JoncX^qJ`MI!*RP{nuhi5W+RXve*zfO%ZHwW=% zcj`y`^ACyY1n0!k;*80cMD(yaFOQVpZdGExyJvobD^!P`w7v-sXr$$iXaokzf&8d!3{b&fm8*5eN$dYr$#Ro8hd~fFO!=?G|333 zDNV@Iz6n763Z5F2ijf{H*6tot!8aG>Kj7h_qwD6_@(9xyUm(S^{CMx5QxufntNE_l z1oA?T*3MN8`d}4Pbwta{QzXTjPcFyzucwjALt~678J1c3mnDac@`Iz4qPaOt(5oz` zG$eznCz`f*upL(~0(zgVCovyYqU$aJUYmJ?1dg^*y+~Q%+Nbb zS5GfFDe2Sa&$Q$SI)seOoR{{v=+PCFaTm_a!=?hUWsR}6&CWw*REx&1~A zK3eX^UX!_jXu8H>_hS2<_Y zp>0&?v=fN?an*ciuIKOiqi6%D+y9fStqBl-HtK6(Rbz0~lqr0DN7ZH~cH21dr8#Tb92ipUN2h-@LVmjY73yRG;T+&yZHndeOh%ih%9? z<57b@Bjj?;r)#t~V`%Qr6#VM}V*f1NDazz54#^i2on%&A`M| z`yaz$`rog)`cKN;r65bkNUwi+vuM54`Y`3GvW(2{-U=V{{@u6jnhevj0YI$M*8zd z+#mD4mc9JvBLA$5Dy%J~KO*JNguxZ;+et<-{x#Bn{zUusS))1&{D1%U-#;DX99-x9 z=eB>otHSy_4EgtOp8xflGv>OXb#}w(`OaGsjIr@fZIPhObw0(G(V3p~A8Bp#VyPT;%7TrJ3 zMdCvIuPU#9{ow=(A<%TJLi{cmV88V~q*KQMME^N3=YMJt zjtTtQ*ZMZ0-xwnUWpIuA z zNyh(uC3&t&X$DNzX7gXG88wxsIA=jg#T)<8h86LaX*B2Cq~?~8J1C1s|CE`Z-RL9b z6*ImcHPEi|je9=90qcWUlh}6S$b-#p4{4qzzs?PYpEe~3t@-7DuCSV0;PV-H1RC?3 zn{WG&3Lwa}4yPxj$Tqy;+E!>g5fl^@bB@)|-Fdh_N~0^sSYiG=4JtkK`Wh`y%q5C) zJ$*Tvy!#b*`iCnIK7F`*_fd=Wt((^~92F&RUVEkUsN~tT`>0pA6TauSUqp3r*;2mZ zGCPCz>#|Ebwtbt?*H(*R5vJ@gk@w8|C8zazyUoz>TdUP@c8Q&>ZG8&pF(y0i94A zBiW%_^KE>5d_uyAz`z}VWoT+>%uG)YyEQ@g?OLGH%(N45+Ohy67c4&xj$>G&A>?%z z3hr-Xa#(LAlqf)x+i3$zqfrEEc_8X|PbKm4)!#@RS@9#fDPIvBxUFMxmrBQ8x}b~4 zqti}cOy7iAfHVcS0@MjmVOTEo#Z@r^2=p;= z9#8{}cN`pj)BK~Nh{f^Xy3f)+$!RKPH53tMxg+{aTagG=k0jpNh2=9TOoF#jF_4Lp z(E_lK8#ivy(a`}l@_p6x{R=@R+yYm-y)70yehADMg!%eb5i*8<{(=DAJX`sF#fRrt zENoo-(a41NAmBCgy%f5fPVt_ydfJfca|1ZbC+1KfnFJ z1`nbAy}fg;Q1HXi5R(S-#V7SdXmI&y08-`0ttdP+BM32xkBMQi2}D0^cn;JVzeGwW zJjX=|C%iggi*9dbBYu9j>{EPyMRj&WKlSE-gysTuKl9t_XT9OKQdBxvw4ztsEGuFj z9J<*ylpG?Mr26{$K*EMz=MfzAZpYByp1e+cHmOe7N-W$C1MeB9b-Cd68`6eF#n80vBb$ZXw zK^;qWcJ^{)wQ|wB`TTM=V45S%)z?CaZ6YQdMq!CXY9&LVrK8KzE)#z7;>DFKR}l0O z=vfc#m|1l?W2`o3mq&_aG#g!uly>h4sDe$LWd=qmL4Qgk$xH<@m5J>pS=|=wBO)My zWN9>Yc6Ki5@gADa!^Q!?2w)B-$B4q$wflD0Ym_(UYX@UXx`6ejO~gSTe;rS2SWmE+2k=Q zz;xqt*_RNC=`&LhnSEt}29f0L7 zTyQW=Y%Ty6Ei~Rn5EHH&1?gv{eO*G(9x6^@EL(HVIFGkr6q|typicMhS34>;Ip7Se z+rK@hlFvy1T3=9*4Df`YnfX*gLzX#Ulh&umVWugVU%Lxbevc%lMl+h#4ks_Bbf?F&-svc2eo?XM?9seIn^d9Sh? z;$mWIrbTv2)R<)y&zHy^F|6Z|Pn{pVyxvPf*c}zy_H+Y)p%5T>js}Fv6M&4e>SpOH zy?W(6c;I^V_L83JV2;khOGj8DbvvorHcDpwaXQwE#bc^?lb08PG%? zKNjXV0gT`XnUM38UJW!r?@`8MG5%I|1PrlTl+yki0KsVPW%B4WetL$baOAqBtEtFA zIyT4-J=)EMx5V-I{O0r4vKrnmbU#c-{~-im2DNkp z0fwXy2A{?XVh;J1*UGcksK5VQpiRY=jOR z2`z!EbQXcCJ3ktL{go5O5bV=np5oE#V8xfM)fTAs=<`M@wFAHlpQ_b<2@b|(%S-cl zUsY8FXe2slxep4|-NmK8X3R@w`H46lfr@jT3}<7vG8#HMgF<(qnO3egFa`NTJJ(u# zy8<@0x5>`H5_>8nTD3hOoovfI*?}Cg@G=%q7P_v#hj$jBr^Ljo{Zu$F&z1V=;o&U| zb9`rQKQr>yW&9K~OMiY?1k%9~5y#toD#;t52|`m%j}Nv1smg3PEPF`E2cZt&wP_6O zfwtfRv>HHGbQm=vpAfEe#ebcNpoS*=4s!=#p8y&NK)M{=p2np*d}x&4w*a^aAwfXV zNJb$9$3n3A=$p1}4!JyiIS@%b5(A$qPx|A%qZt6k+|zd%#L7^)L%GOeBhemA8KqQG z%<15Fz=Q#+q;RHZ>$bAxbE*D(qcJ#;1o+j&)YpLX1)6M|{w=#>0CZ;ovu$C1W2R}j z|3I*dY!hV1h9HjVY(E1sWKINYjP$y;@WhEO#IFL^Htsvwl{Ati4L= zVBizNY!pdw0ALKmv9i*P{GW;lyW=h>$y>VqZnzxQIgcCwd%QIRaBJwRXaw@4q_i{) z=&j#*++qDRsXYX!L`R>$DCh35S&}A@gaAU?6(fjkHJG8wvy6g!@W8XgUV;_?YpK^m zs2Xu*67?mCZ)G~1+9$rs))eWP0}YfA2m0!*KwEC)W7&*n)|9t^wl_C36Z_uB=X|Wo z4i+f48M#3p8OV#1H(_xdWxS_4vd3PY2jGh}Q2p$Vfk^`qDi)Ks02C6E^F}M|C8pQ> zQuHCM{FBjQuSVbe;!Ee#qdBfz&E+x2EK%KlMo2xvCmA) zJY{mGPyd#~mYTz0D(=Gp(OkWKCSN^&e&rBD!m#qZE71%WFJ2@V%A&-jQ~zjfPAQZ2 zc*%hFOxbVAFh~j?F_RAoi-xe|yVt+`&aYGdr3^Od>tFNpIyjZzW?NwlZDDA^#JKCX z2%s+p{r>i*rewfM0oJ-x*B&A4{aQX$q&FIIYzgLGAEK3><=KLsG) z`z^&q545RYBgMNwZ>jxCOxpb2^MH zp7dN)_*Iuv$V0_-;jnC)FEkn_A}Z7Aii6D6($dn96|au0W>M}#ht%h;TO*Bv)#7*_ z9v+X5;|IQC`9rtvq}Z(V$3a4Eu_hrijnk}sJ&gOBNCAcU`eaX@w3;gQ{Kd%1rBl=q z%WemJv!3L`^*UlHSH)F%of3CxnzM=g*lDbS8D-Z7@(C6nT{%8zj8B?tYimGUW0nlX zngLR;&R|ZWa5yacsl~-&%k@d93qRQ3#~|iZluuA9-T_S`B@#l#q}yHJ)g|$$3D>Xj z7Ujltmntrocb6M#o5-l?lY(2AkB8aT76M;h`I zXTqG6Tr4t08o|Ax3Icw2LM*Jsj6^xa=J>53S&wX@D=I2%DFN3eLf&@JoV7fd>xVgw z7podF-}~l7K8%G!=m)SLljOm@Z@xz%y5Q^l1UTp_PZ)ti)&qK47aJk`#KM?bvh17( zo`GbEYiyAY!bse3C$^Uj(DsmQ=>~{l8PV+LLIjBQ&7IiqwmNi8_Zz2Wu;+GgtYLNl zf+-dz(NrQE*AH-5g#zR6`Y)49-u^^mv>;;8e#OhnD=O-B6X47C03&++Yhr>VIU$s8 zKyqKedh1uFW(%GWd z!z4`7O;gBU`Q-5)Uv!G2Z+9B7yZ|5*H#W{_Ce}DoDYqZ_Ju~&+CA;Q;PKB@Xl6+8? zD#TggNl#j1TR5u(Wp`R*(+P8Ox!vLW+!y?=8pq(c;}|ajf>MeMj7K)`KG%bET+ihc zLJsRzF5wUgf!8E&jlh9-KOTF`s`OArMdf@hPFaD53UE;{t^0hdI05$aNH686Wt%7| zFvQSvIz2a_>j%T@*RR!$5<(GlO8btZ{Y|NT$G?!aW&p$j(n97l@Uri*#Q^qeVO}fB zE-qb%9bX&tsML44-N%4Kq*9Q6#C-4oV~T^}!`WOsgQ7Ciy`>=p+^?GMax!p@*zG`U z9UmP5I&~{RLqDMr#F?GS9E_0o4CrSG#&TdgO3?w$3dH3v{6a8&QVeN?ra-uM&ho?! zQ_^(-zq44Fs0FXP1k_}bE3jvRspv+{?8Ja${K$;oj*V%%PTF-#q)I}JL!+)n?&XWn z#npQ`Y}oaj-DtVV8+7C?3r&|4kB6hdvZljs%P&h+AZE8TPE&Eb>2f}v?UUTM#b^UL(KN!@hLT>5Z5d&H?v08LFz56;5Ugen*uBQ~@5&NloKbR#yzujza=`g#E zGmOn5O?bV#3-lw(<;x*b_MkwzJ7Msc+Y?pF%N~J=UnkW9-0}opuxext8T!~%X7OT4 zz*e!@`t^P67ckquw^c`H0tK~X?jTZsFsH$kvi49dnfrizVmW_IT4G*tRlz$5EUKhj z9BO6#!gmu@uDUu9X36EIl$rSnK0$h5qcGRlL7w69e0)?M>Fdg;79O4Bdw7}Z4L5o| z3}6M`+)t5s3G`-diEP{K>36?9tm(B?kDMdYP>?a=$?xH+07C_wRjOu7C?sEi<*8I= zTiAGo&1_cK$jFFv>~K1)ycd)2TC8d!NPNuz4T=Ol*niU5Z@2M3gHTOZEPSo92}rR= z6bVahiVDFDI=+uGAL1vhKfvJoTurkWDZ;_<@!t#8rpg6X_sJ0g9M}a4)O>l6k&^PI ziri;&%ay{pEsGdSpmQlR?!zxgiWn?JEUpskf9hm;_sje1*ET@3b{CoJf#XI^O&zkt ztlz%|5{gEz_k}-y8ApC6w!-gG1W?!OY|ZR}9COIH$>=p)&ry72^Nh+4nA*AAi!$rU zl8LVy-=$w(jwh5}7%JM177rQ$F6?(qk z33t!v!Y99n5-%i1rw0(P$#hLS3q5)u`xXv=fTkh>U^|#YOu_R^V@J8~jfLRUJGsc? z#qy0tO5MbzA_yNP)qy2Pm&^o|+1&caYxmgM6$A7v0&-yZkbW?H*=@f&i@4P@WpO-} zkRxqhebe5WOodahw#6czl5NJ3W+*{nlC|{#JiYo8{oU^EJj0z*@Mi$mTmM)GpwSD= zSlbIdU3E`BPE@-mH-eM7)8Z+dAO$CA>=k;#8TA5Z_z~KkU5FIolPoNV z4BjjjCg{Hlt~oqrQut%giRCkgdSEXl+3lleCJyxX_e0nsA>o0}*uW|Fa1SD|cIp+5 z-XA38X>%5MbTG9%;|_Xg=8o@aK-0CTuF4+Qun;43K&J9HiFJR14^!RmT*&kbjHjyh831h+vx#1PuGL~y~ z3=F+yY39*QTbs8fTzP|~HWmQdEg6ZS*YP67VAAh@B@zxZuAi|EXy;@pWy^`nO|gTQ z_l(Mqr761&E$^z;rkq6ceeRA1q91M|7~)#Z!QX{W*1tM{R>rk*+Q$Q@Sl0|<6qq`% zP-#uxID-=jTKPL3>Xj=r3Wy!|kXj<{O9_gBuEfrJAt51v1_}#*fzV9>?Y;#$1=2!F zMXxNz${FSSs9{1J54J(}22xP|sP#*!!;`~RD*ViOq9nuHu_W10+xnZEk zY7kP@X({L6oA>);bUTUT)!JxZJBzzIYWTZ78qnHM!FD&=;yT^`8vdz?b>BhwwqvEg3e6Q!hdR_iTo zDZ}?yuZ39~#!}?%)JdCOQU44vL3b?TR^tSXra&FDxhG0RynK8!@*+FU@Kil&cjQ4( z1|A?x!P5A2@?*(-vV_;MLY9^V(xU)^&Gi7svol5Gj;3x$id^>N#U@;)WSAR>;HaM_ z_bOY@lesTdeC0fN!MF$V{&oqYNP-6vely7UY;dl6xPj2PHM4;utzdgFA8%klKXM<; zdoZaRPHznN>R_95-lae`wZ4n>B})7}Onf#m;Qq4iT7Y%ip2Tr_w3!rIUS6(2%B%BW zu=Jj5GyBYC`Q8ru$@XH4)vu=X<_nV9q5N4+PicgzEwM1}op}Qf!1}lqz1~D!4%0AL zDC0J)Gyz~x9Vt4^$iV$=8J&@y%3{n zl25uEqSYY(IveyrrzpVCK@{~>OlQ^+`I-0Jlam?JPRlkAkp{p01c1jr5A%eVA@W!R z)>^>6BmzjO(gc|fsX~3q`}cW?FTO3Av)ZolI_cL1>&5kEEJ=~YYMLGW_{0RQ)y6dV zMiB0TGi>^!C04+S1AHWH@heC$XiJqtTmoWSfnI{gz}#cs8+T5!Z4RbA5YYx*3ksjI zB`!W*fS5vy-R1h02}Cw?^-I5{~|Qu6NVn31#rR5uL@_gFhKX&@PNC-@}kOWXfyCy^S> z(s*fC$dtdkxtU$;j(i>gT#0oo95Rb7kIo$ConhV|sS#J`NZ_S#(PjYz?-eX8>Msv4 zu0y5+{Mv`RYikK$@icN+Pt{`Fxle~3RD}p6B!FKf&j2AH4tod<^(-K^W|q1~Xm($c z)Jv*Vne@nRSUN?`mwG&(ZYeaI=RK(|t5i`RfoBqyG<4TyOT4yCaV!Yh*M9+KWD z5NcS1^_GHjXVprXBl8Cc!D0&|ZfdlTD8fisc)NASTom z2{chiD@c{2E+^UUZ_M;K10Gmsd$oAA%+b+NadFQ2)>v=qX9!P+l@hdoJ?FU;3sK1F zq9XFgkDGrHwei3WV78XX_CF>7WLka(kglC8ZQ>BV0a=Sb()W~Chb0^*L3K?s{?$tC zb7>}+rvg%Ut=e3oSX$dXV<{MKam~+JSy>>&H3j_LG*0B%ZoX!c>&R3SV02f6fL2)m z>Aia}Rk0fp7NdnAw-7#8>fyAXSg5(MCatbGz+zMLbtIVDYd!Ik8?%^mxCgeNR^?lx zLauIXIu}FZ}K1O>$3nejjl2UTp{onr0Th0cY;jd zG#qA0buB1hNkn8SfN5T3Q-A0J~{Ee-9|XiIMdTB9#7~JHI-3 z$g6ZIv!Vxod6V$i+S-Dwj4_&k2!0mpyobBH04T*+dk|p_wkzrB7X0+y1-)S#3VYYhU^jYE4EOFrMQ z)M8aR`v3tsPr9qdl8%W#R&-C`J6OA#+fhIQ|Mu-J>7f?*c!kJ+Y2Ukd?@NvvAI$Xw zf-&0$t+*{|;$;&sL&1WA#)8%xoMb{jXcD?9Osn2Zmqd4exO|lW|L@6u=ssrFV|~Uf zPETSq7~BHkFJJhMN7Gq8PJvV$PZ~Uw?+~x%-04@-#Vdrtwx!eydEVnf&%m$+no))f z-iNv*g_&h1up$x~W4+`g5X#XEVd@kFU7R&+ zlMyy|fBjFg|-He6u3*R`1^BL`6m2Y>nb_=!_AB zX#6qQMKB35>M*GXI5BanV^m5-TR`>J%>yQ|K(yslZDHfyA60lSo^MJ6L z_onjVL!UmwUe%DpCSkci(Ga>BnE7MK9JkoJsbEo_V5KA_emh~}4AoDdhwR^fj(dJfxb`#nM2_*T> z^`2ill$I%~cJDGK~|1{mq`<44%}O$wYhJt5v4!Db;^1mQ*p z*(W2JYIPu*)J-1=s04(AQI2pRC5%Fr(iQSs_wv-09e{O>h+J>HO+8Jy2elj!x#^^w z9|E&t=~toJp;|kvYS6Y~{`{G@RVSB}`ys)_|GD!8M7_R#wb(gzndBG6{c@kfMBN|x zpP|YJ4P|b&x$1DL(4z<`9+0L3IXV(&4sJTE_8h~J1Blx|c;9Mo!aepf78aHr1i$w+ zQs>fhrC|7$yA%DkA9zBv;YBVY6)^Sb7A26R2U0GF@IaVaT*`i?rvZ67YemQ| zgp@;`lbwX5a=`8#NxWzT+SRKsMMcSlkkUM0i0o1kbtT%9OQ)zmJ&&B(AS+xJkAq11 zk9$AxJoOF0fMsRaIuT;B3I0@yZsYzY$efsa@nGg`Lc~4+t2a7oiJ*`W%7iqUgM))}gOEn~GVuG>Cx2#1D<|Ww zs-}HBbGFytup?9_ozN7hC(!QR&1Eycz&UnzbK@5f7~-&@Vz;-qhoxf(amx2|O)%&- zR#v%2WA+=<4G47k>9>n?^Z`>3BqVn|J0ZHCU-YRQ0{2?Gm%ZxNd%DV`g+xR|UcB&* zMcf-J+P7F_5 zL~Ne3*L?o-ZkT?iz|Jf9%bQrWX8CiUp!9=W;1onIcs`)8VOq27u=}g9v9W)U_aQ_m zjcOIpXCaJt0O3@jhAP*q8vL_Mm_^_0TSUUeo|2XBm8sYvql^JhpR8xCag)jDU}%*o#)C7roKPDWN} z?vMP6`7I;TQEHAATghNG>x3@REa7c6` zZ_hxN#s#aV`q6gr@Oh0V1Dc??7W)LjWw`9WZe;oUM%d8|LUh|NQ9}k@K>Q*jHzH|? zeN@E{@kYLUnNL7q^CecrWx`&;J|8TFfW>JjGip8Idc}UrycWAv9Ip5Zy#P{LRnqKP z4LC+;)eg^0xc&K2<2NGjlan_}z60$7hmWY=kiWX=dG+=aum#?L=X(A6byQT;g&?8} zii+~`q3>^F*zZVKe&N@FjGf~H#722$vffZzag#Oth1;eqDIPRCF;r~;~Iu!S@* zK9fF+@puKeX4J|hFWjyN-E-%`{6rAi-rf!~vN}@C48{;Ar?O@oqT;1=2mLO!4tXN^ zhM|bC@bnWr*WZDb9ple%8z(4-_;P-mz>l334kBH~1Em6Ai9El2K}SOyEHs+~s{zt; zV0Gn!%j)28szLrzB=Gx-U%Pn(7g?{FvOwId7_=qC=n&fr+ED)dNe1tK#Bka1szl`- zc-hR%%#C0pKeVv($v=y;Ul3_%{_>JZPKM`f<@;aa)p;gPzwSpN)|eK!FRHDrZEU;- zF|qfj8n?rF*)Ex~fH7$?Uf~3RC4LBbzMK7XjSo&vO~Kaa>gt-I?;RlCA-i~9 zBggh1l~B*tdHj*L>}h@W_h1Eyg|nC(?X5SL6S(?myFbHpC%DPVVCvZIW4dGc*995g zT5Ony3j3dI^iKxVM=lTWAOvU0bwq6B6ZUyR^YUwbMAcev>bcAH6BiNi_{MTxSo9#_ zZQvcKG+Ft0KD4!Ga_J{jhQW0m)oz9%N~sXba{TrAPds2~{!T%_$FK;lpXKdtQowdS zIyyT0t+O9o-~;Cko+jM;tUT!Kvw|mxF-0stgpYalWvqnIp^lSKMECbc<3;~p_xe}q zUmsN1T)6N+wB8a?FL(B(c>DYnCzKIyarRYV{(DT$!$xPH{ja}PXyjje4Sq}*)@tyt zcM&}+3VT4L|1_Uu3ibS&AeAnH)Rlc>3c}Ri62ek(X88ZQe)!og8DClQ==8qzuWOx; z%h~65|GCx!>$8`#5ICFCvpb9vo&D8{*T075_eZUf4>07M{`ve>4g6|~#8k^bX@&@_ zI!nvF)$-GoC9eu(KGsfLk_SXvt9Uk0!tkFnl!7aO=vfR$EaGEh8x{(sS?rGr9=Aa8 z;*l%qO;X*GnWB2eI@$N{-#_$=eHnx^^IrU!m1j;@yjar%-%NFlk>+OMM6>x$GE)4v zF5mjw%k|Et!STb>Y8B-L2$wh2 zyTNNQoxc`17-vIO;c)t?&D*aDHcnhzTrC{!5D*Yp;JtA98%9h*c@2Cm)chsga90p& z;e>^S#l(WXKGbqhhm}+Dmk_tV8x+SSXTlMAK%3B&e9!lYqSm)s||Hq+mfO<`?c}PSANG1AXHG1m$Fm@ zkORIv37M;dCjhAffguQ=g~eQuZg?dqEbLE^#8E+-ho*6?fZD$ZPF4-w6kSI z%52(MxrmGk$u1e$8iYtTm1JD@$j&ZFc6C`Hl##tR#qW6Mxj)~}^ZkAO@pM1A;(EWv zc^>C+9Orrc0?SypJiHzk6#2;p}C&B369jADl;wW_l6&gjB%uLw}M zTKQHo8?S(0)z{aD&GN>LC{cwIGMgq}OA<~w@8F&u{k*fwHaM(EB>it`k1iN_ z5S}Sh=kR7at1v!h31=+@d!OIDf1v0BZ1HmzLf(6MQn$c{J1v{=F2ywgXIAApoeGD_ z`l39P+1pp3+GHG*;Il(!MNZv%lhXtBlQ*--W4vWm(o4TySTyiud$0H3mCc64pljZH zw?k1 z>`8Gw%b|6CqoS$lFlhGhKc@-1sz}fyHXl%EDq`~}hiB+&QeXG=^vH(`XKNSO7*qu+ z&k(*ds9ekVb}I+wl%g>B(M_pML%m@@rWFBCZ|??m2dJmyaYCbz zrQ)YdH~&DT^$j&4gz~ETrLhthP$R)58#2UMX2d4u<}AKOxv4rpSQ=>wdQ(*;9iUhU z=TYS=!uiq?MUedWBVRH8W;*eN!Yj^CC;sWj;Z-y0aNKCr9oS}YF2ceFzGq$yl*b1c zdwIz!C@3f@N|^eu?PqHG`pGyZHn!@`&!@X;Yn;YK5vow_v(2em z_I7sGqu&=^IgBbRZra8apAyx$F#cit3P}Enb=D(V+W$yc^(#RPY9!qqS*Aig+&YNz z|8j@|w5sJYT1D05E6V$;bC|?FJUp`3lfrwdTz^l}B7W4D+AGtOr;b(emA8k-se9uG4>8`3>i*=5%L(^f z{23ZUsSWSQus>&s7{3&@u4*|DkJWgiA>%=LcA^m`p7>7$_oMcHQil=Z@Ry8@-{CFC znF*$Xb0Q+g%f|XLs!&kB8i^^8EIE^L1^|5lL3cAVrySRJMs;PZ9k$$Q*B7qBZ`LY6 zvK;?$mc+`&R&hT-WL)=(dZ-`qao|{0h`VwXjy_Tn z5jlcxse?8Z>y^Jk*8x8-NFFtYI$*w}lx3U*x$B+9PL1`5OVxz#Dsx0L-0+p1B-P`s zdvAQ&y6xhJYk}ov>-7Hfj5+4bsoH>u@uVj{KBQjAIhT(~md7+{f-+mUqa2yBpL_?z zAZ~;1wleq^vPwasCap6gYj8&B*<3IhKfN*J+~2hMZGhNVg_neaTl1je$L6>K1SIcr zgg*rJXH*T+a&njL6yJseGPt&}pH^nfs4AN4;8g5QlP|Zp>O+BKa2m`JXf+Zwco_BG z*2dZh=S3MXAzwnE5A5sXWEhV371*fhe34yp*_8uzgwAc;VH4~ z!+VT^n|}!6!~{;N>pGFd1o>IAo03b~m2Njh3zUIqW7`x3j&9QLUfVSDQ?FKy41Jl@ znW;ZanMY(Fe&&0-`!9lfw?FO@0@{bt(p|K);SMU)Y%pHqQ-uJUImm9AdTV|RX0;hr zR3NDlb)G)pnyZR4&MHG=}1sHQoGv|;@4M+W3 zSr795QWmf6==TSoereVncEB0^Oz$gdgEklD zxn>=QOSJ>tH^_Z@?ci5##c?tK7F#_%fso(T)?p=U8b@}_0($w0lqF=Bu@6E z`S<>JY(DU5SJb04U@)b)N8L0DbPwW-)u!%*leCz5FWp62^3Q<*_TD2>PgNCtyk(*U zyuAI`d^9p|CVWUY{Jh^f$s5K+rwvQupS*qL5!O|Z4}T5~$r0f9%$Ara0m!Xc7M!NmJAXqX5xra0T%=gUj=acm*2)*0v8+<6RApR{xUauE+TFjE~hG}+gxJ9ostRD`>)_3$E1Z}??-H!Zng9~Kp zOLtNcw?`1rDQmhFxWz#|xsp+`T9}R&VD2TtcY$H8djDgZg$GwA*K2uR1;b z<0>mF`KgtxVXIaRf1o8&f<|CG)X`%A4gTfX#vo35?c+ER1dgQf;q3?tra9sk^XL|H znK(gz)f9XzWz>+Yae1knos)A2cSOw%Os=|{Wcg88*2|>>-JiXW_6Y;i9LAkJcDH7} zqUY|`EF_W@SiYs5?vG6*V&o+w+MmrcW4V}{jT^@;xP-jz-C0> ze&L&*Z-O!8(935BWNZPO+nvI=T1_x)4;prRhpIMZP>;trZJ5T&p_-QHzC#_ug*fY_2n7 z*6RZFgc_~f3tZKQTndo>Em~^3-Qr+UnsFl_XqL%12wDH@$?bF*5Z6R(N3(|GX86L? z6@T2`7%c$0cj3pw?NvJo4{WbWfyAe+PvzaPsPM%hj$hkON8U+Kf73Rw9CFz3k}YA1 zrxNc6af}|63oYqE(3}%qC_Z}pxUE^S8=Xd~>R`#P=~5%hAa75gI82;{*~J=6eTGG6 zF$gbphy(V3`FX(-6J@l6oSqiN3$Y#rJ*$kwZQYNjWIu?*47+{Hmam^>e3?b)_w93- z`gLiOc`x!7*kV*d8X6kgOzGX;|H!`E4ey0Pz7r4^_msznO5Wf*4u~dpjv!~(ZQAxy z)Q+;q;Vlz)_lI;Qu1=F*W$68SI)KOK=8#qJC=DIBhqXC^f6oLUNyJhCRNVL<4>15E zJoEKU4Z@KJHw+8wv%HgRPhuX}b4=OUExzA$Kov+8V2CI%YA@WJ4<3^3t*NPjn-)!c z72g$OZSrO{Zki|h0mQb&YNeo-K|4agE<47ZJJZX15CHKnKEY-gsO$%6#oaCH{JO9rbwkI{7w6ifU36P4)9ElY#!Q21dZ!19 zy|~i+wH;YT0lkMeQBhI(7pa37qL{w*Y_hyXd;*95N@ycWWPIS{PuOC)7 zWBdp~EvnF-j+vR;;^kQJk~uoO_$v&y?{oPH1GbtnBQnOxw_YBeo-p);;`{`%Uq*mG zdL-tc)7=Ig?$v|x9UP6T^)_G18q1H;>rDTWIBSNL$JuD)P**9x-}G60$yCQ00$cQ` z&vi8z;;c!jy)U-X&;-T7^sZtC{y?47-4c1ATpldy^&z znS(^Q=TS-t+YHCQs>-Pe9&E<5GQuK^>^%MASsX~}lO~bm&Dw-&EWU zM*SLsY;I2=C8(veB9oC7&^F}VLAU{)yt>!r36i<6wKB;OA%bHh)n=&{jTP{G3=LIN z|Hjo)6()&w3za_;dZO72YlN(MS}MMF-2xULrH}!lSQr3?y$z9MGt$SyRGgDN;X${3J(BO|6M=J9KSCpnw}` z2>fZ~I?^O`R^1|dQb`b8%88Oag?WhhDsce8ki{V!E|SB-!WOd;AdU%=wU&vIHmRQW z#yNAz7mWH_nBg^LMYoW$Ao(0r3UWs4MVO{qleTT%{0tvOJ#5x;V)8B2{*smDB|><} zW+{;4e$H>;btzmZ9<6ouSm$%=Asg6#Vzv$acz|%pJl@7^kDDc@u?O^Y>PYBCqgzC! zK=j-hh^U;^UHPzGqJh304S}!#shjLYfJQ@{ruMHpCr8${JWEa*>w&Z5nrHiPc;@`tWvcDxuIGKZMtVT3p=0a z2&3SjBk4d3FS?{Q(lElXUVuyp)ptTLOw`U2^-_!yeQnqYsS-MFuPB^ez;5sa`(da@ z00sqY(Z{SefuK-0UP_I}2*@-ua)BofVql;#&Nt&p(<`YX3@U-{?_cQ~8p4&NLxpmS zRX+5!O?9E&6wXd28fr36&Md_s@SqbUXcja+=aQ0Ih(ED~#l~$pBe0%uF3pldbo{HZ zC}R+$i3P?5+->)hQ!V)lENsY4B+q>7MT1!IqyaJDt6P{-zsS2Xo zT{^Qj#*G}Lvx}W*GJA8@_@if&oFM2;EB;@Vl^Y7+( zGE&;?K3!SAVMBs3Bn@Ojazq62BUEb%_D44prh%=qJ-D45m%R%e6S$Txa8z?lqTT$% zO*3Ps*~v*pwD{yGb~rDYu}?!unw=%urCL9ni)9+z$U3S1^!cSfLi3DI4$5{v;VJ%7 zh5VBi1dA{)Kfg+l+=0=;DFxE2XV0#+D8NulsGdmG&dMdue;T5QN;n9*K*Fw;BAdcs zETi$33%}~ZO{A!+aE?@uK%{7-G8=pmJ!^dSZxQvQ_&-GOe@H5zYdBqw&w}dDIC6v{Q<`->j?#4hOo)pM8C?kAhn3>hii(KmX<(SF(+ZS^+v>%`3ViD z*ZU735wFSRp(&W=^=%H)B<@6;pgai$!4wE+XW&AB-HZUVV>X_}I)0hWTSo`S*|3Y# z0+MsKv>Pn8f1;435-(~#JL`U*%?J1GxfwiOKE}$x!|)&7PrMBQc<>kfMq(r^&OmE^ zxq3}dzF9fZ2FWAPAtW`@_y8;^;mY_+^M7alt6%U|&A=K0SH5D9T=&f+`R4*M+>CHa z<1W-{I)JNbqyUW=^=e)y1@+pywJG_%qaGJf2oOvTz5}HZmtEVKXyl2d-(B$c$lzUr ziwHQUsQ4Kd-)gMG2`AC6eePU|B6{VsiMFW3Nfdj@0C3b#E9>faQl=po_(J}ZH ze!p9{y@CTAJlgXMCqmM4VgCcRvO^$%$%Zu7!wbgm|Fsf-HKuE-d>)j0y+P^?QGdGx zr0Yx@DMFo&=t?=f>!ew$ex9rjA3dmAO=!5Vdwn_c>BEQf#<2T-k*2;m{1iW$7DBeQ zkRKjD$yiZz;sQWIkUI08J@Gse=rZMSL4lIJgQk}#MxMZlr~YEm;zGJNWe4G`)?}oo zkCmm=*Bd+`Tj_@!*T^WVlKX}u0^XBbkW)1trh2XyI?nFwZ51&x0XSx=RSz`afG+;K zovy2!`#({_3kN7)`%(Tq)4W3x5)#?dn1WPKl_I6wlWhfEoa0L*Dj!7KW!Tz&)xJAxyBLslQ#~cI4>910-o6o|IJ2^QyI~y!akQndPx%JKml`Jm~-AqCUf>fYn5C^Gd zlzga1a24#*Z&gOmBGTcnRu>~RH~TIVnV@gGpEvrq_be{Azx< zSUdk-+cT?|_L)m+)xQ6!yAasbL3(80$!m#WK0)WPQ6T-@#G9(3t_A$?E$@y z93(0#3JX&kU?454!k&T5%qRhD=?=_}*uQ^2=<@+3T=L8B*Yf|G0lMVA+>4^@WeW$& z9?}z=v5s&H5xbx#0LAeap?KuY)_(dFhg6mbFP1@5ny!V~b)r`&NsS~Irx;;gPp!^^ z^wb){0Dppb%J1T((SUPCqG@y~bG6D2*$20URd@vPjSVZR;iLS0y<2*ookMEPK7w zLIVP(z|39srCiCp>7#L3*Kxv46U~*p)igy!bK?Lir|fee-1}esu3Sjs&rw3&m`?fHJ!}{a<$WDl7oSNMCA#5vJvWYa5E4+Zi$tLPG04BtjO(e z>-E0bBo=2eaYM_8MN4a!rchz+F8*<**$gaJM3GK)~gOitm>?C5+JZZr@B*{oiZcn ze^g+UFz`nDj|aa-Kc?FDtSFIZ`{vC`y~LCNgu&MA%THjTm@<>%T-mQimKMWeCXc^*SK19d(&^Yj+K{T+6X??8E zTWSrH%05w2&GBh!bUFT3)_nN|}V4XkX~`U}N>uR~0hWfJ=ny(P)7P zcWFB9#ZCoOzjlCgT__%Gt9wGhs~;Cg8F>IIBJv&Q<5N}(WR9mIcKTRiMzR9{9dT1o z=!7s}bdDHe7!@6dbT(?DF?1$%X2{AO?lGIxG4FATN$bqD(8UypKZ}~zLHLudqm;t0 zWo=`Izg^q5ojlXh+1dGYHFPWSS9(vvk+NZX0}}L`r+n^F?@{iNSH$ZH1^Ye9eAOu0 za|CtZsN)ejG8V}URCGmP-4~&`3TeAlAe8plp zs_9FxqmFI95aQI*KyW3h!4Q}-4)v&>!*>r~R8A$)aVVwOyAb%Urr&T=(`ag>4+7))@eCW$dNm}8LoKH< zgE&4k)W=9h^PE4JozRpkFh!%+OjYc-dg^!1w89%Et9gShJ?o6mgBWo*HU95~!vZyX zQV21_Q{iQiI&nZHz-Gab`!v7!_@#s=bHH*nNpPq1!&p{SSP08^uLTwe<+$ke()Dm~ zWRDV^foVM9F9nQLAkK-2imF->eI8k4M4*f=uyFVxFdCd0m~!_TlK%Duk5JE zW#-no4m&>78g|r*^!;DJ2Y_+u=N#)SDZyp2tjIEsLb#jMdE@wVQ8;fA`qj(~YUQ-5 z-u|I#q&A{~J?SFoUZcjuM%-Zo_3Cd*Zj=L8mk_Xxg$8#0MVoa|DiJYca*LDl>w8j0 z6#~SN4%na0DDU6B`$W^HJ=bVCCHxIz^4^xdeSd%AWI`C{pSiHn@QEJOU4yf?Hrf&i zjw*^5JXnPIh{>)!dqUO2f#Pw7Zv##vgCjW&qlP^=12aT&N`X;5i_;$ns;O7f&neuE zlMkB&_#&DhaC^T7Bi@*xo6PtlPAxF$D5{ju6NXPY{4OqTWk1ZuCe59H`{x6LPD^bL z!$g=26F(4Cov|Z|1EBkvi3z__Os3edX;WWYaaOlU(DJJTmRhRUQ2;WF`GqH|HP23= zoYFraD}yE@KXq=TRv}Vqk+6@cGIu_zkzRAI*U;4IR3OuyC`fu#NJUt(s)H{|N@{Az zC35Q)YdwuFq(ui3RY5>T9wD=1P67We0RF}#dC*)hzX>g^RzF%Co(rhGJt%Lo?51vp z9fY2m!REW!YwwWWR^HOjpVL5?qw4#CUR*-x0pRBm6l_f1cBEEt?`a#iW)?x8LX+Ka zzrZGt#Ecn6t_SXk=Ko4-Wu{R@K*9Xs|BEjb4!-Z(B;p3cqsK8;vTa(lwS!>EhJ z)qLE`m5 zpFFE~$sqIy?V##|d{+%{wTUjHGy{ZONHIu?qx;GCqavdO;40J8)7#E0+_MU;c1gts z^Dp=(P$@G8W2z1;x?YnWuS3(t%UvCvKy(K$C=$efn9@)ZA4Tigdp&h&GnM)u1(J8h zyou3M6puzf;D|Mkp~yjz-@J8e%}n_&w?V{sJhlkN1>rb(H++UtifL0XZ&zvBrGR;- zv%WD9|C&~8U>xo5g+A_Fpad?v#!*hV>d-ob?u#!~~K4$>y*RuR4P81atks_UU)k@zLEceyEo+WdsdyMhp z-(+_&N}xyJubIpB@ZI5We5XHpdwRB@xd?_WWgX8=le_5X=;-M|U%vH`|aSzAuzPR2OG_wj!<-@JZG>P{x$)$6tsz$`GgV*&{G#{ z;}lp9o|N^M*~;>tn@buBwglq_)&OyM#z&|l^rYGIms|SmFWiJ;iTH+%t?C95kXF2y z2x}#_I*j-FxyjD4Cd9C#ZXgk#4b(uk?gv-dF0SR%yJy{2|2f!lgL*Ib1A#YFfdP5# z=7*o7z==?|yZe(l;>qNFo|TFSuH{4LvGdmtcliHSJ@ zTVcaXaE+98yf<-Sj2SQ$CkEY#i+7Bi6Y#&ZbKMq}x>4yZQHr*f=qj_k1sV3#24%*@ zBJ?=@ssw!K??~2l)5Nq`l}hb}lY1R1qvJ<(Hxbl*&{+Btaj`+Lo$U;^fxBq~>e^cS#@y zen9S-{Kh5wp#~Xkqoz_4HGXi)FBrG0g1I@}@J0{tUVDeR4zsN$X{1C|8QIbNp;X|N z%wSTWBmwE~XAAU&~bD_CK6&~H*4{O{=N0A72{rGVO_o&QuYkpAt z<+HR{QGB6o<-0UN^k{fczKqe(4c$h`3eS?JFOmZ&YM|EmQ(H!U&tiiJvrMVW@9qN# z?wY-L(u6NQh_x@W(Oe=MW}cG{B_u_Zi;L*dOsqv-PjarhAkSS}Qv*6PP4g>IY`L;) z>5bFnYaU`^PFlxTBt|jw{BYm=ya$fk2nllXN95!E6pj-VEw4+TMv+u%p9=<*LKTt# z4Jg}jubYN0ml%>D()xGv|D(Xx;;8m8aUDNyj$n=i4hz=tD(Wd$|KMQyJ$v>b0?^V1 zJbU)eN8lQZ4C@5X;BiKdW>0z6@IOYt2uhYa$&sD=2-b(;-Y70KlD_8tx2;M0YuMcF~d>vhp=*I&qb%u5AlgP>#uNNZ&#f z!`*AE`8Mt$3g;OGg47Xp>hfgmNq01_=tkjC*^MY~6tUl1Amm1UIxQGPoGCwIhMIf< z$zR}x?u&-30qy8jx9>@~Y@(v0;e^kIQx3IgWsA15PNbBK4H~_|WI%RQ^{`_2=gE^N zk$rboD8e;KALSPq*fabYo^{tL9#l}C|9oHQ_^W);y zi%Pnk5ndiQy0<@KJaq8Vjsy;Ap2M5}p*no&j6Ge9$I;Dxj62rH$k2$rGq)deo9<{E z{pC{e_0G58mUe-FvA(d!hJAHmBDQ1Shb8-Zbo7mG%~hhO(j86Zcl9>wKyh6ZQ!_k( zwF}lGa@n0*pa?Q3x8$4OYcL}6f3dB8v_)dHqbTW)M_tq;7E~^OPHB1ChtE}o8yx{I zl<@Fy5FL^{umw~;#;~Sa&G6rDE|*SH@y@q>0NQw51Bs#7cI;K9^B-u@u&r zDT11{6I>r&OXXHtTU*Qg?w~^5v+7>7N5wTWx-k58g$Mnk!MJGe&OpBxWdTl6ogzL_ zdL)TC=lX|@;wU|?j6}aYdELk;Peo!;kz<1WC7I8Na@2_i&qJ^K6;x>V7muAs;tQdF zk-uBVv)!}V#sXUH$Vv>R+dP@JfWGl4h>;KrIE>o!vv+v=sgd_skF5t_`H=~8tw1q@ zcOtEykaxd&UA@r8=^@Cqu zgLI^TRMFDX0uXRQ#K6h^`pjXC(sK+1;Mh$C`M<{ysbP&Oa|Pu~^NE+_pTooQX?AA= zfGIbvU+fOwV3Y-`&!K}{Tjb>rDS1k=-EBe0`a$QeO(iMy}Uam zLrRQz;hNBG#1=`uBp>49w}hko(3#^Qz}@~-SF>)NO|w?ZKxrqAg?X}TOgce>5iwJ% z4_uVMwjwBKssP-!p3M&?-3|M$WuO&F^U9U+?MoQ+_h=H#`<`KDfV8~|w^Q9`FZ{*<+jY17#jP9Fj?=e&ajw+6TN~n8$PK#D`Uj5B! z5j)sLCnH_ga3k2C>%o}Kc{_p=Mm6-7mzVboB6(e%IfjTb+Qu|+(O^{5?cznGe_DEa z$zw1U*uidm+N7+pv9U&Zt-%K35vC7SN(|usMMan_zU{~{+owqP1)=ZGQAthGkv|4%}Hlaq%%OLd>CwLh-K} zD3A=blv%uYCT2QKGC`9 zgfY=*T)T%_X?A>=spi4~L^t1@oE&;*3bKXMMAtRM2`}F?uel! z%lO2^0x}>IGqXi|{xO}K8xl8*iah2&d*B8f5RtyygoK2`*X}VQsU^&%Y7Je`PmmD2 z@_#yd@Y^^TGX$n*wi+5SVY16%i%qI0um^POj?lcj61o}%{_~bNHW4o*=7sC?x8NB$ zCvDCMJ~Zr8;^U>P@pk(=xar?_|Jw4P+%NYXw>;G$YUAL^B55c`^f5%z>=iRt^J^UNm<0})}yjX+U zBmVRE#2+i>Al6VkE-Wl;I7UTg-}P1exe5|fkQ2c$zn(nwUyHSTpNnQ{^{=M+j>$b# zIPNG6~~W35S?)g^CuGyR;=Eh-g|>V$M_0t zSYLe+-~IByjM2AU6SEp+gI*Sg_Pmw)_r0tUq$1voLU1{uCG?1+ji*YMFu2Kctap9> z1I-*TEo8oV^Xw0ft#i__POcWFP+idqb8bQ zN!8%?qI)|fIHii*{^hsSw7mt?U*oB2>Y*+P<-(Rt3NLmPJ6GB93UCu zm3#frl=Z8|uP({*9m@}Yn|9J8nYIS1l)T+ zeThAOd}$%%DF1C=?5|FI59?(K$j!AGQhR+oradVAX_m?4!7|C};yDt>oQv6Q6Uhq)s@=62O{0sKZs|9#3c z9}$KYysIb#`#7sR#GhEzv*dwG!rKJPK>zvfgt%9l8Tyr|%>2j`Jp5p%^EHBlgHuye zwW3rKT64_g+%TIS=61GbVpk8IKAi_jbN3fSSIbJuYuZGUU`f&yJ)?`m`@;(VIGlA6 z8y=&ZKRuG_)o!9`b|SiI>TXwWx`c(7#G8}moj;!C`F!u}Ewyouu1@_acuwm&zpXaw z%0vUXwJmSDt<|tMadIGsqMY{q8helkOE^ca?KLkcw=IkonZ;MtjScVmiZk|Z&v3d_ zP!{7sr}~pxXI*tpFGZ$Mid?1E+vIN>Gj}7Qf7*ZUT5%+8X-Ei31b2J&i(?IrHLI8$ z?y6rz?=*lMxQOW+ut)$4U{XZxn>W%<{BlPC0x%}vH@FD*t$X>wTEVh~DFJF^6;D0F zgi=rjV3+p`O5GSetTtSoYuB$?(Zqd-uLYz0$8$<_!O?nd{DHb0L zI~&W9XRYgU&kB0b@(^(Lg#7KLoTnux*RT2F%H`wYhs--!i?QLfAzy4KFgEU(<0Qd? zX(`wS$YBN}PwM^Rkd%~^gzt5APm6uM6Ej()s9 zcCY0O@vGhGrR)n_K^`X3>{X}2ZS-`en|?-4 z8A_Q62U?!hPIj;pT`3%|o!Io)y=Lk7&0Lclp`hQv_A}S2?0jUXV|2wb4vzN4&{}=< zi=<}zQ62B|>2lgFfw2p%q(m=!Q&o=Ps+gjM11A0v z*fn)?_GoyIZP`A-%G;uX{tN}miKLCC{$3R4OX71Edlr|^X7+b}U}u<_5zUJj>3%jX zVsUoCkDQ}Hl^7uMbLq;a@Uhq`$a=n z7N_B7Qcqf0j3A?eoX>ysES2xtJJ+;}hmTbMTA^EaX2zX35YSR5YV+-9(xkLeJjS&X z9;`h_(|S-Im(TTeQr~pQ&G9bOpAvn(}I-BwwI3S+gbj^krDeR&REMy zcXIEcNz+AcovCw$)-<7^sWrQFFKQ>#2W#zY{vdbG)KlWURITyOt}Wv|ES z2c0L=YY&b5>S`Dady3 z>Cd%euV~p)&vwOg28#yAbRO}HR`?(l**STuQY9>C`dULHF6**yLAcF0;^;Z~(|@e~ zy{Koh3A`fnnbMCoD0!C5^mO>X_+FClAUDCsce$ec+Vs=;NcZtKmv-#iSN#|~gPNM& zx;4gtUcaB1wq&?j1iyH3^-iU#yeMBern;jGONIw#!qbOBi#rh@72Qzc#HF!v5G3s> zi*Cp=EDZ=+d*ckCL&d()XWpB9?=Xp+mY#K}Bf;d|g;vIbxxmUcp_%^L0{Y2qSxXsn zj|-P-qs=5q4s5;uNZchebWNVKR^Au!Q?;WkI^?aR!-Er_a_9D)UlH#+eeG67q4M=7 z^Ap^P56^CkeVG>hhV1`qzY~j;qlDm%AfHdV+nxF(S28#H=3n`vQD%JHrOkG?V6nqH z{fURyL*(7IsP_fiP9BgrV%ffUiZy>xuH)fCSz1)?ZTTzCTSEjaU2JU{VppaXU2TU7 ztp6Og6l*@?CTY#mov1UlSJ_zq!db5D$2q5!Un~rgJH$sNy_y-$XqTOjLaI*vt?_wT zYoX82WUD}EZv2CJl^5J@&s1Z?nm6PH{^Y2C9_#k|rPYIKJ?enB`f|SyIWvU+VI7Y| z#udWPch#o}c7O8(KC z>c9o689%=IGF^6-VE%W%mCO#xeQ=~?3ZM`wQ#=L>W}VArdt&f~5T}q(NL>9Dl*HwS zfcjhk&L?;>Fwd!20|jUnhUdH3i`^T)W-o>&QF}8ZBX)(!Xr2VzY~=;mcWozoWW?uS z3l>bNd(I>S<$9QNMO2-@D;e^{4D-UjnBd^MLjJ{AY&ScA>EWI^yLLikl zY~5tz25!+MmFwq)L?UzkRJzSsiXu;%oh*UE;*(9h#T@*GyevcN^Rms}=3occ55auWiRz z%oNvk$$;nt7shzeqY|2ivOcF=KdqzL6*&}WO!ry(?4PjMnub%yNMVO!ZVAlvn+-2D zKE8b5)x>c0R6owot25``8klPKbO$msvbM%K?$2}ICN|Wf+et_E6=u1^wMDi_N<}i< zeXGIAxP~wD&2z;^{hh7rYzO)!PAZZP9-phBnXIGv+1xu_|37e~amy+kIZsxB&r+5} z1#S!QTVQPE5>$;pEzQrLI{nuGPc#k4UD43^ssVROgU1Cx(oi7RmBV0GdS5`YvWBo` z{}q&q!p?bgTj?L0(!b>x_eK5Td{P{6>hz46CW5DbaTz+HE*v`|`Fl!gxq`xb@tAYh zW~05orvsPC%}wU*Whbcid=$UUDB)7%Z?~fP>jU6KZrOpsA40*@D}92}M-;o5OOzdN zUHZYv2wb{q8xwSG%d5~7mX-nwtbwJvj8oL0{o z`>~B23^&hiu4-m3-z(ZMb$x-aYqC2*-KtLeY^q_E+pn1|&#%`wG(H)i?bhXjk*z#sctv@QkDB=k&yhOtkH=va`#0M5gZJz2++K z3y-QvgC_x}QNb-=geF=oRQ>TI8O;#WH=x%##>1_Wg;DjFM>1m-BkBw>+4h2z)C(D0wRx?9R?HLHoF#f3U!sTS-DE-90Y+9?z}(O1jBKcHvxw7GccUo;gk8zUmqMJ5L3z>)5{RZ}aI9cW?Xl2Yr-L*6S|4JMS{y zzpa`Ng!DUhqll>zNd&d($^9nDb=Dc&=PgCRb$b^$F zQ?y<1?f!z$c45vy@xf4KJx`fDDe_Zcnya+i?zW}g6n{q9_~EEdQF!Mqj+g^{KrAYA z%sg=s+x`uM@d^Y!)yotJ{aZN08jYTA+j-@WnC~^O1dk@Xr*GfDs17qg2Y~qKuBN6Y z)p_6)lLmtRUfnf(@&iM$Mq1${)t|PMt3ubswq3n?mBR=s$1F;n+OAAA=E~~sWobsf zBlMyIP;ad~hhtRHjTL4CH(HiRXCN2NrcImljRGH|(97_j;kuWr_+@LWHeEq;76CiA zJP~goSw*IJO7UD>xf9{eecFFAZnpl`#itUVR-WCK)(tN`%#zyD!T311Y`E(@Ku2ph z?aZmZ*Y~f^X_1OgaV4<%d}t~kIWap}#=|ol{l&s=f_lVEv&VQ_w;Qj`vHgAR422sh zqrO|D>~eiR-)_}D5a)1z*Sh(&C(Rh|KHF1%_u97&@_bB9V%IY6$1p`Y(3q<8kL{bd zDW5GgAfP*XZ;);z+G=iL)byzY+wr+7nx+uh!%V_KzoMqRC{oM;r-IA2t^$&`<721J z=;l1SAgQe_P2(3NFUAkxb$9TX&w*LX#esjf#&vK#QC_pkefZcrvBE`2ibarFZOliz zS5_9F(M^4zwx{m8+6T8ghW&EE)s4p1SpRsUv5qm0u&1U8H7$8BZ@i@Fb45R&>i>k0yHO|7GAyj)i18;hjwS-7s}AC zo%E&OUc{V!;ij-nrsS^Uy|doMdvuuYpY-`o%`fg4maN~tzJqy>=nI?9@juc>hRt(W zn{V@Vr>3i;2siHf`Xr8Oo^86V@s0x^SV#E18yYpA9gi2qzWG*qw4*3u#akm!xb{iJN53)q z(WKo;|2)mM?j`^9#UA@n#qf}5g`c!2+Oac1eZj z2suf_M)TOa989MX-s@m(oo)~Ov|aVONuQ8rf7|5J|Gg0sazr=g@2t{KeG@CSX!6=y zl#1!BvNL77OU=#AnPS7qXBm0{&Sm}tV6y32BAlW96cp~fGq>*Y=bq_O+cMO8A15&N z1LSXTko-UR7+#&H8fbGjvTo|B!0r}Dek?C{{{T(;Vu5gpTY8sgtk+5zyY8mjt4H+_i|KYQZsqX^pV zn_rQ4E|8|_%}F-*I&eOyl$7kH1>{ zvv}hfIWhsCc8oIGsQXhJ&G&qV-i`I6W#e>NZAWf%93ZEh(Y~dc{paD$iHIKziBDq6 zY-c0ROjrfq57oIJG32=H#5Kb&@!eXXsO;D!G5dP+IoHZAUSpZNzMp)3BIX!hE1yqL zX9$+v`AX=7b^7zqDba6g)g_$AYp6dJ4lMC)O7^`Q)!ytVIX*hO-mul_y~*qQxe4_t zT(;)#p1;+NiI{O{wHAM3o^=1sI`+O(Tv2E4*0MHL{LvN`Mt+`_+thQh=1E6Y+xx&N zilV?7QgYNGS?+(a8p`|n`(27U7#Ju{eG>Ce-=rQZ87qgz4)11pbtNzTl9*?nw^mIe>XG)=3fy>W7Z{{%V6}v$C z-R!uA`FFXBjM+u0i37b;$y>5$w%OfKVP;n^@wbck{D*zQb1CE4@{W*_5fLvrcQ?^j z_SYTf`8)ocvN|;4%$W1Y_VgbEX^*F!YBX)Zw=cw+q|kpLsF}EiyF)55YK)ts_tge% z>XjPY`eWG4)Vve(7atTDRuFx^wy%C{&)eyz7Yu*yZ}hjVQ4yQylxES@ zJ|i|2HMgnjz~ld&jEpxT83nu|!wy9qwjX}hjn<5hzmD94T{Djmw_v$4hUcJv#w4w% ze1S>kqwDkXsw&ye$Nt&b)&{xTrlZnYcOH=(56^h>CLVU~lAQE( zyZQ0R9X7w`?;MXfbth`x%I)0y+zx`#`s9;G z=M?IZj_O(dlpL^}znN@}%Q)C4itQqqR;~_`)?F_>->Xjy~mI1 z=8yd{2ds}4^SX^PTY!!_X0hmnX$P)aD8MjL$uYt-tppkeQS|=$x~C zW{=cWa8ck2C%V^`jX=nauRve7X^dPzG}liSLSjb|TY)I3vjh zOTJ2co!WIrv;2?gf!3l|>~YOB?ZaCY-(7nLl1g}mO83Ce0TGD}&8)iG?-cD8*4kmU ze&^>zbr<)X=&JZu8ng1YZHwY-JBOB~^UimKN8HoZ)#;L27kub%GT&=73=N9POx7RS zc>MdTAM)1|sM$ z&Tc*?Y$$}Xu3PX@SsUofb7!9OU+yJOW{j}#lF+Z>Re`AG9}2I z%-xOWr_G+|S=Ee8JnfX2yJhw5hEKGlcDO>{IWN0f!$&@ki>}tkplwNJydRV0;fizRjCh*y#4{APtIp+&68n9UgdjhOcVO`ha?n^3_V!r zb+g_cYD(_cy83kjbug|~kIc?nLJ4fOkCHa^Nm_hiZM%Y>Yl~Ng+1cBZWK0c(|Vf8{yp zs(8IpQ%>PrTBGMH8pn#Ivdp|BUbm|bsO#=5=-ZHXpOJz5O7x|ra{qs$`b`9?=a%b+ z(smitEJ|)+Djtw+?92|cTIyGQxelrhh4$US2i40QSZ~JTGrVuj< z)?Tj7%d*hvAIRG0#pL4T`A_3jOXbBP(==Kmb!~pS_Y2CTOxnX#H+b~FPgK&7mq=>X zjDmwucb*DIr|u6vaDH8PZbMRTrsxgofT}ku{}L*%C5ePBUro7(P%O_M^+9@%V;V%}p%C4_agm0HaH&%0WaK-9;7m2+3| zSbd`}TmH-Z5g$x^`-2AK&NaOo|JCNpN=*JA;`C!Kns}}~daGW6W6Rpq|7F&BC$ai_ z&KSJk^U&+Q-^OcP6JwhH^}AeM2=H4$ajkm5w{g{;(AAkOPe_QXPx7BtQQ|)I|9;(V zD=Tnco1ez%Xza=~vF-A#GMC<n(rDb}68m`z7GdHE6z5Dgn?E2d0j!!7KawW8Q4ICe- zqT&l=B7o5BSV?uGQ%rpMkM+-A^AEEOk}Qpc1Xgwyb-b`@{bs+7tkvHok#6#?Oq6v0 z=bqLFQ_=F~w;>(y+bw}tVf3g}?sfaCO{?uoy=1RX_TZF{6_UGJTPb_JV3+TdQsmBy z=T#m15t79(ys$O**b>xPH#rZDPQCDPlkzu}&L-QT#iyO|5btaD2H&&?*PX3@rQ&y$ z@xKqZ=FG8ktH&Roi7K(`@2GXzeF3%-cpc~Qk;SmflpwDW&6y=7E@2H@NntG7sBq55FSUp-f7i8!2QE2)}{aO*!$B zWA#O21F_uWs+A~{XdaD7Tm9;B7chwZNkx`Y^CC1%I|#g-1>AJT03puZSqQybdIi=H z6giVDm#ko7t0`%8{L4b=0At(amGci1fA=I%ozm4O4R^>AK9be1Grh!u)KpSnW%Q_2 zbX|{`o5`f4|Btb^4(oF3@`qIvB}62ZQt1$oZcq@AZjkPh?gm9k5u_VL8l<}gX^=)h zO1c~Ac-Pkx^UQB%uIuHGV;xZLz3;u(`qYYA7XxVz=%N-?F#dW1U%{JZ=n8|OcR|HA zixAdj{j=^ASbx@?#LmtR2ElDYZK&Mf>9INiJ~pt-JA!Bcg2*#2u*|r7@&T&j-a0@% zn<&j}ndbc|LiN*mbPer=f{_|6w_G<|WRw-`Dv>g`wMb4&R4fbM6pG!YTNY$=zWumN za3wU-JKPAe18;ZB%F`o|1&yVgulv9y_tROTF2)+gsBM4uoB&8eJP+(e5nfVwq zEvrS~Z}2v~1i{|hVW37NMV}#I~^0 z!B_{p2~FaW6Fow<^lmjGeP(r4yiOJHeQ;3?sKd=vT~Y$zed6(42H^9!g;xS)ESI5< zHU*qD^-p0q5R+`;q;PiCQ_Y=ugJ7f6?ksJD>ybNG;^>%{cm3->d^1TZk-6{yGFyXC4+vfnXxW06`RGeb z({p{Y8)Cwy4V1~Jq_!THpH%m^W+L7<)nICLxl@;Zr%)*3G+F|t5obMagT9WC?JRlZ z=1?uP2yi<)k#mP&sGk9xTP+1(rOoJ6+MvB@$i&kP0#dZuBPr8fep`X@wnr;YQ{KB| zQkDj>H8D2PZ8Pv9>~EE}4{OvvUNqjkt@Yr!{&0N6=b%AT4(RxZNw~d$m=mJGXWi`I zZ!fb~hSC=qZ{cog{wdeWbtPe;Xy1isIl_=kt$vsM{TEnJ4$QeIj*`CWEgFJzWg)^p za;PvW>74xczqjnuGSGw}s8J9k@d<`#}#M?*tQ)1 zarDxZXa)q1y#PzDPJt6Nb&KYM_%fYugA}M1|HvAc@$sX<6%sh2`u?-HW@wI`oxl#rU)p$#y2=? zWopLXuK`#y-uD$l3cY6nWEXP%SrEShAg>)Pwk3D5bi6^o1q4lY~UCC(-s7V=w--y>>Ri zn4FgswT6KYh?t`ZI!v#Y*3EHr`j78b(8V757#}0{O;YTGx;!6aZH8E)ojOb|@_94b zm0ujnslIEmwitL+ZMU3Zbw8udmLbui$<*xT^cJ!jQr0<7nO(v4#4A!$X7=(e{{CcNv_@!GuFdpp-E;0Pw!WEK)5w8pyetq4n#kWSZ24sMg@OQz^Z$P+mmEz{ja6% zc_@BW39d=rqVGJveP6Ty(A2Qc>E9K<6hu_#`;R|>aJSQ-7`l!@vk#ANGtJPfz`f0e zG4}JPJi<=m>;#%UB7k9Z8IBLetxPMR!h;O6$92wt0FVE z652@&XnSYe>lP+0-vKA!nYEgGCy3aH6hw7vcn^#paR@4X`5EcVD;k+;gK}AG9V`%c zlKCbI!8Yq9{a)986%U5sTNUz(n|wYXlw|W6<4js zfv$|{Cwnsw3JrlJ*Hwf($houbCNBSmCF66?2Ww9$KvFn+hPB!XI=t-K*fuwULC=qh zhQ8JzVAEtzaaqWWJj8r8Or?vq!dCX-L^Wwn1=?Ovw5a9~NsoNuXlZ=o5?VG-oSjzI z+Xo#jLz>pCeUz`IqhOhYo+FA?6;yz5@W@gvn|-^3;s*tBGsRfW1SLsbX^Aemi0eGW z9cRnl8)t|`O%bCS%#ZC;`FF*K|JLcHLbb{q`vpQmV0t%lF>7sYEdZd)%OHboUH~7) zoai%n|L4LWlxKJFc9I%GrF^#34~Wj}@D#WfQZxk=2@z*B5oi}+essR0H$J@LdP7uR zva*yf8;3oKa2MIAAGgQc}LIlUO$vnP)zSl2d}!{8UewHCo{PxPN_*hDgQaFk_MuF zVyN;sW#52tac{K_R|fsG4%bFPv_>EpZ%!9WkqWVH2K#cYA$#=n^pH(W&HoPJ={fE2 z0xtmoaq=#(16FDRdeg-v5gf-VHYVm*t_U=|$C#*py_S>i9v*AMxuV1)fYul1lqe=d z$xY{(fo8=~1y*Ykz{GfEb@k~``xBXfhRJT*RD6)3?7m%v^JvS^ZO6_8ZplYU46m}6 z$>~%#pDTR{vkh?RS9j4vaVS#LmPByad1yW!_$A}k7U?3WxuuUo&Q<4R|K`CXCF+AO z-Z+}kaZ}=_zbE^hCrsLs%m0n@*|WKG2IqY;ZOzK4K9Z!Wck@}hNqa;O=~T^yjSuB7 zMe&+V?ABTp8CU`n%PuBLpuAA_4fA;u(qS+WLn zu4Y4IUoz*y327M`KH3kg`Z$eWIA z#m3Z4IRFA%3BzmTHtGUD|f)xG?@p%**X?w^#yoyZlFT-HGN z>G04D6?hn353!Qa9z|R9TVJ^|l`Ri_5RN zEaONCPZuQt+(LrEu<}*uJ3AZ6p5Q<3ImAnrg^U1LK#02zM-v=ch(QELv15pAz{N$% zW(e9tOi4)Dg>hLC68YKFEK78TNy+l9E(SLPA2Mkdt52($n{l zo=35-W+xtiE&;cP=t_SNhgZd!h6-b@w3i;Y17A54hSNin{Cv2RN)NvCbHhUwgb5vJHBRgY`f>b7+pU5AX`rU zwn#Y+;jAojlR-^-P$#7!Brb=ntta}qr1_BgSFE%NPqL@zoGhrw6{q?y1CF_nZ}i@! z-0-KDoLw*ydFQFW?m$N@leFVK@^tE)WlpQ&wOA7l4x%oucsDOPxcOO>$Av>BvOuo) zXxmWn0>D?K5qbPv5dF>(Bq%W2=vsR!g5*q5UZsBl&PA2BjmbD`X8@oKnFQ>}>)6@D zsB%IB@UnGt^l*ENcD|=liTqdd@xdEjq5JXajfgTeR+8O*xewfAiOCB48{;ZO+x?;s zcD_Bjc)x`3zKSnu;#1j~PgI8XdO)7r$~1(E-wIKImf$a6V?FXyHXUbZL19uH_XW8N zR^6>Iv8br0w>J()>M^v-c;BOF& z4*XNPers$@Auu+Du)L_#9bib5a(^?-%lV2YKJezKNVt-kQ|7oPV(dXw{qcerx7=SG zahI4S9f543G~|BhTGlp{1Xyb!)cy;u2UM5357$8ijWalu>XeNeMKIP>Qs6l2BMpmJ zY2l~95ZJ|NJh8M}GnSOD{8?AM=`R0>0HcNmi9$^KF)KnZ*wyfU?EA_!pViL@Y{UUD zq9M~(t%`v2Ixe|LNYE)D--{@z^)A6L!bfD zQNAT5EOmfxko^&>K->zZHPn=z@1Q(*UISB{nd{0cD)3bx8<~Gb#u+Xr7%kGY0K!VJ zQY(&vddTDU>sMd5?;(9e$iE;;^mE92uqO7mmG#_17$D!tc%F!3$s8kc4gQ!mVXZ)G zJ5va$1=164knMUVq!^`25F8vl%q9Wr^X9Y&A_Df+Q)A1-=EDia$3dC^xFWn3AO|yz zP9{CPmCz|_N+(O$PvTJYQ*i3dt6$f>-Nr&jEXI<4b-N(#ZxPl%FijX3^STy!cqfix z2Ma)vrKPbU9VA`Hs|snn@zGdgK(eF8+%Kyi%7IgBru@b{9}_vJOnOwUelTspkT~nM zB4(-2f&kOVt&!}y);7TIHR>6U(HXxMksC$VcV;JoIBeJP$wFxA?_tMvL6Is(oDDGNAJ&%VwAe=hhG+{*=l;F{cqupYaw zfXg*UPSm-LG&OnOK_tLZ3pi~O;luDvRc)kC1W$NduZWj{)feV1_8AL<9~T6!zkPI} zd2jXHg;cfNKQvws&lb#OeWU-EITeb+-~qV$99GcOCfo!KRE?8hMD_CsIm!f^w6w`WaEUG>T8I-eKTeBnVFHikADO z1EOCN>|yubkwXyVW-DVMO~9&Zzw${J86ccF!+Mo>AZIfJavA50>q&~JdrP} zd)ws+Q#a_RWh&RQ2z{DjnwpLIP8D?FOe5IozkxEFEeqAe$GpyAm8vIlH!bW^IpU!b z+EBSrGdPO&pSVC1)kR;Nch13ws-2V5* zl4U{Q_@Ge!?+)JLuwlt&JJXNgl$A%M-%?4%J!kxqmv?@CPL?!OW~SoFX@7cP@d3L7 zW(AUdIvD_1egNW0zJNBiILwD+-nQz^i=fgRkrOH}7#9JCk``?WKm$I9LHtBNLiPel z_pdP`pd5d+J7ORdin|U5lZl*V@9P_>S6%M9E5=;vi+*N_cE>9J zNJWg&{oZ_w!&m(AJn_35CiQ_+^6LFIAj}vs-;y-Mh{VZ4>rJ2boHQm+uBV^5C3*rt zdWQ)3Q53@p7+&t1P|0ACVbimc@r8Z)Fy7`fw726!lcP6W*6jyyk6nu9*9hBHnSb9s zuN!|UVnrZu4)o^rI*r~Cz6Ysuij?7q5H-jO2g6`gWcL~I4*5*4-56*vNlW|2=TiYq zwRLbv$Cw7E@};UZH0ot@Dct=C!bP**9T9DPwo(NDMN+ezsC;xCfujPF1_8Ofq2N4N z!qV2B#@BWa6$iiZvIaKzqC$uKh!8g^r1{CBoL_qap5PfX0#yRviCUKuhy)sv0@OA` zn@{SzW!N5!Q(FG>AQ;%t>W$b_#PrR~e)6#Pp>Hw=$DXsy%Y_+(pKJ{97)8h~z}S=6 z<>C*nL00QE2J_lLzb^)ncvGdh6d>5VD?`(W7QC!cmp;_aYG?$&q`QChno_bZVEFSs znkMle!tkHp_XjCb^Kav9TTW-Yvu7xpN7r@5QRsw?Js-eHCBc3hglWFZ#bJ0FF}Z z>1F7o-)W$Jut!xY%7M#Hm$y%9NqswGt4*VluW@X&eKu@`50q?=CZ5&Dv-*~`Vg0j= zPj4fft5DOM{P+?p83J>0hQECy6O;f57m)pzF~;H^1y&Rj>6*N*%6TX@k2DF zBk!N4?CEECva6?@1BbO$Sn6m5IWT;tHR6C%4r;)QSR0vX)j_fm+hXq-E;+>(+MfPUv6s&>yvJ@x zrYQfueImFdN1&N7@lZ>Z5)|*%!j(Kw?1Gj|I+L$Rr}IsO9pI_>#zxkn0Itr5W%pHz zLosQ5i6VIKJVv%n>%05c8mD4jDSvYN6c9e%YRs$kOOR-wce6dY|IdoOjzjrNy97l> z5#W-L8UpFazL%f&!MeHnvuq9Gt*Lma2A@FJ{6`s3 z!N3`umJh>Q(|ZufAs=QT9E9Ma`4}+}{Kx{YQ|tfX@DqN@>khTN+M4(XAcc>TioH0k zxY=sII;E8WrMan3Szh2L22{pBMk%8xb$}hT?>T%Dwfr@_5_b@4ys7Z$& z6iR3Vra>aV6zi-QBRlr}-@o(9lWTvKi>(kyj4r@*?FpjfqozZ6;}M)8_}Duo-&8-T zf3g7FbieL^H)c^me06T{EFfOM?fRiI8B{&&J)d8ik(&X!hd~a{D&AL zCM^9K)kBHYGq^)J+8(6Qt4B)IKqIsK8+fja;e%(}6ATyFE^Y!xU5un7RsjMLFC)|_ zrM~}5^hb;Nhy>6}su5QlLgS+5dVpZaX}B9!PItgiv?9|-C(^P%0EJW*wy#e&V}k^y zQc))l$2*ZZfQF$gH;-ZrdkU#e*T`4>2(B3w~csY&uh6)sux zqzSGx#f(gW%$ZUn(Q+18ux~<3m%V0~nsL?Dp{F(bQ&z?}#rR8P0VV5;*csVi$@;rm=!r2aePzMk}?h=Bn22E3!0W%$4Ei(|<2T{a!@s7y>B-b)Qyh$LY51!jtQ@dn$Wn>_mMTUOrf2<#~lY(mK8~L}$bv z`M|W{7h7MqYtTZ3dwKq6w1)S9-+?4WA!$z3XXCt8R?Jq;^+rWoA?k8Eg0v?D=stQ{ z33h4Od`PByp`yJjup`hl%yLoi)OohvA=mqvFtLT5%1*}%_NsCs7FPI7{t<5DI$!&gk>?7+;vB%F? zupMOl06Y}Z3NRio!xgjvoeAPW!kUd$*M?YbD)hX+gPZf-Q~WHCl#opL#y(b^S$#hs zPYX_w=!OSB-hFc_;WK>+mwHISk$#ihMtd*Wh&A&~ADe*cmy@l=oK!OYb_A?JceGo% zP{oAfv=0W>h=|K$P}NV-*$!^6)*uYi(nE{C&Zs{e0e)VE-E0=|!aP&XVKEntwJ9qp zbHZ)g$7Gc7RQlgn3LQd>5F(UHh-5wH*!ed+1Czw%>_9#8^m6HnY`S8ZafZ$p$Wu-S zB{IPww37@hgZsx|%L{ms>PkYF#Cy>U{gO2Bj1cf>4UTItP8X<^bpkJ0vjr&}3?V4G ztlJ*R9-eB3)3K;>Kl2amWbvL|IkAD%)? zR)0|1=ThzPUXQy~_29cZIfQr6p(sF8EsMc**!UjDg>0vf{G6TA@k9w<7L zaXC*a@a0T|yYgI~n7MKKq5MnFZaAO8>?swZ;r95qZKxU-g&u__!eqPg>vW7Cj5>RG z5f4;fg@~0hST;B^U)}3PTe?rfAEH5q11#1?3`w+N)+=8NbGEy2BpsJ}h;4j5P%TB&HC4`uMFlyT7sl|hAaP#1?V4Bb1XJkB6?g~)a~ToKzzqf zGy};HjoiJ@&=3Yv(cZ)1ZL=nuw7b?a!u_{{oC)Oo1Cgq&hYCCWLjqO4P{oP|G=wj7 z>_EiB{;hO&?4H?~-~>A)q-? z;&%BY()Wu#I^F7ik26IqZ|o18S)uI6l%Z;6I{03ZR*V3BWN(z`6Ds z2T&1y+?;&fz)Zhs=q47BiEQl-D*XK|yCfuE-)=(O@?{V%%?8{~t0=K`Glk3thD(8h zJ6TuB1RG{z37ypm_QDerNvM6SnV$twt!TJ<;)RXTQd{wzSEJQg~z3s zH_JvHVZMSo@J=#m&&Ib*M=03u{j)Srx&P|feN$7(1cH_)YYsv6veE)ka}W<^3DI@B zx}hgdQNH2fgCK*~)UZEeB57G?xQm0+Oo2!=5ocy5xs>_|k;0)MDqGBIhl45(SXc=C z>f?h9wF24Y)m7TGD+nVb+KR-RdPYEdmUC58u}%VKtguh&*>fN^Enw(%73==bBK@0( zlOTofDpdRCdQ&>OW4s{9A#LQwY7+=T46E@XKh7FO5el?vK3Gb#@n2mM!Xd-C_-IYd zDIiV+u|XD~_iExk`Y@b-391JBWw7C&5MYKq_`Z?JLD7wpqL}NPgo5Vc$C_?q_OJy% z!@2}6i702F*c*O0f;dbQgm)haQ)rbp#CelaJ6XyTBS$4js@|MwEelTA&W2@UhCPwa zFlA7R$?3)nX8*lRUohXM0U8G-N|P6&??I_n7EjA^jG3@cG^bcQ!5e z&}d!eLDrKTY2BoIA2y&sI9|QxvDN-{*ddT!(zVC#%7|pe*X~2hPtuJbxltff{%}Y8 z2LyCLA;z0#$|`P3|Ic#0F8Z70`G4b9(6aA=6peORTY_Xyj~Za;V#nJcNStrG57xb( zqKL&Y%87u*i9p1y@cl>J%J0Kw$zntrTh~g>>;E-y1v^xplnv@#NQp{X1rs3I7Sug3 zVHw?F0vLhBs3>L1;VAJJU6lwE!9HVMx#JpTlG8J8-6u?P8ByqVJE%uDX zIxQ=1Z5Ng28-|3_*5{Fx=taz^TM_@Nxs1hoc*g2gV{NeS-YDTrgZc9U|1fcFSCv&> zF9dtw=7QQi%98B*%RQn!dB-obJKt!gisJ>N3ug|J1rx}!D>Q{3tKh1-TCgN@f3%Im zm<~*6Wf7ZST2NX4$@OL-bEbXYtEZwmC9M#LBR?{|H<=Y{H}^yKfZEn2c^oZR zvbwr^b#AnwhC<9G`x3?T?O>WpM~87maz;0gTrzE<^yVG+XAAWI)>qKhy!k5e&84}H zb+hhJODyclZUmumPgdqS3`6DffytM1$`aUG&|6Jbnswut7y=P)z6t|x z+8+V%oe5AX;S?YNrGwx#=h}rkiKZEXGaYL>y1Nb9yg}P5w~!8&4xETuh;gv>1Fh1X z`^@tI&p>5?AyEP{3y9^ZVKIH>J;|JNQr^+S7^7<>y-tvX^i=!RZsF#K3G!hYEsnI$ zG7{lqY3L#C)hBy-Z_(yPyzC8kVwQbM`LKqfMdOrc;AtuCSkRAYJ({gZ8#m+V4pQRa zp0l;w&bA1+$;Q`TN%#J!33sF-u$hW!fJNM=D={l+DAv7NSRAD)AYo`cbWn+j#|I;Q zyZRzYnZlZjC%7WMszZ726Vlk#cH46dN2yQr-y@3Ve^UNDR?+D-tGY2r%I9_=#a+u$ z=x69%AT0S=!QqTY)j_W>f-(}LfuFfe;av6htE2K>jwQ3Y8r06Wwd*}}cd@hl6Wtw8 zO*^|o)DJC(x*Z?AHOve*?m29TOEpGe`sba5ABnCp-d?(UIA8*faB?w38kUSq#aw!5 zOw7wFTSM+sAn|CvrKYCB3N;?+5S52ZXY3~ z+zGlCHQv`{rxbAA=-pm6aey+x`{vRZT;*_iOWuXooV;<;xXvQxp$(;of&DXoU)!pj_O;p8YKclBhcJM8#9 zxQ(`M9IrA~vBIzll9@Srs&m#}D73uJT1lA6TE90;ooZ`z5$mL&RB4`aXfEpww>pqpOSA;zG1Gz~pCV zJP*2N{X z(^5}*y@82JHv851wek5zou4WcyXPM!-D$abrd}bt`i5kb;JT|R9$FZiy>G9ky?Fl4 zc-hZ=@SR4=afC9(nVy-smMH%u9Yxa1mI0BM1I{IMZbMk)2GxKqpf`zi_H~|_eI>mejlH=+=32?SC(%k zFf<=&LlD`{lUZtvbjMHoB7yXh?9=;1&e>!8f(T^c?_sDT>#{yu5*OiH^~cJ0rC5ZU zma1NA1;psMlxIxa6}JWlYCZI`yWp#h-$8xId~rX8H?rld(xH4ai%m-6L{vH@rtF+M zN169-6%TftOVv&l{!xo+V&S)s?ty8h?=zOBGteIJkEjeP{jeFXD2dYPJ^rU8`oHxRz8Y4^1Q>CDJeBvTJq1Nsliy6=FUOS6vEiDvmx>$pQp5K z-;XJXWZL_FoX1exCDg8Z{HnnJ;L+A#HiPar3&Yo-5RmS0T~2-z z84Dnbk*Safh;GPU+sa1u;?pb6QzaE-X8h2wOJPN5d9k>S=ba#pHp`=?`F^SXtCssg z)yGG>v&1`G9CW8Rl1Dp&N1RG$@LF}(Gp=MvsyhwEWdxd4akyYbSn85FOeAuK5`3i@ z+FjcgE&guk%DSNNL@GBemf87QB%FI(!T*6hzIObTKEtb4$9j(>#u=;+$u5icNm87F zkchYo6MOkcc4ruqjK9YT`{B|m%5vLfy^cn{@>ML*yc=hE5kk%9nDwILfLHF!H3tcs zw_qHrsh$f&7^fc5<|xB>F^9~67&Qv3A4};)uyDn{(w|Lu98QwgFleqzwd&xo=r<@~ zkUOCgi~H@({#cc;Xiw+j)gcPE)5PHYXj>iZMRjlkrK|e!P228*g3COQa!yY&KLO&2 zY36g0tCPz^za)~!I-i#8AyGGlHx?``#6Rv;yKUb-Q8}IqdciM_X6@g5Yhr$L++nO1 zW}7a@ap_cYLle8TIJhBCfXS9iCZ;Q4e0Ku z9WS1+OYc>rVMiIe`Gm}rL>F(x>>e_H&-l2@|Gn4v!b0_V@;(}oi`*G9j}wk0%eM$a z7Yk+2a`)o`u{Qe&5B1q+jscYd+c!>gF#-f?bmGdD4_sJmX{Y^%dZj)8W83%Lu4PSV zyU%!=k&*GI)kuD#;?a()h=?!I3=CZ4B`9^WYDDb{;XAmu0h8kgrQ^22c*`jx7aV(y z7>Fg&llL&fSB|1<1_E3ms5|p(PvWrMB`E1N<-sg}bGV6U)|51ZvV+C7mQ@+%M&i}7 zW)Z8x1s$ddP!zi44?JQ)zZX+d0wJeU8kJes@60Aiq76k8F#5}mW&Va9MN>>PO8YWf zVszVf>buK=PZ@_}2UD-H$gaN?JbgVo)C7x?-I7cVO|7X+F26g0afY${rw>@sm&LhC zx$iWNE(^OK!(ve^q}%HF(wI37^uzWw=gNI^tAA`~*kgPr=5T#<*fhi2*VMh|`)`gz zUaTRN1%J$h`;GUHj(0Xwlcms^E46^8kP-Fm}W9EF2{ zqnTC`9zp9{lQv$vG*eo&M|U&D^p-Hk=KNxu9cYr%6DQ7U6&0$9nD=)zl#1)VwH3R6 z;eKf%70y~~HtMe(9=y{;%3&97dRg>Ig_ANst!+|;(tN2xZg!H+&KeAEFwEEjv+fH0Okax!)%f&McOf;)6l(Azo%(d`l?A4y>uUQ9jY>aGMFc!pr3P?E9 zkp6C)yD?&;GH}<>Abf0p{g~s}oNH?_1i4NFzOM}d+%xW*3^nB~yLeW@(hBL4BeWw1 zV%-v^_xd7b(n>In(@xvE3_gHRJ!=*m27Z?~AhE&!0@a+72;c3no zB=5H>i5VC)8f?Sxfloqr?u0-*PSb4HfM zhG*MfmX-0RA{kT6(QA0ObUN?z^M(@WxQNMXtu8M!l5mdrEuDSZfIX{d!(gQ8^2j~m z)%EHmucbqV@2H)H6}Im@9LZfLvHOH#jc!jLAbrl*!@*|`FYpx3+&oyDfAXx+y;@UT zi-y1Rrq}X>gj-m!#1CG@ZXZjE;^-@rn#naG-CwirJJzC>Q(Rb-hb3DP&zBiFr;^4H zluXz@iz1mxU4G0bm(j8F4ijTglEtv}j!T2L44PqUrwfM{cje{9yh0R8;6&oP3~|}A ze%0Xxj6IqpBOMV=LwUzj+0|Ak8At{H#U8;f%<=b<0g}QB^W7jr9A;c|yB`&ji@|7^ zcupHVa2J|3!10!Iw7XQ*4MG>gGE8t8*cN^SIL59U+z)o`kmCJ8h2YB5j!e#=*lUwt zv>TH0JRp)J>sn`57h*z!%-;5TNiVH_FcDXnzU5E*Q~kxEp&>+tB?tq@WkyzC{Jo+g zZ(8KoO=uOfKJlKxATWnC)!BBArJKeg>yo^);ht~!go9>9EC)JDzd{#RC-2@=^7*z{ zf-PYpaRcLV@1&S05_8jfLA0(8|0F~DmoxL~-@(rdy2fmfrzWj!ckN`-klmg1Gp$_x zJIohU=L1OVt1S&NuslrT&?fb22Ya`q_>1^D`xcfiU{y1v}Ig zzM-qvu29p%zd0>DI{FaCl*4PQ$xs8jo9ovnR54%E9{%ZKQbYLTqM|aY5d$mSafg`^ z_g_W~^Y%ccl#!8@J0Cilv?@!UYufv9X~^4~Z~DEDXY z!0jwLAtBTOJBvb$8=|bwO|D$|#r?!cZ6HPM2(Dm6CMe`UpRnFlklp?7R4klEPOo82 zmiGoYK`lZq2AhyJ&Kn^mf2|Hi`u{ks;HQ;Hh`%d2x)pXZ{8bQ+9~uGi=vS^xAT9pq za02+9uf&Mr?WC4<8DYH=6%oOcdWLw9zS8UbH+~uM$yeclr}q98<8FA>`M^<*#2Le&f%_)9mZ;*XvJ9%wb(& zK;lLYL^dI~q9#{f23hyiW9D@ZI%?g4R{2(RZ=G(o>b)@aH5P8b<&vuMur|$?nh(}_{<{N4G`vlRxiQ}#6b(cZD5+!Y#(^aKYd z5u!q#73u{$%LxMbjEIPuT$;(KlrL8kN5of5ygpB8imvp19PrC^AX(T%|NFalx=M^B zjKq5TN*?vN+RL4^(a^-?5^7H*3)2jA?x6N+@l!J_$5n|9pKY5wM)cV{y2t|NJ&Ejo?%3Jw=i*5in=&$oyDcc2J^skb8q=LWzDTt{%n6Rn zJRm2IwwSC6dY^+f?VkX5qt>{2?0R#qL}W&>X8W%ZGD?{bs?tie!{$UXzrA*5Meii9 zsW)cc=qSvwiU;r}8Lxztm+og46>f_E8fWy+-q{1kd%~u)WD@J+ALaXy-*;6t>OH=g zv+nh~o$Ag{vY%7KBHy_tl}bGs)#xIwTorvyU8Omq`5=>`&>=t7-sHu~x8ZV(|Ks^> z4^TT2N&Qam5rWE_m!Fl#k&W2Z*E5SOo3KhOr=i=qrmNuG`^Z7Wc;fb7xR{g-D_Lty z%UOMOy^nB2Otk#I6Jz;v!?u@7Tu3SlWZj-?O??&?o?-rlRnOTPY{Lna6or0FbwPGh2mB9C2ny) z-w1vUi#6FzX+&rHCK}lqzmG|l_30p>GR1l6Z4z%8s3)}uU<@&6(k(RGK~sh> z^Pcp|Aa{j8C{{7@8S1(i_vU7;$*<(AlM8CL#GeS$vo&kbc3s|4ytKkD z-=X&u<6SQnRhet$?!i4Md09s+BG=jZ!pDP9p8KcHdZ1=wrf>$$_@qlwTl)G-1~g{v z&F^9>`5YfU+p8lBQ9oPI;x^ZmlNTIMrqx-~`1=L6Anu$LLmY(A6K!7mkHcl(*%Xwq z)%I?t_G>?+PS8429+?IXGVLR+@@_ZvBK8TjKq$c{vg~7Z&NW@V##B4_$Mi*%|gZOms)3S<8d+#W4XYNiR~3k4#SLpf6M^ zhPsRBjhSQYqv%EJ%60#$-#@Nm9M7*}b*-O=Img(Vj1J=IYv>|2q8uuM6IG9#bsqw0wn|5$QHf{HKXT#Q6)y z{~X*@F!q_-^Q^Myo1mPWTr1_RK$Zgs^ed^aGjQ!RbdOsGAICGn7}E2zGsP)1@dyk0 zFV3Hh06`D){=74AtJ8lp(LSOa+Ckb=oJvCod5y!sZ!K7=Z$4-ivR_X0)KVxe5S7d@ zgWZunpHBDfrttS!14biFInA(-@kif2@R2d}ZjrDDdV1AX_5S#oUa=b%tvSw2>w5L~ zf%b=^caH2+6Y&YrMr_QJ{$a$}b%jnxqjwm-r!Q5<*-7D2eT(@0{kKJ_uz@C9tQ7wW zK3;Qy+sbU)mS$YpW@`ZsX`4oDVWR$SIsMM3;rLA%eqm#=w3UqR?-ibzZ7XgzXRuFa zRQo$ksN|96)10s8>o4Ez+}F3wr<+5YO00^FuVvY3KcdsF$6|UzlKk9&rdNo@c)`9_ z-ksXdgCRI|B1xRbm~L#)^jR*J=M4ShwcL`1% zOGx$I18b9)Z(S++i z7hCc?j%VL3jCjebvftInbeO&MD)EzH-^p`^fsgH9?z!e)gev%ICLIpu3E1xKO*OE_ zGY?XKiYF57W2U~F&C{*+is5t}K)w&-+g-_YROZQd_vnc+g5nxk7IKVcEnIXT-eBju zWbg2E%)(K0x@<5bgb>m&Y3gs;>zwX@*ANK~q<-_gs<|TQhPFb}A0lCn2D^fJ6`V?r)(1h(gmMOXUzXhFUepdzd4p@T2h z;i~Owk1Lh#qeU&Z$WkFym5h`rsL~+XpSAv!f%jhZ=!2bAo#~I!H6|jYyo#|FD?gpJ zkt{X!03n(cYNt^5z_r?pid4>sjG|j=gn2ULFP;47P$kUpqG~fP?@y%fsil*@*crhj z=2P(79SY5OLqE)hazl#kGDxI-~(g&qO7`eq%t*UijJ3o+%o5Q&y0v9_WkQc*l_QM>~GGKt#6LLe@ zgrWldD<-p}MfwJO7wZ1W$;rDota;9}s9lUnMq@!-Fy9m?W{y`wS?mm!Wam@HiCY6^bbR8Vn~*F))zn;z|Z_AKu_Pvz*IR0ltBO!=-y8rn*g zwG7#>svBUD&H&I|%}5mVqg+VOk^f{luj#o#Z}%dRLP>IU+#RnbFjGs`5=YPj*HF@> z_La&&C`O8q;?Bs_XiI-qTNXD(0Y>U#k3`N`TLDj~bG^@5#>h(jk(vBi?5L@t*ATWiR|VYr2vvMDrZ_2b?#kDo;OSU=A5b z^;+UZ8P{`p0eRVF-|2#1JM?~zW3{s86Yti+T3TQqgTa>jE@8k&I=igAQs`{*^ya z)R6OJ3@Uvw(~xsa-hMVJn(7>msx;JTUc=al>w0wg{#2S)!+RdiPU&V1PA@lyX~)tH z$=dUPLR*T6x{eB}fl=4B*R`E7t7LgsV0Q5w3}5PKM3MA`&BiH`}v)5Q?5j+pez z>%#oLz@(NH&hpfrD;B^R$fMOKc^UK1N3K8MJtTdgmUwLvkH%%i{dnzexKd_)Ls5JU zD&XXl2&l?ujstc1pg*#u^ql1rkLc<4?qx)@RrN8CAIJ9A?yF`h?dHoT^hUx*|`$(Y?XJ z7v4f9r$?Qa3-e2z9jH@@565;@M#$5_j7Ml|DouPjp15tJg4fY6X`}#%j4f3-w^hW$ zJ45{TO3cZ(H57Y;cVo9?t6ttXp$sb?&Wzq24>xWj7_R{M$fzP>|% z^W$Y)?(yBGuQSmC$qy=mH!r?c@w=mFTK>lBAxVEssTl8XR-9ps9|3R9d$icp@R>6q@GWWt?Tz}66)Q~IcC0pCIzQx{{6{oM_ut37z~?8}x7E`^-IyR8 zeS7T~H|FkM-ufp;iqoF;YrLVYWY0XZ+YJidvzRt^8YH88O3@}f5^|_PQHioXL!!xy z%oY==nGP8F`w~&yWX9OY{3PY*Mn8v&Uh&B2LmpeJ=Y3OJr)Pw-fyN_hZA>C%jms_3 zgH>Gxd@Faxt~28h35sV0GG;lse5LoY+_oq z#;YQUBjgk|fepSU8?k}y3TAP>6^h!`M@$s7zIZT!A% zPpR^=6rI*4>F&_U^GyquN*rfX&JbnB51?ZKms~kmg~Q1CV8A>WOp=-Ok#DTV7kfe{ zKte(ip$N4a*pv&wUx%;d{6>@yqzdU|&t$y|)8Rf@=wfV8#NhfSks34i=O&5$AU168 zgNh4SBb&>vLCylG_<23goO9Z^79rdt|4UmWqMWn!L%NCau@G*XXH~R%jJNMwHueT< zN}Y}!bFGhg@D6K>%8M#^RQWw6)*Pl0PnMA*!l;UI@Yxc+|B-KJZ&&K<2J&y82n8ZL zf#efmD5DLFuin>PVrHNf$~tZ4ScL+IL=;1Y!%(`aS|f=h)@5s8!{KFC2`kHi`mr|B zYGhtzeI$b%UyDySb#TM+kFBVXEvOqegFL+SD~P7Z+;MIq8$QosNWoz7QMepqW|LT- z=40Js_Ij}QLRR~DZUqpui@ikd*r*l7ebelOpYz$jM$((^zoo}+h>U(LpvHIELt4d# zx4DX-GlxsktmJ3fmUBo6U8{aE(stR1m%N^DS?IWzE3w#g15I)8Ze}d=>WQ1$x%M}W zBS9N)Wr1fu-YHArxj5RmbdqSElV!Fm^z;O1l3hxCKCu>+-6h?fh;sfI&KX+P- zKPyDSI8Q-t-)m&<_~wUcOZ|_PLUeDu?w7ZBdT%WZW&N7p{Vl!|8tLdZ`v_ym;Qn>k zPHfS)?j*VeFu0Qye=Q6BLYMxOQO6~D(O^?vYUND8&Es-u;Rpsz=3+a>pczlf@|9It zH|buyEr7Ox>tl00KoXa360y7zI`Gbq5Y7@chXesyARTfC+B*QPpO_&gI&()1?HVf~5?&?!ppck>1faBobL zjTL|YpdN13lZ;yvW-;<@=_IK)NKuPnO0Qq#TXp)>kgmY(=9g>#A7yU=Rpr`s4cmpF zh=?E|-5}i{(v5VZq_lK{7=UzlDBX=TsN@C#r5kApiB0#vwt9S?^L_6-zVS287>D5i z_8r%?uC?Zxb1n;qjnS#E%y)InSTxdSi^iHasS7r_T{4U+qJE`d8TRV1fE_?g!*r?HSY7}TAql33rx0^IKSS<~Da<=TU#TssTc z1r94ipNOHX4(3H(xqPs<_d^^j;&$HB-7^{+o13{n?7>4gE-=xQ-ERN>M z>)UmlItKO%Nw4Jega)Wa=VGn87P!t($)sbGP+ON>?yk#{RL`A_%$nt5Gpb74^LWmp;2^D@(Yu~ISD#pJ7 z`l#J?kL?`&<-TT3x&zapltPZ}VY$=?V=nR9M-H52*INcg0x+n#=o~jtB}h z`UN$Odm9yMy5qzzy`oeqW`9Q4xY{RC$EnB{_uQ1p*A;X&mF&f)@8^?fK8YlA?u;EbSKgKWMB?DmHzk$v*Jj} z4CN$tc(mD_ouois0w(aM*wbrkh18uT_`P>@-M6t@(+IfahX=sAt>S4#0E}V}!1Fia za5gE@6jh#N=bdOwRv%Ii>f>J%c5f#LKtY0vyoL7Ai9d3zJ=$IVGGwL9G`F@8(ZN?@ z%eVcozIG=yvD*#aEg7~4Eoh&+XtvsT#lLPHjlO(!VW!ZH8r$PV+p!w<5#q|Yc0Whd zLi7pwMiDbFhd?m5$?X%9FC4NHd=v{V_Q3p;ripVeF$Xx{@-F<=V=kuD%Ov7=W zJz7+>OVUWA{WrO}8&VC&UY{d!JMu-Hfa=A4s4~lH_>OKOn`tQ*Us5NWx0B&3IR(k` z5)|kR0lKGMD-eb@Koq(x-_A9s=pY~OwoMxZ9Voe=A}Wtp z30Jx!c&6GDhh66E6_oB>HD1m?A5mf`yF-!u0{|^vwrZt4+_AU|+h$ZjPxAAdew`xa z16Pcm9#UI|`wKw|2Kxq%k$^_#TXc>x?80w}y9>&L^sk%0Dvm%AH@9zN6*82YfAoKr zh>=T$Bt#^;Z!; zPCPsUKdL5USG+c|pxn5y4h7(y75afDKz*cRq4<<%L5Z(nv=Lhb| zOX=U2mubZgp_#_z1_cfNqgdya;?~(cP;r+Ce7GRV8pVC-g$ldnnD~bj$km{2`7&Dc z?ZYvoCz$EuGsDg_yat}LSsLXuZz_N<(>e)wTL+WH2AHN>e*spLAjW^H{Rn513l}aZ zWyyOrZ9${@xi6eLPQt%i0xGm9Xm+V{eIzeZAA3bY9;bKl3Dp z5W!FEr^Q?tlDHMP%uQ5$2W`Igy`N=6^sb!K=1#`l*JvX1VCb;;F;^88y&l$Bt(_O~ ztj8eK7!DuCo0{Vl+TPSsxb(yvp{bqznz`3;BA~F@@4!xK{CE`2hvFI&AtmdgI=HUt zRSqnEtRFBb|D9S5yDjgimPWC#Z~RC-y7sK(`b&GC0jTMDi;K1f+p=m|?|RNNbibpG z=T9vy7w5w8VvCC$fE+Yr1hV%%S6qq9Tk%;mMlL2*|b8FAyrczq|mT z`E+oqaM7=`qj^$>fbFIFM-S6-MDzP7>x-hp5V%og2tY!W=#QVam73+x1iV?fB!#dU zTFGxSQJ9jiV3rqNW>pv2*!?l*kELX65lDCKe_Q_uceTTK8;Sce3N~u1aTBB)D%Ar? z)QZ>46V?68G2KYU?R|s-%FC+Bts2HUE&H7XsZCOZpZPj1t7;`pn^YI1j{umb&{nFa zihKiDvWAE-FM-wruhx-!HD5g0jGno&O=E59Zu&;-npoQ3!TO(9K8!~RBg>Xc<}WKm z_Q-!L)D_@HjbR5j9(O%r?P|M?_4Rijp);P9(ldyW2!q?N{o&5?~!^{En2%_L)j-dC!~W& zI=BCTQ?b!;6gPve{wUp_C|B0qab|~#$DWw;b=@8cbYC6q8n_c`FNV?AspepT<~erX zsyoS(g_}nwh_rB&kH?{0+*_6W=<`Nui9UWS!)pO`6`6Y-(2**BK&hjh!wsz!&H7N& z6p^aj47Y3UjtdAH6UAdvq3Z#0#MoW>)IRGzZ*%0XSX!^$I^G{b%Fh*}EgxWIw*^}J^~SQRC5%fQEjBwL8Fi(?S#?y7w8vchUI z#!02liu|;_c^wW7R65o?H%#kxoq!+rD2`?%*`z|sSr?hy{yek>t(}FP((49vT)s^K z2c4hnA`7ke8T!+gm`%^~d%XzqK}Yd>zk~`DskqY^FvssnWylpwbTz=qQTXfRm_o1^ z47LFH@d4dg?&Pl7&p_>jVt;kjJvyQuZEhcoa1M`kzzgOKhC3haf77wi(TEQbJZea) zU5%m7`ux+?ns?HyBmWq7jAYZ({QS>Rf2t3t+%e*{@J(@5pZEg)q``s~5ehMP^d`19 zZ_NOAmzvWF?}&#K_j~SsV@bYGIF0eh9o)b#KCVYhLT=T!B|{K z7Iz$y# zcQv~0LF89?G5dl&hHG9nJamPoU_Tmqu{|VR408Fuk}j!#I2L9D(qU;Jiq)-r{;58? z1rniwLS05z{Rhb&2iZ$z?q@IL#^3T zWad?7-X|1{r__zP8UveaD@WtmxkhZ+V@JG64;6IU5)Kv;x`H`&sr64n-CU8H1RX3j zc&ph1$mJ*7E68O5>n`Qo$jx)f?Q0VTkV|PL1r%2|m8!6>ucaLHJkn|YE*6wHwM&9%MtR=X{b+m8G4jLpm!g8K-G2aRJ*IXN#s! zy5^C13#cMuD&0V8{+JOg;4}S})!YKn6B~ z@|1tL#q+z}LCRT{%xzvWY6iYyXRQR}$}La)6V+h%Wkafs3N(SC8r|3%~muLLE<>@-5!_qLL&h z6z(h5-KV8to>*Cq=}F>l?{fuGB=@ii-BlVtwFO*#O+oTULagN;P%u-=43;Yi1n!H{ z*o7hx-R^4&USm0XA3ku8zqztf`OrJ4+_JHAaut1L!|7|Tk^|vHC)Mn;oWeO}(P8cl z8=UCOdP-)r!4c0Z6N$f6>&=Skah0zvOR21?g|0hBpI~kLhR0QWILxm<(;k!= z7-Km1Np625Oq`Q&$ri?+w`ktZ4?{ma@2-|#9y#<)PG&752=7zU}Z?jHg!j z5PICS8+ZKc@dmWFGp-%(gm;B0Ij7dgWJDx3)C}ia`M?%2&$qaIh{~m=_iqn6Lz}Nu zQ>vFrX28IrDOvn?AwG~Ng)|bIvy3F^b#D2nA}{gnZ!K<5-=Fwaj1$Fg-dG{%)l=cD zZNI~)eH5brNVWwKSpa+pkG3-PczOoADJ{1n?K3rbo{nKeKI(2z4MN<*wG7_;AcJRO z-6DTPB2Z!c=jy?=1Di~;$YLNq~&_wAnL-rbc68A zBFu9{0v|6W5s%GueccosfYLt~rsro|m%NOsFzy=!B>_>tU%*vupfe>+gB&O5+Y?=nNReGhab0aD{pm_fo@rLFhXG3nR$;bmv9VYyjsRc zamMyyIPne0gf8oJR<+<3aa$4Ft14A|=duAVIKz&c(Go)HP0|SCPK6SuF6-Ru^OU;B5Y>01g9+C83Ii)%?bFCB5B7dmh# zsbsD#_d_>&FC=k@F5321s~Tie?)Op}nSIqBG_n~y?6V8X)(TnvFX_NAhOg$Ojp{~3 zoK!RLgX-4`(n#T!L$f)5tca=Ji9d}~@FncE+0k(3TBuC8XVgsB8%6XJy@ogVRCBh1 z^8u;W_5!IbEn}?NkR*RT-SLgBr{(_zULEczCjSMmeih-|fQ2?&_|pDvEe>5elv}@- z(6#iMz~(;Nh=k?sA?U&y8VI7Ai*XaFjMJXqKLT`!Z$r%!^#$_{E8ppdk{3-VC`+xP zFFtChBDsM)F@!D6aZgQ#n#a?zRC5xNtWQH4qZm8?C#?H8E)Ox{D-c@$yDTqdK*SS7 z)+qZ)FLa7lyp;6wer9@ntzA(uuD~o?2T@Pau%a*}Nd%33!D2O}gMrtq*}i?qiAIk1 zmKM!cLWsHC4gG&@pm|86+rly#o`N>Z!*ul`v>$;h`Ux1kC!onR87g{jV?OH-t_yA{JxR&=y1Z|t2c#&VE2%?r58 zrd?W*3Hz4916r;gcI{@w*#(R%wRX;h%#d8Q#kBCF@dx>jbX5=#>_QEko zOb_^W;gD+o**RvAv=_?mqSGO@cj^x$4T*UboJ)5aYR1M%5bKm-&}s%8cCS4f&v_fS z-*aQWVO}iKby0Fw?ykuph$#;DSrgDXJZCfu8%&M|pl0sGRG~2MMs$% z9_rv)d!PPjtr%p+Ubs`phA#?J9OR(CW9U9Sk9N|fW@CXx#P3${J@EcvqmSI>-Dq<+ zM=IZ9jm-0h?7}{VH;bQmoB8sR2{};nFHrPffunlj=iuA(60UPGadfYqj1%kFzFoKY z%r5&=a)+&>lsGnek8b#3QfN%_2=hG0pjBpLvJm35Su;ojFA4*;^5H*Di4S`GtZFA@2<)~%bno3Z_9Ei3v*>u&YgYuXL$hagRkDc6$5vD>=S;~ zHmbZe8QeikIp`E}6GEDsXy=_mzCSYRV_86(xX-;UhBPsB`)5Z2D~HTq{gYuw#*ve1 zZF5SYH~oQ&qR(*xR*mRDh@5_Xah$T?^@<52oCX36`d7u}?5RSM7#-TY$rC9(=vLbhhQN+Tq7lHL45Ez;XGnLoJ=Y|KOy%!_;J1j!@8BC#hpG zWT4n~|8lgY59|7xUdQ+tl_sUm>NN7UCkeqC1tU}AsJ>t!=goo|RGIT^yXT3++629X z0%U5*PSaNj(|(MU(-(8tND5D}N7}0a3lXzniR z_FiK9ne<0K)*EtE5wo)kHl|UU77amBMAsQw13(afbW{)gw+$oaf?0 z6}gJSCDA)IoTW=oor~5knR2`T@<~2@RX9q?r>W1%KZz?V@++eM52ux7$3*Fr_;gGuDuf`l2B|JgyL+)~8AIi<3)qu-^F zW87M=svlGS2F-o9nK2zJ)1~bPynO~@Z<+vXHj4}ks%U&~_~66902w(s?4k#-ix>$@ z_aZX>In1066|tazDlM92i>$e4uM-||ff^GprF@%~L@wW?x-5N!&-`|)mGu;X`{viS zHqSfs0lMA9EPC~GH`5!U{r>>U>uk_61S~70N=2Kgyw;^Sa#)o37DD z)U7kd%>`+)88jmh433;^la&X3Kq7}Y6<$|quCPCCqs}qWcE%H05*v2T3gp<$Srp~Vvq$4;wSCZ`hrqe;Hqp2(1pIe|Ua&R3?lkEn&9UG$+zoWOOTR3rO&o2}px_iDR_ ztRiA4nwOMBu0>6o1OzwEPgNHSPnCVNlN=w+T_;KsM=x?gqiMon^stkAcw=8qjbQSg zNk1UZ#!Yh)EonfPhcxSlU=r7)XM6wL(c)DCidhPvllk%jfFR{(A|RKZsIS!b+C?S z!tK(5>I~Q?osO*i(Q8QH^Q-8$qg~(Z>pZ$KZc8kbCpw?rSt&d)IW~iP@VG2LHLCM|S zOA{VP*ZAqhuX@Vyo8andEnmn_`R*E#nA~U)4Bf+QOICWLHzhG;AJl{=I4cI@HC*{1 zZp>0Eh}X(8Og+up9v~){vGPfXIQ~U2qoAh7%*GT(LOXw&$zQ?*FBkE0Ky(@F>IVU~ zrLjj^1+=&10@7K0%YH$IO8-A~m5EiPte z1!n|cV4QI)=^G2lo-R&K&+ls+;@Yl%|Af&R^$^iqA9VJK4=~gCO$A{r?XcaL=jpLs zr2*nr&~+JFm1pHgtw992>ZKV7PMEfeZXaWDOpO(bErjV&Zy!<}UCecLhi^6e(3+ZO2PpYG zx9Z$_7=LK)3Sz}u9d~IHEV;y@H7LESkF`M{QoNdOMG|ak_PkBauE)*DBdM=(eSd2* z-i?Hc^fRK6DWdXmlHD@k2TNt)=k8USe-P5jLB!3*h9}b&%8$~z1sJ&Ysjm-MhGt^4 zBQ@zxR@C>0l_CtL7>!$poPf?I+LIys)AKR|H|ZvVKkCMEnVMJlZQBi{N> zf!|5)DMU>=mZE8x0eopGr1iSkK0!sCALu#?#|+*6tGpyC--dR@#r)ILYOSYt6i=pi z7#emk)myfR#?9(F3!Hhb7nW<2ksm@ey|359!iE-|F%`FxqA~i@-lvk{-U(rq$b$A| zR^gkfeepo*+&y?G~oMFG|)ZI%>gA!#o6R0q}Wp*VfIjm*KM!!K(hTE1L=UJZhV*DjWa$7#V~Aq zZ_j@krOZ&`9TtB&9@WVEU0N0ABYYS+# zakib~!)KfEP;9TtXi>;@0IGUmJ>3LCRCC}Aho!+3CKxK12bABkNifF``T#Qr2|xT8 ztyly3F9WtmCaROa$QYr6w-tjw1kf_vcId}wI`z-V7dcFNnrt3ijiC7TR9-}jN(hc2 zZ{Tx==qq%7CWcvQP?uzcfZG8Tng(wt^S$t;WoXw=D!pABuZiYk2g~<;Xkx17!_1#3 zT2)IpXlx7sP}9MCSGED7lO@v+H=HNOanF9F9?L~ob8%>BJ2?W`gtsmeVSYfrctLJv zU+pU`m=9FUUV~@!oUqTj<>iOn&wJavMaR(e12Ka7x`Xfif=%*VKHWIh;kxg9=bEEd zjuQ3~;vrS9$K{Hg+&!(iR6+dx%%4XD0~pBf@gDq0g_%b9^nig+`4G*ogJza*{o{EU z3ZHT8L+Ijr%moj`ZWm&Ljlqo@HsRGvEl z{1Fj-3P!vrfMp##7v&Y=P++1D`n`<2N?Mep6SThp%rb_WQo+$JP!fV1+m9Y~IOcLu zxV*1nIrl=bQZ?L>k|1(WB7g0)wl>TfxP==syrxdr`FaQ zo=<%S8+X2)A^oF8i+qi8ungH;%uH^dF$jo`Rs|jATyZjZ@u7@)M@MVGiQkSB)lN3J zg^ahVSI^gA<2oS?=7re{^-}N3^r5Z5*$9zt*n6FhpZRN#`)m!x80Y>E*4f_bqLs_d)Ws?pnhF|MJ2{YNYG{Mn!#G|sfb=>*v zLjlXdS*Y2-Iz)BL1mQX90r^H5D$bd7 z@RFuDBo#d~^a*vm-2TdXrYr^i(*LHa)E_L+{-p#ME^icmr-Z6*9$fn)aeM%V8UOlP zMZxFa7SgTZxC75iA|8h?|37}~?2FBZtq;GQkvad6_7@=WufKif`DJ*NpjLfR^CHMA zEF{!)Ryqk9FG%>VlO=dYmt@d+BFl6GfnS+&HVA_i!p zEIpR_#{;0{{VbFiT3mJe>*tC3X(}w#Uq)FPz?UZY2I;kaQ_@A$m;iJN{8Bs4KPtc< z|F7RW*Ah%LIdTa+?m1MZF=DZ66p)q&f8=l>7z<4W)YImu&-nXA760F#{81|P1tFh{ zIJ?>h^Vs5Bj^eFfZ;-8J09S2{8T@X5p4U4CwiYB^|9UwuO0I(V_Fq!gcSSikhw$|k)W$_!>B-?Z+CCUS1xnsIBd>ug;NfO=4`#lN zk5`=!8ioNB5Kd3_yFFFF5g&G#Vum!heKD4R=2;7gQiB(0U1dba`373o*>O9E71NRK zuI}umQEv>$scU$2|9(8`oAzs{OE9iwg>KHQ8!^Q59Z-(%tgnZhOhcmQz^{Jh;i7k| zbbbjOi;fEzoZrE22Fw%^a=wpLSS5#rDO`XDK!MP24nH?E4HKLvdwWYI!YLq1zzMqo z-s4;88TW?iXVh|t2znTQs%~1u1JR?2>tE|t-slg+vA(;T3>Lk>*ddcJ{g%=U0~)}c z^O4se}JXpW=uI(d5P1+YYu863z_HiH9W z(({xLb)7G7CvRVVp)vs&3Qdh4Hf<8Oy`K1U_2J>@ zxJTkmEGEpq|Mk){{dvCcZvW#|R_DSNEM~Vl=KT5f)iM^x)iGcTg24a+ad!T$uW5}d3v%7)4SrNDU{>Du|886Jhn}eNl*gx`&VjNC8@Hrv-@*Z zGfS37%5(9ZminlNFFya4B7!d-`5DH>mYVj{|c7zYkop)oWMqD9XV z6BE;jKudB@+i|>^kiibe9dWIP>K(kbF$u6iaZ{VftWx4EzeIG&SX6!%bfHEq9%i1J z;YELM@Ygrvx3K=KWy!OsRSfnbU5PwWVSP}d*Vgiacj(A25;?61>|@Cr0U+g4Pqcca zPzl40^Lt*ydGHV)_%QMq;7^$00am&AkL))*Pd16FGJXt~Qu-)rKe5j_mlKVmWKKan zpLrmz80SlUOA)m7fshA9F$3&Hr(GRkxC>9~ZcH9IMnlJJCkJ0s`tZND*qJZT<2eTx z2kTQcEbZj@u(!TlN~rmr;Hw`ikSs7et$%lZ18IOy<|R)6)RAB(p##bBnHQWZ>5pNW zx13xz_$q*hmi@|5F^sXWcC3GK9@uSd87E*%gwO1G;>HcLfTqCGNsI>~R3s^waD+X| zIvA?3BJu&sbcwzotfeeuPuxGIeZ^M|gAKV|ww|32FDIiSefa@|i($3#D!UdqF_^MG zpwjyG)aNU)ThxaYx9zfD#s`1z>BST(#Df_muv}>+6o7Qs)7$&g)x>mey2m|))uNknpJ=+x@i*?2k_bc@yj8H5%mHkMpKF;I?B^Yyy; zcE?D?G7jp%b^G?vJHhigNmK?P-_!c~FT=rN2|YcM4!e&p&R(K0^N%f_l$?;Mc@sF> zDEnS$@wv{}2uUT|--|_ka~~D>!5k4~0CYq2KX!dzi8nsCx3zs=WS#GfH)cDB+OyLz zJ~UX+W9%U zKLT+OS>rc=hrn7@=|iHVgE~AnG)56tU}{MTF-^QT)1>*RNlPG^=>y3wRtA)T}}c z`u@>H=JD0`yG7kVD;w$)6BC0WhOrKC)d;ib+MN+Ig(+U#9PT-Qi4|1%ppZ{p($s|r zC4sySJr|lc;ft^{I_zL<7D za{HGrZac%qTt%>Qp&ZnPnvvs;Qm#tiIWR%h&;4ax^qtJ$>rg-dA>Rn3xdSMYcVz&f z$KKNeII{C=+eQ8F&{F|(k0MGH$^pN^9MwV{bMw4aIK^{kJHbr^W$Myi%bM1(zP^qU z`nfp`YCB%MZ~+7q|61Kt?@`Y;VFN`jPdNs%#y1b}oFCI=5k?GC1ZIHpTR&X$`%+yT>eIWNfmRkTaMds0FWEK-!v zY<C$I=R|h<^Pl zUvTc|=m>^gHL}kFqq%&p<*eU%c(n^*OJCogSAGbw17=Cgnh<8KL@>d5f?&!vnhNRb zQ_^us0UD`Yeh@4|vW#2*vpo$QWWW-VWOqU$C?%fZ2l~xG5BU2pfB--PaNvA@3^eJ4 zV=g5fJOK7eBFzC2_j?q0<_vk@{^ml5H)I;Gqyzt1&Xqi`J`yVR*MnZjW<$9^D|Q!} z#I`#t>Rclb+qm4o?6keZ-Oa6X`q^ZC-AWK?44h#tN-2=PLz4?-!1v!@lH|V=0rXoP z&_X`kUf%6fl!G3XOjsJ^t)soY;U$tbiY?b~+*s?&4(ENQQSY{o;x|d4l1DpE@O?Kl z*qJJlge|F+`|!+*Fa%WOPLD2<&$UM`Pc{h1O`Z4Sg6BsBL_sJY=BCYh(%$yedFKTD z-*!j+;GERd3$~$5hX3nYh)Cdf*9c1kUi-*fp&n>`Pr?joy30dQygRo!!20<2(jHGF zeTSa&29~=Nc}z%%{1)Wi?`Dgqum1NlICJK2Z3}aIB*GxGS7N>R%Wbj(T+aXfqrYm* zV1CBHQsZvPFuT3=DH7^mR=1Uxzx*yA|Ni{%1q>prujD``4hjgM`+vG8{VMC)&;6fo z>9=Fj?|*$k55-e4DUX0LxHpdUNaNbw4YiNl86;b=bFBaS*{E+KuKuwXf$v|?KZy3m zhJ%61)tfB+6#%>7ab;R>QMzgS<2jT(++f%8ReS$mAzeNL1uOjHpY(MmT@WWik}PsFfIMcvL(a~ZQ%^x(trKgcjhSI zym&3$`s$hJe>)e=vO`-5gm1nEs7Pv;nH?PTo(TLM7h13@4WUh%yZ0fZ5i9$wNWy=4 zfx_JxV*StXfx<&cNlD1$hUjPdRduyXjRK>i2VpbnfUbc-yZVPktLc3zQa#6>$0H8p z1lcQ!-=moL=FPaZ?lvUtn2aVl`C`=dcH;;dp&sYouLxM4|F><+_w>gfVJO$Ii2ljZ zevTqVxP)$8++7{$m&IuHQ!ggVii<^t?lp438Go z(V1vCJ+_hvLusLebNi`5W^RVX?E3+PIpk{@fLCw6_i<$5c3U6wciThsldrZD|M%a2 z!3^mc3KRIK{a?Tf=mG=#b5E1&c)W5`w1_zQ>89hT{Y+dAjXCmJ6@^$u6v(3cr0JzP z?yzlFlN)8Er|HP_mc>`~VSoLyY&joi#1JEu_2T}`bJrN&R?S{|iMEh%N^2$C%k@0Q z7K5i@&6wZa2q<2BrL|pO>NX!>DTU>r3Z*%J)+h$;rH|TX6YKs&DX*PnC9d9@sR*d( z5G%VCeyBi-=34j9#iw6X#tSMfl$?P;4;VY7);3qSp}wKPQ%fu16@BWD(uvkHd@$SM0OpK3xwy33iVv3<0-G8V zKw~r8A0{G-^78UfFcLa__d?;JV6*|Seo*rMc7;U7w)D@sC_ZI8G#myx31vLU$Z!^L zC@C|k6*H(jRkIb+q4eZ>PHT2gJ?b%kN>*xbco@x^E~G;dqqtxC>fKfdz5U5`xDFC50Op%X(WMCUl&twS*V}1 z-XKiED75pBWxgM04lBN~S!4^GU@$cl#e<@f1T%c#AF^0a3uBheL~bL|r{O951h0}Z zlU{&qxF8dU{#m;iv5t<8+nIEOj_lyGE=?^h)ipI8y&{luLz-U&gmT9Ey%e*-0x$v` zk#^B|ygBYT$;HJ*t$xvY`Xjx1$?O{(oe-ZANTc`1DPmoi%Xc;0Hk!b|k*X?wY-~(V zPp@wehHDt24%VHqmL(P1oK*bT1=)ng+SxRz%IL6dQ*OTTlutH0kKx(>`F80zpp!w0;)yt<=gigFn{Ivq(g z=*15ua(ZIeE#i2`QCZeK4!l5{T{er6z96IRj_tLzxtrEAYV`%b&KlG*p~zuHzC57` zgsJRpKDPl{gVXg6seVg9EU&W-toy`-gfBU)aIH{OZ_USB0WEr}8Atc%`>Pw!nMm6l zzq{0Xvlwe@HZ`VX1+IE}RtpkP>nJCvDr{vkGO?+lWd%OWH(O;7+rwdV=90g_qL2;| zwC=wd{R(baNh|XU9sA=C)Sp+F)59nmJkAE+i&;cdvvnNQ$gL9_v^P)-kELn?H|NWl2hcK=A*Gu~hc z!Mo@b+4A`fnv_DMckLo(lPqiecFR30-^$WbTO*?k0{T${g?7)#2i-we8|Tujj-D`a z9gj^PooN3P4$Dv*7+wyji>Up2pm=4nR7roD0mY9VYJ4$BR{M+90a;v|oh5q-8J3i+ zY?lLdq?#l@I?B>A&p1V`6!lWPyu6mwNvNo@pwj~Wq`*Nu9&9+-2GBH~ytmgc0#vqH z-8F^=5Ha<70OA6N%G;!n)hUEex-8RUVnzo;Nv9$@Ju_qY)T^e(_1Uwme2cJb+itV9 z^1bP|5_UXQYZ-FMmh8EJWRje$H{KZimX|-$bVKPguK?d};N9*AV>CG56r@kW9Lmmk z{Bm7H-6J+c`(EAjZ%`YEJkC+dhUvb>b&~q4V^y#t*>YR5xYTY~@G4??>$o&)DF3y52nUJ1J1 zqp?CgV=LX#1H-$Pk?0MYQPvOWMWt8k2`O4=MfS#+<_~#z|9NF!QzRR$4{}+d6~IWe z4pnYvceh*sm?J$p4X*;+0WoM2K)}PEiC@f&^wNrDHUuN`j0Wr5Vo~nbznc8``W|UV z)dXaCm4aF$o+;E~`}w z2G7Bq*v@4oAW zJDRO}l%U*{EgIOJETG$>>-v+8O&nfbpZ+e`gM5kSkPA@CQIhbeNrTW`f^1odOCeN2 zbaY5*RsFpmk;oQp4$CoAnKSkZhUUPzROIjPpRl#LS-Q)A=g;eSG3`Tx-nv-W69Os7 zL5~z;Kd{FSzvRKI#l*xk?E-ia+Q_-HPk@>+%wK&EFly-^6LmGig9`E_bA7EZwA|M} zT}Ik%EaeuO&P?|`uCUa`v;s0OUK&KUrECv~C184@X8?t@%Be zsNkRJM(CMAC>Yxuaiz~;Jt14goOE7Dg!P)k!3u{a9CKJ4?;I-rDM=m{qn@#OeoqLy z#Yh^JBrINSQmU&d`*_4``1LFocDKd|z2fu!wAdsa11%aDe*}B=rWT zye@|Pi53L?bI$Xy09fPuOO53)aWZe0T5;Uo<0f(S$6-{N6qbiA4{ee*CmWlRnwpv` zF0QWe^dt-tekgS43t`Q&)qhQHRbZc5m|Z1M2UX)y~GGlTHn z!y*7_^m7}VFdsQB#=c6Iz`GBgykPeP@dJR~cQ)YA%vzJj<#(%e|4)4R=VhPSL;D^7 zFz?=l`t*KlxiX%Ihlk`q>+oC+D^w~J$-4kbKEr{D%(;e-Sv8S|L-LHB0mV0#(pP1#iK z0Gj$-$DN*@CTW3xrs{=5lQwx5u;3Xm?k6Gf(1YF7&Sx6gf;!%_707X+wx3r(1G{0a zw_zP6!DkO-61kO=_;ld>+S_x&1R}0DkOd5%e|mY*l!7lm3loRaIR@p_g@;GOP;NW_ zCA84_8LWKv&HRdqu-NH#5>m)2AP8Y&IyyQQ=b?f(rJ`%0NaWwV=AUN^LzdsqelKn% z^bZJ#FaZn|j>&LqW8(!eLgzFHs(ME-?I&^@AFdWPlU(tY(KbF+C@N6tLUIo~npYKq z8N@hl0g*qM{ukr8vEb!yUhdF;y1**_L2Yg#w8$e_Bi#>CYTrld^*QQWGbHEd#D?dP_ z+g!1?Z{6xCF_bDF`rO>y+|fZxN0$eXr=ATwY384>XUcTa-y5X}qf#)Lrr1n?>`=2W z4yAu0$Vc7(6e~q1 z_*aTPyAYj6UgJYAirmrhcQpCu=Uz0(r%!Pn=CDFbCyS~bs*ufHCQM1zW%uiCv|Fqn zRUpt#qHcBN74o=k{!6pi zE_hF9f7abu>!Gr-#I@=^Irh{OXG!x%U~zb2&3wY)7#bWwdz-4!vK=n8(B$Zo7`L&% z*1<4Vm5`a6Kw3Nk6_10{!Qdd;eCA_?@dpNEr;H~89cN6*7~?lr)-+p2^=u?1Lt@NvP8oUPs_hnX)I(q6ukuW@Z0?df*G&(L z*E+lWoJ+KMj^-(PwDn!FR_Z)PtmD(jl@=%gqd(|g{{30kED4@+ll}xqE&E(KhMNTT$ z9hQ%H+BrwyTs=LIP~$Ff^6YE#_Qjo4s?dYv1Ul{>A+ef>&;R<^QXLc+Cdo39{`A_F zEAn}Om3M3&TZ)YCK~=awxv&~#XaJd%|1)Ze-AvKpZ+!u_QXq9p>U+*sIddQw{hSfg z?S77X#!;Ob?dLQb_BZj_MTlmVe*r!%y$vohrc!d66)r zm`;3$3aV&`HL(ea0Q0ob1QymG&~)$C#Kvr4#TT5=c?YWQMxe4d%;!6_OkB&m=_Qp< z$0N1%m{(c~+1U=4-ri^~k$u`(U_9})<&a!1s*|w6xy3xx-?6~W)uf|Hk%gN);O43J zNh^CQB1Z90&pMPBxs_M&_T-hU2g$%r#ppt5XToy_ucH9}$m$Tqimv0`X~&du9m*C5 z0se#W-sJqM+QujC6awSVH|(^>(i2M`tEci_T)4B#%Wrh@rr)TQA^AgF+TpYLYLU+c%RbGbZ)LD%hzA>6lM^R@ z?ok!zyf^tad}3E{XzOVj!8@%-g*EYLEB(X9t3KbmT@&^1EaZ)Kd#aK?oKz>#|LO1h zF~d0_hKjpwS9N(2j{(xh7NJ%x7=!Z#D!G;tZ3hy$v9a{$U3`UDUyFFSFN^W>K1s*PkZBbK0hYIa z)kN#TLRpTGtW38bzLGw?+1z4l-L6Cvr1wflh4do~Zk0Kd$cjU+#YbZo562v5-nKncp3m zBSUL+QlHje?4g%sla_yLUY)N;PL}%(8&>#ffX8E5kM&XfV+)<`T4Ef}?Is_i()y}0 z34ZpINg{!)PgM)5h$D^11d}|QRd)#kmdJWf>`mhwHk29zjf0QX?`;I9ChE$?J%Eyt zI5R`QuFT)}$mu6eN2GOdt)~BXPZtMSvqPsZQY7z1#x#ZG+_`7Q!n`Om`EQNOoV-@U zDm5t1v58tJbZ_=F6XTnl**kO(;}2^_U-G}XWfkf{d$RZVgFI=;aR(*;-pZ~OWrFs1 z906hBmXn=ycEuIV!S#7&+m8rOfBG;U!Rx7AM^!{&mPwJJ?P5s;&MpIuV!9#WbEF#R zJZwU%SeSj5PDxgwC#l)S{?h7fM3E$JeKtOptzvIIma%ue16cRBtxn(Bt#)^N>QYqf z##UAsp44Otgkv%V@NXuMw(yvibk(PM@>v`J$?*?tL{$O@2EH=XlJ_ZKUo&$c->k0QyWG$j>JI@>B`*!H;c466kBWWIu_~h-+Z5~A>|sXYg?EN!>H1d&IlhD)CLeA3(-%y2Ql=lNx{F#qz`diTMyk>{K;I+BpSkPj zO4|5b>RzyLLr36>}A5N(#}*Pv^2i@}Ec5E_8#>;K5yF zPN!D3AuckuZm-ZyBkx$s{kWd9L5u(Oga>_}dDMYdBuhnChi@JRHO@EY#d&p$`M3@q zgL$tqD%`gn;tUWigkA7GC!h3`+xAtUk&W>h_ENOTfm2;^I-=0s;8kxIeY=VJv1VHG z&_u};eRD~pTz63tb;CPikK?|4+mXFdMEGoB$0MH&;{~(HsEuz{n{&B>0Tih*N3&W* zG3F5b_bWsWf-}14Z$TVX7Ntl!9zC6K+TAiH`9ZjtE4sBNr@UFoA>uJ8?3uVv(}7wv z+o#n8ntv9}Z9zDJgHTy+2$pLO>&urfB^@GLpxl}DX9eH@nI@QMpf0-atAdQYq^P*c zpXSN%)Ym<~F7~L{?TY(imvX5(5)H@jvu4}nO$b|zER^XnllPP0RO~ufZI{J$o@?UV zpZC^f39~CeWU-VslPCw3MK)jN;*~^lnAOmJx?BJ zRohXsu&@;3T{v?aO*FN z;fjhcf13S745>Cv-yry@cjt7biw$B&NxPsZMS}MK$Jtv)b+xtoqweiCKoJq76#?mz z4hfZ#?k?#D0i{DlkVd+@OQc&QrIC;h=>`Gmy3exSea`Rw-Eqg=|C}+-JBF<9T5~@0 zc|JAo5EZN8*{btVh6uXr6v7d4V%mE+bZ%viyw>1ujkQkfaiZtkz5RZQk=btqR!pY} z+wImSpVR3Iy$?Na9O$4F5GiU*SSY$|b*4!$5wp;7W!w~lj!opC;_U^TftCvENmmEg zv{+~Ed9t~QOQu^tHqVLL-Th{C?2zu;1V-TpZo*YQ`!7Py1}^TbN`2}1E)Z35D*9M5 zC%cwmxHz86ZG7NQsRyi-&`>K(NLe{DnG$ew+i#a$LD&E)z~fJRr&BNw{h|g=AvHT+ zy<+-F`#I6~zdNqX_k$P}L*UH?*&0Nr)Pa)#<_R|-6#&Ped=DLW?ZR3XqPx*XdDr_` zLZSxZh8s1+fQlfrln8{AVD1i|-8A7m8HGlD&1??qte%-C8X4)5XN>hGeBGE%)n~@; z&aaP~4(6ChCKtcrPgLtbAfPAGzD7kb=eO!ZOeT+NVkz{WR~T6n~#C6d{u*w}6CV7qKyLpjZVWjKMlMEUM$1v$Y{u*6E%!rY{ZO!3>y^f?=+?ds*^S1v?-cr!Y{Ts7@hew{W@%JUq$wH+C?153c z+r35*8famsAM`#s&L6JWnqmBWVbUxzFe$0!ut1grg+%o1%1REU zC`g0=u;4K4BK*Ot=wK)*4FUq$1rs^|%CM$EcA0G?$9rT1Sg>pLMWP2N??Ly{It&Rt zzT7XJcW$EJ_jw1}r2Cwl-VO2~J%!~B3@`u+YPZWl3N~jKqc>8ya4_v%VHocqv|4zy zUd2F1*SR_H-Ajaz*z*8Kkw!cICaEfsgcMfDNicc^h0IGzAp(S zR*Y0L;{k!P?qPx4G$e3Jw3G~M45GI;4XRHh*+_(+76{T?Zz=lBjX_tgcXV~sh=~_- zuas7&+_*X|j%+6GhLG}s%!%4;BHy}tNWPxY?Y(q-7^(8jRvs&AorHdP>)he)8ja*F;1y?bLK_da4S+=x@?l0^i7)$cOv~o5B&Vdz@rVM2bjz#_Zu?z# z4NcOmf6BQ;z^5!O$yYY?Vc=qXEH%-igc_HJXzS&!pLu$f242AtoYow3a_D)P7w8#(@>17hY@LSK~x(*e_l7q9K zZJm;oGbK56r*|myqXG`=qJMVS3)m<`r)*LJ)Pnn&7+H3`<9VjnL&VZFOONCffLV(q zQzReNH~;Ui7C>C-$!}{53+x6RxQrmDN$7~X530z)*4Cx1$sCp&n3#yFNxQ)l!f;RE zURtP|BS2uHUDPS^4QkS+S z$s*Q(*=Cymh~8OW(VXroyj2%gW`&D=Xzc77ClyDVJtk9L-yc6?4_d-L?HFmluRj~W z$UN(450gw`UhL({a!s}g)*iQ`lFySC2{;Wq9R$|v`FJAM7DZZ?#IbOYmj))~CQ}@b=?0EVuJzMQzjP20vtE zhZYaRyPJb7&}-;mnS$*$ujAg-N$fVwtX|FHZD7!SV$BFgg~fr;Z>yzaTH=l7Dr)g6|HKDan( z=U=fdwpoyLh&1Rt+_o%gD%pobGTD4A(4~cMLWFEv3rNL_>AY5~A!_*tP^uniW)dRK z6J@aa^WgQ)?Y(XnyS~1zV+dmH?jYOMx7y_jY{wQV&2`1Nj1@4EGIjxc+akF-{7NDGsQZ}zS_leRu(*QcCzX2*RJ|9 z76Afk!dW!w=?A_cg-T6i_TKmYjFFC*X0^h<`LP)@O{Z_Hrwx2k@2VzucajVAYBh~A zw%%z>il7Tcu_PuhQy-6TQ`75pVc9VYn#DMX^$O(Rks}ai9ebOT{qqy$Q%Kxfd7Rvx zsr7eZ7Q>R3R9vmaB=#@VOc0n?ZS=~>$cW~KJLG_OL@zO7ASLC;#zszh^=dQo9|*rML;Nv9$y!rL z#;|q5M|%33yHYt&+E0kRf*k?}z|dgyq3mA=;x(#zRTMg!>%kmMi_?c@e4-?TwGQ*p zFdLvJ

|{@NseitE{p$pW}3fcaqFjq%P3TD?+4E9&)mrSza^z;bl^kdnKtKU z#(ZSrNwuPYnHR7l*Vp#C4349DPa3x`pH{{ELjw=w(CoBmZbW0#p@%z5HA4$p@wH+! zV$0I^Zk#alAl;2d66<#=OP-78nCMNVVaN*};@pToT@6~a*pgso(uzqeLR!^BxAB1g z(W(M^-!M9=CWB>Xw+HaFa(=IJfld=#er}}bPh9$#cjUb@KXO0D#cPE7K#jH5O5a=_ z$fHqh$#MNVu@Q`%Z@HZy%oS_5@?$ipwAF8Cd3jxy8_0>A^<^B$Rp~1=S7Vd~vY%B& zeG)Dq6?_j?z3HvHBya~z$a4ZP3YDZtFg;&)p!;CIg`=gVRge)I7x(2xQtv#pg|(@- zPS)GH-mXk}vrDxH2btlCdxn(Bx>njgJqddY&Hgfu_^K`oo-VVbS$Ux_i~gMOTB4ip zGto*1MV6A?tuB|WAm}rFSi7m@Iz&G_-+hyF0ACE@?`vn_g1hfqOKZwxx4$%wuo_6J}Yb@aera)_?z=ve6-*9`W8P6JR6Q{n>( ze+F`K!ezX3qEWyaw!Yb$uGSb@`P;NP;1-S4PHStcP9cbzb*ka_>QsYNL8n?gifM#| z&nhSzZol#3+Z(e1ZX={UfPlU0!;dCk!Fh95N=IVW#c#;Ymi-rwcJ6@{WEUdzD(+QJ zkYF3NQ^A=94^;)>?chq-R`?zn8A&DI2i%}- zy*Vw&D!f_=yIHff4(e;0N$#!NI|q$o%U;U}EAO2S;EFEK!{_-ugn!WV`## z8#iQO+cP4z49*+~u-AbHSPmCM!($SlpIcvd1yN?z=-s{n9?O4m5k|i{+tl~(?+MC4 zPc@nX zL{vZRX9cM(X=!OUcPsDU0FM}KXs_~iVQnqXRUZ)@lk`IBWqX>CA1-l3Hng{*qCVq4 z8Z&knkb$>*{2#`N>;_axn^aNKyLScr;D&0|R>vJdSWl71;G>$exl@2LBG{-Mti{|~ zBFYbG%GoX8QmZ*%bN)fr^;@^-x;de%W>|gxa2@pV$zwC~^W^k}OcpHoJlxy@pS!!e z&4S>gP~n5oobl-18(?UPjl0Em<&EKvW|=?Y(+A!N#6y_h8_8-K?!1hNiAhRMAsI{A z49ub7J=|hn0Re%QV)Y!BMk^|{(%cqAwxWCMp9ih%z`;3KfE~;dIY#Zkp9J;Xp#=!c z)aEayjj&6K4@5*nK(UOm3BsR$E?sJm1t0F{AbHf`UbPQH{LkG5Ap8%4=0pJ>G}Jg% zssW}sES0uv)XmV=0;|b;xJY_XO~J@0Q?(CaaVCmsRwswgcb>1PE~^bg>OoA|t&0y( zNF_z#3>F;_CWMues8nUUHY>u*$*J;#%Y^Tn{m@F^av(SAG9m?|q7o69u%AI=T$-IN zHXmjCNoHar$l%ZazA^ylBk2}~IEg_L#tKc}=g*%ZEt|%N37lQ9y(`Phy*9ym*-{La z>y`x`xEfhPL<9q)KBcm~s~Dc)h;WwPGa`^Fw^<;RlPzx|~ zsCQ%7nBnvCa)qg@tAl=(EbJhAiH$=-#Ym*=nyr^m>& z&VSC5%YgDUM9$1?18O_?5c1u}AX)VD@sS0ZG6g*KB&S2Vfv{Jj=-?9Eg!VLGWwUD~ zn9SSc|FZ$koj&?mMvZQ9+e^!YLhq;Ais7^Yh4L~AN`dpo^st~H4A>UYs<5m;|IzeU zNe0cib3O!CH+h}+zrhpIYgQ`*LkaSx?WRBOkso|GfIkRWh$V>lO6v&WI$dc>`v{>R zn|6Dr-HkI${%B$i@p!oT4j27HdD8pAE5BBtH1#ji@){8@fUVFOU76)Lf9^$nT@_1* zcT5wc-f&F>jJrzuOV@ML2-r_DO`Lok3k|))I81-4)(0N>;4Ox!#)6!RY-6! z>ih%vcOJpNbNUQMbQniKMLF2iBq$RKxd5fceFm_p9Ln>bN5>XQqij0^oEeKyi-?4@ z{OjN^SoEAUDpFB_RRyE2@dJ?7z+eyMt}-|dxbNAu+uaKPox8jF&E(gkofD<_HxX3P z4zi~KJ-Ebj4XkW%7d#Sq2dmtxyuAGNn>Pz@gPcqUOj@{4Rga>WuMP!V#(p|r`THY2phAw=f8*bvPzH^s9xJHws+0VEoAr^M;80vpy<0GU0^eBJhq$O0f3fP+{#7?_+)zaX zw?0KhMfv%bfG@yt(>M#}NOqX3oHlgL$=jLQgo`WntLexy(*N;oUAWE^yZPqq`B8H=ezK$Quy-7b0)S-wpIP6P=|A5klf9^b-G;jb8 zzt?U68O}34u}4;j+^d2MPI35#XPbd;u+hAjdr$TzCzAzzp%;ou0|7|-F~|0bWf6;E zcH;$AJ>EFMW7FQQ-U-uTxwHZZ^9YttD}WRt6@LuEV?ee50!)D}nFJ9h|Njx+)`1`l z>bSDQvCZOV;sa^K9HH3Dd$h`IXlPipQ4P5u+-z(EhcjeYb^}MaPsTyUHa0#UwhBH# z)ff>enpayh9r?ALy|Gz4pjgXpO-f1{QTh35fwqbrlkoQTHYkFu*b5j8AVzd``z{a( z8f>zTqJLv!9JOvZzxOJL@cv)5=FUx(@znkRymz&>ip=(dMIDlF#lmTWy6?Y+SFh|) z`ufJ54A5Oot*y~@-vp;Kq^Kuxv$j^E;owAoZZszfl-lHcUB`!`w4G6^aA}Q4X)0-8 z4vXjzj+9UUA(fpR=!%AO!F$~v5>Sp-lA<3|>`eqR3|Gf>0>*fN8|ahMB9F<|j9ky? ze?PV=A{RIb2CI|;6%qpfieiYDk3N~H5H(42;8=}m%NhvPfIBx ziU0J;SMD{3OnFt6Z%8CQ5qRLZ*Zc_BB@u~O^qw|9ooxfI%V+p9hNRT#Ts3%u~>1tV<=OkS_M$uF@M;X7%&2JT~ zK}RGpku1<0=(o9*{rsRhZ_T!XfA5*KHDHd2=4QoA z>4$gs_xCev3tdkfORnpI2|v6$Tv|tYm+?Ucyz}-V0dRGdZ2nLK0-e%-2SklMZu45j zMnUCz&L9;Yc|>RoI=!t|H}LR`dXJv?i=}`aRT;YcZ5QQ4sxKH8TRqXeKgWAJo8azC1`}d_D@RLIsv@{!I*e&T3YJcu! z=vm08VWV9|L+i|^Mx3PKsnlo>^W+r@C#JSrNCTl3w7@j7487B^6V31Ef57-l&6Mu2Mp_6`dI z;h)N@uj}jYu(20^RHXagAUg?r6ET>G&ntxqp&U(nKViWK$)O6UZUq~@d{J1f0|0Qy ziRjNS*!=YiSl~_rnNSeiHa0b>Wc5mewCgc%6Zkw`OHsf_!K4jN28trh7UDB*M-Uly zb8q|MW2x&)ZbGczeQ zlYZ|GN{?T%KNz6e4LM<*Zf|d6l7da={T3ztAhkat@qP_Mh<7U-174dj-}-KX=f90l zRzgy;bPJPm00fFpLWYKvRd~`%o-N51fo~^BXC=xFwgqU%WeY!8kiL_!R_XC_F%O>? z*9NRqNA{mHNIh-6ti}ENInI?LYB+#G0A+=18`53yp%)kiT_MObzpSEog@P#u)Y^LW zU>IV8TFod6V$Ora$F8LxTU)6{!)2I|4Ot6jz%Q9H{H=}=%`lM+fLR{F6y(|pu{~3-g~Z2hAAzS@4g` zf<_r229QBt$H34IkAi1HDn>oDw_tr~YyoxVEG-cc5#!SOdi>feN<8aj-3RaBZIO$y zfKB`ZEI>RzfB!X5b#v!*L^AUKFs1Cq9RPws6Ok>O)&{>$#}2-qO>e4*P9c~$MsEFU ztbdON?MxAj?2uIwl1vE!PeuT8I)Cg-;=fcB3zIZ*o<&K+65X7H!!pZKAB<-OUJ&lW zmvQp}%99@|?06ovcpihU*ew4~O--$rz5obU{zF0z&oSwGWL^;pg68t_p>YG_E)aG` zN1FAfwwn0^GuPIH_?gM=)<(!ez@7Bp=P^P+uS-nAa3R3s#tc0@J@ov2^RudH1@@ad zbMZ|2Z7}BZH;06TjE|2CejXjY|L4iB0?rc81@@i}1IUxGAz7cFPj~(P8riT`^EeTA z1yjHkW)L{OQ>GHlOrwyUI*Z)O8Quzq@K623k8b+MF_kFrgP{GTu^vY>)@%zfVlwf9 z7t4%*^Xb+9QQyaJd+kTIhFkCe|J{Lvl!#?<}{ zgD}IwB@ZoDFe1Z6*0^@hpR+necn&#{P#XAyZBOqSoNr_a=y0@1p+Uiuz6khDbl)q= zzkWCtAmD+z`v%mJ5&LJ)p22n%L`1_1?r_ozokj66GHwFTaH9@Xz-!}GN&=3%t5oFR zoBFA&hAto+w5HU3!10w>fxPs;02APTf}2el;r2&R;e&8MRwlGHgaRFp3CfuuV(;kL z+U#yX=c6_7P7`p2{z3oMkobXfCVU%ciFDp{gZbrDJNO zC1=9@EgV{{NLzpaDO71N;+Qaj${G$#q$KOjL&}E!T{gB-H~~nep(4}Kex8JdSS;`# zaO=k|01DvWa_VB|V`k*Wy;;DevQVZ60coS10Tl?iF|4b9N}`KT%}|=+^oh=Y2LL+O zQ4zz?5AyIHEBc`z;_VCzyjIO{4xz@3W|Sz zak8chAtJ5#;rBm#_2`MV;WKTS{qeju{c-^$l|ZQylz~$=eg*SRT%(B@^xvD)O$LEV zGOrNR>utc_fV{uHko@uEM>v~Rc)R$ZNv{9hBu63QWi4*Ti12W73$9z18HsZuVM1*+ zLW&E+j=yWZ52EW|cpTOY9uz|7Yp;7d{-@6Rn2L;S4yF{h{i!!|cKU!0&2MZZgohj0 zxnJ=AV-I+siD+&?M-9&?BNGZh^8AJ_NvIKY@o$wDjbG#y@2OUc&AfwP7TY9j; zc_f~mU*x&8hmZG^f#l7V(m%c%%6R0^0pIV7nSV|2*(~DFW`$qCgK)=@{f{rt3x59c zWe2<~wk$D$>yhH_8)0&p4UwzaEJ7-&t#un49ysT19?QLP`CtDq4DnldT$$%`4~upH_OE*sVm=sFI3?$oocEX&>0 z9I+$sTg-h(H)wyVDR3>r%ycu-ss;jH2c=+>oVH_yLNM;(V&|lE(|0^K8D&a_gO{aoeavBfr6yL}jE? z__xMdK>;5ekN}lz*9Q}#QhDktr3K}Ecgp_|X~8Ha73P^|{<{eK+=LbPM;zbe}=ITIsRX%*G~>&AVQ&*6g)N6Q+Y0anhoTWw{;bbWA%+#*6>? zmAMF%_5-Mrgf0u|g&>X74?`tDexsQ~6AwTXh@oOM_ zP=KJEe~>Ivuy(#yFutra3~^*x5Yge23v->o>qg_bNugB)>~Rfzx7j@tkRI zZ*L=6;`_nBZJF@>J%)bj0Ox_MGPpOE_wf2z9=M~DDL1OO17ySl3E>tiw%E+RS8#7Y6G~<&Rzz6*ul|J2Eyt%K8%1^ z*@#>R|u|+i-F%{)y+OwD{(uEk8wdxJqteXB=S^;*}Ob0c^A(s{aZqzdi8!vsIkdt3=&%qgOkI_D*& zz->h?H|)e&B9VTy;o{MlE6Shy+}-J+VaQT}6Q@+9I6bG5{IOEe-^))w7X0T;U;5eI zlERyX_7}FaPcn<;gfl!3*sd@hw&P^1Wc5POpc1Wg+Fu{J-0MCA)n--s)YkUe<;z~+ zxC{tz-5a-??HXc4g`zW8umW-lR|q@EbBbq(AKQUm z#t)Zq8n)MT`ZoC5K(=iOv*ef^pv~Vm1CJRW$r1E$EELy}_qj)RRFd~~?=>r#^xlvl z*OG|#ZS5b?I~>=`4q@dauL^HEnP3XZ3Tu_`)3XLm`1|}nn`HALY!b6<3bjpda^)mM;B~|*X65!yhd7zc5og)xpT+{lDyQ~ANJNeG+6ZgNd;txV``j2tT~BU}ABV}bAKeTnm+0ZsKZnFY z00po|ngb z@`pqqUxHgls=p0jiTl5t&Hp!@&eeuH-cMWS=Kms!{zL!5uYeYNa{m(sL2m>{Gc)drK#9@81Oop_T2^qYmq2}7|KS4fdLl|&)m_W%I7)1 ztn2^f8FWFWvGtb}@SX?-D}+>|xkcE=cM=e&DxQAAX@kdH-NhsD%^P9uJc3-r|I97) zf6+7urO0&R;AFvU@4Ii)%>xq>SH&s}`-mm`#7Xo2@bZC50IEfX6p>&G+~Nh~pxXN< z4-E{`^?6~v}O*rPjZyzx< zfIhOqeluBx9C-x5N}OhCu!{jkKupt&TeBfj*t@7CP5|0PT%x&qHx-Jkjvj#4){2lw z)Tjq#mo5UINzY5Y4cOC;C}!Iq6>Z{QbJ7psvsBUeF=QFR>Vtt2LhH7&q+s25TElt$ zHosBjFfBqeSWp)jZm^1SfzS!Y^(H-F+)$m?-qyfO*DB`%|4XA=O-;?0d^0G=>H_#| zW=0kLUKpgavQSBZ0Qx10D1<{28IJz&2cma>_V+@MAA8|ij#rl8+F4ma;_cu(eD}mB z5YEy08GS7;Se&SB5&Qo(}EP^_!JSSu9wVVN{AQ2 zX3$h0T6wjK^df++-%f{DleGz&B0NG?lTbE3Dk>ySiev)#imzXvL@~P_+ap~5S-jGJ zTiT#^(NSuLiCzdqGEL<~?!6F67)~kB6nLwwvwbd9fvt1;OL>&6Pw>7X6#Ut-c zLBuQl>dl9?7E$6w;_2Pu>ADUPQNm!`TFSX%>ds&xO*)$I;p2r%KVA_%S$jJy{)bWz zp)(IoQYfQ)(b&&-xw1~Jz5@S-M-6>bhg%l|=F!?G(^{-upO4R2nRiMz14!wH>=di@ zt9ihim~e8sR^TUVWvEcD^n(tdjQ#~4f|vo}CqTyo7yu}@6|wXIYXpb-b1N&18NjLD ztIq;VakHpVmgEe)x5Kv^!Ktv?0dNr3K_cayI}e0hfk)?FXFMnBgY-@i9t!z(7!fa^ zNLhe11)$C?!sH^Rs~-9Q#ce$?%`gA4G*FJKwj={ZW=@B z1#|*+b1GbSwR_Ug zI9Y=&%BgJTwLb4?3w{BG?3`s*LrY)L>O}Z-ixCq!cyK+hHst+%)RWKPOc6MEaNjMm zGaB5PUS-}y%1>xgDxWSCuAXl{8wz)_Enqzv(Q=0?TT zG`SdsZeL!wmk$C$bZ$=8X-}Rnw!3jR&&q8i$Qe#_eQ)uZl&J?gZKR?%eYoYg+*f@v zuAZ-sY^$G?Jm_d(<;h!rgWBX1XHel~^oi*JCeaZ>Xmewu^Q4m=xjw&ju4xP!8B!@M z=s~udVU2eAviNXVWw}5K%$EkGQZp4!s5Y*Uc*1H9a4ORTfRk(Rp{BO#r)FkI-_5YW zLhlksAiclOpBJ+Y6N*>jo=pG{rnSKt#OmB;ipQf!j*X2t8$a1`paYA^0E#S{NjeBV&x#_+5TrVoFjDs?$&+wrr=IA939#A zCS4sm5AXa6;7Efrr(kh$U`goIz)RhZ3#slki6b_Mw7vJJfJeF*JM}( zmYx#;VbmX!hX6av8wr@eG0( zs?9kmrUf8ugSIpceWV7VTfneCqQ2+zGI&c6|47;wLz>6MX`fgB4UeiSH44tO0A=Upz|QST_139PO_T z?hzU5YK3`*pBCwOP7Qz$sPTH#?TxR2BX;(iU^}zPeLB^^d6yqQEr+op#($mvB zhC~qSGdI{!9yOZpqv8!Un0oJ|D@pqQqcwI1htqZ2Z`|}zn9$1;N(FomFKV=vVg-Eq zDNPW!nl7j+8fQvQ9%|6D&UX;5@NFKg@qKp_X{j^Yw+-tHk8LQCo7ys%qynCT6Cty|KB3wRGmx)nL;#vXaX)VH3E1Htw{l z4DrNpU;vBVpX;WlHw&&Mkk~Lm5b17`rZOZvrg?Ayp?lxr7)Bl{mVS`-h2wL$P1xT$ zswYwPBJ#kc6)+^`y`fWiy%tq_=~FMu?TpJk!k4v(c`D>z0_Xc-@+|Pjm%+ye{L0noRx&evAHBngWj2yOj~7oTrI-J zQoOt}l0?qGx1`y0TUm|t!nL$FGV9Nz*T+Uq=uh6zIZJEj<^?(CDi{kaG@jY(o>z!c zoll7@=*!RBXWg;P^FZ$%iE+w2=C-GwS3jV#k}LDoa=URq9@Vlx)v5PdU`65E=Fu`G z*Acg)a`m*Jw9YA8ug)41HXKjx)&-;HtVeWkp{0g0Of@Q`BB0>x>O3PeKjAPq&M3gl z6hg6El^xG+9rp~%y_&4C9h z3D?FsYO1*_&aM_xDC$Agee#Rn#H`N^cN0Wjc`j`IL|{Sj&u3tpRf|~m!b`>W^%?LI(wAT1LO~Hs4FBCocoxP#F3xY zlO;#t7=vUK!1My;JT0+zalDFsql9?rYO$(0w5KhLkX{4`(VL!|j$k1|4p2EOZ(xpQ z8+K&BLYdu)e1lG8a0J^27*pffYU?>sTNrPdMNJ^CdiW8b39+)HpaZRSbE~KY)+^{e ze?}i@nj2Y!HB0rNO*5E5Vw6Hfd6$+%nIoVl4bxc%j!tl8r1LQ`%ltJW;u{jN3sCOA{F#wx-#LKQ=mBo3SA7 zTwJu>G`0Qey)cq78S;v-_42}j;K9T28N76z@S{u_V>2<%Z_n)Sr@VhAURA2TUV7J< z;7dzOM#BN|mUjb=WKBEYKm=j5;BJ=FyvtId-1o~4;shqCA76;cNthDzT}xXS-4>GY z@7E0z)t#N=G!R?F-0>J5%ki{Jl|O6eJASI0*F(3VRefevnbUqmYY{C&?fX(WEhwj| zVdrFhgj%emrFUMMv(<2Yr^G{Ea%#~PXCRZMf6z+-f1DwJjoKva+qJqVLvxypwz0fh ztrzO4{qZqA%)F!TT`bX3TIb%EWRRuyPpzUN%s8m{088oqkEOJ2k;{x)erWK5%#gLa zJ3{g~ar@WuD51UZ%OCbKV_i;gJ`|Tu9%)6_-xBsu|127N^iizOIps}zN>AStVbQl^ zn16<-dl#tDjtV5w9+rV(g`B)OJ>fHgOnzlVRu3bb=72hHQe(lLJvn4yLXM7(&>mA| z!Jrwu33>Rv7b$J#xlMqvpn`6jG%F|FF~EJWSVH za;{5Qs7!TObYCJi^-6k{xEYZ>z-;B(|Pmu9;I^8tP=u-Fdqo z1xv%k^&Nv+b@xuhm~z?_)|l6$FPwcE(brZTwdEU%i26jlzc#aPqz}uHUMNjp-K#x5 zf2&t-L9K^>xc<%YrA=zn)Y~Fy7|{*^%anZf*7EOqpC~-c>(e;YIPCT_rCCZ0NVn}< zWUph?=yv~tZYi*veCHjprG4DW;C)-!H{1MU{4q6lo2Q?=DZX<49f$6la3ZfvkaflW z6J|DkoL$AYuryUdQS=b>{(8!c1}K~H&!0qkbzsXwd=n$q2@JKh>=F!zLran;vPS=^<22$+2$9@{;?J< zlV$Z2+fS1B6b;KT%#-j!STiv3oJ&U=8{KN336RA`Pjzm>^=R$U>R))dm543a*0yvE zXckxS2*{lQoECcwIaS$&r4V|jjsYq-80$swujMIL!AC)+_ElRD!awl-uX%%pUs|0 z*yCy6k(1g)tY8qWxuhqX7_WA0P8B~kSE_e|sa>$cuBl<$L*kgLDWtr6Tq>H}U!uBd zE4ih{OfAO=f@taXwdtVb(UI}$KlI-c$^r|56!2?wC{TwjryVdn#Ld}oo=c5rJDH?< zzP>LKyOXYV)WMaRALMv9%rlMuU9Ym+_TFUQDPy!?_&~x?jBO(&M-CCo;RT9W9zlNn z?L5AvSzAr=vumekUAw6^l00$G3&vW*t;3olX|7aM(S6bB+F_lQ=IUR0N1uPMP37^) zJBS7QAQUXB`}o+sb!SfG{&42|+ur=vhNy(C)Isw2&v;2(uh|t8pW_dbH8!g#NtmIP zWT_pGBs3ijk*Pq(vf8$YekIC3w=I_mm{#V7-GCbR%>mC3>a+)ssr_3~#O|gPw{->i zJ#EdLkNK_2IyHZgrp+h)10MQ#qsieDCMPPjKXlX9y|A{1nc%{L%3MHO0G6~z@qu-9 zUNvu^v(vwj0HC!tH82xBI)y`6))qpRd6k|5z+qAk5yb%~Dan597C43T(V!(C<24() z#{{)^D@`&ZN3D!L{~a;73U#*D7^=U$8Xg0|VaqkBx{8!~0CuPL8V$aSi*~$;t>QVy zV$kBpk2d12~kZ`546GIffr$jy3)*5-u=@;!JE#Kgp=h{0~>8B2p9qXeXJ#fa&hVB zA*uYgsqxt8gV!M-YFT1}BNA>Ypa-$U7(^rbyZXMZU;F1ggp4JwLWnXnP{L~|tK#_; zfI-aiL9>2=-SMUE^XK|`-o=R?Ops!G^8g?v#SCUgCH{6*UTUJY@dCPJz(2akF)KJJKG%G{+{qM0O|E9c$bSk9`%dtVe#=b;?gEvy|BU zf)0+2J`3%mT17gxTNj@?mK8plRgv?omo^^5s2AH4jl@<;RLx*YN>QEagdK1RFHWtIJ7uQzvv zx)VnQZKWvmKPZB%aupmmNyv7p-@@3m$qZcly0p!xgpKb|c$l;vwMRF{ySaSEu_tc+ zLBZ>;!asnZY*}po!NB_n^HcNj$^ilnGLVMSI6C`ZQ z-Wsswc=eYVYG<;%o^sbZ)uYk0O@pH(T|=$Q>Tg-}tZG3QC#C>d?%mhpnKAO%4WB-}IR?19 zGaW=o(XwjAM%sFA5UtXRAXOg-8Fl{AfB;u68k$MjSx~{K$AgmivJE2iU45E!C$5e3 zMf0HA#UA%1M$!2wYJuk}!Eap82a(P1UN=s%vmb8@#_|-^eOqT!5#~|g4cpS>6@lwh ztB!$CC*R{*Odf0Ko1aa)ygS?UJF0#A!FD13hSAqFWBjJ)_=_A*k6s&Nw`~W}b)hBp z4|Y3es!J{nu31$K`UTt9oKR2Q+7E_3&d_cex1Jodrv5R;@4ZpOJbqTvM^4EdR@uPR z-ty3xCv55JX%BfV1<_07t@`k+6#1kH#VYm!;smbENtUt{CbU)FQ8K=sA9wITcSyug zds;}+Wl0`~c+_JdusFp-zU$Gl-3~R{4y#U>P#Dt^;?Z_kH$ zo>1nn(ElZE^u+JwoAW~W=LSgJ2I~VTbY@$EVzG#bYC)I8&n} zuVSrYaAU(pdo7xXt=Nz_i^U6Fx7p}>)f4F~pHR`6{O{5My2iCPPze50+Y3eAjqG=I zt<$$6H71)z#(s+|T96?*vG-gcsvqOGryn1m|EM~vj3L?=b`VHYO1Cus3qtAD&Q>3Yj(%ELk;gVkc zXwkgREX4Xey0Bsl=C?N;aaJbBCklY6IfH_rS2Am)ue3f2?8)Y&IKVIX{n6!C~ zUPM!F$-ao|M_z0Fno?Ubtxh`gGVv*C;}y@*ocYPI*;_R|sxm&h3izMCSH&8AzaJm@ zZdZmQx2i%3bL_o|{igw$1mmkQG2fg=0gzZ^h$~uv!C|SFs$8a&Z7>@t;10p@&Y|wK zl4EUhog`y{A%z#TS9MQg=9^Q{0 zZV=~TMsSU^s+iI@wO83@n>{|byLUbmWyke2bTW{g&FK44zaKy-l9@56`AAi!R=`*M z0#z9x9DS_D-g%}AI{Z%9@uH;ME8H6{Mfa?9q5Eo_)12Wzr766}R!lKN8Rprxka3S2 z4lpOEMG=PV}gO`&mc^Nk#=^VKvy^{3aFnrHLzmTvpfeaW~}4- z7-xkG5XpM9GRXo42IOjBrhb488P632HC{^D^4_b!NV3Nq0ocZI3V-4zsz`;K{uAIC zsB;w0@$1uQ_A@WslS!DhNS?aPI;Ib>d4_u(v#P=OK{fT)_u|JD8(&iUuXuKJq@*rL9R$^^9Wd<6)`%jt_~A%}?pi00?Z9K6Wd(fh&W+n6 z;xT9LSTOOC4kfz2-F?c~rZK|zNY?nRJ4=~_+ndJj>PQ=pOCm9u-Ak{9o=k-my*<=O z6K%-GFA#O-eJJ$MvC&y7s3~saqT&tr2h4UZp)5N4H|cTD_LU*XLvm78%nDZtW&6w(Wj8B9OMT# zU0id>FTu`JJQ}mxT>cq)i};DAUaP2z-iOOQ%R83=S{YsUPJf2qm<#D!$G&C8|dZ{HWr)$Z~ z5L@482+5dUG`ev>Mc|N+$qNPhY@w}URjiA6&!C?-fUzj^s+E$VD?db;O+AY+R5mT5 znc5KLX~DxgX^9c(6k7;suVCk}OL6D~d_kxv2|g^+WjS!=i9Nau|fo)-lF1I&RyXhgrazCpr+-%3H)|J4%ow5xlwpfzuLDRz` z+6&n%0|c^sY`IQW!d^0kRkPIo4Bsu@G@f|h%e!9pNWQmluuOjQ(bcO*`aYnG44(&_YyEv|z@K(RZ&`g(~4PHp&r z{2lvC*j(vaYIS7^odH>RR+cm8;M>BG_Jkdpsujl5td?Kbo)q1e%ghaO><4q& zWHm$M0fzzi8R}WUI=$ruoub2+M$dLJx2SF{moTiwk9bkD!V)Ud^}cwXK+NMq>-|7y z2ZwjF4m*-MN#RBJ4mD(rS4DaDg-2xi-0wGKy zm-7pUA8xvw(bSdhVo}HY+-RdlOFBGgbwcT3TsW-p$j{`0p+p4DLL`kicVmxG!(#5+ z?lWJ@?@v?iX8uke|9CsG_dU_5JU+;8a6x_zYIQ-+hBGWR1#N3M2t@LiJP!F3&N;dG|*^*EaLFQtI;qjG?#Iyv1aIBcTBD?o1$d_Jr; zaX>*Bju1j(O;(Q3>(lxt>lDvUeXe(rQ~SqX?3R7hk1;Kx@-0D}BmpzolXgq2|Ffr< zgIHe+ojJ!C+BJHnnFlL8HToBrc}lqwHEtT=vA&>KW*Z-&z)SG9kMRd6noL)8!d#Or zA>c-xf5QEpYqz*Ng@pHfQ#zZwKO21U`WAMk6Cd!o<5J8{Z2O11oYKXBK2M=&_?sSKr%ASL_ANllo!K^`;XhSJ}mcXHY#W6=PhK0F*e~M;WP)hq84^H`Xzvy~W zr!v~d74e~T*P#eoKb(fd6xDq-7!vvy_uTI(7}JW6wKSKdyeZI!p~WnT{_PlQR;)+Q zMzCl@Z=LB4Wd#5hIWDkI*LEjt(ctxlZiK!5TTz?e3H2smisAD3ZmG9eb9(Jb3nL!s z-tOkmjrKUNfZuo!%aN70NQt>j=YKtG)7(@_=Chntd)^5Yx&61iyx*t7{LV8-ggnY} zc5Q}6rG$~?kkxFzsI{Bl|3chrv=iR#k#259(!#Ag+Y3JdML52O{vX!f11{(F{~r&> zDzXx8l#G^!673=>?VSpRN~J}GcA+Tk9ceESO{IO%($w9iL0f3=`G3BxbH1P7_xu0- z{-eivJkB|fb9BG&>wR68e`gllp!t!s? z;p$2xA8>yV7!s0w^ShQh9bs{s+F_|L8zGc~$-l%(S7byf=dx=I&9}HipacDRVLZr! zcgd23NdC!p0SY2XzQ-C>PaZspRzx_F#%BS#d?5<5V2iwO~h%>Wp= zPH-v&Z?Rz@`=pe#ZEAo67^^fEowd}q<{Hg?Lrj=Tq)sd|IESC= z^P%D!3{SsuO1={c`4Uej5p$m;#+J63U(RjCoT+5blAKEm=Xh(>lP6K#Dqn@qvF4g) zKx?2~(l z5Lki|QqFD(tu5mEo!gaVt)DJ594WCc3-CWAbCvBAKvy|+RF?tDY?1e2lKKl}w+)~= zZ)|IiF)%VRGBX<@bpVJ_`plX4Unp(fy5C><(o~{!(RI$7E@`o^1Z;I&;B|nSt_gTUCAD_XdxnU3*koF5iXdW32q~gabqa`U0Qf&fTdc0)Z#_TBneg)Pf}9P<(i;QzAdCs>d&S-K-whT8lxwS3jJAAun>sD>&-4ZZg^th$%*~IynscfD& z4>7x^Hjc`pOYht;#~u#5RZp3;v-7-WR9(u#rQDcJM4yuQ`AC#Sn0|wbYyeanN|Aa) z9-ueB*2R_;yKj4En0w6@0Rzkk#iwCm=WsnGDnx=QdJjYZ)UnRPSKD539Y4X+p+VC9 z)F}%Lwp^lg^-~@+rz)hqarsm|I`$RZct@ZoTRNMY&kni!sW&Kg-g4ctEn-mgm@HT4 zsJNEFn?PXg%7w?r#vrk#k!xl2E*jX3&!3wXuk2vH26r43zgJrT%Mck%CmuU$=Gu38 z?qTxfTnl1a{p087S5Y$%#>mP`LJLM z&88}Et`W{Mbks!Vq5D8EtALZBQ6(*8+{APSUpn;=BVz&v-zFO%u_(kven1+We{tmU z8u9yLaJb`M39*oAt`v(dy)NKB+!q&ozQ=iTOCApiEg zs;X+`fgjKMq+hkk^}w#rD5!mM_x*f+3PoAsWgwvfbMxKN1V|(-L(5@64=Q9ZMm2+q z6XxS__G9aXHRK~jZWJre%HCe*cB&q)P5|7_WsRxnBXMXF|&_L>Psh&ovy$ zdcp&C`O2U*iu;Znc@J^7#>SwvkpThqu-87SSH3w}@i)ur$K#%+M{icLKspvUT9Q|3 zZLLp@mnz8uur{F+J_nl(8=p2(>;lGj4qHNnQ!yS_6Me$-2=Up>y2j zJ^X^=K3ypWfdF#@&jgPXX~n-E43(-pD3-6{bZYMJIIEFqs08NMmpHJ3{f|m$`Mr5s zDCo2#CVJ?B<#fN+Th?`UStck6xq)6SxAwHb@&5x_>Ygj zsGg#|2u=Wur8Pwirr>!7DO{3`)-&=>VOySgXr*Z`odQ}qji;32BG!T2eHkDbw3^}q z03f^OpPkKn0TVgtnw>_cDWA>BcY%1@mj^oxVoKby=f}C*`KSK)_2DBF*b-%0L2EMX zrp>%0>f>cX(0B+ka3DPQdVn$M2GC5mADVMJ7tfGr&IaNoV4R4X-I5F%f~3>#V^CL;=4ifalK_>pg?3FVebeeL5g6PG}8&BkrP)m*3PsRoHlUuL7MpK;pU+16BfM5?6wT z1c&pxTT+9g9)NW61x!sl40gi9!&!`Nc@R?i0BPKmNa3@K*Y*8?Powv^)n*_e$ba!# zZ8Bxl>?jyHq&dJBd|iv1L%!_;Tl%KGyh9y44~`5C?oZG5Bwai;bk6L1e}0^<^}_T` zTLIs5Hb&>aV*0^8t7l}{(w|r?=c>9v@N0ziG;Qb0p+0TnjHM%2HmI2@w%uy#c;Z>1 z^gC6jY2`~?dD*0UY(C5I<*Skic zvQRj7U^izk zvn^=aa83-sSIu2gH8z5!rU zPWR5`yI*#$`sA;j|5Dzks7O?l9{zTvw9@`<0}Sty%t5-SiC7XTXV)< z+c@da{Ol~})cMqlE6$SnfN(3Hp z3qT+CtRS*C)_F7d9hiVd zA)CVw7=Tekm0L?o3vMD_*kNN~$oco;$TM)jtCla8w#O}TgXLN{2<}bqX^tlf!#D|b@7?QcW7E}0ri~ULdKn}pi?Z7?L#jbF+7JL)==h> zXsa-rr+!`C2&~gL6DM`m?V>xz8BVZgTzq{8rxlptJn)=i1Bm=KK3<9%=uT}D=VNjh zY-+J}Besk=YieqmBxaGXzGPrvz{VbgXa?#6r=?kg^fM8JLReWVxOlBw>G-alklsj1 zNdlKHGO`mn0MJcWn?ymd#SXrZYZZMsrDn-)T1yu^Gxy_szO4Gb(O^w2uS+_c&$g&q+L} z#G2aM<|l(@9d}4jdxz!l7};Ib&J~l|^Nh?F;$XDoHR-@9=eXR(O9T=iA>{`sJxwT$ zX)0W7&sl_8PdfD|0$36;^!jh*$a&QGv`l8b35B7Sy|`T4IUEJhn-74Xc!fdY~VZ z>L2PJ1%)?(zeGCZn*lXr^+ANSRsL-Z zN+gLI9>o@R4vre;B*;757-f}7F7n-^U|qeT~7u90`^Y@ZE2zHZAKO>-wL zHy_u~1I7PeA+MFa4=8`2NrwO*n0>7>27q3jr%DZjmyN4?VF+Vw?$UVw^?DYn^gzMZ zvAOWDD>4{@lx794IY&BO|!EM7RF;~h8_D@Hes7CXS zbQpH6y_;}Ss z0-X55hA&n)=Dm%_dW`K9WeXR<>`Ya4M#mf?2;uE(Htf9E#Ds3RvQZ{DbaDlh9&B$d zNJsTbqt}=gd)+Y$`ERh7XcIXq%-?613Gm!8{pkWbLQq(^`z<%=1AODjnbr5(9D8dv zHo4i;991_B-zaoFeRcb*Po)x7wE(MkTC)n|LKlMM08Tf0@Q(P3?sr%`(v)>x$mzaE^ zTx_rZjG=+^*bPfdpeyKJ`lKH%=*!K^3s`npzm2ACVD$%R6~=ZBiEF(VdkFW@2McJv zKC6db@6_~kqAI2WJw19k1&AVgP`%iqgN=Ue=nIXsH_R(NlyYwkn;oBqY!52lk%HlZ zX*#uf<$|sa@52fn+46hVi!HDft1?Ke<2vsY*l>7vX}P^4kKqA(S3cPSeSR&cf^(V- zW63P1fcG0(_c?yrYTKLKUIvqsm_^F+@ATirBC2(IW8gEMzVkY7BM?A*a)p+kRgMpg z5SaLU{&jl+9Z%5Y6OT`uZHi1d%zFf2Cf@?!sEogM418l^%YXIQ?yfwm%j^QvC6<&( zaDU`#hS01v&B>6C1zb^$Rw8<6TgsA;Wo<*>xpql%HQL{s?-g9QDn8Ru_i))IRr)PL zPFpAjUTseKm#(DI|Fh(uHWS786P~|gSL$vM+Vd;c(B2eE!;%xe@LKe5+S}Wkn{Oeo zT#4TbG5f#l2;L0Cl{077i*{?u+q~M156`kdhh(EwCl>MkZT71%f@}ZWmqz2#n9ziXcSkJDJ8il?uX&11$ zZazM|1XypoV5nSBRL$jVpf`g`(9JuzjLaxZj$NlvDBhbCWKJF0@ti*do$>UN=H?I% zAi9jL=22|5SPpA<&$hd`{MB*Lb*Es{;Dv*f;U)~EomLyFU7}WUY`&bfH1dA;;`n^$ z=F3r=w~rs@kJ#SjvtV{(;-+q$%t^lU@o8+>7TB8&EU(k5CRUy;2C1m_3 z1dj_4JQX!peOc)ldd|DAy^eH$sMugi+t_>{bN1f3qJUoa0CtlatlQPA)yxeAUtR6k zb-2KR6Fxru^pq+|93&8x#{veqVeJ|B)JS)`uaH{*K~aH7Y4UU95nJ)%dIDdA(WR?2 zD25mpU{e@zyqg*AmUBSSDj`MC5vY&-zk$2;PCa?@q(8#W`x`{ea3`o}YDvb_5A(md z-x_`?Yq!+~2@n6CbxLm@OW%!F+#9%7-_Sx#9oOgn@-InUXO=+xNx^oxgdFJ*i z$ex{N-h=y6;zEQ%i2Q4>^p`p6`kyxkm39?BKVMV+O(QlAQ)0ixc$EvutlQJj`p8ZD z35}Bq3rp4hT;H;OBBaKYShJ;&#uwqSiqn@yGg#iS$367Bpf&Q=F{l*ugg7sA*?-~9 zC@8E|{|)YM87;n9`{tguhRx>(h~}XQwsv+Z#84pcE|2Mlz&3%K-=1*SLj}Wf5?B&h z6+pzRk^t)t_-Ii5=yd7UL(;G|NsmY1)+3}r^XfdT{ zmaL@ue}pS*VrA}vlKqXheQb>r{hO$O&LntpM}@xTt7+!j<_fm=-ntYg9D$0(a_FPV zN-zHi(O$`^tp1|Zr>f#-t{g10qB80ujY$L_zwddf+hFv=&WUMoD3AA>J(oTeJw$dq zEa8v|x>>9$Nh{i6RMmj8apAm9z3RW4D0JI#5I6m-ZBqXt?y-#2x~nhq^Tja(mQ{g5 zxtJ7^SQR&4OfWxxK4}Iq(B~Dh7*{JS0WCj4GJPZfyEAEUy*32NnJq)~|J@QwTIpa+ zs{IH-Jh)hx^dP%KO$Z5G4(xrYNiB^nXI{v!kI&+7oVUDN0?z^EU{tJoKEBXtG6=Kjd zv|IS`(AWlJ)0?~-gCamfy*4Bva1ZFK^Ny3Y>)b!uJhbQBE4N=%|G@B#H)U}y%dL;| zUb1d<&0X7G7+INVT-iHyvwtl<>f{3FmnB;Ur}+D%Lnr^$kR5sQ3(tsS=zQo$q`eK9 zl|)o!5V)wR%;W7$ZoKNVSFn`4_A*M3gzQvl!WaVMd7sQXu3I29nwG`!FIbbbX-9sJ zU-&fd7ZAVob_rN98^~pY4=N!pzJXrkyuZ5aV?Mujj98S>=RN*HyI^k9Hf5FS0UF!s zA$Hff-W_YJ8)%=9HO$W}wxkY~>}8O6H{}0}r|^B=-mTM2g)hbaqEeC?o&t(-qq(u$ z5#@DBOx-R62|AzOvH|Tjvs-z`IzN32nkds$?7xkdGz{Lbys85$5z}^)s;0gnS^gGK zz&3GsbA^@MYF58aOJC}_zDCFnIpba}%lTGjyD^S~RY>Yf3M z!}qfj4GZhudiewO`{(Ds9%u}l2G%^`*;5IPwBmp-$yq&3HqDX%d}MKZP=O-|X$^C{ZG?`~J5#N1X>jFLVe>2`J_^&uB) z&7%4B;YIshGTfzO6JKZ0s42TK>A!a3cDI*zBc+#fDxKQR(aSE^otF9e8ZY0ga?e%I zrze89S534GI^TThqQ>eKnE!G4RuSx=aBfr9j16O#>G3rN5ka0!eZ(b@ zGc-^3&T-|@)qOdVjVLX}1n|zDC**xswC5kH@omwZRe$;qDH#M(B?1m`;^&5!X^A1j z%dWLMhRkO@^2t$HB|-MH)FLFIGkVb|POr{55-Mavkfc2SqD0R_8!F{>9s9SU?!gM% z0XJZiw|RTO+hL>9YG@t) zH<%RZ{cCMiAQPli{zw@<5MGqBKVT`;|9`2dBNtD5CM zs|=>q5$A?e!4^{ac3%TpMr$Rk{LR)^K1hE(J{VqnTq#m;HLt&N zq)@AEb#H0`_L+FN5Wc~W}LN;ke^^6(R0^v1edr4Qy?N2Ud z`D~#0TelJy2c*pgdwLEEZ^mJ|oXEs{H5r1(;_h1|6dcXXhoLMe>VmQB(_W8RZ>CRv zC;khL;lb5EI0n=C?dLErD@#hIlH`7KAVZh_#>s!4i9(e`{kIlj{oE2K6@Ur(^EyL- za=0)4?Tr3)K%G^i=`mKq0s`qZE=6N>^l#t3jY}~;)c!0+<`2rGJ18h7(S8=wy`za% znSx>ipZ&}cV`D<29CL6;GucCOx9u+0l=B-NIP2E~r(!Jn5$jy<-4huk z|LdJXDrhCaSC@|GLUmK$`H7pRqCDqB&>FuW{VUl6c&lSxNVzf$tSKny1kn&H9w*c< z&w;0NXG*L93pO#-9wq>2!6e#rCP+`9-N+E@;Zcc=Pk9VL1XJQ&5*hJ{+kgLk0?2=o z$HKRp78bUB4-M{Z*ySG>IPt{e4`PK_v?vN9pGL}s?&|1r{QS&}C+XM&c{tEzq2mt1 z5A1{6xu5W0YM!Z43zmIL5y~?U{ez5*thc@*%bvj`mRG>>J@ovJ++DLVX042t7Abrg zW~`JSs3s$#&iF+{Xai9*m<(}znNsvSq__UfZ`qgXmm5^b4X&89PPN2IkXUA@Tnkg4 zKUlADEJETU`$k2Lp5ER%JE2MLf(p@}sGC18S@GAz3zA5&b%x;W`(tpwXOC<@>{^H@ z7u$Gs*8Xqj6{OR6?6|h)nAGXjV*Vg-^KY!|fd*sFD6+L@OOcc4ttL$PYH8=t9G`5C zA9l;`bPW665N5IqI%h&F9XQbx^KA$BqR=P#=aUh!AYE_$znkEAtP^Q}{cMCkffbWP zEc&8r!*?g~^q92gHtGP+VGZ@}1%1NuVDLRUpM;_WSUCxKgraOwdhf10M5xnvK>Pv{O`Bt*P!UozO z8DPJIY@ywy*PAqA~+$p^DyVp$;-u zfYiS0uOet4fq{BGN!sxYOl)l5AU+tA_c%N}EoKeH@|7qub1=d85`aAR>57!SOUwm*yLFi=_2 z_u~e2MJx0N=0i!mysFBxPWPthZaYXAaCwE@>aZzGyTqObWO}X0d@?FdG?|40D*;vk zHHKc)ap5z~C$8><&7{S&57#58V;0`2Kl*eJ3EsiVyd8xHsrHs}%gdC4eaGYR?I`n* zU|F9?_Xlapj|=BJ&$sU_lW^=&^g@&El;pkR9vqx~=c6ri7*FX}{;R33xR3be!UUFY zp8%{I$O9pTi3x}K7wred1KpQ{@iJicH0#+53kSw&fS&(7eEXf)DT zzM;Lbcc=PoxPM%&`rOquhSsg{V{RdNp(R!?R1N_ps8N|M1e3V3&RG>Mcg8B35Q4{Q?b3@t zJxlQ39C-iw1H+16-_G5`!_vZ{YY9>H2dPEB8|uKlOI%!B*m+FzXwRkD&st3F!dTUm z>vK|J@1dpbf)T#w{v;(ytB!3u_Wp26zA^;auCm$6VeCNtQWuJ&tsFt_&O@)jjXvnX&+&(bzkvbnWqVZ#223< zWi|rqg0ya<%d2&;439Yy8QDVi{JXxQ@Ft=&rkMbl ztvz-uvQmwN0<|lWoAf;1FC;`#LYk1PY#qvTn9pkM&5l=V#gxNzc=HMhp)9=h^L`2H zy1KfDVgZ`i{q_xA6%Co!4id2CGq|9jwCURmJbJVY6H_8?-4DQ#Tovl2u?#BxnHaII z*QgSagc(Xg+TVZ-TF#E?XKXwx4#fM4pm9j(J2b3L+woj?XHRacrWiF3z2io|so{;44WICT_v#4@B47)^ zjXVUc4A2f(s2?L$W6}rpoA2Mg$@ZfM%T>@z$kH6l^;nQ|GLxPzggq0@5jHl@?Yl!@ zg21g$Y0jsOOb+bf9Tl%uP|#eWrW1Olvq^ZPHOXpWX4HgBt5F;Ii!x5{qxomp{}Zc! zq52~ZnZu-|&9dB=;T!CCY_sAL6N@IuGI~iGY5UuaiC{7ssPb5ibU?!M$ad|}r#u4% z_rIaz0@c3gtf*U$kbS^5hfvo+LVB<0R z>$EXR6B`=OmXWupT(b*}(LQ_)Q2%$n33~J(Tk`jz>xT)yu>Y2b*vj_sxe(ARnfo9x z@-{ujQ|P&x5hyM^%cXM|WEMG%hK-(G&O31E3{#b1m748~oY~z$DD)*G4|j zcaC+F=GNg3WtE*O@&*^;=E!M>C!@}l3u~^?mka6C(W!213$}3PxO;T?`}Kc_Be+3+ zh6URo36!zyi-DQ&CTTEC$X48=VqnBFiXBz?J%8k0F$OM_wGbg zi+}y>cF^IoW$hJ+|6+Sc3Rvv6HhKgm7%|3?p_We3&j~yR2tUMFwjb@e|{lvsu~SExMF8MdKE`rw{D#w zkkC9b2S*|5On4!0F55^EwXS<;$js<+8X#m@Hg{qqw6!~s%t1|n{e~tk&NpxAlPl$Q zh7^QG|7ryaxflKxu^&<2RNjJXl^OxI>}65H$wt)xIY&~8*rm5MQXV@v`_8GSWbtep zy4dvJ$#VHHE^}O;SU;=mlliF(7k?qor{Q7qi4K%2D{tzy6S*mX3FKJGP1>&{v?%Us z`5ZW7>}!woKEbu&>dT3BSJv9j!o}-fT3k#8jO1&2b}0VJt1ByImYDmWPgaSAS5g^m z4(=d<1ouOQEBk4ZX`GLCo}!GKqgd5@dPgz7FJ37R?5PgBtoxjtEy`Y8CRVlO@}14$ z6QxRwmz=ns?3X4r_3w+MwXJ-=5OG_~K0#E3iUwRhF4`Qz-qAnzv5)uz9S`UPj$N9S#WX#Dmw zsdWl!sBCG@4xF1oW&+10h<$7l#re##2e?Pvd*jcFlARnZ;Z(qGW69#B?6S;NNwaRMIa310-WLx7%lq40{YCkU^uL+DO1QcVuZg)0(;((-=eJR}M*ueHBftiiQmXiVfejiAFNOV|ic)d- zmp8UEQ0=|KyX{gziOMC@^4H3;Qt@2;m)!OC+^`YZ?V~587?Y%Gq$o8Al))PhpbT!^ z{E0G{nG!Xp&uCI92Pj?JF;%`QrnuTm3I!OKNCqb_p!!43mAI{mP#8~3LkDOtyF*YhsI~iXGlHUCs`Mc`RdMC?J?8l4+ zW6RJItfMUF$Qj!}!FXyzR$meHs@AL-IHVxx< z_ti)W_p>YOgf+aoe#;j5E5#F-0k%A^Tp=B^B8&cemgNO6g+(6hGJc+TX9k(^LoMVXGrE1v$jgUI^w7q~qS!)SS&qJ0mUKHAXl9 zYB-mcVuQAt0+7k-v3MkEbTatl#6??Af13nKr3=A5(VZvs+;fD*wFdTTXQv-@jVABZ z8#!;Lf9YEv)OeCzG=lO!Ca-$Nvqt;T6+O-^_U6YtTAQ8x*jUv_L{4M&Qyge*FvNk9 zCu!CMtqFQvZrRnieBD>pG%Ga%l79o*kx%B+Juwce>uqqk-=Xl&KKrDDP8&g+{ZT3| zuZbcbc?*nu>ntF;mWIYVod0O<^z3#54(0D}!G{T~V+I4&4cfet($c4F_YxDCW;fA` zw3%F;9qVPe(ZHN@@Z|fvWBuvZK8t0|%*~PY0eAH>6Se4BX9vZAvpGAFY97o6!}clL z&FBDj@l|)dqaSY8b=BBce0lM6H1AyhbP+=_G=4d+DK*S5m+cT2FW&vY$Y!oTscJ0n z=7@LC>fuL;Y$}yxWmY*a?oBqYE0vDQ&Fd#TynNNBw#rybTS?WwH(~#$)%jh=lumAT zZC^fH$nTZ+jXSThy?LC=hwfLZTW9+L(1+Va$EnuTY@i)?!P<8eNXGgAd6wpBhvHZsw_wbZe^p^qo3#%+jAa zk*)c!xjqjnwPW#ONR*o%&lvBll&|Ti8Q;$5#P2jO{XEp$!aqfDi&OIXKy$4vUKU)( zyIo2}eqE7XXN>r~1F=U_U3eoxi83wOzT1nJ%}ZD5J#3fJqMU|7$UEWnl$6{lyWq#6 zp@zZr*9#kAb{pvag2yqF@7~y{9qd|qh3b1ub8h0K4^9z2;8URLy`z12>v>*0(MxEzP7x|o(&_<9O!3f%6*Jy^_fy)R=?2BYPsR7vRGAfpPjVsP<*7m z=6MPKcTYLW?z!I_bc&|R_T+HSYq>ipME194u}JU2J@Kn>&m><%el zq{TH6C3qYMp!T!h=fsN?+gY?Hdp^v}%+qa92sSpW}37rUtpv* z!o*A54xFmz!xCCrkHW&jp4fxlSk?SMVYw#)*Ms3g{n!!JV_m23wo#V$CR-e>ye8i9 zk+nJSo>rM%P?@UNTT89;o~3%{rjFCAkai3eJnr>-JiFiDw92vTy^KIXw!(s7pYgab z5KeR2no}|r@&RhgLJb!(vjqjpo20u70wvxaaFAuy5m|CKTrPXfp?>q73*Wx`^$KoA zQ}Jq-KT`U!Z%Uxw<}tR(BO0$kI-XLI)1f**^8b8Z=k{Uwzgu^ z%-Ih>+&p-R9@XW}hVLl#X}=RDwq!Eo@#>TlWFLZ(#O!6UuqoPZdMLdY_J=t`gb@J8 z;i82xnemrs`>J-V8;5ed5teV{Hf#K-iP`E{i%N}k1w4s7rJc`&o?bm~KB;z~nawIl zhsEooM67wu2MhiKS80-lIhK!IEg5P!6QDL5LFNmvQ7Yt(n;)Hj5$W5oE@yV+=(2%v z{HV6{DcSpEpTk~fGhT#v7@Bq5mFNyA+V=6LkbpQxmXx&un>>Hfd+!&&ZaU&{)!0gR zA5^^fs#KBh6`A5(((p^`?sqyw(;RHj2X}y!k^gp1q^ToKkOU-?3lM zz+f3X0q(hd1cS+b+e0!izI{-a4BEmbOBf;9*LuXD01TEG-V6*1bJN_+OlW51bQt08 zAzg{Rf|% zQXJ3H_W2JvA8&Pj33%j?u~_#yo$KJSH{Nf_tgm%UAj+3#zOSOZ#>yjq_e@JSVbW@bIF%e?12>>C?k-#FUy0<$P|VziTSXT5keSrz$F;~euT$yd3#;8xbd zj7XaD(#WUtWg15cv8=iC{DOiWAN6lkqqp7jw76JYhTZ`!SxQz5o-HShMyICKA0TNd zej0shxRM&_H9XMVry%6V>;<()un2it--4fZz6LBO`G#+uMLGppC;|sd07Xze&yX`@ ziIOgmJ8hXnow~**fyZo@S8dzjYsX2E6*lwkp-vwR*>r=+*4^6rHnC}ibsB1ovnCl4 z-Cm`VYQmx{)Ds1#C;e#8nz`Bqd@NYGL#;b6>+Iaqn^pF6{Pf<>xk-KdD7xte=k7XH zj-~#YvYUH+J%O>LE~7WPU(!{x+m>s1%NM&cUwuhpUW^}Si8vS`O0PQYYP`Yq?%|E8 zL37&A0uy=AD9Y*&g{G)2*Yy=__Xq;ezfXGWSF( zAsXFkpvR8dWV>}is{-Be`F_#mFMWLp4Pg!CI|5<3*;paTc_CNTKn=tu{a*CI^(xW) zZ4`!Q-ifSXI9#=J)X3v^paudVtsWIG7`YDUad3Mv=vZ0cSfKr0Y4528);uopN9gc> zrS@2*{Ng;nrqP#bwyB*@rI~nA6)md_O=4LO>+)prkT`dGy|kp2e8PIyGKP*Z`o5%B zsST}dp&8FyfBsGJ3!TM=Z7-f`UOJ}4kdSSXVxs@j#H(GhUcNbl%qsbk{H0)?aZS?4 zNP)IfJk-L%)o~q%V%!ZQuU~Q}bARetPEO=ujMRyuiOh3IGJP{IKPOS~;i-~o@WSk~ zS#P7{Ko+kp23H=m`_3~mavhy%=%4Ckor*7Uqhmc?;H&>?UU=V4ZMK^NSD}pd^JVOF z@+Du}!?KZP`wK1_>K8nJs}I7`SebPBuD?GS)XtYQBG+!+3OQEcye$||p*9WXt=qQ2 zh501}wLnb=fcI|nH61>sBgNIX5T+gv!;^t8g3zAL-FM(XH?V7%JnwLt0e6^FJ3sUs zZ^^s$v7={WuZPry2A}Oc6w6g*xKLuaP;DrCgfw0ipw}DtymGz4H50x{jix|wdG#^*cp{Xo?pM$pZLAsWQ<(y-`})XfQN@C^CJDWXw?iy zuN9<2FgTQyWMX90V*Mn2xUYB@+Z&Ojsvo<%X!NhsVvir85z2lcm*?2A#?WzZe^Aua z3d!-<#lQoy4ek|ByUDybQ*?6AgCM{9*UoiK%v^ZKD zu?Mq8QshqeE@0pm1tnEg152MPifI;=jyPjjcMi4Dxn9By!sa#R%?PU_=#-Z`tsfp7 z#N58!+1VNLSpm{7GP_v#_+Ej9xk-3J5ovM>?=m>?7afNvUnsCXKl^m}3VVm3on86z_j$R>2!k5_|k$N3fH z>14+hlxMZBkK^AfY2-niBZmE;ID;pu6p;rM0eVFGPUF$iZ8U*Mbgt8L)}+4* z;v97N-I6dojrV;#o(I-9d&iyb9xj2C7|q8(vfG0PV-piJB^8$H%A|Ph7g)q==(lz` zEg@_GVlw~)0b4xbwn@Np3$#I*(Xv8-+QpMf~=|X=!PgK6LFNywAO`C)M^l>y~=@d3CMa%rt=|-BF~%7>?Gd z@=_F<(H|R_g0xr_xP$+IE>ekii-)zhx3?0wfE2p;QY)ysp*lGh@#kNHhTOZj67Tul zjns`Lpff6Tn8ULmrT`;e7}dq`(Cz7K-Whd8Qu@^T05oJ|jC!OGtD_oZtgQnkMBig( z7hoz8W4r4TPZ(u_S>V_d$h(6(fJK!n_J&$P9n7A`vG= zHa~(da}f8gN^12>ne9+05^L(JV@c-s{ z5dMI{eW3yZ?|FdNa4G4H{Uu&;G@e0#;Da#_kmEhbXQaDd6WjeN4l!8SoF16_$*Et* z21ggw*WfDNAnpvWP>gAOb!O0msPFX*xHJ~F-%-3lR2W0IU|r7EI;J3!PyU>?N1#Mn zfYB@A?l)Vx;`I|fO15R!JeXY_(!71r@%N2Ew+=Z~qHsLJBK(=4Iwy_Y+gMu_Vbk{z zQepuWLMkLki|jDQT)zl6YrJ1z>D{;FV{6XfodckiJ_b01@}{@@h)1k=r-jpkYd-WL zlCkr>U%wuv6-FkKJqSj7+f%R_2O~EC60h9tcec~mkNisE=FKULJkrzC_k1hclBaUu zhOPPZnMm2UrfGa!{2FXoa@IxbZms_rK`BN)?L(G*PK889kI+h>9lZaAs-v~#i6W2^ zIg<45XuJ}rY-w5OnjTd}q+-t|9lheILG-)g9ZpCYERt67B=CrUMyEOThKe(EL)wq0 zh8T&fkPcBzE}OzacWW|CS8p4_bL7RWdW8lHvh=R9lA+%(7JIm_Hc}^DnG|F4>F?X& z7T-Q**XEztQ;630LO1;~GfYMJG%(WavR=JrO+s8;&&LbTuWa-0|1#0>-J9Cq!*pB6 z4vzr;9W+aS#8l``;2rdzk=Dh5xD08W(#wU&+ks>me1zpkx{;gji7_WxBr1+b7qpZ~ zU0(rR*K801ZoBKNKr@Nht)JhxR`A**FUf|*>c;?oe_)GF$NKpAykqQQl3L6o>;?nM zhCcjW|368M*T~2So}Q1NpGDFD=_=!~HFsZ=O{MXVCGvgCdtazM-`3IirGP22Xm@2f zQT~{4&`u|m(u|q?dNUMsvcxyAmWF0U-I!7dXmc-KtlzXL1JN!(rM)of)Mn4>a-_C zHgQqYzb}*#=L6Vw-&wqXQ-pDrYRxkh5@P4&j*^lRJ+_V4Cwf*jfbbL9V1lH7EJ;#B zBXsJy;?{>cy3UU#154pb4J{rk{uJ}~UnkY)K1&}q)5@pz;Zx<9_j5|!(_|-)dfty-jVZKB-`y(u+>e=ggoBM`in`6TAuiHt+ff@(7SYnj=u*K#m>BGu~;WU6Z!s z`ny)P!>Elfi_~Asv)7|a+xdue!BFt`VY2@FSGc#bnt=T>rr=u0jL?z#YEin*ye_5$ zK$xI@Jw~waAPM&6`}gm?y@!@x!m=c=6o*2u_4cZ@$$`-{M~X@*q5(mG3cB z4KL$Kgn*2S0Me6t#kF72;6m2);&D3gON9F$3-+kRt3aov@yM?GEqdbsR^>D@McT&{ zD6qaHGWs({J^K$IHbzm5q~G@mz{^(wa1Otfeq8zTE<5Ge;+UC~&|5weIi{~v`2Kt& zil0003ECj?Akm%6s#0Fz%Y;fp1JC}d4C`zztW|8slDTr*8E#nDub~8R$ssVuK5W zn<2AbJs-L*{?UJYLN-P$gdIBCk3dJHfhxd^e%S^1{Kiga*d%SQSCSvQ!BjI>YLO-@ zu6v>8J?Te3ojJnRadf6Zopu#S{Vm?}={2!9s1zy6uK!c_`jJ!5q%>E` zA>LjY7fxoUPK?}K|4rr@w(svAt~rz3W{>Av7kah0^hstr2L6PEiwEQV=>MaRM&V-o zRQ);YaU*~gOnFmp?uuLpmmh8)9oU@H!uVM@jjwm%jo6Ig?~_17tg0|U_n_1BjKAdf z%7+aesx5I4W}damd~OuyWy#BeM%WhAS0nI5R-%$Hd0!U+zW{8`II=jsj9pa2QsSQ3`JhGk1n} z%9Wy?=?Do59#iYT0utIM*3}ab+xke+qZ8|H9;&Y2|`OmlFecbqYuJ*Q%v-y z&>;RW%^}>52Z*(0OJj;>IL?gj#&>RRZfbgwd`wp`u*V~xZFBDHR{Fi1QE@~9_H&4V zP7l;#;yH<5@bkwrj%Vzy1ItFG<5#Le)md>dke{QO}KB6{jbak0k94F{Q+zFH9Nab-EcV{Xtwv$C?La^(pr zS~|K809gSQshiDUVW<)jTMaG24HY!SAlk<`3_3wt@~j)ZNP{O2QqmmpAyj@ zKzRggwEQC;Df@_xttV^W5t5{#@_tIdCvqlS1ds7}B_^d!(i>&U59h+r&P=lq%^(PrA|pBb78e(7_LvKk z)6hKo`BVq&<9G?@$J`1Og@4<2>Sm*vS7pO{bqz8H|PGPEKbT6v&1 zvD?<=(25}OavrU}PNwj=I3?-$jW}MQ+WkD9Key)Tr$l^4&4qW`G++xPf_&m2>y}KI z7dkm^J&;-pmgHA90L@C?hEi{6;}$8PXkI^e$#MBCuKql*iBsqpR1cXX12|HZ6RRmI9mn0(!|FmBK!U9~b5euk} z5Tl!wINUlh97$B4z;$W@jAy@iZ0oKm!NC~mU;Pf0{9(7-j~wD4SH3XyStEY6RBo+p zjF1*O>gS>%L*$*GpQ&EXqheF_8c>+C61UH>`V@Y$aN6gcpmiJtWza-+nrA(8!kda# zUe6IG!K8QN0DFP!dGZfm~*b1}P5|M!uC+i3j?&sF_s7tY?nAKE_`w0*E45>NR z+nPOq;rki^KV#}tABj}ztJ?uI*H>Sx?b`{K)7T8(yOWJmj{I`LjM;&qi~Z5(Z{J)f<= zhP0+2^q#?fwh@QT>hgQOKTgiG?R)mwg+0$2h2P2D4u?X*r77LRQ*TvPeK~!>{EWMb zn0s25*6-SFW<3brK@=ZeZop0wr%b+AyNey90) z2Mx^y$g|Re;1hU!=AO=eGAR}SHUC$#q<--`vpET!*ot~*n5+Q7^b7;GP~i|#Fx6(3 z7h%OC{2ugETUpr8lmjmU}+eD;<1Gmr}f3AEF7hI3i9suq@C3$PCa7V)1 zvH51V6Kab5SdVVK_M6iqZqF94$S@!9PCwK$N?m=`#U!&%dtTQ_eCpV#`QVnX;}xBI zWQ2Mpgjm>k)_3oy-H#;VkYr$bl8qoW z9|49OoCM%@9~D@x7DbIj`KE$micoOp|BUfvO3`%~K0R6+dxz(KNSS2>E;Y7Eoo}U^W$D*73?s~tJ_#{X;I4t$gce2QWkZ@yr)v^i z{e)+M>WRu(zc}Fw&2>2x}}OfZ;tE+Qb}hb$qepwpo7Z%cTnvxt{sy zcOThwdY2ARy9LT;HpdNb_n8YncK2*n&zxZH$QR{kpXDM4(+qQZhwuF9EnFrMuG2T? zWDg|r)VMp$_HC1wA9Z3Ji~siaPUKQoVp4mCZ)V4cMV#`WQX{sYgSj$`PzTW+vMH~sN zebiIO1@%C-;LEURvl5`^pNT2hD|hLjyNyZDkXLM{1Rc|%f|tWTG>0-eZy6b#bL(5KAbx zK9Hlh9@;*HM3N(pMDk%}nk8mt*0g=;Ja(t19#07h@h=y)l&E()zVp4pU61mj)^TYn z2!%`O%R>iBx@B2B)+0cXQ2)r>e(p)VD}TPJ{R2nYyzfK)oxPM{#8@<wNjhb9td!_Aan2!XA`h>2PtGT}}_q$pacRN#^s+Xay(2_rk z8QpY(xrt+(-<}PF!3@epPef%58EL>!>aTzCB1&-KnK}6p_1p7h(V=!$@tQqXI?r5h3xD))-Sro6Lh_Z13^ zOxxANK6SYyEbOTM$#NXoBmHd0qciUu0f6*`Na(iWcAetJysQ)yNiHVD| z`*wvCg3}O_jx55<%PXb_+5<`gktA@eG~hw!o(1&=-hLPySccygxei0omZY4hS(E_k)> zfio0=cNTq8%@x}C>1o+$w!CrMcX6%!ta?4 zA|5bZY&f+*nr&A#c+DxCu$kRaWR9)SOFj_uJm}&J?im2*IpC$o6L&LMp3jt@B$Q|# zqBSDvyTfN$I*NXCAxq)0yYH#@m6SbmkA+9#d`87I>;ial*`3yi6!Fo@Rc z3o5p1_Wi4;Bt^27fwo8ID^0D;2_DiH0rze@C##3sYFF~4N85j8!^jAa5<^_zcn&xR zRo$R%9$H}NA_TK4i@gU8t*SR%V7!DvFQ`STp|ikb?$9t4dKkB$3mPt3`lS70qM~-A z9a@5uj&Qf&EIm#Zs0Xvvb{m+qD7w-9xo%xWFc?WyG~*v8(CzU_i|y|>4r?KX06YQ4 zKs^cP0-{oED_*OzfPX-GpJm!8wLoTQXbAGbxvdlXie?*wPz?A7p&b;$2`3FALotT) zkdhExa|h8=ZIm!sIDjIdqe%t?MGh+TDZLEKna8_|c|JUHyPZ-ynam4{&&y3drFs)N z**&k;cNOF1?7u*>Czn4#^j)lQ@-&l9*?9M3&F^b(8M<5e*LRwJz@h`_$1Be|yf|^(@v3KIPUgbnukftgW=ny@h_87mcxocTe(b zY^`RPlH!mJk@@Nd4n7%1o!EAxP&&i$S{M|;{Otq@L}+Hvh;qMF%KKHSVMUw3|% zQ;B*n6YNW5#=)N9R#NdhZq0rt)nqN5SUI<(b-&JSL$bQE(d*)+NiM68@A=3VL#bi2 zAfF0#&a=Ay?(Xj4;babwHMlWlLN{$MS?L47K=Elbzj2_Dw^J;@C^TNWuT7>9%7jDS zGg1$ijuMljv-9mcci0UvbTYu47@N}=E-oTc?o)yh8yhD~hEePUnXa_3=9Fl7f)!yI zV@2mH8d!Hf{VvI$lV^*=^qH-7AHVnS16)g;1p7=g_-vu^iffV67ueh7KO*2zu}%5O{xe>>pr}hhh0~1 zCTzLBry!)eGSY0&UV1e5W7nc`bcG`O4$Da8YsYqNx}Nd|Gr963^}%W+ zIyf^8KjdjFGo+k!yy&8Zy(nGXOKmxhI~{h3%CQV&=8R4)pD1@YaZH9|N_O)DAFjRg z61!PMw;vhIZ1WmAb6ZHJTK7w9S`R&YP2^Op?W~+Pwu7cag6tcY7=##&_#TjTtlOPJ zn#+U3TX*}F*ajp6#J&hr(i^TLK940S*ylhSg2_SKt+*Sf@L z60~&T;>`*iUM}e8xS9p#T2mY-l;uL*Q7aB?j9^U+cg`HK#?zYd>A_5Cu-AnCeKOC4ioMB{G{%21lC93s< zLw*MPi|o6UXQehx3=}E{*ep`cx>0q`T+dAnlJC*+#`Ng+U(;d^n zEm?MN@(+&qq?rfiJ0D&Qt=naFMDCb(?8T?ThTXTHzZ5>0R2C7=q#AG7d9_dH)xd7O zqO%57KZZ31GpZbv3|a#xHZ_i15d8dPw!Dhkr`$^6rua7dojy7`nhexKd)!1hMLZ=3pQy-)Pez|$aEkim zia;B_vx3iiV zyErcT62vqB^WM=OF&#`TVhexcLh~72Mx>)gPXfe;g7FeyKA+J>O&^5tTf*thIz&rEKKGR-#UC5C_S@!R%8VKpK(+%k`X;+DQOr!Mnmq&~ zX;OHpE=e&ppP_tD@aEg*U5{mjv~&x-6RNfw`B-0H(pIUM((Q66N{E!FmQ4P+!yxXa zC09x?{ndS(O$7k~VHMURG3>POo1=2EGQ zFs>eLtvs8?CL(q3sZ!Bod}v@` zZ1x|nAgXDk0#ubw0O3VF(s}P5HEq~Ff^Do7R|f{0x{!X^=JZ|kmcnP>sH`&VNw;V= z)T7Nl`!fIhjbcsq1R3G)qtQn~{38c;)QXr3GB|0ct`i&|?f+iLC*pKb{9~?VRXdD8Yh$gTrKkOb1%|`fZ32ym+AB7WgA-s z!P5AA2u4wg^_sUX05q}rpp=}KcYZ3DG&q_O@BA`a&3w1eX)Sx)8Dh3BwFG_=u$Q!8 zJ0h-K^t`vVMLR=xa5n$l*uY!&EsH!uT~d=dtZfw+50?Zw4i(i3cAtR5z9p8hqWQ-; z9}6?3)}ay!^|1R^QlE=Ibjd{%sMef<-x7FY0@{SG)ALVMUm$6BIn52^`rcuxJnjvM}>tCcd^3JG; z(x=^G;b=~2cF1>r9vy8pwszW*sftNuB0(1y%+W9B(c#BcXf3mD+-h*D!^GOJ>@(=m+%Mzbcg5{gtx?Sjs`2$6Z5ae5yKqi+18OkhX-2~PgcO+qW2hto@hT4kQ-^n5u33TX>)fA=uL#cmR^;hSoj zxbN0P|D~Vwr+Z|_{KSOM-4h-cb3~6(`^?vGZL4i`2yqXtDX1~f=GCE%wo*#fBK4)| zjZ28dXqwx0f9P%x5v}4B?tSv&MGrq$Lt5eC+7Y#DYVG^Kr)V}q>`>AzS-iiKHfH~3 z^DbH;h3`u7PpI7_Tf+>?mk^CCZNz zrJS)<9iLKhs%X(cv4bY|9Utjsgc9P!N_fA2x;ou-K~QVn-+lOtkDgU;X^-`Ig|LI) z*SnjfyY)O;1be@Ec1!NQA}WJO7dY+%=;gNfT=_+TEbZmD_hNZ_7S5`-3Yje2YInax z`@-SVUuj7Bk9i+4E@B49J@DKInI2Y5o0XTTQ>#{P*%nWqw!Kv;tb3l-_JpO0e*lp^C6B=zQ=uBZl|9%6PdvM^WY!FpYxNqKC=#m}uO{tvK7nc{0J^1LfM?+oRuB#2( z+CI5jSi#MFl5TNdb7pMKhv041L27T)H4?*1CsiM8(BoSl`7i@uO>Pd~QL$i7fNGLr zuMGyB7!_2s^K8y%g$le;eXxe-qHaKJwp_*KQi~t5o0WJNQJTBjUb-z{VM+>OKOfuH z-D-2T>?zf_CA+(5t7n32R|k)zmV`LZ4vn&HSA30C4#rqP^CX6r(1x(>J|UxVE#m;^ zT#Zd%-nELmgu3~n$sL1pT^CfIsR@L-$xuZXUp-J$d`>okCiij96ajqn0cZ6T9&evq zyhj%gWO1+8K=L_3tNwV|=CeHuUF@_UhDxJ3mKo>8?yW4^{n7uBO2x(TYHDfOQRU?4 zYn(WAE256YdVMUzv2P{76;Y4$dfn;LEp6=V4p_Q*SGg>L{2*ZJpwWRV5i=73JT;5X zmq)>cN4nPJV@dlx&M>iRHuaq#W&V$|1;tGCTHWH>!*3t|_}1lE*?lL7$5(s+xXQu_ zGiCe8N&(BoSguaVr+Y`3#f8)LYgV4{=K**D#nVR0UH_;AQi$#^A0Ho>OK|&RpMC`M zv0y_;SY~ZhH@#b!9+p9iTrbda+=-QF$oH_T0ylg4WIA4CM`A`*`bBGlkQdqDXs&t< z&TRVGnm?`hl}7mM7pXtY<5xJ|Pis-epvS@Irt9xIhl2lM>7)+INNB;#^{3LBrv#m! zC$+>o-_gkpi&Wh2a{eqR3_3ZBeL#29nC}IDi(Tjx)jRa-G@s@sYJoUjg>(N>TY=wT z@Pz8KO5*eqjGq~2e{5;CuM)T(S`gEoD|#$sA}IEPXvbZy2U={oKzX0g$#542@g8ee zqtnS)=`#vE|Me2R|8Cy(_XbrOUG~Ys;FVS>Wx}THW!ok`s`_oJ$97h19WHomxm)Ow zxrp*^jw^M1bCA{v%gGnIGRI3yC5q5GP0?Dhv5RE6GIY2jvWXV1@^562fc+Px2Kx7L zyklgQJO;#f9@j9k*v;ePtg{ll9z7yp32;lm4g?kt8f@>VK7-XOeLX!=wmhXqQyTZa z;w$kxZ6qZnMO&DIa-ov|zhmXM$;ODL2fF&{7vHW&3Xaa~ke~ZhH#(8emmc+_cZ+QB z$JCU)jSa=;V0P8-oy$wpAfYE)-g;0ycl7p#Pr#JmA^Vx_9aMj$WNMwGfJf#W?m;0> zkaPc2dXPJhmL4Rff2aJX^kDUY;&)(|{11&m9vzw}SxQ;p2*T8_|2;@-4V5Y^>ymZ! z9d%PseE|{0Pi6LN!hWt#g-{|W(Nj?+XqN`5Y$klYP~IKXnU{UY>*edqc@vV27ofqH z(*9`T-h9j$zq=MxU41#P#~jI9^DVK$A684>_boaQ;C- zA}V?mbeQ`SoOO0UcYx-t$8e+r?B79hWhmlpn2h7~&PDH6J>d!Ybz^t7*d&&>&&287vxJ$PQI?~Ckixu;V0qd zKd2RQkkJk{JSA;>;^$Z6GFr%d2~B_Qi*IgXePC$F%IXW+iF+{9;lm0|)0j4TBnTYj zo-si*%woO}J48~z@qHTi7XpdY;lPX`s)`B8RAN{y*wlsz$P!_Wv&#rxgwBXdqX z4(ummN-HV`?$Ep4=j@8B(!GxP<<>EoKq<v7`<9MZRLUdG7Pf0_=Mgkxqwvq}9hnrN;?g&(AcZaUaGk5=@Jmhtdp9Qn)` z?o*0R*R2BDmECr21H~^K6U`xLEwvVPV?ZY%)8snv@Au15_dgMAW+F87e(PrdFz=0+OBBIEK z1hgNSl~r`3j044|s#baU7hQuOd@Iwy-KO{HCU#a|L~ohHvCTsh%Uhe@|9>HOZ?|8i zw2kfiMeg1*0OhPJcqPE_p1Q@1LsuXoCid`LFMJU&NSb{Uz@c~xc`2!9%8B=k!P9U{ zrH!$DZtJ_|K~P{B++Rdppnz*+`hLHTg&a*49F+eTDMasE4wKQ}^mSz#o@k|9>mCFu zjtehYY68X3mYZ0AmMs%5JY$NGZ=w&TOa)--Qzfc_d_uP{z1g!x;#5Gbs;$9r|0Ou+p7LPNF~`7 z7aNJUf>#?{Iie*G+WgCg6pd!rB#^wSQlKg*_4D}*!uEK1(l3c$v{11~9Pj#Vdok6p z8`?adSwuvtzQ8h|{2C?2oC$1-DcDehwQkn6lARJ9f`Htu$BT`Os2caKp8UPr2?fFb zrGeN)#c_Wf!C$U;2HKgYJv_KIJL7NEy`DR|UQN;jDx7{2kNvRP&o2O|R^fy=s2`uR zE{B`roe|PEUy%O}hI>ZupA191ds-pyL5Qt>YKMNemxWWH(xd$E^z4TDbt`M{s6Zq} z-&lPK54AoT!kRVjpn!m8R!zi-Bh7LU#j|e04vizO#CBo!5sQBVIb$%Cyo&)WL`6gE$Ifl_y8O@jLgh&unvWdPLAq*alP)+0o$E~CQ>>J#I zUHx8S>82q0GjraLO%?+G63ss;eT>aU6T*0Uax(KJgVdUznki888^PJx{bf1Vf`26TWWu2nB@$w%lfWEo<)<7)?fpo4$+cP`qoiSn{BEVCRH;M*@_g4`#Z^j| zR<*8Pmoi;$dyzF;48;)kqnH{JJ1-_0yC-Z0_wj@jMl>V+##dxFjdKa^TI zXt(bE%4tBRM!wvEOb$yH1dT>w{rObQTu~n?@W9VU1%$zu=-~;QgzW5W@JL90a*eE8 zW>0Hu&_v2Oja;Q8{>VB5Lvbo4b@dRZdeC^gW2Y~&CEwY zobtYM_lKFapI0*hvY+H=Fdp0RXCYmK%8+Acixk};#+6{gh7-<;ARLw5?0mZ3Bcydx z<58p+$WVm1LDmKDfLoo+K`LxNg^neR=`Pj*5V^GypY?WtxrBGVjtyA|dMzFU?@GGb zB`Heu0L|s9$bi!5G`$+QuIUri2-wX?G*g5&tW72)SpSj zN^$@j4h`9Ez3`jUC0*#=Z2J1s+>CfXKZ78uLy$}XQRApl4(l@pnyyrZKUMSG!M8={ zr$E(Ca93_ri*@i8hj5l!#0nH;e8znREFdF0_`l0~mH$EL$48ut zuLbG>A)h)N_w{6CWY%;HuHJ_V?}=Mxk}ywlydOkL=Y@z2)N9P%HTZyN9}RcofXsi| zi$9L6{^DuHf1HL~R_qu)$_-1xcpt?j@`MoKJ6jDgnCZXr`XBr+X8tdU04p@}E1(V; z*|A@4C%-DCmBUK*#S#}d%sI%&)b#$F5d4=!0geEFZrBj;dwj~Hb1O)5j5&2H{5>t%meEeHAz;!QNYY0vyjA@6c_Wq&4Cq-1p7Znbe>v+9q5!?s#2nN{ z(A0e)>|b?IfD`}EClpu0INIUoN&yiO$yMpN5;Qk~k!!0mJ3HIzZbilt_U7$dmmmG0 zEXTR-gq?0%K{9Hu;G&Z#6wc4&ZwYrrg+;&x%+<}!(@17-ePj+gw#Vr|mDE`Yya;y~ zI?@TDc%)o&oFs+2`!byIya}veLdFEb6Pa>ZWEDNPiP+($eTdAv5NSi??q7*;sQaD% z$+)v z+c$3vr0wnPac_tcQxH&3ZF>2WlDdKkct^c9mU$m$?LMygbjfyQ1D(E3>?+7CzkJc1 zEop$KFN^~8Eg95KD0{LM?EZx_=V8PdL%CplD?2p>-kW{<*ng7x% z{BqYwGl=IEXDA9!O8Hu5b)0IY^l1?3gDT)2(|zh$&5B5`uTjZ`;2e zKRb3P&47Q8nSke_nh3E&Fi1(>r9kbxE#0`kjf|vF5(5%mLeFs-3d1Y|1A}tiGlW#e zFC^tx6%j-Ts_xvG?ynWrG?3Cf&}>Qf6|M;=02{+hP^+X)io!!=<1yN+EKsKphX6)Vqnbgxrp-9lLaj@-nkzhje{zEH5w1SnOxKkt3}TufZ3@ zcA@KFgVY5MQ$>@^C-b(7BIhL~)6Wd1YMdYBvQnkxvs2y@%y#zktrD$qyXoZvhV$7v zrqrS%mV6bnI!9Ekt=}7zg@t{(*F51bPH&VtaiTdQ!sdj{$-YP%kt!?M4+#bKKCwf~ zEZ)(%g&r{mCw?~xu4ONPUj)ck?3%E?SU-Cg?Rw%>{WRkwSi@ze*p3{ zX8AC^b%Vm);Y8j;+6654TH1nfWmf2WBD*|pOWkbxh8va$A^DTAjzYCdP1x*`S`Szj zjNjfosP3BJxs7~8Tpnmq>AP&3t4aeOgF`-@i04LO0y^L4LKoF^mlE>!9$5KNy=cq2 zJoG7tOC@}#;f-1GEiwxXX`)NU^a3HzSfY9#T6^=HQ|x7{jHZk)$c=vxvyhfRI%N~9 zsA%7lDR3^3`n_)>Wsgx}$DWn5p|BoxP~=kJ?U#77$ax!6wKQBlB;!5k7pyJ|Ym&LxjgCqZZ$BP7Hbe9t&&X4eQoR{c%$uAy(1gcye08)CUqlxV)F6N6vyd2_+7s5BO zu~+Vvoqt-;U$$NLTk+1G12+0wtkzms?2Zg+Z+S}jC4F@3%G0xiOPJ(T*9P7WjEb^2 zybH!dA2CPVsV4@9iR$RR1m3onCQRP!D#?z^OIMjU{7J^w1w{wl$8JW{0i1Pq9`)eN zF-$8GG0Pp7P(z~mO_+BC!|HS>9{zVsA<&*aoVj8J4cwm3BySs5Ule@~QYLVeKVOX! z45cZFFivTehs_b88B|)lnf0!Be^C{?#Pf%f;x_rkCn_G#x$ivi>eG^UjCqBIeMV!( z$)y#6(`c2G_K##y172Z^NxGG8}==q;B3wX7Sc5unZBRhY`po z2l4EfUw^S&8HLGYD=&r;;tW$0csi92rcjhVf*w!YXM;^YYK}Wu1>G`IOx)bHkxDf1 z1mxY%7u=|PI1bc?>tj!mS$Z?ja2h|a!RV2YOB;mo zjlI%+)A#V-5jBThi6+$s$|OL;PEWfaLZNaI&l<{g7H#~*)FLawamvc$l=S-`DRPP?mu1W-x>1Q~;?g=c z@1AdW=$sr@w#n&MNa5_}fQe>X>1&rnU*5%WKqxzGt39u=>>jCl9j zm3HbZ4GmeP0DrSgX>I;1QIuc@sb^tK?c=uYYaD>z+;Eu1HQ3MfQC zjN#t54_0KtFE`U2SI}lBbbmO`PZO2E&f&pWoD)evJ=;VEfoyWN$Hv5%+i5)58y?{J zSs*(ih9hfHfA$)K=2L$2?Yyb zn**FU7j~!%e;r4Wfuh~;D*m*2>2U=bz!GBLpF#=bz3mawnz*?APT?EUM_&fPjwmWw z6C?7>bCEaV2#H7&cXWo_56So_Db%>$$NBwV002q245-Qk(Cy*})fsEb1MH*B?G`=Q za1673i#_iAgo`-%z3;;wEbi2eo_T_^la@CR z)lj!B{GR)d55OQDj(L`w2W0s%~lPRTQIO5*EUGIukTd9xC zQLmg_gp^fXB~=p=qEf=GfIA~H5ypJfNxKEQ`kAtl zBYo9E#mtmwWJgrIkCJ#oTAjx}iHeT%Qg42)_^6PzcKLi@aBmlxji&IfPzUyVTI)FH zTO58T9_VddQ-MM$~p|+vMr0JFsHDlbwH;q0RzV?0) z!?I4$(LzSM?yRi~Uz#GFbn*GXYHw0P0;#ZoPb;L^T3(SRRO6Y?w{+!yt_lz0s>ls2 z!?edO>))c0>ZCuOoA^iXe7 z+(r6DyEjQsJO55h1K+Y^ccw^h(B=1LyGh2&%#`sFxrJ|I`8qz%t}+a+@qke}6d`RA z7azZS^KIxP^jd3B8XDxx7V-kNeBR7)=#X3j(a1Hdf*tCkVB~-z)qCJ*TwqxP8LGBZ z&(2olV`W|K4EnrYcP{y{S1ShY7d1&_Inzsfi83@`CN1W@LZG+bC019;`23jR!_*6D zv#iW9>HaZlf^HIuf*&*t5*j&9{j&sSzn0*(8oDr$<;FVNL&wfSZsEq|i}1VKd~9Mu zxoWR~BaxU^+NVpvXARhWPZg&2bbV$LWfE6RWvi64;raINM%}KJ*h&^k++a3@N_%Yo zm5IRHzxQdDQUnuW4FGDg>2-Aex+?-oHyU$)U%kA^q;|1xY5rL@XXVUN$kPP{1=(Tl z7<}7$QP_0-`BLE+_v)t@{`IeaTZqU0^>a-aU+I@$bZJ=NZMAE^uP8DLbkc^9kh!h( z-mJg5)Wd)MaVeM7Qi|WL_S1rhFii2+AH3NmJgytJ=3ZTt8MG3sfBL>3ejjX&xWi=% z0=rDE#lGyj@K1C4zy0!wfV%CQ?*9fVUU6b&pqdJ#EZgP1foaQ?z$wkDus|_AJ;r}D z?VrFgXg(2ACm}6O3$;9G6Ms)8rhG-TS3of6y^*ZH7XV^$HbJN+7#8Q!x%N z$NecyALid?P}f{>>iRUL^igH4_qd2c>m=8@){xN97Q`&%bt|*P-T6dz5l9E=W$)s=FDG z;uL#k--T-Qt2s5$r@q^UEsDAiJcJ8%?5lthOFXgB(B;CtX}+@`Zbbs1?Yxb}F{_Cd z8g=et`7rFqEs*{oAb=Ry!b{Wm#~VV3tZRs(9FMd`g_!opsUH_~cI8w3QW}Vt6TmDD zE25H6N4QfxF>n&1{$}|MtUgULWoX@Tq&3I`IQoHI9KQxTnXj<7A42m6 zB=DC(cVE^eu-sdiL&IG@{^yGjI8zdqUcl{tJez&MZWZ$iR3hGrf|Ne;w_R!xv3HM;7Jk*xNeepMR~a~E=F?!%r~I88k5X&yOy~q%9btwGxndoP7MYf%nA597un+(wpIg_r+T4{mf^dp3JLb}Kj>#m$wF}@5S~GcUx@DH z;r3yi|E)k%s%tdy?ZP{ynK(IRBVvuC(}{71J~T%uFG-7th;sB==>PA(0L#O8{M`_``V%BlM$vt4>u!n6OXzH5SP?!EqRBGQ&^LBL z7QguCwBe~TGERP0Ujms>?^2j;aoc4Q@4duS+yd$a26#125web-)QgWF6`U5e+>tLV zyl;#$LjVA8Lp@A_@aUjTR!bxz{o&YsP*ACEQxdg~nt$>koDU|!yr)hrVoSN82LJ;G z=g%C1f<^Mtf=JDmCYyCKCy^)bbOB6Yh4n@dCV)f$fH40_aiN+wdwSb3cCD%|EYAi% z5p{!q16U5&orwtvZ5R4b*&OK3g-qORwfRn_zy<(>SR9O?eXZLM&!5mN2@|!JqO1AH z99f>gs}K@QJjqFXrl;p$wNDCLvJfhq?DUB>G6bU5Wr*C)48);>nDBE!clpY;CjHGH zGXm;B&$|EO-riFWLqm^aGAk}o+rfqbdH1<`+-kat@9~Q1PLj6K@JhVg^T3#0j1GzcttFZU$qJUeGls zOM8JLbLVhBCQ)6;LY;B;({E(!0cd(ebpV$s-d&r6KpwpE^~PeLn2gNbg|krKPqs~A z9vWyDNnDNmo}(@4-{KcqUO`GoUQToBvVJ+lbKpOF96s-f=)aZW7>RZCGFwq<9q##l;rhxNFviXSF0w5nT?Z_u#Q#9X@r9g?O~tV z_|(16ssAIxcn0>M-W&ukJL}OIBq!>uu&^+D=hI=AR?Zg4I!~Mbh7}6t(7-8&ABTSS z(E)n;Xk1dyO7Ff@Dy?N&2Kv?=|CK0;YYyfnPkmMY84@_tPBmNiF-I9A;H$kf_D}8v z)%Qr>^Q~Z(FO7jA>7D%sz!_EwZ7)(`F1arv>TvPh zjAUaNK|3IFqqh)Tukq9s95 zilzThU2We>*K~%5EvbX^Tdbep4lX!=LcPu z{1&YU!wgD8qT4XWDLC`x4{nf3y?JIYo)OfktgoNIl!3M>Q0sP^e~K24c&PJ%sXArP z@^5>pc=BIa#eJ|PE*;)QP)pHUaf*yVo2Kms(5nLYvH{v^J1mZE0PD!uCRkU?XH_LV zLnJvjnt5pXY$lqnSt?`}uaLNlDx4cF?n< ziQ@pI302U~JDtFqrsW70_a(kcRmE5dZ!bjK55AIvH( zG!cLzG-!|aVe4C5w`7RE)Qp^FZJ+&MgXH_GXykFW0iHFf6+}*RcILops$vL}rRLlR zb#Q3(2(@T@7PK%_iM!}RAew%|ZJ9%e9wD7yHz6XO;|d`hkqZsJjEoe*;yO!g;5)Q#Nl zuEBODd@GtDuH?9c%tr2I^HXK<%gC46?(Llg2D5AX_I+(=1f+Hd6SPempjtm)FO|GN zdSGZM3%e>Nl`Nb{X6lwBkx0%nV~-tKtFt%v9&SS*E2$z-^Ej%&rfG)ZB9G8@Y~)yem7q2fV|26{rfeGT-6Ea zi?p>B0l8_q?xXj;d%>OEi8%beBI5#11E$?JJc1)#RSWfPq=4GqA zgVo_Fon4^&`ENq4;@4yYCJalTxALjW`7&}(oya*AXU{}IBAr&fG`RX=mEdqeVEEW3 z7XXC7F6}bT4(@amN(8_weh+3*l*Bcq$d2ydN8Gr$(JPEPj0_Bj8NVHF?VX)E$E3QN z19j$s@t!<-^t|~Pj3+CFrnYR{IJ?o}gY(@rZvf~OHkl(qCe0j1RBdIv^wbchY=Jg} zT|4|Vyn@j^$+Ya$K6VIYeu_a{(6Kqc1z=_mf`GF<;Owi321BsO8190URVP6ub!J1E z1@mhwG+)&*22~-X`r;mjddaR%4_DTE&RvFJd-hZ@2;cS$L4vrW9!*;Rq-nq?rYj zX|`my5dl;$JU^g{qr{*p(|h)NMX&+hBHh7iJTfC7nJF{w88M9K;A?!N5RDpZ#~%ar2!xLHG^pvGN;UVV*)( z9B^F0efqOQmjYq0j5XAtK3pf25_6n1gfGQUd?`Nnt_mc4QxD;hTp@AB+&tNQ7H;w! z-la}{$zi8l?7v1gwjE@mM%<^UhmFMgi9!Wb@=V%9D6kGHfhi7Le(J!1ILbi2efwcl zc;iFmAz=Im8=`%=J|FXz4SSHC8T#zvZp%E0Y{ERmi9BU^*!<`fqajwd)ri6K^D2-u zA?8CY+3kC^bzXln3&&mFSBk__7KhxP`$TPqf|sfkd-=ijjp-`4+*LFj0pC_f3vpF9 z;*RkT3OZkxw2EY3VgCisFr7Hjs(Uz@IObdwuTxs-^-p6Cz)#pI7oec))vK4|c^4KJ zGlTSGyZ;a*x=Z_wGFKjpEO6b*UpaczuHlIyP69_;mBYA9V4jni+p5H)N#$0#1F1{>P=Cjwp(zlu;yoku3WMa9hE>5G%~B z4#qQ3?fd-~uW1lC_fM-&s;Gbd&dTS&oph7@KYXCs->}`Se-OKJaeO2Jv0dW?z*MsK zAGGa?G`{>#rcg`Q&qfyL-;eoi#C9JY^pED$*jwDNyjWbhFk4yRR9WhCE1PY3<_?eD zvj<`7j;9{>bW>XYWB;$Y%msqY6z11jHjc`?VACdhif z9xJcOvGUUyj9z}vsr@2hOy$6-&kg#p2>lTpkt`y{mTAiB<|d^U3RAgCj}5o#U&Mf= zaP!u!%%k~sLn;^THm$rjd7fXd!T)E2Qt9uZc_&fwb|;W!wLaXVJE5fZc`ZxqFg*ow zrjDl6Cr_U2P`}(C5)lC}Q}rYjPB7nKK>#*I|Me=>O9bAt#5pP>r4P=GMMT|pW*>*| zpR5Y$#kKMilGXg@DR!yB-C=%e=ULURknyqSccX3lA1y$hY@5o0D~`LHT>q)U+)7<{ zjTs8BpJ|`!uFjgo`1r|z`gg{>&pKl)+g?^oTC53FbiTI{uG=^}jEqtSJ&)_Xcd~;{ z#xZpPK$x4|*UDs~lVDsWL@qB-8?cjT-vJIB#Hf{l0oJSwsMRqDEC*?#25qWDV_F(t z{P@^o9;=vvz8?c`9QA9fehf$@$|YoP+j3s)t^(B|UJyy7Bi`QG8C%Etn)_ms{iEMhuxn>w)@z3@FD|H4z@&g*0BT1uLe3;cFuHk9I#kUe zZS&ZvMDvP5x{sR}6LaM6xL_GTiOx?BF*|6+z4*1- zu^JsX6fsBG!6R)1hfAG4>Ly0nXtbyH_sg45CiM5O{qDlx4qFzZlXLnf5+}`jbn3Vp;hp(L_nvz@N3HDaX@_N;|5arGqg3!XD z0k$_7F{u|ay#v>e-Lx4GJ*@kROmTE*$ORMuMlJaqJrvz~d`>PdiM?83s06oe+(_<= zq>e8lk0%Lz6!fZpo*+ZU_{T!*G{6)40IW|Sbvl7{BE0bbdW8ZARr@-N{FsTBz_OmI zN}c7o@|wn^)Ivi&J&S!zjBjkswsLcu1!oxh4;0ae>AOkkPnsGIC?WM&>%9G_UhoV# zJM*Xf#B)z{2NP}j7HGJ68~2spSeKfdM)w^VmXDVg!|&*Di)e=+55q`{p6pNPQloyN zq(G7aXM05;Pj|(>6(@sx_(xf3>6YC*abP2$&3)I)tM!GEU(1`ehsb@si0Z53P z)E|y-a;;@@;L`yTm*}E~W#Rdfq>X(*+S1T((Brdf(hNhB^gPqGO{CQK-fNzNt$=dM zXWQ0`$eg4HM|MY6Zs~&dWvd7Jka- zo97G3N9<1`^k?%LR_b`#*>&m`@!LPqzLV$2-`-J;^A1g$fjo$za-dej3$- zA73{teX_~r3V2}6KK=c}<**~~e(n z3JBZ{hmX5^(LWFW^9>%A7(#Idm;=&rSP|bql9eOww>PG?OD`NBOLpPjUJ5id4tm3% z%#55y_~`=x8V*Ap_^xgM$x42KVop=`oki`*!v^A74UvG_fRky^~&&eID5D!ZPgxJ=8G361RpjsC%EG9kY7Qqy?!P&f>>@(*B30zGz zI5=3x`--@dQVp$H)_&>}Xtm=^-7jn)MwXNfM2V7<&Tp;E%W+(*JkjGBkodW%$Sm+U zht2S#M@>E#JuTF^j!AO7v*wYU>^JluY;NQp7?``TbsGJgs8Dbh5!4Cq+4ARpd3~vV zBmy~c1VGF1RNA8TYWvI-VYMvA;D(>A0r=AfC#)neeCj#%P`>%QT{YxV0T4Je#rbuy zCIiKytQ7pJtWQLaMIfHnl3Q6n|AE4(Q@1|cq`r$9^s^p2T`&nJAfzMSi(hwsdpDqZ zcqJ(8s zyf=Yf`6%8UEqFrDVbceQp(a6_4SI%pT;n#2M>3St*W86Tg_tWw z3zZ=j>PIw-<217Pa=}QXn=d#qF#UX%86*&(rS!V%XG76W83-3Ri>o1yUH+;v8B1N# z*#>ZpfC!9gVdo@tUpaEo4PwN-%>b~2EF>bef=5^1&KOC!( zYNR+EOB+ZD~RyAvuDo|7*C(@@9%d})T=jBJ=-B}c%i2!f^Plhqn*dD3}xF3${n>bF#U4o zaE$(!quFC4_J_UzYZ^=NI@YQylv>%(9!g?m`&yBZV2O~xq_fli8 zCrVxRxx#y>0(O0orpv%^B;vu-hzxRdT5f}V_zhGMLV5>bh)TIfVWp?!_>{Ph;@7dv?QGGQpAoCoT(xWakDvBM zg$L^yMZ=SGd6&QOdki}3)3|8Zf81FZq>}^ZKu^z9z{HGQa({n6CMge>0dF62niQC7 zwgr!{F)Q>fKJfc+E4rPf!Gf$ME?f4h8ROgPe&O*^Ut^h#QcOADYDeI>j z*N0^nG!S~Vvh8zA^2fl7h7c*dl}F9dTWwK;Eu1<4IPGaVgCV?l#2MvzIAYh?vfw8P zY$HU-Z>HJxK@F$V*4Bgavg^p@q=JgsFNoQ{@_emK8O~`_GuAUTz$o3t`o9{)c*DE;4^=(9X9864Q$(fy)3B&`lqKJN`hiP2Q zZwRFUdr;v$C^U+afYnho{-ba6m8{k96I_(HE&TMZ2W&QVKUwo^ul-RbO zVd`oHISn^c>5aRrFfDWjf34E+3p#rFj`7L3OfFWTdbq@xX`nU2EQoU@C?N91!^<({ z@klo)Eol-Jv&Sr39UwC{eS){RloRq|S8SU7k&PiYp9fs|SzAWo_q$M7LU`>uk&Wym zFtoCd;B^aS~ zPb1X)?|!4S>)6)=C&lkQHc^1Vm5jib_Xh!fC%K5>l~;P|wHPy7gB z(Nzyf_}P>dy?Ia6$vG>hZD+D+i>JqV*HDgh2ifd#7B&w~w;=7<9nEbL2Bz ztO3|MtrpucG^DL?cKs*E27qG@o&H@{5*$F4qZpxd?UH!;6X;Nk8sW$HLoH|ee&sIkG@pbe8z6AMLiEB&enGBPk6h@VOqciDmmX+EoL4(IISOfx zgrwvg$haJ+KJW?VYHPG*SCa)_XtUhU&TfLsCATh{OZ^;rCCid`P-$6peFW5nA_PW5 zM-xKV1+hh5PpR(luy-e%n!GCN(i2{^PNddqd8#|1P0gRJS$?5p1g;FJ6G7yCxKyXy z-&zL!U0X-jWX1IhsE(jt#x(}`qz1_ON%Qn?G9;28!LQ^`1w-73Ww_&N2;9(+Q07nl z`Ab0!5-`^@A0KdZa&D6VbjW1BG|g(!TrVgSX657*8y02AyA%b^0)GP$ff_ip0?xcy z27~er;GCRgK1fD3=;82ZhUSW_3OL@$4S&uRvc>;-35)vpUt%%>7yF^LQXG-(qsJpJ zDctz}hd3-~&Z|KB)U(TvLT!&$kexRyOSNrV@m!NFqhT^~yL6f8mf^?$#?}5UE_3%1 zH8k&f#AQ@st(_3l>*Ji|Om{w+Zl+|Gd|SY1sKNulP)QBHN=KxWNO2m}Qu>5yJ-4;TT;)T`Vy#C%>f(LaCw zgmsm=lsWEhZ!JftS3yr@@qgo6lW(5?Z+z?XN3HQYl$v7g03vpN&D&u5W5U>d*VA+7EE5D3H2pV4FU3>RB{`k0-+Ch|f zoF5y~p;*E}cZ0hTX7$@VD9UNT%*#s)b^@u}vJX4M2t*D9T_U>=##xC;Nc5xsKo1V6 zz7@{~8N)lL|CIkJAr^vvNbov}bMPT5+N;f~W2#XBZ<-oYdhi_SKj*2hw{DsmO>jO@ z`Q!VC2)*oV@2aXMpMGP8LwQv{J4v`2T>DJL%SqgB+E3^NJFfl!CJR1PWMv@msqHZM z@hi*Gpw&-Sd|5~k502SqH*VPi>vI%qQ21D~aKW!UF)1l@_^&7YdjRSgY7*T7dWF?n z_hD%8HzlqLu~67q>}+jS`ZE9yV*OK}4N(wEBj_cFj>_$ZZljKcozq=ar!l{vKnuhY zj2F1cy~mxgh1@$1)y4w?ir0`8TF}@nsMb?0ZZ~71H({(dV=e#JN4tj)GjBg~{adf? zTxDLYYH^rI#Ej7{4<<%A3$6 zrBtE!(i$v;a)7=1&6d_f7}zp_AM?WgfX-C=Z03!Snfx^}O#yM7E{ydUDHNCBNA;otyq~8fWG2<%=ZemH zelbD%v?*A>MM4xTxes$7LWW?9kJrkcCaw^NWVFU2&C7f!0bK-5(#dexx`#hXa|Bf# zR$fxlCz{wae!2wkK~c+ge{PQY@$MQcFqF7jYC;%18Ksr3b>t+s<4039*Tg86Tzb69ZP-|L zwt&_wN)JGmUw#vm5Gn~*2-i?7(#3|&q}BUjvn+j*>WzyE(zg({X(A21GY{(hO--1W zyRwD%5?h#w#0f&TFZY8tG9Yx@8~h}3aL}KyxMFYrHci%e6fT!yA%uiDoS!+jTkbog zx0V8sdjn1m?NKtDa?(fyDwF_$b69C;EWOG+38s8f$<5s7W8FD@@|N z;%aF6$))(sWwD!CJ&{+XE6F*|>3SX(lX@&~rW*O!a4NlpOH%z6cc8<}t5;3j1ufZ` z?3P_GwAQUz z^{2nc`)DtH_96yT=jT#k`YYfm^m`e2MnsxHe)Luimg13k!nR5uQ1O&-oWiM6{_y71 zyVkiQ>Yny~ye9s})b4qCd9F7$ZQLm5%di4IzxlK!v1K3E6 zI)Atme%^9Sum_G3RDl5)zwT|=38oO?H#1{B$6&yN-(_H2L0!Krn7#Fa@x4N-@ctw^ z-SSza5aaxk^AbfGa|l_?k;f;E4jznCKz3FZda=6mm^Um#i_Z}w zN`}Lig*KmT8~u7%@*j?`FN8%#KOjiRv0)LEovQS-j#%JAMveJ)uQvZR z)>m7We@yLsy)?MV$eWWvdNl1E&PC)R{SBQ}>Mr;FsJ3iYfO=m->}+?V^R%I9Ns(uS z?|%W3532(OY~q_#p<8LNPV9sKBDN3OgCl)Jvhs{ziI+#WZ&;h8D+3O_QyVL=fr& zgRSJ`-nFfZTIu2tXnY71>LIk!Cf#kj#v7eXy z>(a|e=^@7TwxRF=eRN>&Ow_(#A7B zeSO&hQ`cZc;o%?C9w&A`x0;4A>o=6?%(*JK{C?`X%UTmEixMfZvj$LXy=k!uki1fYl76W|Sh%Ej zTcpN`N;ytWscb##v>tOtF6I5sHyyoyUDqqx!N6MLmrgG%lpb=e)@!^^64uw^GT{LI z>|vK4Qn||YGHXI9W)X>QZ;p_6X|y_J!*tpm;;hx}|MQ}ut9KQz+X5{0uB~U%Zel2W zQYXOjhGM{tf0zUrxh4V9vv!Kwgj;oDhAGDN6_<`G#A^_@Bv5tK_PzA;hK(pd0|Ns! zz8{Feu;~^60&N!Co1R0Kk%BcKCnpC@x(H|Or%&Mkiy@>Fg}}mAHT2AVBFm5!ihD6= z5}M+mM*CfKll8Ej?jwwn0+l8zfk4FQd|wW2s*tr|iD=#p4GqIOk-hjVlL#jifSPAf z;|9kD)5xpywh(Eh4jdGH^mG9KFQD`qS^UVh^x04JbQ=^5oDWM`{qV3EQd&pOCFp*x zQFpYfm?M{Oc4BxqlYM5A_4Mfom~1Vt-WW8tSB>-E$!ZI+7B2Q(BghlD@$=hdP{VB$ zx$o_9c@xKGDNi}<18#0f2EI@BAx;un;jMOU9gSfUn~ndhNU`Fb-W3o8=fJRq3T{F8 z80UU1w*nn;L!eb6POZ4jtDy_$Ohli%n&Trm-ANUtU_SGYE&2ScI(i*zPvF2thkGK0 zt#}V){{}ds{zjYO+rt@0T$Ns&Scj*6`vl6xl?6MJxDY0W|sE? zazqlB6n1iofKOAyX=cny{`{JCFGhBc1lf;U{2ow_{mg#t+xxNBH*aRU1Ma!_s|9AC zEkX_%8gxt%i=Q(lW}p%ohnoEcV!DBYg%LUPNW&ou3)A`ie zt{X6Zda8fN)O(smN+1bxVpz%{Nn#UH`tuqOkS<$%f4^#cTX+l#EGX=ZuXix-x}Ps4 z)*fJH@o6hnS?SDVF6GuIXCw{#Th07UTU)pXdZK410(8xCfftkW0xzzLEb8cBs(u|n zbMSzMYT2A>;9)8%4NjR zUkv}ebnYyZk7>$n>i<`B%rEl)*BsB76Oz87X{dM&7oO4#7T4@ra|qrIs8@?! ziEOW&xe4`p+xb~L^RcJy@VcH~6yo=WIw78O#H_i!t*s*#MYpRj&b}IP#3`OSqKFm> zP%A&G&ZT|pLqp?(acuw?D9&KkVX8`A4Bw%U0`42I%{D z_Psu7uc{5O?)Y|Dq)$(cTFwaClqRO<+ADI>SkgvHbG)>ewe7PB=DDU6>$fXsx>kAc z@DCtNRLOb!o+U%S)o&w*4wjG@O6A$5rAgd8@*f9XP&Z2bxp$gjr`WCMHoDGvxg4S| zZ^3mzB!P0=M#&z_&S&11*Jzfzch{~ca!Y8{8mBM+RRN35foll<)0>-Yffj#Bze)t< zC4-rLZITPyL)I*Im2T>@XG$@In0fFWs(SYYOlg(#=)_i*NZ~fF`)1EPE+yWk+X>wu z!Nx4^&}F-<6Ek@=$c|1A80!0~q7PE-hWDbfJE^p%rI|wa@6BJFFSz!GKe0Hwh3fXb zWgq{JJqdz^MX=Ov*qG;Angoz$Y)lI>_~YpScS?-b7T)MEwEZjywgtTK17SF+`Z zc8)P8K-Locb4iN5e(2RXIU1aU$7npl^dQeQ^4MkD+n)0Wv|)0Rz90tm9xYDV5o?b?v>Bm@dId5p)&1 ze)dQ)>&SG_^tYYZl|)J`&)e>Q$)oQ&g65@icYSi`E>YgH3!VS7ABmUt;>FJbW^zRf zizJP6#v13PFKdn!F=k$Lahj8O_Rx9u)7ZfwtwdAJ!Pr%6y!vV-*%zjsaGC4OSBeBm z7@|=5Yd!1mu$WN{@^*R+$`9yO9I8x~%EN7%skbG2&YvgK12Y_j7h$`gu{1NWmf#9@$1R1YCH7u8Vo;O1qaEr&| zjy-pJLj*UG!;`xn=t6p&{b`}M&C@ZxD0VvNIeAT+eq)1L+usUE6fPjcCWdsqSQY(W z>Wk`VdB(dZj$3yYQ`QrTc_^n2EO2SKK7Op!2v_lb+6Qo%#|b0A2UM_uSxeRwDs#QB z$P4b)Sg{ZU+seJc*7!WL8~62`dUnw{^F?s|xbW0I=Z<5Xd2c^=hq}^l-Aa-@SlNC) zZ_Ki+a~%s_@?R?ed$I6>pL*A~#)WQSvDTc-e9`c>c29x5yP{r^R1@y-eH|Yje)jI7 z1Vx5Fpex_C=*_oo*gzyV(io^@*_z*WSNZG1q2a9sVG>BY+8n&m4w64Tc6Zfyyb)>=f$wy>r*3oC*m*=8uOYG zUd9cPLMiv|WzS`hx_D8RmZ;{Z7>Gor%F41vpOXRKJ9jT64BVzeX=eRX71$M$)a;2ivhO0&^!^y~5b-iNB-9cgiP?W#-q1WeO} zL_?lETEMvP7#&ARLo;!r4bk>9K*!0A6nHVuG4A5?=Q=p>RWvZji1t3JQmrg6?Y(7q^&Z|(Kg}+B5pRWj z`4N|@4=n3x;RAqu#Z;W)H8AX8%(A;Gw8YyAS?g`#)+ACX5KMTsY71&rkKeaE-x2vl zsOQ_l3H|j*g8@7FrklO@kA4A7Dn3b&Sy5n0#h^ zesnj6L-#8nrci$KFyZHZH6=NstGi~7C<)jbiQ25IsSyt>NR2C6}>rVg{a`{jyNp_>KV=x zCsf(hlde28hOMsZ2%r<|6Bl4r7ExaGn=Sck;l;U^^n7ul!^@Arv4JM+7-F(=^=?yU zo7oIzQlgnQXYRnYgzUq|=OHL}pNbO?fCuv1rJQHYAc)2OpzSBkgP+2yE?piP=j!r; zVWZoAk~xerQ={Ej8QCC|adI4o5fPv)LX{BA6|2SQN!W9Z*p66N#VE1@A5@>1>JDjq z%XNTo>k5BxTvXf-4=3J`h>{7tqvqr1H-)P32Ymv} zz-fRb-VOm6B8b}5ec(J>jC=g92AAubzQQ4%S+$=2=1W3Iqij@`+H{f>sx2i|3SImLTvAAOsKf*vsqc*iD`e?#@w1S>g! z=$cqejDD{3tb+`<@F{$+M{sbs>dKxu^ASvbEJyc3-UZhDyzVo|6 z$%ny#UM?EdxIQ#;oitm{oRx6(rOK7!jN}HZe|3R>+ocC{oa-p4L}|V=4P;VHR*;Fw z!NZTT|FuGNm_84_qo7e0lijryc{U0X1+lY?Xjsuw9Aado-Rwf_#R0to89e{in-7v! zd2NKocl*KtXdl)8SnR)FJdfm6IT~zl7&HK-Tq@T(d`BH{_^pp0m$cq(|DvG?z+sRt zf1Gt6Ac|Xk|6-K>w18y$h(A=yPy8W_tT_3vKl5+a2}s(fOufB&!UVV$e5FZzMdeP` zLGbOwU-zEsDukS?{`s3T7#3-sMQ-dNBNNNjQl@ZG!I5M=_t)ObZ}?UivNo5s-zSIv z649$XoPWu-<7yM1GVAj3Kqle=>B3@3rylI;B??mz-&9FyX-%f=ImaI+KNvB`pxBKv zQ~37ni6rXj|MjmyRN}f8V#ju1A;{x$Mr}IN z6{v>j%%%UdKQChmk0nGsVa5nWgGcs$Dl1&xu+bw6Ls4b#YFmq@Txac@e|e3my}u|j z-2XS^F;gn0GkKm>RSI`MeDCWMKzz+GErbp5<%9y*;c_a6L}y@)41~?b+%zH%00)Qw z2pqK_fQm4~?n01ymW*rbz9Ukg<$GE*ck{_Sd?-87FALM`?48tW-Xw9T&Niwj^$*|s ztFnpS>3Xa*0!h4hu6Y%CdTV8S&ChxFRCd%ECmM_U>x(A_+~&HA{t-GR z-N7p&QcxlzvBY5yp`QE>Z5U3w(JFaqJ9~S#Ed+fA0t;OoqyA$b@O4GpaZ15OXI%6z*|cfXo8+~d%0J$BOHXGGzchTz&cf+y zUqXP|>eUo|%`8%lSLS@R`D-GLN~lQsUstfxQ+Lz}V;N0k>dPZt-n z9wv4!s_GFW%KDCjk+HBQy8vNmhn2o~mqJqybO;*kXU?fdkSbpEwjaQ!VvItfkbR0# zb#Fw_rrS(=-P-E6BVM8+lzk`-K0&>n3X;V;B8MpriJXMKIgEtQX{3tBc*~4{j$d`A znl^3s+IkE(GF8P!Z{GFNb#nH%F0`BS?RgKU^aO0WA`awC7biXVa947`$*DL<;PE)~ z!!3GcoyDCkC>gS5lcM#$yqHbee^!E>oR;O23-j=BL`bk8p~SCy^-!m8%aQ+BwCL^; zu?*VO9(eZVb!QC>ej;`fR(B?vpbWiil{^qLlhEs-5Qn}CZ7r8&mqdAFR!2D=BrJnm z=vD0?9KX_($Idw}>WYA*r4eMKCEtZ_X) zxiQork8O8TP$&@ANT{kghzIo8?MZ88+n@Vv_b~}Q(eUSfeythyHwN3*Z{e$qq)ArE ztnl-u?sh=KJ3PFW`ad=$1m{)4M?73zUx15w@dC{*Cbr?)zQRqjpyyq&jrQn~Bc`99 zE`($|+;$IZSrE=C**g;Tbe~qm$-|^qhCY6-Bp;=x!W^ppFQ(287^vEwod1?3s}yBO ziYQzkrlfW17za~}+y{YmJN#$m?Dj|qKAAS`4vgiVp+34W^sCwBhS`@vi|+B8{htc^ zZG=ag>-{cUUun5{)k;Bu2n~$HR2vK*NpvY`j>RQ6;sM{G45z@xTWUIRS+>0&0hPp} zFT1(V%O&d!OGkHiK)U=yp`NT+>T3J)mcw03U6~e4bs5K*r_|g~Xl<&8jvgWnrM+BZz@Uj?- z8krfgX64Y7J9)CIxIVdr#$i%Py&=^yv5ZrZ^Uj03xnZ@GYD2{Z5B2*1Viz1XhE5bM9~k> zZ^+{n5T1Fq785fSW3usEcfAULFLsCnI^;H^K7Rv-!9_4ofrdDgz{NYLXP zI(?I)M7#qTA|fQ}M@wNbo8n(_V>24*ik*#phLXwc#*g-P%jq%0I6+jE@!^|Dy7G$? zqwOJQ)v-sn;8HPsG3NVWliGM@c6I+Vlx^F$y@Ubk;zhTwv#;y?!@5cZByH%nhp2DS z1ha-7HOH-ORwvXuKl02;4LCve2y%8oc}cjATX!ZRBXM|KmS0+}|1a z)+P@M{sW*i!l@c|s%xy?`XSuo|pEFaOTAp#MaI8c~Jez75n|8d=>eW>RMV=;Q7goqHGNVm$G=oU9 zLz33fU7JszJ`L;g^}t1GX;vUU(B+mRN`}_fX*cFTbwPAR-0J0-fr+@#L1RU`I{tOa zgw*|*v2B}43lR}hGJ&WG;(LFHb~x`kWOE|rG(yR3NXk$^OzGK4-UM}b1UY=AJZAAZ zO~a4{%f`P%U$3(HQE2v|QU@aNEDw+0DGq?(n3s!7{|)usZtmWdk=5Tt8q*?9o*V7* zIeuVj&W3?o!IAl}sH1(YX0KJxFZv@B80BN68^GJi*670?QIDVnp9yVep5}XhP;jy; z>!l^0c;`&={zR1LC3ftlOH%&?JIU;f_99eT z9SuDg2xF#XGozAf@5i$PgLs)e=H#?6~!ee^^#H8O1S0A7C0wYQ(>HylnS zB>q8aqjJq?lm#V%ZxY-Ys-&8=z!@6m8Kq=WIBz0J>(1S~BDxT4k1uRih`slh{Pd4m zyAt1x8!5ueDXO+D@LtnD(c&WS7(w!*VU&2oi7O}KVXbz05RKhnriC1htZeV=)2H3y zqMo|{DbtIgm~#YBRRI7GRBSa8qerjl1F)E%pBYN^M+!kIpkfCe#9%^pz|34UT_zvb z+1XhntT;Y4cI6!TOCigr72A-<#PmSrDD|E_O|O+XIL#3ysWi9x?AF@By_`f$rm~F8 zHyq!Z2#md2n`0ktYSO6Z1A7v1OeuD_ zy}HqN&j}qdMha@y6DPzjxYDQ^)P1wQ@}vB`Wc}a6pn22F3h9pBU=SMgpp7VSr}n-Y zEPGgrTTt>MXSJ(oXB2H)lUcD>QvMs?iWdU43P+7!yxj~3g%I1ij_YYVmOB=i-7r3s z)gyY=(^L28E@YHKlE9WAgUG9IS>Lh33B$UxPK;sm+i|=soZ(59KLDHExVAth6L3Px z@b5MzLG1F~G!QF5jAelMb4be2t|9@^&6kQl(|YLd0Z}z5m8WWYT{aQ*U_DPlhED~8 z|3%_17y?20jA#N#?cN+UKVvvLDo&J@uccd#iuyxTZv3UB#PX<_$ZpP$UQ)j%mGcTV zZf0{An%$m}m}07_`n6X3U1N8Bve;{-2xY;OUe*I%-!E0lvy+DV5+VeifA)Vjq%`Do zAfho{`J~`p5_h~dN1uj6N7q}$6@g;ZW^D3qDKXrx&{6l-SIY2@6nrw+Oh0#fdf{2+rGDJ&e^v*(_#vks1#Eh}6(fPR+`$E8{D->~k zG(6HIpo4#<>vryWI=j3!hjR$n;!o{jfDjDv0zAT`XCWa8b;P)Y&DOUn0)l*OIL>Jv)3}uU{p< zcG73swAl+&ii|dH^lHg2(HbA4xawBCFc(shM4GlLrg$grFdoBY@d}|z^;r`Y9RD3qr0jd^ckDhQl+z`A4qdY5MmTXGT%FKvZ!OIwzyIFTlj$T zRqhJ=aHF(EAPml4fIVSzp%3VY(ND2{LXK~*L7?OO^;&QLUTMN$f88Ld{>|35zlMR# zJR8svEUu(D+jCS1Ar5#xhD>`!2%OT4iRP^|XlJ*?W4B{m68l{!Sy^JGL!&ORLu^5Q zRU^ybT^Id=jP;;G-ov)>zRt0gVDu= z+{p?v2X|IK0&Ti?-CK{hyetk`Umc>>M9~LI8fqZC@Ai7SZR4S#p$#84@;rrN$<@p{ zQxXY4Dk>^WYCt}j6P^RpYD`_U8^)JLa6>sf#7E-nthr3@=H`Y|&8E>L-Eb{Z<8Pe$hbF;?($(%-9b-JIF2JA zX?Ugh7-ECNhr3Wia@~_fNCj*r`o78yDOX%xq+>aTeagx@=~tI=b7}-OHTbB`ksdrK z#0mXc|NLqh%RMJ;uRAlFb3au+L!>UMgByJ1jnNU7Kv;3Ll74R_<^*9wLkGarm;b0b zW0uJm&z%JhD2a=KK_qP!S+!sacd}zxrj8c%BCeXrA5d}KgU{wmX(@OXu%ScE{V^l1 zA_6zW?8X%fAF%DgA0BbiIHXV^M!G{tswf?WHWG`fdmD<+gtyND9WrXiK)%Q8QpZ_E{B&t z1DOKFQXRAHHIWAgD=9oZ;d=%T96kC5`E@$7`S!;WB&4Kj=A$$#LF)j2A5B8Q3f|W> z<%iseZG)OrymOGB%!q}Ez}*X5$+u$M6!@n4^G47P0>gi8UKFaGIr(^-_8^hpGywP7 zB)~CteP>(~9U5lY<35D#JVx%USop;7sQk&3UNv!$7kHcH#sM!|{;5@P9uj5teWTCiebIu<6?DpU7AuD6&Tk1e4XTA7dOGf^K=xSr0yK-qLTb;rUnRd{WI(xcj}XL0I#{(Q%9h#x8(7N?czuH%>= zH@T=~pU8M#vF+H?im2-Wyv*x9u|KKRdL1EBR3zIfbTC}UUR+$>Ws9Q!apl#ky)?^BpN@DARU-OYbj&HcT*QUw55LL$OOZXIv|5WY7 znt`;x`o804#k+#!*L|ikl{9nOA8yj!>bj-y(eBZ6(J0jvJ5=M2!G#v#C8`zt{OQZF z!MGg9bkpLxL}-7F!mk}?Jz=lFNmCuKmZqQ-uV6hO=R$sLEsx8VxSt~FaU*gxSaE@J zEYh(d#lGI&3_@^gwIU`xU)oqyv;f@*F^f}J6X5YR+rO7@+f(esu>f3Fv#wBvr>>Ih zs$a;pYE&P|x?2%i45eh90k@GJXr-9gV@)Fp(qadXlon)Aj|_(_WK{fc=ZG1#cVLAB z#-~k(;iQJi*oa)bM)Z5V^ho?1#n_iGF9CmSTM+5;5D^hU)$C1w6qe1mKFe$G)ZV2v z_J98K2n^eHtZLTFMP7GnUVgsngA+y;V&N}Osb;CzP3h@eMG7M71rw0B35%(L0NlHv*^4bJis=1|--lOeZYtC^0nq?txOaps>*0 zAEGbpJMWqMSIPMcJkqGg7cJsJ(X$4nl+n_kI*|iTMz`j;~}^qr}@w6v**c zX+u7qiBq^q4M5PBg8>A798LoxA$QTlEo#RZ7Nz<%&3RwK-1Wca@yl6QRP{h#D1QHb z`k|v%Idk)K5ff`SNwDWG#G{Q?TBwqbXYTh327$OE)Bgq{Pr;9dDJ|09QjCxK$7LmTcWcOi7x z42;BeY-{35FRJSI{CV40%*y$pzH}N9u4SB`PmolS>HAP7@#*YP$Vn#1^-3;g}ib`CTQ9p?BhtZu1?l_Lp1Pxjo~8xhO~{2I7G{}U*X@C);HoT$I*DQ;-)0jY~c((b-hN3V#rqrO76+Q`JjV1078 zJ4a4kuCp^%H^Q?F^k639@TP(GI+K|^8Y~ntZ?^?~jzporLtm(e!!Swn3l{|MiN-{c zlhwJD*sYftZn}JC+=BSCsatIk+=G`N@nGGgeL(=bCFjFKtq>G#F-X2=IhvF5y6;OtD zH|98B(c2rY|`s=SKOl?-M8|`eVJ(%C3{N10>Y&q{i9jBNW6dqxo^(2%I zvrPg%Jce9+udq{7*gV2^$AA5L6V(rv&B-apZnuLP`76stMLFPjv(e9pBDtU0rvJ8o zNW~j?KP1{!4;_9x=Cg_F#Tm-|`^BtM_|3oHQa7WgH|zcNaqW1|PU)!1HS3}(@2-ok zY-u_2Xol0g?Of&!@PJ{FE%&_&K>d!5#WIr0k=Q zE7|!TSr-kf>?S5StJJX{DTQaCZ$}~J2s0xx@_}uAf*AL+?ngx18aS=-B8D;=aMOsb z*#qMW5#3A#II8ZZq||F+cTkfIcWzV^#p_tW>!{r;J@!aYMqQ2u3>#SEtZ|Ys1iv-gWKL{QHk)}WCypTSQiD5*!Z#f|0i%02muJ?@!~N(=g~JDgRIv}JIW)iZwy0#|o(slB zl;;kxVBpgDLe&MpoOuWIxV^|hD^2! z%uPIe7~%6p0%%u|%G;_jxm>5-%EOWxD({ye4FN&&zyEfH_D@V~N91qbz931%&7jY^ zpA(W$+T*2Rn!#6diLpvOn>e!e1w+hK7bekP_gJ0=_f*m)SXCHGw_aSS^0yErBgjHf zVI4yb2M-+3Lh3pvr(UtwaqKU|p7GLnz~F(mjva_d-B7glyP?B^IRRUNv1O1}y@M2v zt*7SotRjjrc9QdbgiVXxc{-}R+p=ju!`J`ym#Yt`zBpsFc507|5p}30;Gl-t2901f z#f+!%ZPc92iD>g6IhaVK5VkEZ%p)gABYw8KZVk^#lKE&v1<9Rx;n%NEu(2Tz18GdX z2lt&a2|QUpfZ4OM0&WjwN1>%%x5a9DKsk;Jiu}lV+U>H|&Yq^tRX)G2*ZK@D!62KU zaDTCkgT%Sx&1FT*@E!vbjZdE>@(yz-g6SW)xTtvkY{ z^{IGKsIZ~LaIH>)N`o`|@~3rE71&*0htBQ8kdsD24yZT#d$?5v8InP^J!y@mCB?-D zNV3i3?Ls7f2)Nw@xFI?@?<1;;hGUOt1zF6Nq=K)kRGsp_!P&W>&GY#^~`Jt zw6(6>*hG0%p2qV=Le9pEhO^@d&Xm%`oma@oApq-ae-kEy2)XtX5lP56tj$bWIeuU^ zC4hDAYc*dk9fi2>)FQCnUzhj^R(A?+!-^|_^Krou>G?zoJq`Pjv<*;cyc;bQ5E+J) zJx^YaX8ggp(7L)YOyDj0^bxZ%^h!{Br1Z%r5o5dB43Qw7^|%I64U2CpKiUMgWu|f+ zZW@gJ-C2JihwI9leb~)2{@)O>BQ;lbfvC}?JOpKS%F*oQkK%M$wZ=G$+aYcGc}R#w zpPnPb@mep}sPA3{ch*KYl?9p)4sd8GJQ9`HJ#)vS_@ZZQ>lA`6f>n*+l$!QcHde|k z+PHBeo7N72hc~gJZafx<#;CRl%K%m-_ucII`p<|N0FlHfwjnsve{ZPBj9Pv>-*a zVFyn9R?kd)Vsz1vNa5KtbPgkhW0mAJG}xqzQClIn?YVF@1gUMp7x;ZwhFt_AdWirX zFv`d`cN;X*jf9i`Fj`<^t$Pj#ztQVN;k8#YcBU%;>fVx8j|3!yr=bNDCeEHae5Z=s z$Kao9yB?bQgkD&Sj)_oc1`CaRKBzN|tCPBNL^=X~DeyW;F9hR6&5as2Ai>ZW8SVx{ zh@<~)`g~Na>7+OT^o`3OtR5B!&Nw=8O7eo?m!NB6T$^blL=Pj(+wpTbtDKw!M}8g? z+%0L4rE}?t)i;Z15JN7RzWOs`?6i{4^Jk&fDOVms=G4-6PGT#~6&B0hf!bJ39-$Fe zTy88PBE={#SMk2JO3b7{L6?U>shtNWEbJQn(eOewi0NOP;?Reiw3KwkzZ=|Vfh`vn@>+9+jf_tgNh*0wOm>3@i$&niBOj{_d`> zPj{k<269#t@-cA!<_gTr2Vu}6;{b@rW%KSGG&Dx@?yZ33I=Z@yc!l6aJe9zy72+n_N{H6H{^w{7=$Vs}@CB88GaO! za_~G0GEna`qzK%jpkT^&@J)rXRnUQDVbWQw#HY8CSzg0F*Lgu*HCt^rzd}!)?1sN( z1U7C~FjF0wpE0WY7$|S1t?=p*ZDfPg*#&BJ5x zN>2E!N)2jv+&ta{D77>6CA@q!?Zb<6D60{N zl>K=dc%%}Yo$qJQ4duSRd-YW$Ype6n%U)z~d$Kkm`w+il3roVk@jzEG^8)R8uBvsY z!S4nFWN_7>1O^=4BC=ez$!tYRLI{NEfF)gfppTT9|2PY$IF%mYI_OsC`?MD@)#ch$ zNe8YKBVTbEZiDZ?r(KWY_;4t>)lfPtl)BzqOvJvG$ekDHfiJv=D{fUoQbDrMu(D|FW#6k+<*Guj~C)n_2 z%-q~u%>f=}<3}DI)im{$Mih~0LDzr(x@@QHhGb2Q{?V=>aPs)&VlybaeS?N?P+SBz z7gx-Ppu3wJ*x)NnG=3_U$P+g~OD{T)#;2doljj;bli93;Bh!KEgPl7a&E(jpmtg%7AVMM|I~ z%a?}`9#h>jFa>(r+31iQ%^Tu+sf=J&r1jn=a29|0nl)<(w|mUZR-?$~rgML9*ib&0 zMF8V)>?=)HEMwJCPnOEtcJ$wH3_N`Dq!p_O;;s*uY}-AKBl&HS-GE6vL9rk&Z!?w^ zpz4v}Nh~{TPU_?mR+FT1x~1C9#eNV|d23DYaXZh?@+jfVilTJC`zrS4&(H^XKhErl zC*q$UZ2Wuc*5gAyOFa_=)(osf47WO3cD#&(Y8X`gCHF94Q<)E;dFZuHCMeHt^wz31 znAwsVD+-uCuP14b+KTiuhHk0wM9dOxe+HT$4B1I3#%Wt@4VVQT+KE%C+}KHGdzLHl zsBU5!gTwmWhIq|tiG0?A&`TyY1Hp9G1YFgQDs0pg>xU+&(G+&ZBu8{WUC9`{@~N>= zJ;esnZL3abd5BU0ASC!uNJ?Jn0JfBzJxBQNtF!klFY;c2CBEWwNs0QKOQ)eN5}a&m z9~y$@6KkyscQ9rj8rBxQf*1WEjeE&!2n(boBxWN{CS;Ac#V)kDa}=|S#_4B22@O`y zvQ50}>hcTfE5BOzvtxM8^xL+*q(tiP04T07b<}@RO(wn|oYpH%jfO0+<+!rzhhRKN zV4s=uOaMrWDs4lj_&@O$WJ@4DMr>GORMD|ro8e4ISKfz<4GK0MW@cjW2Jk7Wi(B3g z3$6Qk=rKDeM64XD!aV_NfeR^RHpa`%yh>`qHxl?~8W`6yFU!D?KYU}~`&ETAyJTdS zA!AOT74DC0kv51YaaUASK)#1b*PU92&jfYZ(yw9J=s@$GZPb$ezu5^qIXfC z{ui_lCc}GC1f0=1+;DABX{B>!p(QXDsYMPXR_hT-7A%d_yc=eQYwn$9m5pDa0`{G+ z-!%7+Y=I~umMD@XVBi4hSwMh*VZ|#nco?-!yLlaE3_((Z-V!8%O6=M>@9WUs{2#fm zH{`xEi~3^+0(nBt_vJV3j|B!nB_?h1zK%f)%Zn}wDP$RoXkVZE<3dc6w3+4b`NX4rqas4P8}nMsCDj{h=~K>ndO*8| zCzOtDqT(lKpg-3qZBS(p#zeJuJ?WG-QnkOi;3Mf z+8uCa$L`(fDeh%nL7EdO87hX=V(fj*Gd@XuwchK$WaP}*G2ALHRE6GT)yVwat(2M=%rq0}J$PG!qu)owx1eLhaA zC@Y4E`LrCd=~qb_Hzpo4P7t$M`}1L-AzqI}put9dOk9!bB+^Gj!*!g3Cx#0n>M;m` zB+ag$XrIcuX`zH8;s=tIP5!0vTzv813X#XOYqQH{_uMS2me%=+^alfVVBi9R)~*NLckWrP<%s74zMLS z&a%)zZ%MPbPuvkaLt2e~^w-W~2U*CQ<^`z)R$Xes*j{t|9^m?iI1;rW5f4cr8n zy!;hkrV-*R$o`OZaNTl~&{T)#IsqsE+Vs20j9?&>suS1;R&OtibZJp#rKO0&cvEgr zRg4-_fn9vdRe)c`$o9}-JrCX+b21dap{k6VvbQSSX|6@!wuz!((2*yux!;@eX9EvO zPh39g%tlUz{2^PMa?+NUCYFi|i>q*k)N0%GOnSBe6^?yXp=iC$*)9wWNhq zYa;V?izyl{|6?DZnC|H8WH@wa3{kn4j>3Xug8Ppky*=W|RXOBopnkObgfyeawC~p4 zYG7n#72mTB;xf@EbnK7M;3j~s;%7_tyR4^8$ycREX^oviQB!Dx<-|9s4dm*e$XH(tc&gGaI3jZQZ%)4&~jZi)|v-ngbWq*YS< zH9#_9ur)!*aa8g~Ly34G|GT=YwiyjAua!@T+b)8E(Vh?Jl_I2-eb{7uIF#vEtulg< zBJC;?YnQ*yqO|jbcLgRtCC}4pT(s)^Nae-s0W9xb`a!ZN2%R=YApGQU?;_1OB3*MI z4Rf3z#DOW8PhkL%lHPG_JF|yc2MT@)+LFdb0lb4WbeR}x7YVNlM!5Okb!jdh zCz`}mYgxMUqK|g{;IoU#O?nQ|7v?d4a8EOlv~%tH%5HWJs$DUIsA)NgN7G~R@2YxM ztp6cu? zMbA+!(|QyvsF1kxHUA&5`eDQEB4L3E}d# zF$kC4dZ2E{cVl-#^hKlTJ4xeMC;|;V5FVuV*L9fnl4OmQ5_>Q*aX6s*D>X(<`}*!^ zttCL(V6jc(I&9>L?=9F}kL~E&Tbf!Nv%mD^6_&7 z`>|Q>4ep`-dLzG(5FOsJXDr8St0J?#L?3MF?6{y2wLw(yZHtX%PTd(^79xNh)6ZdNR6}xLhI#mxm}M~| zZ|$9AZ^gs=KX^IuIk$|E?yN?T_gbF3+^_zskJEoBgcuqUxemr(EC&1y+s126B1MB8 z;sd=z6}+a6WS+_m{yg-LRBQ3-2L6H`+3@Htm#y?iB7lWap@}7&nHw<{g=Xi@WW0G) zgCgh8yNkNJn{bh~|3Av!JD%%){~uRfjjJu8G$fTxWG1OZMP=_*M#u`;t1Tpz8HLCu z*<>|rmFzOhERqP>zW1lmba>iv4Xp5yVjKkftcL=1RP7U1jP zu-<{gglCk7B3%KHTCRuFx9Yv~PmWf+%qtyszMpu&B^l)9|9QSk}&V0QOA0(O0G&X$joEV?^F?VHu9x>c>bin^mT-HPzq%`CH;U|sJF<4-iy_Hx{BAycNBS+AE;!eVhRe0dK!4~ zc&25oSDTA`VRmT7mQgtviwCW~wN5_ZOU^*8ThW#6@BgW%md)4hIMH!WgoTBn{1H5B z^cKCE)@q1__M6kj^9l<$<%&n~vLnC|96gLBxu`RvDPj`m5Bu#J$COSQ)v|(}6Vj}b zot-WDP3E-axjPs(4p*I^r>5diOZ(ta^gHL{k8tiidyYGaxcigz1(!3(7OS|q@D{p+ zL;ju7`0ZRtowlmI#GU<-R8!M1li9ofIs3%(%d!2c^@(ip;}gcVNU>2esw*Fkb92K@ zvT3`CLtG@U%XHo46Pr}6ez)|tNEcq79QBBfj_@rW>C=B~>|O0#H}XG-yvx_nl&%5e zgrTacN~axY1%GA*hTi%lUTnMhD~ys;@Wr6B3j%8h8m^rfzVXs^<>pn+{TX@+PhUroa}5+L+vNw5X^5XoTXH7lxwlIw>(ZvP$(;tEV=9d?S@jK@6$aw zsW3yevyatBQrhWI(V3V#JL1PCTIJdjgNI5eIO47?jkFy|Q5F&L3lZ^~ofO3#HWGV1 zH78z~kfiDpE4trRSY`g5jEeyRLRQMYbnB=ggEz`k<(FDZ!)#!K=<9Y3toe^q zRIclAEgf%405$?5fR4^LdI6=c4N0MPjmQM-%O>vZJ-FUppcklsgZ1|y<=#t@x)?Ow zO{HxN)0$v24*I|I8VSlKBqRj-z`lJ^P<9QiF2X8&w+4f09vJ9CZTu^K3nrfM_%P27 zR%CyWKse$pjiMB_YRI_1S8^3sY{0gkXs5OjaA%;*yT^2MV0$U-lKDqKrV>imRnVFgP5>a;c)7x9E-y`kQ2C1Un&0081KF|@4&o+XrNDRK40k`Bf zp^D*R_V6d3s?ib=7I4oc&{~M3)t`EKz7vP=1kjTaNTL9@m6Vi#g}pkbf&>DbR0seL z+{tTrPf5sSY7Dem=&4H`TWM)&dpbLBf9mq%|HKR<801O*fXnwMY@SXDasgnBv5Sk= z`df98)}3WX9{Yxda;>KvTJ?OMlRa3TOO&*eQY0GfJs*^RpDcml^iFJMZb0AHIqJ}P z|3o3JjI3-Gblsi%H?)_UYmJw)C6$(=ocILluV^L-Qv{;qX-~#xB7WU}dMb)51mOU+ zlM=Il=N$(m|Yu^Qt3Wk9GBYy^3#+qDl1#lxRC(_VMB(e+JPgf_TA;K6m=_7WJ(7~TFaiAM zrN{WppQ&OAgzvopo}L(jz`Y3@{6C1YG<-C)XW*{o=j*Gd_6&7-iv1^PFz1uy4qj{7SK>;$Fp-UY$Q&VF~@dG378h%ymZ+k&$r|gQWia+qF z03@luoxz(a%SHw|2l3Qbh`jX&2AIcwFz+LQn4nOP4zvw~bpwh<0ZP6ytU>UhzqjNc z&&^?$0{zvGpqO}>nr#de6zOhbTJQ>^DVfDNn%|3it0xH3q{U4cwwB<^{?$OAxz?_D z0~j#wf*6dRFTPUwPL#T3L39vL~u?iJKt%cB4KhWbuKDTp@APP&#~ zTV9?sz-myb)Eo}eLmu5*mS2t)ji|&ueR@s!PyM=d9&lo~02@d(j5q5{4B-RKu|+B( z>Lc+lHnYziRU5aOo@|S12k>Af3){4F?w*gns)QSke|t?`HH1b&1O=N@o)m zc7$QJL`2n3H{#IuYH|VeI+FMgd}Td;mkA{n9I-C8<>!GX_Uhbxpa^N)&-3}e0o_R0 z?&oK~Qe^6{fMitQlVFO^{(Q4RF^i)-%_u^EkUMzvJtj&k;%^JkIWX1 z1f)GR8ak(L5+U>x>Im6Hqfc_G*>a!KrMB|Fe#eVo)gdV<_Lgwr zuzFWqe^ps&jzPZ8)dM^{(2RaS*q41eJUK%e*MVp#iP-k6?wh*RtG0EdsynOM(!=ej3Cr1@i$@{j3&xvxHp7vl-v zu8O)7I^nU0`Ri+U(<>(hu`UolY* z96MF1&?u3r{EU&)&#PUAB%$iJKLTj-c#Zef-+$l}an|5MkQOVu!pzCEnBPZ|g+AUI z6|^i=$aXD=)qk~AzG9$&?eRfB#ls`Mj#A(M`eWJEzRNR3 zg8@68N_^6;<&%6}U394I^t*5gJv!`WEuGEcb!Tl?WI%06>3wS7YQs-*{rY27V8@&rN8$46`hlLUx@d^wPl(AY8en>HS ze=TQ0BO_^K2vOfK(gYeg?FK~TkD5K3NP8}W9S#;iKkUPZ3CNtF zrN6d@wl-S&(37(pwuGJCK=<^lqa)kiy+1h8wEkQhM?`Uk{dY<+2auzl9wdq)-|kt4 zS!OaI1`wFnZ{^tovW-@)c})~jr5&3^Lanv5l{O9Jl7*&E( z3qnInlAd(@mqMC^;={3NWm6jQJ6i^iKcYniS_jm7Z0r$?ZC{*&ea(ska7H>vaz`Ik z9!#Q>BO=1`7}?&ulW#ld9t&eWYp$wl20*7u;oig88@J-9qymDpdK;vjQDcjc+|FMSgX#R^DX}E|PW?6D{{1S1nqK#F+v!j`{a)6G zJjw>}liy9q?1F0lJ52aE6giEF8mi#0j(L-!_7A%Uh?-U| zfkA_0TGkb35dMflX$0wCilX5XUMG$8F2upyHm)Wl1ZJbna`N~LB6%jf>*?vcTxT0< zIh9;4J#YM&X72KHn5m^OpKfDLsX8?BR}9je({Gvn}r zp>3mSK|Qd0g2~^l>XTlA-;q320+1oC*#=;h-<(PKyUO(5q8-wOODm|W!1A`>jQPdO zi|_h=1ZmVSEb01?MO3@x&6Oox%1RDotJZ7-O-kyWZQH}fhQ!pCvLa7JSI3m-BBv=gT$?Ix`jBH13I>bBx zI(lnQHfE^{ckJ8=4AcymKa=bA9h8*Bc*5NsQ7I&BoqX;p!?d$T+eI8Mql_kEu$t&f zl>JPn1D_-AX3$3Ms!ATZ?v2yU*otjoGs&Pb1-IJw?6Chyxl)3b6(e3>Ai(wy>zrOb zMSpvd@+EW^rNscx+}A-)tMQ9#y%C^;0pD_nS>wR$RdA6{DqiIHjZJ}czG>iVtx=4i z_wdMwALFGg@`12Nce~CuKWcw8K(ax6@|&ngZX=^uzXhC$4{PN$ zxTEAy=g?i>?8BWiaoSH?w{~=osnN>gNup|dQ#e8DcNKeFW7kf;NpW{ z`lo)V*Yzu~UYiH#7hYE{7y$oh`~fHFq?^Foe$MSWAD6{>&nH~4gqmvxJBzVx)9Ly* z&{pIPc^oWrpkHQEy<11hpWWgKn9LHY1ul8b5`>P&(Qf=T#PLT}{yqnU-Zjn6{6?T7 zBAd%{-EawvPfmJ{V!xO54G;iHx=Y|k^qjwgxFj*Kw=}>3O~vFpdRBm#T5eL;RU-Jn zvz)OpJKM7cnwO?uRLA+TdR0)4Y%l1|DR98d_JXE`OPvfFLSSGC zt(C^<%illBvhC!%=xfML=S5uCO@wTJJX%WwCrEvLeW4~ey*A`R>jg&2DKuFGt&&t- zz~A)sF%bgB0ozM--+DTvrbW=?{f>inc6M0%Y1NhXi5huvceckuSncon;m5`YK^FyN z80fijKTl1f<0$W~EC28W2%hATD~*Vebf|dnyG{8G4ZojnjIQqR*C+c9b*|cq@p$BL zF>^<8Y#F8Lm)CFnH&1?~&(tC#2Y z^TI?E2&E0IC18g?MNOQJ>?fi3gw1{Ucb5%tl>i-Y4Y$3hrw}n6?kr5$Ww%-aRJZ8IlLfY9#{>-iL-imi@EkWMJnep3yB-1Y7OdMWN2BBO%C*a-umqu zA>zk45RvD>-^0U)u>WuV6JE4+zUSw5p13@oGf2iT0F88ZqYmsA&!W|Kl2rmzzWP=A z3&Qc?iKzOBFhX==xOAYnDX*YMH{UhWa_Ax-dDva+WrlX=fprB?UNau`i!1@~yr*C| zMJY{h-$}_m4H}8(HzH+V`%K`MUP$bi^LHJ0gpi}i*5^^fRJSUb$G~7?zAz4NSymiE zQl!ck^sc`fKctzrxd3AHbIDL&<~(>ah=>zC+D4(l^*7dfWT-T_*iBOK&Oe>3ii#l6 z;$Ib1__-nu!*+d%ne5N5z(Aw)8hGMPP&c)6m}td&B8p5OU=~2Nx<*`+tW8iy*b4`Y z%g_>{`*MyUYDl6j$#)FjF%CxV3^WCFlIHBY1~fPA*kQ{2u3yzD+E9CSHBp(&8%jXb zO5|G*D%YdauY4qw3M37E=nfGFg-2IjG%F_lCsk`tC0!AVkzzjI!U6aadD4V{eiB^3 zt;mlxG>jSNOZ{J|nvnkWxaz&KsuVG3g~j|$!UZJOCYD@dPexNRy_Y<&@wqzB+x%=Y z_c*2rU{4TS$X&>)yYvrzvoCv*x0$i?!YS~Gdo_MRA^Mc}TvzCVCpUR2Jg32VhENzBd4x6GQ+9@xR;SpETgcbM13>KwoZc=SoKl2nEvepx-pr= zR}aC17Jp^UGYPv~$osPV{*gPPih0A#zw!zBl0==xF5zGncLa``P8q0W-$mU3aeYsa zfM^3W1`BJDVh&ouB1}M73XyL$9-4Dn`h&s3G}L|vGk%n@Z$tt>#%GW*8bg;4e|^e+ zpnB^M9yc`r=^q1%;a|TF__q2oFfm0RBee?M!#7lz@P_ljpGS$GgbEw8Gc%J2yeGpe zR1nlL)9roVACU@}7`3Zm3C8k@Hthb>R?UmRq56R`07(ikEhxa?aL&i?C$eSk(2KUt zMG_9QX~!e@dMnO(w3nSC18df-LFP3laWT+~7_L`0FY^?u1dk0E-SG-c(ty`Vf66lX zlwvMptBUv9L-Yz3x9^G0V4Z5~cA+F&C^c_$31;_$Px=HL$U(&%+?GOb#RzuTg%CHr zt_ORi^rUeALHT!FN=D{hU;{bL<^L;Z%?ncrNT}PKZMC#Ql!PvQKJw{DPY*2(O?`|k zW1=PW8r{w=(<2Rdr9*oW4*=V~^0#gqQADom<;591(hLIaxq)4b@Tac-RBjF#>CVAC z;tE*CL8fb;PaOk+l3ZK3c>K#oQECNM=A-8wbY_^7Q#JsHYD^Epm%a-+k{Vb@eS94j>YjWp za_s(MbX8ILh?^w+8cKX2jq=dhDT6_A6YfdWh+P`4lTB@NOiEJ8|Fdfr*J&V1i(sJf zDERW0G6)EO-Ixe*5suKZ($eQAEmgDy8dD9tnOk89hs$h-Liqbjx!y~tZ;B)qZ)#h! zzzA%kRmA>W&x-yhtK-xD5{^-Z(N%gy;V&O)$}c+g}10pWrwfC+D*?`Famo8JXwbHUL#08a-Q=C$sQbu1wrS z2?$P-p1%H>!2MrnqEQZR{{ zjSEawGq~-&ucZ3q*|X0kY!|9cidqBmuxgUaF40eJiCZzxXC#*!eDQC*BBVW1#;rel zgpg{fJ@+P*TB$pC23~lL#Fe<2#e6!75s>=+8w&Qo&rg5m^M4V>3 z%okrP=)}Rey^$E0uN|z%l7g^)eR<*5ejoPdHrr4|fH+7&q}WjR>Z2?d@we*DJuAn;I1g|h?usv=78 zi#6gwL`a?b{JYJyz+NAWe+&l9t+uY%urt9AS4`bI>BZJC=5I_m<|&R~)7=Vu(jCqF zT{Yh+`!qES?TRPrNJW;5tbpf6HBpMXlEi~M*BWjtc`z7la6oER5YjI{egtv6q+Im| z#_QQKEblY&^78a^qN96sy+!zu3J-E-1r7IkD+O=ifn0ehBaIiAW0y88?((n~xVQmR z&cV)k9quA92jWq@2YcYbYOv`$KTzt##&Jh7D0w>d;h_D*E{K{RL?ULyKT)wA<@c!R z`tU&}dx}$`yR*~K-jn#H7`s9zs^0;92Rn^e3-!zgI8&@1|Gv0_FA`{mz))927O8rT z+b6%ELAGtN4loQwQm~zx7mF8$SmX5wK*?0yf8p(x!8jN^AaC(qQ`eDQ*Jw&VXg@?` zy}FiTKi@ABtXeTjWM@UCrKKO_=uksv3DYk)QoNis7&sdi5i^wUZEL`EsKzrN=gkA30C4gi$bzdF!peOKDc>xlvMf9yvn!dS43Nk-s+G+xuW6)h@0k z`%PEv16NwFIHB5IwP&RDeShrcmTzZA9!yUPIUlOaoUzV%5tqQ3sBN~S3kv3jIo4W+ zLL%JFmHgon{puXZ;+cVab+<|%8oZIEqEOBoNeRW&;Y_7OHMAu4RGqA!wv6+OU z=+wiAEv-ZAYtrVKpKhYJGbJLpF+x*kDWRnVD5ziMp2b@*O5fht=$EvZHpeWAlZYGR3K&`-svr)QmAqrfBKuo^1%lvsx8^Pm2-08=G%0u#<&C-X* zk3UmEU~o|aK@e17nQRdwXNVDrT@xk%p5-VSOC>!y76-L43IF;R63(CaX`|B!NL#TU z@oHhFm2fYG+QpC_6;z{&5{nlc#=w#s%+^`%!EOhscBcnQuSy+Ub`i%NG3MWqxLNsa zU7R8n2h8kjybBz;q6H*xw{)DOmmCRbO2HDGdEK3>szFe*OAos#qT@f8tSn`A_vD-gxJZ zBu6p_9LpKVpCUmYU2i&GK3nKRp4qD!#~Dn*RcQbE>#r>yjQJ6X9ACA11L;X(c*-vD z9BJ^i;=JI2hFGU};<}J=)E)I0Rwjzelp**O%dr(Tljtj6;MXn)ilT{6b{e;|?m((t zR@Y*PDMdNVN1j>nMxwT&#H4=fR*>4@pCbw|1>_Y^38q^Kq)h?9uBqOGTt zkQe@9&(82Awn7+x!-v^14wn;372!*tUjk_A%HM{D?-aN-O^Q3%oHla5Xg8NaW!hW5YoN+8qdqi1KMu^X|o^T@78U z_4-I&3?KET1+uC|O`97py#@^4`B*JPgF=A?Iy7L2af0#2+pMgty3U9(fN*MBU^@_E zy#ans;R)>NZgA-x`jH6NXdEvlWfc`D$Em#VmO!jwtpaoDa)mI-_Eos;TMWH)SB)PK z5{|PXMPL;M9csDFciHeLEwm}`98A1%HpMJ&PXWWan8;mj-MCR|BrRQueXr8w_r4qj z1>i9c8)%LB;u%8u_TKj7=Q|Qz2Q`rO2(Er@x!^_3jZ7~Nu(Pg`V$*$O@^copI;V4g zw>qMEgi8T0o!4v{BJS1+LUw}+3Y&+j7q9Y%gg0D){_;YS2Xj+r<^sC0T6mOP$roD=hOM&=6B2Z zj3NwbS0%AcSS-XH43)06vI8qp8+P~X+q37+7VcvrI`Di$a}Tog9w*FrSAdQ-*4Z(eV}H&@JL6-i61@~8qoOepE9_;#?$xKO_JCm zI^YEA4Cv0u*sx%$j-XrQRckg$-FKqY3?#Sv9R|x{u7yWLbaA}K4bT)<_U_#@r0zvR zCw%LkX$JS~^;7@*@4qe0G1fbt!LE_@LFT8yc>7zfeaIrKYlmlJjm%Hx6?`^Uvop?H z*<%nu=wPKm@Ra5uHNR1JCio3yz;4C0j0Z@Zs?D$NGs60QFXvhwKqCpD8Ee<~E%qGD zj`NY@IQu~}fqD#HfX1Sc$!I+7dv>$1oND6aHv&ZYc!xvx+pXE3(J*NWa#ASl#3&yh zIm3)$00K9VPC_-|Vw}O9w_nuy)J|jKTU+ zV|QsQa-sDiC2!BEG%~N?$+ST98Ob^6@o$JrlRk9yY$X)UPtJW0O3_*jeLs>-BEj+A zfyCbzu!Bk%XA{>x@axq&T^_{&kf{xg27erSzkMSGE3JjUzrZ(VCnubk z2AcbUoc4$2iw=JfBhcLR$PE|N1eOL}AXH_Nyq&^_2* zHumH9yv4twD}`o5Wi|S_iOk%%>cfM79Tb`R`KEo$Zn8hK!a;m-)puc5-Tq=YVQL3%nIJq%gdLgj+9!Tu_m@As@K*?UVRXgQbK}0>#s~*Gdfna)+y>cw!6& zgNX}AKZ2t;HQ8O}q~>DkS|A}ty7!rQFP$^0Nm|TW57Qt~2hh5G!*u~JZ5+PRXoxDH z2ErIj?}nMlMc4rxY-npM04jr}L>b)hzV!~2+amaiCX5&+Lj-lv57)?nmEi+XM%7VwB1c3gne@)awP%lGEn+>!lH ztTx3JAny%ALDl!>lyGlwJK&sT9GD=&L%CJa()5!{xH#-DZUXNrag(Nqpec$&OZu{tXV7oay)r^YYWVk> zHK|&XI}{@!p2c|L@(XF!9W6s1RxIlVx%U5=zEQA}*bFNeK0SKGPQrt9n8!Ls&?c(- z!cZ5d<_|8{@d|WG`^?vaWtLRBqz(#Ot~FYa#M|!JNx>RJN|KTQ%s#5BJ}Tk&6DJW6F126ZKZG{4y0Hp)WRF_b6nl`r8WHAliJi4#7x! z-^;G&V0+&SZ2Q(VNTLHg*w0u7}}J)Q~M z@LSi;e{8vIND*@n-a=Z5YJ3*_tJbZfj2W8~p_Y}LKlL6=UEKytHk zm>O=IBVK|{gyCsLYlf+M6>Q?~5oZr#Z`w-dRg5d+;CvX?pV2tQm17Gh-}deBq-_Cm zgCsRXd85LHN*P2F2V`G-Bjh+gEKYiE9gwD&I!$YdH$ob3a#n851!r?>?7<c*v>CCrIsH1Lnyp_!^9hvB0vN}fPQysdUH|bM z(KBrq53`$M6&9X@O zGkemq1#o7YE6&W=>KCsSKd{HsaWmiXMXq~TF3FR}8I-I_rsgn!g9*Ld%qs_M4#(lI z3`&Y70;Xh86_cEm*ND~*H=2qq+hNTah5_6z>T;w~I%DY?FBOZp$Twv=1a5Kh8Xm8_}HC z7ZS1q!5Ns!SH-?01?0q{H6aBxNg%<+VCW2X_KNFYV1;XQF(0n8P&%Rzbw))8eVo#4 z`&NqPc8s`)RDmfRP|zcI@K0^`9ie;0-EIpjJ3emiLBg?`CK`>d_(CdaC_ajcwDA>_ zgCo{z7CcMPHg{RR!XL)%RS{-iC7rfz*ibQcs^J+Tesi8!ofroF=p83_#-UkoB=>y(mb)*yW-GxA9g5@ej=Ucd0vnbZtaapvx>wMF4FVE2CU`kYxVaFEBt(>(YpJRnPOFHiOGAhP6iOIRxcg6SSRs+5)afXdMu zcXXM4%fYUfOZ30WSjp&?ZnRB!rbV-%`5#;!N~}wdtysL~kobF@&yt^kikhu}8us3s zYt*sxz2FD4o4}MBS+!uk9XqgjB}VB`?k$JCy`)ND zY*mF+wsnP9pIHe$&X&!a_t@ye(EijSfAL5%IYqO9b?D)?`Sj^iWNHNd+J`Y|x<=^I zwya$N={z>UZSz21-!zbnMh-jUnoZkqJAy&N2g!@niLN?=p=JrlgsPBW*w z@kDV+@UpFlslL^{k!m0>Izi<;o$?z)_R~ftAHx!~68A9CR$Y&wOf8g3c=WS^OLC+) z%EjR~XTqsdENic^YxXwM_3=3j8zx)1t>3}QY5G5YF7T6>)vTtH9XRWWbhzPuqj_*} z@V1>hInC<~^z~!=c_MKMhE_NIw4n~JwwraXsN&=|yWG#{ILbRBm-+0Y1Xi_?nwO5A z0o%oJ@xyDol1n_kp6`)Q~F!`Pjobs2PETmFbLO!^8oq2619jgZ9sHg0wt!s=sPYOixqJ|#fF$pvR z8SzQv`fQ8tJ6#Eg^X`8a9Ne~VPavE3cJ54e4MT@*o5Fc7>IJRZU~B5-X^R$?(ECKl zm}JoZA9@y9!*duQho24mI`#IBj;_QBXfI>DsNo1UQ6k#xi=2o3Bb&CwH0K#}MOsW| zwD%bYO_qor{@^nG;@d0caOWQyRuw7w8`dV?f1Efr8QkhJ`mj1L$0*#zxuHJGj>mrx zd+j!i;{RMIR}SMondiHqDE30rAf@%HWT(9ouwk@%$i2e4FL>`yk}K1dC%9$U}MyMiBFA{k)(eTPn;j!A4=gJF%t_!qa=L2&S~ z|H>dgNk4PWEZgZ5#J2k9KTVBy7kBFI-P3tBJI^t=wLZ4vE+1zjhFiNvAA_H5QwZa+ zg||iwA_1=+QT?(-?AvD&)0S5scZ|ggSATi%Fe>rqflyTJweX5D6#~Z)wYqpRAkVSQ z%A5v|WiEhD$nmbW<2GfK)2;8*H)(e=+l?q%y@de(w0WCz!*G2?-_`vk6C>UF!F&g% z59|*AIliyIDOm0G>*ma2fAlg%`2aQFFwyniW`Ri*wRzE5u59Xs-*&|VEhf^;!V)gs zd2;#E(-Q?$v0*RP%S5^;QC?!~eEufJ48 zUl(5!G?Ox0oM?o#&u?mGwtrbw@1OEwld&N{i4@tzP5=toi{CyMO9;{EP0Vby?B=Oo z&Y>2lvv%2v&DyH%EM9x1-qOSD==@Qwknvb#&9St(7;~OHX&2^W+<@?lLu{PSo{NaI zG;g8Ooh}34r1kAmFnTPywq5?wJbsD|wW6gPQHcW2F8&T^`I`qP!VT-(bq&VUvQ zW*fK&2AZ=YW_gD3kA|XVa6!+#O9fw+;*DEkHVgNaELPL48o zd>chIM_(NScc<~#&)pN7`}XwM^hHhen@gHKGs;~j^s-o9nmQX9OpAN+E!fVF!D+w= z{*iSfDig+8?cbp8S?Rn}{T)uC2MNJxQk=6mfoP|H}1}aDnCgnG3eu8&=0m>tFG^g z%F<#_$%DU<&(_0}pcclEXsFRLj= zv19C_(#|5|Pg&OLB-;Oa9W*`Z$vifqtpD?z)zzw)v5BDQWy}0(75avdasU`H`(4qE zjM?d%H5*&l zq9_&RU_}5|h39*a&1?`#WvOcMAceYvS+>nJ37oq_6NcwE9&SJr%n0#lA29EYv@xw=l$x1Pmj4)j5@KjkEVsgSDt6s_( zm<~^4WH(sVzE)@?X>v|InuVkRfwZZqVq#+Y9bk7zr4j1u#iVq+PXt&Zumghwajg$A zg%Sz_K|im^EwG7r-oGo!&qKkpPChgRad0+#q`GaH~K z7L?yIq!(x9f@J;!ns06JX5e&51v4)K1EJT4kf;et$%v|$C|(Q`xRZ`R^_~FnNkESg z20|6(I2+2YkvZ?=QJ~8H{bIM)j<5F%7~x*A`P3tUPNAcZwj}nHZ>uC!6?g{W0bNlW zkmgi?s98*#^BglBIvfEzrC0zY(P`j9kjl0W9}LL%xym3EVtX%H9&L*ElX>~gTTMhG z8J=0{b%9?L3=S!`vPl-!l48|ST0q>9ymxnUba^Y5xNHR*nZnbN1t5sUJE0-$Ie6D0w_XEeRZ99+`+b1#r>Ls3J}j8dGT*=c(+lybQE%I;Xo zWHjw6ZzWHj+}IDSMf%h!hDce47~5i$x}55Tl^>`e?U<23m`3?186#&9ca+ARq(KJG zP}K^L75iCDf}+Mw5$FWS5x(LZS)DV5W$^taMq`*3!yz!gMpMx)mLv19dszR=;JMVYgy8> z(D2>5b~PSP7-ja0@EMmQt4Fs*lyzO7?po$*wEgUrf8#OgGqf?jCwVl^Xh_;QSiDxB zhj)dgfGKoR89>bybJwk29fuUoKtrrwwL|cI6??_Fk0d^S+HLa!WZD%g;)K=aq2l>H zO(i&h$Y_x7Bn4O**5AVU4hVV@S2lPmi#NW?JS^yiuZ}0dAJNRUtAcg8H2`6IF+*Sq z85bWsc;NCor)}%%73*Q^S|i1kw|UDJL*}uHG4PKX&i2IomEg_&vJsb8S6`p8#TwNI z$#+V2I-WjEiDL66!DF+70eKa$ZSgp@{!TJZ6oTKm!{;-73@5!#foCz=TtRrZrxAZT zp3LO)Z&oZI#ahOSjZA;cwJ4ZKvj`3sI@_*wA^3k~VdkKt1D2}Vo zm-+&SQSig?U$y2X_lKYIqS8RzmI?C~sSX&j0?f6B6^F(}Kt}CUrX05=UruaU#*X>D zTF6Eng4DEkf_=8Fsx?VlH2pr_;zoq=+15ah40l8^ZYc8BWmj}|JVV-Ek-5hhW!21Z z8Wg*CL)wqe%?cWg|CQ@RMy`Yy3M6Vf2;KVdHG)?_FkMkg!(fI(Qry2W1@yCoD`bRK!8s z#4$e~0ZY4u?QzNJzk)!W{erlJ1l{TfkV$H#r>~FsN`6}k{F|si02O;>co4=4;`KM& z+(gYHf&32nRs+i%R1*1h>8T$ZRNKG^Ev51DPMLzJ=j(;mw{Zej)xll6UdrshjNBDZ zy?1cY{-&|G`u-)fdNp}<*t2+{WUv^W&fSnrdm28?$~Q?{0g?(HKX z`6d@6Bj68vj-vmk$==1f5=Z4n&xqx6ZEPKtEYYfz~lWL zd$El#c3`rp-vMN|wiu{<1}2BRV2N}95vSoGBV{{dm}TS8?3qi38ddZ3m9W46F!prd z&zHtM?|Ibx3T8DQa*LIfSy*L`kDL8?e%gJOM>R#S43yrY5epXA%UW8k3rvyn(1r0z zn8(5~O3pF-ho9dDpg163zAbBsb{|wmVj)frmi$!mYPqG@_x6ImVaP3jls+Kzn-!9@ zG@Zgt4nep@uA*dj*#8>E|9Zyr^!0wmbbzNDrKvUqtMn|fI$Qujn` zWpXO8Tr?3~`!_&)-B^y}p{yKNW~o1_I->mb$ExbTTaQ)bz>0eKDQ~$atm&?Qx!TZV z9#9qcH1gr$!z^VYdHpT%Yqy5i#z&7$vQbi&3lA`ZLlbSV1Gr=A8bo2Y<%xLCKDsxX z@2<`Dd(@-??*w&e5k#ovQdaczp&)cwd~pGfxmtB`N}!0`B69cu*xqVl2gw+q{QOj6 z)YI3FuNFrv@D!WitXl`->bR)?y5+8?xcKv}Lt}Vxt0-6yk$a-g9n?T7!k#|WG0cSn zXJLWcNnmSG9D9>qq9UnFfo7>}lthZq64OY%*GbT@gtNKfBO65i+0W=<8AV04HKs7Z z`IbxZ?%g};_@1|s@oS!GE7vCp6f9j?C-1MiO8Y_48gtmOhUXMYM z?<^ezMJ;(E0hW?t+uvlk2!rdxIHSmVb8&1eBR+pUNq~`v@eE=paa_6MzQfpov~wU~ zVB^aT_^5(_1iGF*BrM?hP8n;yxz4gq_9samK+f)@s83#QF2)-VLqfFl7GDI&=T{RQ zPgi3ln;8sZQY`QP7#8DA@6q?Thc>i9nuOx6_x-wc>qP8+hN<0yodJw6lV&Hl`U+bK z7go-7q9$~n3yYRm|E$q%DKA(~fIe68uYfOqv1JzC9{udt35#!xeW0rVtwH0kLO{E< z7mU2EkuZ$){r`peCOK3|azVWsd>}i?**Fqy@hZ`p5W+k&q2oKYPjxHwT^B!yC`JP3x|`M7scrNrEa0GRyW2y0G|b-?ne> zUfe2)@TeH_x0wf-$HCJei_2gg9Q05tfLe)r%>l)~Bf^$alyk&c_#-Vw;)Y(WEZ=6% z%#|B9HS@bX*S?%qoB2iPS2^D?JF8t02GX$osws3FU|~}VEUw#6$01MWaCjy_+qbvw z%=GXN&5wZ(r zrrNaW_#_q~V^q=6bTF4K_S}Atsm6@w80V}7Uh0_*FKqgQM(DtE(HyoxDLfd;jdLLw z!b-S{aMjn;zubn|zPFbbH6I9hgbg;vspEXS2bl_)1uW=kS%GQfTAPV1tb*t8!zNMo z-&=AT&h0nB<$Zi$(-X^7*E>soyuQCeP|`6`5i^4qncKot^FR=#k*DNbwM=VAI3r(u+j&%4x= zRQi+WzD|uXo_O0Y2%+yDO+-^y%zXJ|^{Ylnpyejq= ziKx*HLlFTg7{cM9jTnpc@6*5AG6Qo^llJ+UfTIxzW?xoJ46|u6h^d6Q?$;hT^#-VB zRS(E3orTzt{pMZ}c*-c@^MCkqW?@xPh?DtQj~pEwnW^@j9zzQyHOf!P$~RfEQ4L4 z`>xJBF*$U_%ew8h+&5{7j#bm6q;R=I1!Y3~OoDYGjj;ugUHaYyQ zqo+pzwp{7#EG*wfmcsakoj;NRtO3%JhEt7?!k()}!WEKDj;5mB=(wr+Xf|yM=QKw2 z&0TeiNY0Gw%<-BzD6BIde_ZSk&UwwR5{hRX|AO&avUovpX+P_TJ|U$Gmf<#LnE`)K zVi4J`?PBz>cno>RPgXIxDPV5Ev7O8f)B*DMlyIqEFhfRh-n;ioQ`2Y6MJG>xYi;3` zEy$;e&n8mGRCGpZ{W=ZNQBi6QZMpXH^$^9ixK#2)G9d2b`1VZ~iBedUs?S7xs^qSP_UZfgi%sDYd@8=iTmBV{=YN8@8VRq8E8$+z*eyZ>;X%}Zw*Op zgdb~32-5J;lu8fhx zONtse$VVBOn==(p2ZDmrjBJ;dYWacVk{yr`I5^b%RNE0dA=lyxf>L1g#?{K$qA_Al({ujGszl?OT>#u~4tOLPg~Lg1>r^sa-SP)Lv^ z-T~6u+6{3iXuv}Id*IscucwSOvcfP;d;2*4bdX-$W-faA8o8P%p^^Lc_E9B|OEqpf zjgPa4I55X6v1DhzcXB#?Cp#1lvWe0V-K;9ZwX0Skd3qFB0%&$1ARLcCp?k1bA~rd+ zdShFPdE3gR)Qt_kESye1pNPS+ASL27Z?x# zI(&A)R2P@G$Y@}*Ga-eiJKXSyVDTz@ArPuAN9Q`#LEm+9OM9PT1!<`dL^9Mq(^OX& z?X8pQ30k0>UV-poVFD=!W|Gd$E|CFfQl~DC7~UZUnA!CKCzh>Tzqub;m%SytCMgmdn?=NYDllSXsYgkXWbLBxjB6TDO;# zwS2SgsaHFCR*Ne?4#Z zwv5GO^YRpK#y1WeQ`6IR(Uo7de}i_ET?^+(_6zPidSLf^fqL5{vyX2v3{wbLBFIUc z9-1=J1^2na1H!2<;??lEha)LGh<5pJ?;6uy#>0p6!-3$yrK`W+bpMvQIZ1NqnM)v# z+J`!#S*1yZ2MI$X;1!MCO|2Qr*StM->xtNz`|bs^EyE8Ylu-nR@af#J{1p}3)u8Ri zBELG6vt3V;QBWmTU71pS*Iw~cl04RFIyX0MGj^zlCxYMyjqVR6=FNs?-|NqeH?{dT zYwTrsb?D_SFSpXo!PQ$3K?9x~+`||c*Wh{35d&VeEcMxKwH9o9YAaOG!M?tip=naz z7Is>W?lq~oCgIJ6wz{FPU^CA)z5CU-y}X>iCzlj51l_;SK!qRQOul=wPIwcTOTGWT zWl(tCP62(o({OgUN+0W)Qn<=%$I_TA!bph~tkfxWQjidD<>x@sG`o_%OM4l(*zt<- z@EQOeyJ&gjQ?~=nTAR1WT!IKi8=@( z+YeURr&#DnDmgEKvn#BRPI3ETCp-Kryd)yLy`{nA1|LA7^L7UXYaYbu3munmGpZnyM1Bc7b_-O223 zT$)^&7~_^Ye#8eC))RMnp_Y5Gj#YIlz(Ou#7c|=>g_Yk0oGzP;bAOM|IO=zv?5aAk z=FO&Fs$5`hw00!Qza|#>M-#bl^Bf=3)i2!$@6w{Swp!W##;6ni zh(e2ce$!u!J~TKUSvdeKROo4<^e)h3uLkQdkxqCE_^bE%z*fYdBdwGdp3*0``()%l z%sO}GqC29oXDqbxQ8Z7^y~Tt=@pm-gU<-&@p#8d`05R}D3=wK{%} z*+zRbJw?61p3Ur$n^t(0LwNJ-;fkPn@)uuG_$JX9aKBPg-!Gg!pP9*oZEvK-@K;%3 zzPYyD)efCU#zoLQBd6I;DB_Qk9)@Yd8ZcVX!szxDE6q2APdI+SfH|=p(1~xI@|Clo z-3GXFKdZy0Ayc%?$XI>Cp=}gumts`2@49BAEEO8qCamL6KRP;U0PCPE9?t+GospsW zX<}ejX>>sOfe-a>Chda7i4gs4lX=vu1296NcX=+KZt{r-Gs5BmOt2nHT2LYcHU-!z zf>-1eJlJO18=mY&E1m)IVf&5d&BO?S1}Kbn8pH&8C=LOerHLL}RB;Kqk@46WBSebA zAdcXDYj2@CCc_nUE8$fRpNDni>B#Zdn8v;N5W6F^Hvm-+A*e*%Ja2D+1J1)1`veN+ z->^O^ESLlXoCFjZJOLpJC#E$_JVK}-Tn~4yy>i+J1#YJ%8%`emF%FpooEYT=%H|)! zUZIRxzg=)Yrg+%_Zfm#7Rm1%7tA7#*-=EO9Py5~~iut>pZV=kbc&)q_YM;Bh_%N!A z8%xVLU~rmcU5;wYgP$b*jzbh@s@%-iq3%l8nbbo|HR-RfTKL4<|6Nw{;A?q!7NBCx z!Wh;Z&uk>tT;;RBociiHGPg5Pu-?EOhHqcjF6|1D3DDTC?A=6W62Rhx3lw(MuN4tQ zLNocksY#e5$b--g=3usEH$h$Rnh~Lt&efsN>PvS8_dXVNb-}a^2l6tJ0}py5*gKW8PkC|FC%C0<;%kt*Nw>T1M@d6 z{}*daWn+dNtH71GxuM^A96J{oF+A!8P5=INO5o_~rl(Jxl4ZQo`*0Rk2OD!-z~X3+ z{uJ&57lHBXyIqoY%+2{|SV3^O)Y>)^bvii8*G%Eq_O(FxM( zkU=Asp>oLf*c4}lDB~P2Rm2j!)DZ=>OZy^|Ve>9WcSRkc3y5C~12EKE5RV2dbpQEl z|HS1;LbFN$N#f&zoJLUhNls(hzc;NK2(wY539g2ihy7~AiX+RZaHLjJ1DsIarcdOw zF<|=R^oGPP1UrGOxJx2WPC!-F987*Zhowd&6t;-=ad-du^HTcQJAt;%91;r>5neg4LB3TA=;}Gz*aT89+FL z$&(9ooq$YHr5&v#FdL|Ga4kae1alOG%FqVZISevVZ``=6 zG1R{MA+Y3feM~BP$q1rti1mLCAS3|XKR^FwEgcRvn7gQ?UsN)n zrEGb!vg-uepyp^S5y&^=16QqDb+3}s{##_g+T|U3DpOIn=_%*68ikdaRyY(t_y_!< z7yjX^gZ6gW5U&HPwxRWaF?mB?qn* z>OSPE5DCQUf5u8-~%$57|nz&bp@2y=Kzu@qr_nmS7 z{!69z7+@I(*K$g8vXYcHP~u`mrP=lO@ki_CsA7*kbjq3MjVQT4+xaL1{(RZq7{TvD zpGWyb;u55jTp-E4P6&`Yp$G$jyJHp>|BsrC-BB2;47B7#CssYImLZM=DCOi=huo+R zJGw0SvvE>h_xC4D!j@7ot=q6+gTis5t!OHZpAfPmbg>nK5`4kHqg`4 zk9^_<1ab7}(ePy4;xwMn$smy4SY)VEE5-D5uip)req_RuONM)~t zcqcoXkYp5+nJpngC?k9Po@e{MzxU^lU;o^X$93QL)%iZo<2;Vn>-l<)@^^lt;Bajk zZN}PHttPamYw!L`M*R+C0Bqy(ZP6HA^ltC3%K!@?`0QPX2-9w;Q*aaTX}wus0UI~B zf+gyT5Z?m_4z$n16cYW1*EHsWkRd2E%SDNH<>T8d?pHf9{tvUs%T48HuDt&`-I4k$ zV?c)f`++wC($M*Hhf|0~s#{;q@#I(@4?2gqCiOa<>B0sx=$$ zRTGS=9K-Fb6o`{%olzzD0TfDBR#rIWw-1Pq2wV1J=DNt2cscyP@^{$U)O?xuYZjy!7`$P&ZR^0f(7eoIFWLRFy3P@X`{oSk)m zSwYsAvBda9aj}VD>vlQg8El32@81uy1=j*1PmLEs4;W?nM2R>y!$BbHGMGWWeS;&I z)CXyU_}L5`Vp)a$+t}oy{EsSG9g~7aby5>FOF9u0Lce2nynj%c%1q}ldqMTrkYOSV z-M)K?5{mAfA`YHv)Ez0WcEGMY>fXINup__$;lB|hZ;mGFZHkVDV|*;KK5(A#BrvTx zdN}9mr0K%tW1s~ya^QaASgTq$@#PC0W@K=Tsh)-+ytA_ti>QX#X_qrG`n=*hYZJ06 z=?LT{Xj0QPoseH=n}EM)nD~0x)q(^_e*XT9&x(P(&P#du4 z7oq-_ZlqG|s0hMGJ_#QX6I;Q=0$1KiIXSARF^5}ZiT~P+VeGvn{tlQ$s79A@jF=Qj zUAR3*!|^DSD+2_<`}8KJ*9W zRwr^bpXrWI3z$%G>Z!!jG;gFgJ_nE911W3GMkRK>HcJyB*V>zzopy z@A2U_6*QC)`o6!Y`Knak`{F*}z0kb?<^Mxf(VF4#^<3CD56#F7%=sW(k`IJ%^0l*0 z$AiqX)QXBKM|)uVxP>W@Bpala8MwS8@4K???5hvY|GLQD+o;IpNGH~ZcTjt%nS8r@QH96JzDA&fiov(kv_uz!zubcMh z@gEu(c)XCWkwB-DFme`FCMIq8{@p(mn}BVqATp>a}P)iBd} zj$}gLm*&dv3eV`{u1G}uQ9&u4BBsTV9j3oR_&4~5vS&{~j>CJZzoG#at-<3ygo2pO z0;8_S=BNo^^t6zZBZ80__f5H0)GBjh1&?@RPr=IKeCLyr!r64AH&Y1}oTAIEVL`>0 zYmeLqZT$EjnPlI-P5?QuPf3hEN8-al2*o@2(10@|dFS2>8lWMx>S~|Hp!qP%7HSf* z;gIoMQ}wBZnu0epJ2MJ#e{|G^h!$L@L=%b>-GE!!M^G%Pi_9&O9q5Ore`d5e9F0w=lu{FkXfc>1L z5uvxd{HUTbz(?=ie|{2w>PC8$>4|b}i!VXuul|emyeAa4K~UIAuRH&xx4)n5wR1RVt<7GWlh9%aHL3w>cGdd-p*#ANiSpt zHJH^u4BhTU8T4acyM4RA{(C^RLaCTz-96UR10^~UYKLEoc9yqxoNxwq*!F3Yjm^MR zX%I(nCUB|SQWupZ7Bj6*SC0#9AHMim?_%GLb;mg;8Wjh;F27?FLL5nTc^u!vhjJHJ zt)edI3q7R%voB#?_Z=rU@i5v*a%UpH5IC)!M9thuxJS^ zaS!sMtg+5Lf1t(Fs{b)V@p7VEm~tL{jxzlQn$Qh5H}R*GcCT1DXl;DeW5)brd7}5? zv;Qxh4A*y<+^^w~%iAPt22n-s2hzg?5PCes!d!RANiVZq`?e>hk7`3*7@O{;$Gc?h+JP zl9Us)PQBvLE^Cg&tJeH^8O6&9Kh>E^Tfcv$RqJ2U$*es=|DJU;JNO_mIh_Ug0Y3?7 zB85-fB&di#QcxwsnF`O>plG`H%0SavS2u2yYMk9C}1 zY$*;*H=@?>UW>0(1FGHRdPWMO66Xe;$Yyw z!Q_pD%~K?(aoWf^(N0gWiWhY+1g~qh52wB z-_%b+Z8YzXAw4ihWXj@r;j$eAi}CHPoKN|usL*6kQ-4Lsjw*ZtfT~%;8v!0vUpYP3 z*FfNq$c8FkNa!g%TF|BTZin!OlAd13ykW1-#~U~-i{Q?SOFZLUOUumV;)TdVtIaJA zHB`m>ADSc_Fc!e(C-xHL`)n(0u~Lv&3(!b}*k*H%@r+iR(s5jq=jN91i@%7tv< zDSDQi$BHsC=NjU?F4;h}m}LT1qmuOfxvw+QCh0%L1u`SIb_Kqc>rcsjy~^D4=ch{6 z2}e2tvD|*>!WjrzfQazYZN#*1Cn`KN;>Tm{-`jy@T`RVtyKHa{^*X|ne<~Qb84v^J zs-f z7*!vrWiFs3p)^e#4Q5IyWb-;$Or!*>BtRDiz%rq%u<-TV#&L(gzzDtAsWq2kfLU+C#IObn52fA{7M%;CmuR z4E3*r<)VJ(dd&|4BkxagXiP=T5rul&1o$u(j)%|{;RKa*#P`>~beRY_ zoD|e>OzD@CF@mD+*A4mINC6f;vDn5CV?o+tNTg+y2$8lhFmUK#_HwDein=WiCP;00 zXhhn0QAm#q0Q!S4$M7Lu-|^|YRVBodO+mh2eX$(uY?Er>9&dwjW`Y<4wk9JUox*jt z6z`pj<|7_p_23WHolXm-0g7p7jmYabgR&wUCT2eDk ziyboNa^T`Va~{k8n6;_}X2B{sEc^DkXzbs8YjJWAfC2&5%OOpfs!i-0efG&THrm|$ zymsfY2N?1dUPX+Oaj84>1Rx6AT2kPn^_DohjC@beC2o4S|M!4ITn{pS+N;yWf3oob zRQ}v`N}l+7(V-Jc9qTUy`x=k{6(vAiwuycB;kFFZeUKHoBwiz&5n!zo zp)@Sy^@E>2CEa;Cz5_>l-(c7ZXupQEKGSI8JiGVhCl8hps7QW4cz?h}em?Tg9SIZ# zq76DeCue7j+z6{{AK~5SWH1KUY5Ncyp607Q2o5_ugKdblhzTV~+uKW?xvnfvEGHeW zeJn=^9$uiq>DOY!;J738fkdEB=Mhq{hhcmkQ(jv&3su4 z9U!$eGVZ^2PD-pc`9!yB?^mjEF!wdf3YQl@idAc2j+BveI*=b+q^w&W9tpn0Yrc4P z8GG{;3E)0y2WvSjw7xGBK?;5?hwP`ddcv1!iknuJqB4xVGOncC4*4&r_kVWPFnz6L zYunjkbZyZ|@bb@L4C`OSwP7YB0T>Tng>*Q|@s8k30$Og;#cW`!+$==!ppOC!TshBH z79`>~s9BeR;isK-}Xw|=Ok7udeyXc*>qs!wXyxqkJa0jLS!y4VZO5_m;eTs zH~iX`WMpgD$d`r!8d{58#UkNs%n>OdC5-(hl63;;saE|JN(%^Phzmx;bQG%Tz>;3xp`L21qO-Q3)FB84z) zWtpi5Y0F$V^7L36xzuMqGpSn##g?S~J&}eiKbBK4P%TNisiWtVf|wd60fFgJ8S}v4 z;0B*!fB&uWsiH&Wg!TNTLc6!6OAE%I)R&n9-ns==)QT~jIW<)s8dmvIv9Rz}b%+oT zLURL_x1J;^_jp3lcki#K>wkWOWMn4y8tS5!*?bZF`NVaVqJn~H)Ot2wT$K&Ez$hRH z-{fpzX^9vQHiJEcImYrjkwb?x&7eFm_Qjx4{q0}-{~Eq~;Bht@3M&Hx@mey}4C+qG zTLZ$wtKMt{4rIH_dA8?PR##1pyjh8#daRk_KzuP1+@7+E(C)mTV<*&sZCf2^fU2-FFTVDR!`l9t}P z+l}Jf?82vJafb`CRJ(uSKQK}~deVGQaWYU!PVO~e>ej47q@KP$iFi1?P`zw8!$v*~ zsq#pU1wODF83nFgiX;f?S@_nhUY%ZnA#K5#r&M4i^N`g zpbVadM+MFi4i1j?G0q^NCG@B6Df5PF#8VUxsP-7ZoyoG9)OB+=;c>7u0LP z6##Wm;gwV4_M(jdDb`Z#^vrz$Ae&y`{Nt=E7|=--HmQkE2HxDWdM(Gcnd?Q%3;L&N z4%sjYrjeK{U%l6nk?|}LZZR_u-zm~y;0L1iBjNIr5`&%>9>9RkF8uooB*6AqbxcOW zH9Q`E5oYg4Mz+(?*nfEChXI%LUVmJA@HmQGk{@#WYt;>z^1wA;`$P0Ih&~F%jBVQfXsMy zaOESu!F|!)ofQ@P_V2&vQrgti1OhDhfsvL>4gy=m$UK;p+2A1pSr3vNIkmIE_?K3m zew7O`EyK)p4RI2iukhtpsi&#+X2ynct6DWh@AdSRlGli{1amRf*LUX8E1O@Hf#G(> z)q~QqDjEN1ONc$>X+J#tmP0vyQ||rcrD_Xa-YWOqNgAK(YEZv%>g0@Ine65<*!cx_ zVO8n2E7Q+69wC)jNwGqRm4r?yM%kPYLUfmwx@RL3=o)`RHU&MOC%+6ydHTc`Rj5Hh z?;g7@t#YIyI(T7u;j>z#aVUW8m{}BOaGy!;f>&2fjW2TrD^U1xLz}p_eDn|IFiCpV zzO=Mdo{7|u`(rlk3a%=H53{uQvGXTW>01o>H+Xt^CCst;g5(Ixp88KG$8)*3xj~LQ z*ujl2KRNyJV^8vbo1Yj+IE=DV@n~h-P(uc5LtRK-&|^N*_|nWo?CFutgl=|cr#w>L z(*{e)jEp?Gs%iZ>)TIMP*t>aO+TCb^SFMrM948{1;XW7cPGRvnvmR5>0oyXPVz z^(*;}jO;lpaSS0M6txG)*f6}o`IxyjPxuf@$evpSiKjK$!|g% zEOT>ni*5V8pZLAJz0K(CS(Z*u%eIXE$^e$n*Au?27@Chrf3uqes#o@P>NO=sl%kGs z2EnXGgoj5__a;-yn#8HsQ9(iFYt*lzL?5C^?;Jr}cm5mmV-kC8^2Z)i1!>}72cPgt z6+d>Tdp4mo)0L)u(@tFbg%97VV;eQ8@>t- z6zza0$+P7i_cZM?BBOuEGK`J|znmHLZ5%`1s}D7t<21TI$FIMS{jI8ZTDBbY7KyOh z%FtPWPQru>AFv4*66XE#{2$n9E&%=c@e9aHtc$m?l6r`|N|^Wf`^ zbtNr}Zg!)`RY4{J04$noJX<}5LDmSSkR#2&&1XC+Kv`&@!MWbf+^nptONeUKua5T~ zU*b8Jt&GUw$E+^mZ{8SxIb+rPF)B!7)2=sXIb~$48H$&JqeR4_tk-Sm(^BCIcDMhWI zqTy9dO?G;EOvs@~4pFNuX7Msa2kPs2}`30T=s6Mcp6eHFJ$b7!^ zz#6qxC+OXB%38FuSxE;hnmO%NT#@@~y9>T-`A?r_r1PQ7{@BxVs@TO@eG%6~a9|+a zzzcPwx4{i(a8NMcVR+epu(jdVrWB?9=394V&Q2TK{?Z*V6?XYQ&_*8Am8 zLt4vDloS-HlttMG54X0=yNcTsSBRfPRcnlzL%HRzpH+iu>JXj z&9tmQ#29GmF~zUPajew`_K_KL1harZvrr)L$d+B@%CJ({>4NacdW zfzFQRl>8xacXrOb2hyGRHgRxFq`8id+Vu$^wt>#g!JhK}*-^s;b)HNq;;nZ&pV_Up z(M7a0br$0Jiio%@5%u-)q0B`d#M8XIx@nAg7%V1}>TCy<^}pw~ z4RNrXNT;>8w?D6sZK&36^1G!XBfAZ+@1^g9wZza_N=2}z49v~h{7wql4IXWIY)6R! z)vc}(!d;^C1iVcjj)Ji?#oNRhq3=P>F}qjdC>d?oY^`fvNJF$|3B)jO%H9S^O8MX3 z=pSCibwDWmhKEh9P)uX#&B~Sy5{6G&TGM5Mr5DOXN4p{x=Q-H82P!(wtU7Nu9G68K z9!Jc8RjROhH>hEMpBW6aXYkoixDZPhy%86*#J8JC^*}$%14te!nw!-b{os!0ucwsQ z{?Cg^B?xSotS|(>ipMo`gc$60_MF<4rEoqA^*yV__1oGO<8N)UkJri9zTS^J>>caj82WHpD3#TGl958}B`+l3o}WSJvJh zKDt3DCNUlf)my32A!n@&;OTz+QG)-@uZL_4lmc_6s7R#X>MmN^kYu;iNHv%&M0Cxj zL0=4gvW3&spkG=VPlPiZp45tsc8cxmdl>-ap5oZQivPCdDw;%JFA`mlTll&(&Cr(P zJG%h3zgOgrT&fc%#{8JOonLxz1!_MH)Q`$MI5(--&tG1yYZ|8zIq@dHARtL2s97)H z_V_Cu*r7fiPVn{hO$?L*I+(rO`}1+JA-DW~;Cg0~_}RxOJaAkkJPoNuajn6Me+8+I zJD~EN^UP`70Mj|geQ2PaNxgGg#hF|0LoXE@+ZvtsQLeV`XZP;&XHjfYg&WIF%ZpFP z0T5U|$^Hd%k+}(=lGycat{3gi&E|{hJ3OkP6>7!#Kq=t(O1j$GPw{_3@#M3Clatf* zb?7*XwBc5sx5Ew0+@$fzTD8JefGB*>z+N}Ko(>3N{1C@fSftm=NA3S z#ksh1v0JCk5O%_`v3-DplWdFrctm)45gqfaR=H-2HWaA`55fh7J4nW3OBsQ~RM(S{ zS?BxE@+3M;9EErc<`277F?LLHRlWvNHowc3$4#jBz>oMa9geUWl;ufh!X7uBtBx4? z?dyQQ@90{hC+i55QczHUhb)ReNyhOnUqEQnh7G1A6~+D3t6=gU(tJq9M()C|Q z;IH%N&jZpM(qiT3zXXIC80*=yH&JV>r#LFDsHmTO8{Y=UJNP(#b)i-2LnXu+_Hkf< z2Hz5*D>iR_Zhs%vW$7VaGM2eV{vfj9ar%j(6@dtF=`SxXF&TV#gSSS7p6IJgHf`Dj z_6OC#F_~4-_TWLGYuPE1F=c{3%G&p!KX;%@n$fPzz2m`#?BB- z3+O{pt0*T-6~pLC;lYvod^tV6ofcsSjMkifg?BMH1ss&1iyWVdD^b{lr&3kH0dY`A zM@O58ab^F+V1^1u1BBq1f&`##$BbaCy7@2NA_snpII;D1EyzKri!#mkh$E5G^(p5a zQ{H0lNRzhT{-cZju}A}K`JI6@qJ)@#HXID zS)zS&SE8f@4=WY#^X|!LB;RLlv!bqtR9IlAb8f=ngA}(mYxxCzC zOjxKM@e?t#VE0CIVe#RYl}th>wR!`(^pmcIS*Ou}bxs)m!QI5m43zlZY#$L3VczN` zw~vF9vx)tiHTbl^?%i%y7u6hzl0zvlBErJRD0YB`_aeOU?EhXzL6Mg6?Aj(=NTt)N z_Vxm74BlSkw{0+t1DIRq1-pTN%co`{$1b-Ij*Q5;J}|4sZL4L)1iNvfdoJbTx%r2o z3qG*J-xr06o!z_Zy^Xy+G_U(3XQ6-&8l&Aq5*IvUX2u$^Y2!xKm9=A7PPgq2!KQ*k zDar7VEO_+D7INQ_0TGc=Rjrw$Yk~cYsOl>z9qk4Q{hk6Mo9l;QQ&evbvssOW-SmVSeD`?0Bs zw-*~1XN-vRtr!zTpqPi2H$5*;QLCM5`Mh=KK3ucIl10ZtPiyp)*Y`2N9YB=(bXu^{mgq=R>EuRtekpFAI` zasE7KQXESZ`=_0QQmrZA`*U-1+ZlohHWG^#sxcQ(qw0N&`Kh7v++lLl?@Lxiem}Mn znAyl3yklrxhi@%zEp%B5*RwmPyiWVf&|2!)Ec3IU)D2B5xa1cnCK!42>Cg0pPfhkn zxRBOvQq>Xref!-ea8dIJqI}Ol>xAG2PzPR(&2QWxq&lm5zae_`ydm7kheN#3H2e$s zWa~O&kTdl<`B@&WzN`$4YKS{MeC*mA0M1p@=K-A!x&kZp`1j7OTWc+W^J(0drBfqe zlRyU8bQM>CeKjRIjw#poxu7XVM()_UwEYh4y_NQa zzyHajWV_tH%%nYZIp^Q7U+>Q!z480ic2ZV07OBwFagC@>t=|c(6Qa<@4a zK!d_xEs1Rw3dE0qdo#rel3dYRho$*T_QRnt$bD-^F$HofYuPM}KnCw}TJUgjT|ys8 zIA_>qg6$+LNyOUDoY_=^x0aG~1g92Y-yFnAaqAVbo{9k=YHO4Of@Dd3{i$?9n}Re; z^`|{YnP6yI5pX80YK?)4Rj;I#o~*3DUrBCY;O5JhQ|n(`KjNTDz0|aqWDxh~Hbjv$ z-v}-{HFdd_8UbiHv&ZoPnjGpt3;7Jx*Qpd=-x~ZzH7?@tiT+-=ODnDh5oV=E+r5RB*=DN)5yyfrjk}nTNuYm{Kq%k;Q=ZmQFLpXtIW# z7+=|yZUbUqJZWk>O7C@hZ|O}xX4zMLFF%y5ny3*p9#qOO+j8}*j7zXiZeIG%ee#U4 zQhr}9fWx;KO(AT5p_;QGIN(HW(G=7C(~I%P7JO)pYjrx)2C(|3zGho*K`?9hl_0Y+EOIM=)@@OusXc7 z5F%)59JrQKJx#z+tTwVQm%d9n*=54`nMcu$qj&x0e~sG7l$d|OU7+LE$=5t8XY&Oi zx6vGND&^^Xn()@^S|77Ii-h6Av9Rsvrie6%k;+w|&c?;h$b1@-mfja2UA41UX?52s z_rZ=_9^npJDyn~d!Adak1xf!H(~`;O2GR!0tlZqQVyrOMink^y4*?guq=O46f$AXI z22k(;{e4j2D=#kx!fmRvS5Pp7e?2WLkrdGjh|&J9saLa1y9#G^0!!^Ibo%GkPLY!Z z_WjmtDN>b+O*W*b`|X-CcuvN+bJks?z@1yG{wDb%XIoppFD>`IftvmhX&vs<4PSNU zW-s_$xJ2rR)YGmeq_dHg z)C}iA)p0|3>Hp~YV5;=3=cD`l{x7%ieS(55@7_I#Tni5^f9kr)piWM(DGa`%{!9xN zb>9SJrE*#u9>PNuN;Wp**x_yaDL{bezY-`B~PyDB^LJ7qmBoE8sszW@lnEjQ|Sjj4ukjV1F34Hs-| z{2tfgqVK-pxQbnwo|%~$Aqs}0Yb9%kaxyZMvo%q8#qJa%Q;HoyAn4mRw=m($shylC zL;W-2H+x#QN|2v$JqJln<#d87dv;EaX(MkK=fQ(2tio5m?6#nQWn`@jSl`p7Jw)~P zGW$DP-N;O2tW8co;=|ar&3?-Zae$pBTnG{Dm2p^1k2`nt=uwi-TS{4TfL!uoS6_7Z z?&9}$)X{wPk;UIXFPB7$Gddyqa2yy;W=P<@TG76bLeAmVPSdwFbuMzjS;ym2S8?sf zUBTS_I)l6gm-@7aTUa#Gf;IH=U!*e$g>5<{fD&W>Mp4>jJ3Fl#8wTQc4$`k`RY(|0M)PySK0JO-_cm?^F4;soVo za%KjNXi;Qjq$Hz_ogHM@JfrMgR=rgib6@LvbFYBG79v)F+_*KDG33&UCAw?j3=B~C z-<7N)Do}Ihla01Cn|bM=T5pES~=SCB6zp4rD9~Sj-lZP zVFBZRTNHR&KWwF<_ww!y`84ucB*Q~taWRu7v-oQ1OKC=?x!@=JU9OG9h)(5RLvd&W z1jnW^IQXfZYKMz@c*B0>|MBJTu?HaRCF#$2yAevuXy$p2`!Guj4V^`uod~QOrFAxZ zx6xd2inMD@y;|4f#j>)s;t}gbg!AkfRvxl%!ZtbI`Ks6?{r2Z)ecd@$HAP*8VG*KN z?op8G=It)Nw^Jk!Xmz!Y%c38Tf+COg;`>#p{iPJu@KhhD#+UWwWr)5l&-7+URF-2q zaluB zP&Qy6HO+})|3eazmpfnXO47~UfBqz}V*l@0vG4LyJ_^s_cG;TwN>#kKbAPDru&RvQ7~4{{>H!f=>+a!(>~8aC8h zb~5yV`|+XZc|2c^^~dggX0dmm+Nw6 z(doiaM+<{fdmNwl?oe0P4LbvptT=)p<5fA8tImxv5`_oxQhskMq|-aT?Cu z_4T@v9?wQb@+$H#&Q$??&YRTbt1vo1V zO4Mgxp4;N(?LVGv!s&~mohBbe`}u?m)r&ksLqiyM`+H-!1t!yR7C_@?KNLaMWi)9j zN_JuL(c-Z+lth{w5SmcO@y0^#83B?{(cHSvk$|qA2+Vp~5nJj{qRtoP5 zd*1C7eSP`Vm9hhFLv8$=+zLa=t2p-H{pMG9{gya`k*1aU=r0KHf z^Rwz~*Qrw;75-b7_w1zHcaKA3_Uh%&sY;ZTrMdb==VsF?DC2kCh|lTFD=9jiGR@RCGuY2|lH|_E8pk8m))f;ly>i)!7dOXQ&^wk!tBO9ge zyAYW7$a;p@-cM3RnCq<`s{W-NYO^dmNCrRm{X)y zcZ4L4R>C#Sb_W)>FF zRZ3|)qiWBjSwB1VY*E0n%0l73lJb|4ZFBEeJ$wH8HlOvIqQQSG#%EfFbn^35J#TsH zkG2zKQT5;e>R_j?sp^i_3yoQgoGg13%ooSkZaeJH6D*UjxjrJe@}%h_Ls}Ub8T5o& zGVTh4Zxs(bwWjjn(XGq>qmbcWi~3Oot`Zy0@cl70sy2?g;tyoS+Pc=N_GNiFGe@)q zt+(R+LzrtNgN0Y;^9GK@jfx;i)DE0}IFLYdv+?2T%WGF|?V8QyRNX>ZT0Ghr<_LM} z)urN<8WCl8@|x#*ckURsa0gZEKMmx$Xs@X3o7Yk#r+B6DNXs=1>3j#= zeXe9#D~^oJ>NU8y&g`Hy++1DF^zL211-~GRh@=0?f>`fBMG-l9ijuCb+#`klot=zL z1>cZ&`)gw=mHH6SO^wD!X9Y4a^p#TN-?;UJp^S~3YvGS}<03J+i{p!a`ku}`@fs8P zQ5}L!0^T)>xxMFVsD10608?&eLy;--&uf0 zInTHv+v3Ali&D;D-NIMpRLaUtZ$TNiUF94{GS6xjTluht6kX&rAu0bBqSDq>J}{@? znP4!VKwa}^|MR~eNZuUC@(E`dCRM&;639PFN=lk-1cn7KY5EzVT=jfAFIh2MRn%$I z@P#rEw(BkPqOVbs|Fgn6{2l&RI(^}`_}k~-2hG9UY~(@`HAn$U%F3Fm@MVBish5|R zU8NAu+Q9199~vldG3CGM?KNDxcCDABp}9Gt`_k%rrr%#&bdvWTx;lb8Fi{jv`la>GOX|s8?}LW#>KLV+b|%eg6I=E-qC`d}^rKdQ zjmkd1lbA5Z_oVD5J5CU@BH{K-Sh~&>uXI&Z+1eolp~%_hN&CznTDW z0mw#HYe`8AC=*~+1#^`8sUL*XFydDQ&NaPiZq9)X2)=mLry<#wfHAqbxxF(1C;qE` zK?w){5v!!!DD0}!%Jd+eivPjwAbY-d54NAPGCfcrH8t4xetk*TbPV*)pvUirhv#9t z?TIfnZ+AdtAWbc%LAs#*teKzguqFlq_K2xIfdSW>YPpjqL1>ItWv8ae1Kc9gV)aF) z=5ELEX#A}9hCh%m@?mVi>wg7=ex)nQT-PORvCL zq@$@>M+3H@qT#V|__=8HqnW=UwcVV*2E?=>(T9#-@Sn-d;634rO&*6Otq^D9%a^y_ z=z+xwDou)Bk+!^y#AG%@p>k4R4*kMajg|j%ynr6oze{RjYU;j#C4D3m$gn22!NSHV zCm6~Yf!>Y&n*naJx@5E;Hrb0CiWe^tX&HXgmk*#%rF>o zWTe5v*CL$;z5KF)hh_#tisi!rc1lhAP?)4y8Tf)91_s*O+UlKQ1;WfnM0I+SL1%K0 zpKu8sn}b1rgk~*_%Lk~!N`w=+UZjHtgBukq4 zgG~sr?OB9@RZY8~WqX-6)Gzz=Uf@MrT3VVHU@qOcbXn^AtBF;f?8|ZZ_G$MVvj*n~`8e%=yp04&6dUg}KSz&b7*N=KEm^Fb8rxAT-7x znV}9C1!GREGc-}c$GX#qOvV`$yb;blJH6t8EM}E=c48V4nO%1fII_D|Kk}43WU#ra zP`tK@!u^%PA`CMvXIa;M^nzA$EQE0Y72xb^Id!`{hz2&hu6s2|#FfUFX31#8>?6g@ zwfmmBs?pb%)4ss=toa3{;&aVZJ?4X^s#nTie$x4UU6EA~BTvk-|jpYne9N~I$eWK;y#5Z|?J?!5#M z0x3ji-H7($>tMYR7|gt3x1Xh`#J?eoq=P_~3WPC;{Y|i13v~pjC>iLC;N5~rVLT-e z_G9DdwFX_{eWzYBf=Qd>1Pdq5T-c0kQcF?_`2KYlCXL(Zj4pv$hmP|M=dDd!yy9M1 zyxH#j%Z&gex7Hu1AF&M>jv&q!dG0Zk#Hm;)k^@DqI$_6P;|Lex#K4>1u~&}@+y(+x zFL8RMc$h=zC;#nPCOV(C%W+^ zMkvgDYPqXGLAT#c0S5*ZK*FzRM*txN1&T1=SQbdXI&`cl`Ph%$=3oCIFJ+oIrOrY3 zfPxCaE*RJ)_pCNEH&5VhNl~i*$u&->)-%)lA2030$B&rwcztHq($R4RcaKO{>i#S2 z;Mq+JQ+SQNZOB}RWhVjQVZO{{3De!IF2LE9OM?5Ui63_#RdU9pl%{5A=LxtfU9`6k z4)m3-!|6KH?b;2rj#@ENDCj}jjc=O~ZGW59Jm^KuY5IyR!_6tx4^F?>xwt1trhhCE zY)bLP#>Q+5jrnSlDK$1wcv=@-&}Dv6tE3|0u2NAjcS7a}Xrb2aSqb_1hr9kkKm844 zjR368Ry2k?=L=98OqGDr#K(VZ(RV$qscH7!qN6?W>P7iX+Hj5E*YJ0O6#5P#xE2)g zp59)@XJ?yH-;a!(S3fF7;zAc_dyrUCHJMWQ52eDLT+_U1m7G_i(MC5!p&EU>1`8hV zTa3{-+{&#k37&8L0%1e)0RXe=8Crx7Csp6cq*FJ#p5mZlVPO$UH?G_|?H3Y4>&y{Q zN%aw*;`WFn$o7$M>oc$RSo%K>z-IqIDZEC>)lY8?CiZg+4P8TO%RUY1+%DXFzLz3( zBK{;mf5{<=iuOl*p*ROYJ-}2Z8!%#5{9t#n*aGAc;!`OB0Rf$yXzLS|>0yFEwKdD}%yH z2T7K8&-F=S${LK*j{Obu?L@|aN+2L{F2CMi_^#Zy9km7X@qCyuz4JyGw8wFHxeqQw zy&E4wqi84`r`EB* zSD_l;CidWUl%hh^KG(%ldQZ+$v=xT!-Nzml;t~@|H`aLYY?jWW*2Z|_j|#ljJGTi1 z-rgb0$avB!)k$7&r&jKr*Y_*m-rgn=%!6Q~0ROF*x*-9>)&BjDTkdKCwPqou#32xd1mG=LSc8GOLFPS^cQs%*W2QjIgtEJvwQ-a z_mIV){5r0Q%t>i&?eI=mK2G_?jg+i@!S*jc{0t-`8qody|4I@1O=HBQdrLOJ3~Jxy zj?;I-18)vk2uhI#URr*go=gS#|2s)08smW2D>jEA+R6zVH1Vx_b^VxKDVW?(f}uFL zeED*_nSsIhU_H~S({1X8quPe%l_pdl^d<*_TA+u>Ztb8fzm*>MXyR*xfO)Q*xYH5y zrjyd+87BSwd-lkzAFO_P>xfJ8MAC1Q1v0Yldkn9VhEwyo)mc;kjk%Z#8d_6QQkG|e zMJ=Z$6N~Q8wtCn8Gh~*RFI`gmFTuecHU^FNVByGEpiwA$!vZ^I+g(>39W!rh5OfDZ zaDa)~HFD{rtJkjGFgnHJ&JQw#maZ#|laWKxPPkx#i=G~iJc462>`ifeeEJ0k*!jhX zdiJtKC8eTjTdwu44#p|=TiJyUUlZY8=AU6xdL!fZ?TtNuL291Xyz($dIfRGu;zjQ0 zy2o3#3Eru@Fdw>nv?-{jggUD@hj;|b%f+bW9|7d=(0_;V~}C07Niy8S`JeBSW`jt74m-NU8` zns`1ZC#TjZe~>OAC~R|%Cd6!^f++kDON1{I7TobShyVr*M(ZnZICQOUBwe}v7eNlJ zsE|^gR=Sy-%&BGqW*dfW<)c7VF5B6~jk>NZbbEX&DB)xA${vBOA8%9;=pte`_wo(& z_osK?!JfikJUHix!KT5q*YHP*J~xMhRyzgliuR7&x2?4W*+)p}xYu%yW8EvY@d;|m zs;W|1#Aw`mVM5Li(`k3zmc-n1)Vuso%BR#R6swq;&UN^21u0r%VDxm%$X5&HT<(_T zwzJwi0S@EW^YShP`7&H=+mt?gWo&NW^&-d01eFPM0?pN!YLN=M^}p5%r`5I0%!`_u zt#CPQ-4%|3>J_vw=y>cLFrz+Z0x4yyr4N|<*erWQL{8I*>SE_%W5ARzjthkc!L%O- z6X0@*-G3+?t@Q;QVH*8J6SWih(lw)k z1RQ)QiKgOFA!l>G`#3hnoqB)2!QV-@iTZI$@FCdvS;zMU)L$(xpJFjm48yW#-t1b%r4kk)!HTuKaI zhSg!`F&iyGs@2dc4CM%rkro%f+IMHi;>gg@Q%)66t@9m^WIuKn_S|apysfJDKR4b5 zTU-Cf#ElH~!niLHqnsa(BN~^D5(v^DFBmBb-8-|}SNrHVoxAoJN*9ew{s!o6Z}j4~ ztsBKiIsPm~FkL{PZ>hLq*D>ZhS>ZRA$2LOsFv^*rTD3;eaNz)wnOQsXY?v%&W@cz> ziGC~i(w8q^qJ6fuYsD((vRP){>d&X zhsFi+c&(ri`utDml( zQlWn_URLK5f!*r00fu0$CsvynsbIwPUql||d=@9VlF5%{HNF&Tbs>>E#wQqca_)&O zD=8l_+pIB}TRg6~Gp0L&+$7!5<4*O+TOW?Wx|j)}((bjhmi&@?3DLKogYhYJzM zY0gkGfD3N>Kw|)+aJ2R~6#M``R0|_rX|XI)u5RG{qab@kr}X%gh2Ry^KH?5kaY`|n zM`~FMA2jKB3t*=5A3PY_!i;LrZsy^C{Wu{d@hvm59sG0Cb?}A+RJlbmcO{JC-f;#4pfXHjWihtO;t&uOTDxW zv1_%SxJjM}sENqOsYQ?;KHq6umD()y=iHzW8SeAHc;xe(W1t}lQ&caTi zQA-7%*|S!bg;zHJ4voa4zOyG9(cC~tQ?5%g;<}7#Py~F65}$gw3JY5^i6xlKa3 z;_fbWfMFBS(&y z`4kish^JayLJ1J%{i-@(g_ZNRE8I{R^#krjFYtbR(|@Ax%B)gXp%0CXiGYCahyhI*&)HfLWd96OM&4Ct;!|JEhX{0#yb60Sb6TA zBsnR$FSoo^b15WG2@bpWT<}e3jB)VC+BvVZV)X~~b5o5n%Jk2kb;k29=(VR zf-L4|&54)5__}0fX(^v}dd)_<=h{E$IjiNtn^g*njI5ytPk5^MaZ1zFP-ma)o5;S# zb0!dk#l{%nHX5%P3z7nfO9yeXNfFxr`JP?ig^Ne@ibM ztjN;Zj;geGP;;jrSyhsgGcRMTi#+o+Ef0jL={c!NpL@iWm8&c!COmU5&&3Z6#GO@~a9ue8 zQb%q~47=mP>;ZHr2xp!vOl;u!kDjxHz*fKMEsjzHd$qd>qTHS>=}(?)E?ydlurAZN zrnegyr@!-Fkh7Rhv4+V7hlObcE%c2W`TL1)+O;oU!LK8?GRifPLqWb@V&j1WYjpOh z>AnuyAyVaWaI8y3Z?bqrR^k3`bMwwR+MjEL%_;L8XvaT^_O28i-+SOdJ)*N->HG`w z`Ni2U2Ib@^PL6;*3?b6LL13Xnjga!fIVQWrJ>L@wfe&w!hR6O8B8RRAqw{<5_Si5( z@rZ%9w>RjVaEP=l)Id_e3@Q<(D zE#~{g15bC9no`j`bnk`@qEX`dDLNM^_^~F&s+BHl+_dAfvH~A?%gV^`v7!3r zUs|`{KIHky!Dp!z*@X22(nYZ4^)&}@$*XB;6)iPw{vSMZD>h$L>EJ$uhifx0Y$H48 z0}K_mwkQ%XgKG%5ot>RsP~a#jDQRF3V>k{_1MtazGa`}d(4y9DStpK@8WUcCT%#i+ z!1;<{yhL);qJ#muNK?4brNoA9!~s4y$hrka_1Zyd=TaS7QvXjDwW3Vl$0*m-@Ezo2 z*(DHKF|+eowoif5*4qmf{HrcsQ#fc6TG-+F_0cg9h=+%TRgMbt@TB2)4?oEQ_@;JZ zQ(s?S>>-U)r(lE0u+;S8#Y4crB_=L#B2P?c(*2qm`QGa{J%Wtvn=m3v-W&iYe)JVb zN7=nwDJbs3k`)%=4c{<+GP$EtQfoxg(ci+RpdnM~+__;~RO-k^VnT!mi7Os_Z1ks+t-w7@_T810#D>7?v$NXhI)6 zc&51x*}^%k@O@-^6NGbBvm{K?r9@Jh{X;(19I6rS`ho1oF!K~(tr3nr7>N<7Vx zZx$;XckH64mx0__hi1o)(a}+^Aeoh!Iii{zhY^fyv*^AQ37akuf$elS>x>uM^o%+yW?X_p}=*k>LZq@a_OS#f-m)vr2 z)cIp89SyL8@qF>yk0XbqxIH;xzLCl#_InL%#Vd-fMVl4pG?Fbu;amC%UUJa^J8l^Jd#I zb(-9=Ce=&)B+`_BfTP-xuN;2&jxH`q_f?d=xpT$AKdpG5hevjN)YOwGWF$Z5ow^;n z^7rqq&+heNnPR;H(N*m-XT z-rM<#9z!H!x7dHk-kGBG7Z4Dz75wbM@}N#7E06F9Nbc(DDyni^Pfy6_J5In7Kkhv1 zK7sueIG>UHCBXv94 z89JD=Q4MELJDiy3%~8r>n#i7|pKt4NTo5`d-}YKSCQzEyUB{puIm%j#r<|M?ffEJ3 zA-p~2FxQ1RjL=){5pIN*^~sYbIByf$tE@k>u3jT&PDSlkr!*E6VC4Cro+K%`+1lDF zXy?wote(lItNGbQ|B(!sDxI5@WbZ%M*?CfTd(2sHH!>G)SJ%d|vllKrk%Tnz=VFqb zx$ymal>*+hFr#<&>_>uS?G>fA$lQZLrDi{r^-Z6}gBzY?XFD%1{(p>pcU;bUAGdQH z93w=OP!ihFBwCcBp+%FTy;Eq{Wu~3Dni`6$K|@y@@q6bY;iUjivWuA2+H|V?F1&13{D+dJBaZ<)2t_yXjD2AE1TSWT z6}bJufQfI>ddFnQ0m9#OMOMakOAN3Ml-mBe%!Y$yBnw7hwnxNvX8nuB7nOjaxi~V2 zKlOKg`J#EwZRO~K&IYrK|JK=L9{ab>=JBLf3{Dr^mc+E1@*Hf0jLzT?KzBAR2)ATG z!5l*b+1Z5$k5)9D%2+j6DgN%!r! zgHqwp=Is@!WhJ+_hu=8Gts@irFn5^qQSHc&*SVLVMi|_(t*jARv7DzN7mEs=kAJQI zw5CV{V!rM_eBM1#d=`ypMNMQ-{#$#uv{hM;V$=e+l~5Ej$fO_w>s+H_F?Yd;kJda& zf;9N~^FCK|?BMS1EC@57J9P&at1@~Uyx0%AWECcRrZ1PTT-LT5g!q2Z1F-a@{;?8L z=cbKo^??iErj6YUxbaD_xD@4PYj|*QaZ~{j>^(+2!h4M#GO$+*VQwfKqOcE6j@PEn z5OTr}SPeemcrry^xpvp%_(Lax{+KSC8$vODW2(E9uL-s<5Z6=pixTsKok-E%E7)*T zWzDf;9K9}TmiE-LH3eON2xqclFGHvWt1zXLQudKWy?v_b! zcTY%(()H_P(xp#V26yO%-Tfy=`gjmY%DHH5(2bR0b`r>PU0SMT%Q}h0BIZ&H^)Nr0 z1khg6Nq-@WEaBNl465;}LRhn@-PIW>27tt=Mj$f7q^^{Q1S*2%*0EI$%aqZ=7d^cP z@OL@ga@}=e@&OEd*KZa77xPh*x^pFs6^I4{O|Sub3reW-8rmJg-q(Ogbe#PsffK-r z>%_O1sWU{%wW_dw5&HCZS!mj^A&XX*aU((zr?@SfnBKKK^zp zQBfaM4f$0y6L@ELIZoWs8@{%@d~HM0r?rH4&EzEN9hcwie)2TO^?wZ+7&Z`P^iLtx z+|CKSdgjI#NFPrj*LbxbH>D=cKsL7n1xO7Mq5aj_blJ-mA{-l&g1hNG{BJLj)xYoC zv*+peO5l>dbw}Pdg4p^b6VZAo5juLxP zz=k_=ql{-RMpO%ng!-5g4fXKp!%SYG91_FQr)+Kc#H<;G%%uA3Dx-?Z%=L)KvX8Gm-28`?#F-#CyK!qV5a){^ z1$V{G&OC#h^BL5flMy}~qiT<2c2a&F()0R@0QfJ36U|tXJ@ieK00(-sYfnkM1($mK z-q4mG&P-#VQ;d-mqNE%lNF zEMzkGr~Qreb!#^&FG`+Frt90<#3dx6v*FDXMI#$?Idu8+b|e0(LG};f(t+Rr zezaC(vHc3Y{c^Vh_|Hq+VyN@oCO{`anP0^bNg&^7oY$^d!^o%q*AeGEMxA~Uu=;=J zkNkHz|*tIOKMv3-c z4cbe`#RA&I#6&}*v9z?**Vh+LkY3W8KrP(5wRlhjw~smzosebYir)Y**`dWc_Gg}xc&S?T(}+OMAdx;2R{VNA5Y@8 z+{(rluh%4|NXruU)OB)1E>C5YgT|XFliP?N+yE1=&Y_>CiOL|37>RaUk%MR(m zv#t3A z5^2!twMSh08~%UIZ>|m#@DZ3CX!tj6K}RQhFkD##uWEy}g#{!vg8)WOTW_4(^4u3a zGRk?vw_@zka&x4w$VgmW_F!LAmPN?pd+P+?W*I{yo+YVh%Ou3~Ft2;0^13Fv8Q*J7 zUI0y$beUl~{8?i9!LdpbJ8(c*bQ;YCQn7RP)GSld_->gwwT)c)<&uiL{)CAhzdA&?i@8*7&grO{OOF-8^XDM>z!ILPIX5rcg)rQC3r$A z_}det3Qb*;k1wx<|G0q9VaWoJDY;y3sxRAdxC818uK-FY?*3z(}+R1v{#tcEpl;x(+3?3i^;ub zpYQp3ozki?8ojAy6?3tJf>?S`%Q+~H`OEyVf7t2O)w}fOJ6h!Ba|PE}{TNQ%J4@(@ zm;PCJmqAn9n1{Didbi3I+1pMSh9cA+oDoo=t{^;zlDIaw_V2Z8S&cg1&x7@5QXRoT zb`d{}`~pBJXc13<$)%w1s3+y?;CfTPIbK8KInvnRUj<%==jR=r|6C!$nbW}=yX(RA z!eg{@-J3Y$?M`3Y@(1n4qc^GA)}NGUyR`$Qg12tWr4>oOYFB>YnyNN??LW)gduTOp2C%hnP^uZC!Z2hPkkHD35(BO$ig^ zh(Fh=z$&ZFD+nNZwbo^tkTcQ^_kL~D9@v8)n#Mu#-@(VQ4zQs8#SP{QA;?cTbl=q8 z=~A`=`J`&J6`w@R-g0bUI>ThhjMTm5JGrL3ygLoQZTv`S#$1#>zZEiaBt>&Se%H4{l3!T3CD`PUCTSxX|cA_4UE?RVqLv- zI$?IGx`14aB9><5Ym{L-KHMOl1oler)(pX-cQHFQpjOzkur&G3SMY%}^o0E4Sw7@H zxp}MG_kK*A;7u7n1s;k#SG&7KcvYof_knsjE8_F17f=K_HPj!UQA1{mzCN9;B8PLt z5e-`UTe`Y&y(#A|X?3NQDA*vn$1UZJu3Ge8wXwJ0@gMiHs641?LyF_)u(ORFbG^4? z)1$nRgIsVdD$Lu<=1ogqI)ghEs?S7f+Ks8@WT&Q8|xg%?!s1lQ*2n z+T9<9$46Ad4{Eqi9(S6!P-m(6UW__D?qiV@(E;DQG=DqiDn=Jh>Sf)dD<+)>gjxz~ zN%K#*&pk$Dijo**VlvD*QTdf1U1{N*(Hg$4a#KOEOR-u4YIeuOo&MpTePBp)h~M-M zhe&M7+1V+%W5-fvcS^XQt>XKEX}M`?d@Pw;i$9et6UJ{eqP^7WTOz95cEx?7k-8Pu z;_tYLi76%jHn860$VfzqH+it{QR0{wmhJ9K4a#>3+J10Q&vh&*-R#~-^n8~IC1Z!U zr8h@^bCAu>xykt67&=6|bXTZ9CLF^ZVnaeSFqWTAS_0?~!F6uIU1ph+ZHtMSw5)87 z`8&Ct$B&&s0p_>;ptkkjQK}!$%KC@aZpd@Un=pX?47YE_5DWc)6nq0c~4M&Sr6A?35`TT9hFdYv|{ype7az$GET%KGqZuHDKT zuS!bT5F**gf80oH5tn>vMw~Ebxy~IQ1$P)+r12MgsSca|oSnme-KtQM;4mm=Px0I3 zxjYF4%NEYl4C1cO+Kjx*ofr4^)jI6$9UL@tbpCwLR?0G-=Rz(6)^cgm zln)huWZYqPH950U`*%$zxn7mgpOtd$`agUt8$X^{Zb`{+NM~DclwZP4uj=f^outah z&i=2D=R(xtD!>1ni}H^IcbMqObpmupw$|9S<*R;t==bvRfz1#mmHFfL!F%O{583to zZT)(R&qE)8ayO1g!n&vGuwRL`ZKZP_lgfhA2LweJy| z_v$>7ZL8dD{zO!PNq2dWfGLq+*+aAE*}=XN2Jd(<=L)|4vlc$4u#?*)K@UI%Oq(72 zhNa-}+9d~gdw&IB7Q}f*E{O9T+*ANxgZtW?1k25fwHZSZjch^AbLM;S<-l9`?d|t- z1!PqjF({~hA}M$3REm0Xc*2jdF(Nxx?@ju}PsjS?V?v+0`^GTHDJlwe!N-b(x7>IX z2+Ox0KLnqwTel9AM{>3=Yx2h0+@XkiwxGlF=6hsBmsWY12(b+s$i=8lWPBNF0Q%i{ z1xD))z)tz|bJMYWjjQ5iWo0XlNTLf19*PaE=wpyd+v_f47;6E}a)*W~cuoYHW+F0B zf)%LUySZvt#EvmBF~#PC5C%DfUbdwc;AkTwv=dpac8|6QPmMYlW zlDRO`5qpK?t`l6AKZcH&{5VOOD`YG~h@d7$p9Rw`?Q}PeZWBp=(D?eKzJa2i%^M~Q zA(@AF@9H~K;@jhMcy!2bl_}0wsBe^3uTd@bKV#iinJTn}B#fLs#SEM}YQZURv2E=4 z&Q>28>S|9cogQyL{9mhc>z0R<+mt#snTHNp<{=I-q%l`5It5IEir$Cd&J|; z0Z4T=%%y`jm^A{4%~sHuEJf_PO2K7>zHk!&0d1HzRKIG~Vlt3S0m{urI$3nnlE{-r zo|)UA4@br+zE{4mTjWe z3FN7|!ECuPrMn^=VfP6&YiwPc8_Qr17d(To=IEtLpHYTQI5 zQ|ji~C%akz1HxR<+z5NBia9H$2AF5o$g5S%bUaWVxPOVcohhV02F94ksvKh{-#>Dv zNb^JUWqKY&7)N%Jc9vYW@AiYIvOS~IiI9d_8nbtggPtQKA|{s9PRKgajo=8?%tSba zK0eJ+!^FVAK2%6#l-&Py{x~;r_<{Zi>+xjJO2Bs1-#jrjg(W&7Dmnx9j(@CU3;2^EM z5d%iIels`a0{A+7%QFz%4hQO}5j0q(AJBlt(@XRA`=&>honRuUT} zH?PLh>DLwXA%NPHmTiU51J>%b{F)z!hA^Nsu!uh0{6m8^cfgZP=3&j9Rl^Ti#kG?O z6jV1z$h`g;>hw#g_VXQ49DcN{c20x0TxW+!pQ+BOjI&c=Yg}E)p`rc3l?oJhH?@a3 zIU{|k{z?7Vaz)I(?}y%qPjmkw6i~q}C?Udep}sqRfV^LC4iN|qYK)KJPAH8fcp-B@ zMU4@mH6G0jw6rYSK{qkaC()VVJMZGreen#03m~}k5{JG%7}E5>&hLGeG2gOxT!0+`aV`JgZN4sO(Ssk#%?0@ylir<h}NANkP+2^XyGZP=2%ZpI*@+w<>y)wMJbZQJy#{I*n zwEedU6vKxHwZ!U`v(^#SWEm_70R2SaxJQpld+dqOW9NDH zTJ8WoNk$YJW6Vz^zP((I%e-Ohx^4W@1y?Ze=Hp^+smr#~;e++nZX#WpsPJeQH0zBWl6cLfMYc%eHb-Ge^BFj9%v#wI>@T95XS(rAVV?d#`YIz@}M_ z?|x|Lmx+UmugAx>pBDn_-o1#W;ccD|)`^w&0@e7qv~+0eA1b{HW`C_(bw3LuVVz8k z1Z9>+%10(*S-mmRrJ;c~>Jp@T7^+fJVK^BuA*Qkxq_|xq9c0f>14k~~Zelm%1KRes z^r)-{bG){AE`$e;n9a>hHmfNSfOl9$fp}tOZ>o%44_PLKFo4ae2QHMX0V$%gIxm>s zpDi?7%E%tBNEcBE(|3;Wa6KVCITF`%A;C~iDtU*5Zf~2IOSU@C@#&8Np$y35svqoo z@?!2ezuWVX&-djLRpWx~y2&n=I8J^0_Bu}K>$?g;tKizVQzP^}|BKB0+^N}P-kazQrx-}2?$3%A95iRN0gh_s@Qz<^%E(yT(V>vR!QmYpZ8qk! zpB&7ixOsz^VS9MFX7OevQI@&X>mC1)J!(vm6CX1(O}8q;5*Q!(hQw-gDVbTSHID@6 zCf|@A`w=NAeu%YA?9^K<(ynet*54r=HO5+q6p?9(b{8g^Z1XJ1 zwckbw5C8albsjaB$ipH${1g?6x81b;sm?HgrawG{EYfvg>1XZbD>$D-uP2xl!eJn1 zcc=#E*g`osy*BZlnf|!7#pt-A+0EM~^pE1gjrAN~3AR#v%${3l)MW+)?9}6ctt@ze z{a=X8-|T1H3+y5Zc5ZHk7GCkjiVFEm?5CCnxo)(liK-O$`B{f7J^_IY6dfK(tG0^F zEzJ;~@u63`w1<+05D7jEqYb1QBrZXc$j?<5R{Nzs@t)Q+k@!0;=#nJ%!E4lD-CItL zl!&7Ohieh=Mnghb*OYrH-cm_XaTE#yKh&pR(-$}2ruaQKWI+9|w9fli5rhuwI6H+U z#cbvJ9q;p99CBFlz@y$FdcsxCj$LgTSyw9QBo6D#j(@3< zvbwA#*NHOc4)f&`Zhw{Q5oyarl$@3hS5ToUBSyRePj(2J-Z7=u=<#>oyI4PEs(Ddx&PocmU+~g^tB3dD(}nSVm>9Xbv#ihVOs-aOq81FsM^b zj*g|#A;>982tx6YZi|_zIWRS%LfT9~_vmwKh%k3B!z#jY(KMm4BaZW+L`NACqt-Fv zW(WB(-Te>FlwHgJ{8Kt@!s@VX$IXY08B?X?Vu`s6ZF8S)H$@Bh zXs3O*HD$y~ZogAHYBbxlb+Yrm4Y|Ng(IC)N;@JhM?h&K!<`T<&#dKQMv`ankb$Hoc^TQn#LGab4pX$7Ix1IrJHXKOAERDb`a-2rbg zQI;P!06>Ek+^Pc$&$hMK`7eDSgRk^7#$_E?Lmnv?gBY(dd_)=0$es(H5C1jl-=m%W_ez=A!mY>H{ zr$1JZ#?+VeNRXiKOPrXA-kg!sC8kzDx)&PVJovhnmqSTazSZ`8>Gp7#J?Lv4`L)nA$q0!nVJ3a})+lM6 z+n{h?SkbzK1csH2&|e>2`6)G7Pn@QwhfLTu*OxGVQ82rC%P8QFyHSIcqYWqH5N;u) zc+kc=d~8G&(wz9u1sr}~zC^^^PE2u1%-m_^wO6Ca#T5%%S)SWJd4RdEh}PO7M_V1S zr1ZRGX4|PBW*Ir~!r#?Q{bX)I8PSmI4ARi$d*TWhr|Z@JIf=Wh?Th(dS6zt51tEU8 z;=4!n;`{0oH#0Mns;>hTW9i%4&l(`w0qUlS(;bhN4AElcN*Yv=)30Oa7*8O`$v<0j z#=dO3l1CC7SLv#6f1@R6PdD<(zRQ~Yv?g~53d~(CQc_Y`KBUoi8o+X5iFwpzBekFH zPSd?wPhVf|SPmL0d8=noixI3|gNM9R_qEetI<4Wi{#e2%yaHJ$ggobxd1Mdem}4uX)X&x@x#1w#ogkawh6k-+{khl8r{V;Q`rfBS5Q(?7 z6h%K477;%z^U0HOC1*-6$k=uN=;6Q=;zL2CShAwo<*$a}V`IXkU^sUts=P4TJN5SY zl`&&E#VWHMLY#Sg;%#=TM~99^xL;1009N0%+sSX8zFKrgbMu|itGg;>Gl;k;@r-Kj z*J(EG;!X4H!|yDUh_(Hj5LIob>Yer4w3pjuabcGU0F{iFpu}Whq;uodQ-s>RSaTV# zC5x0tM1`~etoIf!R7DQh@vHKV2cDk=q80?Zo2rBDp3xx?t^R-&jIW|pTT*G2=+p#1DLJJcmfr5FOeVrB#i`xs$hP(cZwN^f zR>g(V$4So{s%`y28KL3UGh;0ga*-S~p9XR=R*BJ4Ni%`1p;0N8ZAqCH@tW&A1Ka;K z7$J+fC_LeJNZG^`wUa4r$A{yN{d{#g`Wz~$>xW~rT%E?Yn$;Ye>}6AXYS3NYzsged zyF!YE%0~`AsQQ$*5~T8o?_Du)n&4=68^~(x%40@^wrw7)2Nj(arug!IIcwX;L8CMM0^A_nLHf@$;PLLNF|#G%#(Hsd zwES0C6eA9)v;@q_2~jSTGTMHtk!22Az2_4+S@pTJi`$1z=O?I5WZohJ6j<2$wpF_+ zDiK=$^`-xY>p8MFaqK{6Hqu91;6t<+N=JeHiaLIKkOb`aG#v1|#fS_plEKlW__|c5 zEo$!noL7b-&*=RDY6;sBmyg|)b&Q5``%K>@8aVe2P*b8`lQW zi%R`5(yJ*3vU?9V=eC!UUu!XoXDat$xp^&gf7p6`0%?zWeOrE$)mSt8~07C0LxUZR%xZD*s0dr3uzI0^4K=o@f) zBdO8*Hm<84fyxg(d-LnpQf@iNZmj{7^|W8sghISIw`dp+NFM3{_F@U{EYpSK)uJO6FYi)MJ|6)HX&_X z!_v6JVA;}aB~B3DzB*av6n}-7$2vKKxCgWDp9gLSAPhv$Q!ko%_F;NU5wst&A1)yf z&cPyxY_@zB{~jW|py49^wrmVlo?TZodvK_e;f4irp0aCoEeHfp6^uT8{SGi>fLfEj zeLQNb)!SvE(e>$zikh6k8zv2RW5ieI+I6p6c>_@uKvH4`1loV;G(Y}Le1#}trbZ2G z5tm>7dx#|T?;(=og~9*+uULr>H=?g3C&eu(x12o_@vnne0~D9nb(fCB@lC&{Xy0jn znUP&pL~-R$V9TXzS)&$L6JGo{KMV2pUALBSXZ#yS7Y0($LFx9NH1k~Xo8|H`_}8D} zBZdf~1oq$5>1TU?{xmG*sQIG9!@u60UH9O9S!Lx5yKXrw$kEilBdSdWx1Nta-A4ak z9|3bI=%O0VAHXcK1WF}ITLc68qB6n^=rtO^nbQ9NmYsNZyHna7JN@X>ci^%PvREri zY#>3wOpIVLoJHe?CpzEhdt3!kuLbA8NdvF!e|=V>QH1EOvEOtV^;(UoU{{Pj)w`pl zJZTOiGQ6VSzkO4#z)!5V%VNC_k5+mSJfSW3!|qKl&%SB}0c{4G6x}=4<3Bu@q^IuL z=vS$uKUUHr;nhnGIY|G*dGV|*^M;v+e})i+mYG??to9Mc!Q0k(ynG2`fK}CD0y?GJa`qYl0f)P8a%J73G; z$*MokF7%yeX#l1B_KI7uc#xH?XUO}WXmbHgrI+T?L;4hYfsvM%5 z`TmSIC(P(rzzf@aqyhueefwmx4ULV7wGwo8ahad$OFe}wWSyKBVi@U527*%0Y*6N3 z6B=P+*SuTG^5u6EkJ6@wG8^wE&rE8H-qPq+l27_)7lpohMBEe&6jbCzMHt{UOo^IDGtVQPZ})_ zD&!k_8$B{<T+`4 zMk%q_%dy7ro$AO7ZLN32pwNhLX}gr)$0FXB)9!xh>#OAt5(vf6jM4i7HZ8o5>Gl|@RZYBOah7lksvFx?U4au4OC+-TABibc;v794p0;p4 zVs{7Dz7;9HtN4&l;C@bWPkp0sA!(PI{)R}laNqhd9yCLI6+87u(1a^I}yS99oOuwn>RrxU8TLD3E`{7OGG z4wt>WM9c4YVfNi{|B?UkJ#dA94A9Ifl{#e#vp!de1I?BEXb7Oq$^Xb6bm1 z%56+Wcix)ms!I!6a-3>_@x1OA>!WFhbpkbTOYSy=-G-eB9P>G}0`%{0DBjp}3xg1E zuiH${Cy**We)a0I8qHigBU|T9JitzZa>3!wZmPimI_Cw!6u295wnWqJX{~J?NvnZ7 zvCuI{qOEr0c4fW-zlc#!t3AdRk*+G$1>?~wY?Ldvs+G8v>hQa6@PpVxDtR6Dd#x<30b1cDi91>i+$j zPj|7hzE0PT6O3{lDVrWdeozsS+%7Hc%fQzW$qki>eiPn`uGcDmK1e{=<|ULem@UD) z)&L%i!xp>TH{-$$`uRH`j@HiP+_Q^E#l0TjgWL7r1rpG7XEb76#-(!nc$x80__=-R za@-bf_YiLtIjJ57dEqmk_X`E5%}?3#am{HcOjB313e7pS8!cV%rSv~fGmG2zs)HaI zyAjjZ@k*rkI6}OZAqVUc2vU$3yRaQ-oit9M-Bm;{Um+Q|g_(IC^^XtpUy!xFh)Osr z_wa9MXTv{e;dJ0qcpMrkK`L;4fZbhAF+^h_8_b2x{YO4YrUAI7f;b!w|5UT*rl#9aD9T>aT`f{$?X+<5g3 z@H(6xl-uGRdnqB6=7w^ekq&KTPwqi?ZxZDs!;7(29jf&z%$F~0MW<{=9;S#v*d>>w^S*%Dx>VzE}#5eXxA~>{yFkA{x3l3Z)6~eYUj|wO|2= zrj=WGAR1~yM_TbjNm)wX@9Ni-X%VpTA|8n5a5klUZpP$7&K)*qZgMUy`>i#obVTmf zBF{NB)Vl3OE=yl@Zu|2q@;a<)jOur{!YqKCBT^LdF571(XUQQj<|H30$6TLKG=-6V z%FtcxZ`6tJTs~kEb?o74@slwCJ|%5{XQy&&6ZZ31wIf(2l`B8LZ3jAOCZK6%WhFO{eTV&oPJF3A{zP~{&l zXbigL(A1uN`^+r{@7FqFqH+8vCVg>gBF6u3F z!@r?P+_eh zq)W)Us;P}vV&?1n^eGLF!30#PL{T4>Gh;toteO6>_NZ5mJ6-BzVX{EcO0vI&dq;y7 zUyH<*^iZonQRM;o$@GOonwHfa(ws2Yss- zsEK*u?|_h}!6DJfq4S-Fo}#?`W*+_N+qZ6Qn}c->yL!>kNu02v00mdq7YddDEo|Ye zhL^Ep)vLO??;x6>gT`qs-)-I%Pz*@gu!4YGl-vIt&vKo#%PrK-G-Wv@2|6mwaLEBq z;5wRQ2I1|w7u4a(qJsvVH(F0QQFU)x5~kpZ@v2YWQv}J=erbk!l+JyW*_MslH`*D8 zMpy-jYF3`g%dB6)yyO{h6*3=!_wiUg*}U{MT#Gq`!?k7sz3P`>xTQ4qvA0(Xb9)d| zynAtJm3M)E^*|GH%f`8yWbdaH#%DHn(K-$ET^)NMtjGl98KbS z6V{38X=XU(@Jx63>^F45m6_#(^izewDa6v*GUX&Hab8h3Uxe?TbNEgBry0r`6_^H! zzTLR}+b7DKqRY;oBk$TOQv1gbo_hB2;nI;*{yEL|c+ZWuoQ8(R?Q3FumxNU+&?%5a zsE@q|jJ4*0K>LJ0eR_b)C7S6jf^n|oD5v-$J~i&nZ+_Bzc|F=mp}d>vXX5!@H~szv7FiUgJH=h! zqbX_5;?f&?_`Vu>hodO_cxf>8`2NRQuzSEnkByP z6~TQ=|LzBbEE6ofjXu8H1orLSOBFhTLq+#DQMW!K`R7mhGv!;aM>Vt>9Y#dAPap!6mh|&P%h!Ws^7l z<3C!H3y0W0`!f<;pZhebEquUR)jK;|wF;9qrfv_*De4c%A#JMj*>97bnp15eD=gMZ z`sVbVj#^du!}#PZRY$Eh)^b2C$(Kb~a(b$edG3N6?XWFJ4r%NDhx(bLolC0@@1uXS zLvN|!1;T{!38oo^%GfBp=?sI)A11LQ-MEOd)qk0JB3?d|x8wdT-~uk497t z^x-2KDajes#2!D+MF|WGbHWV@rbGO>^w04_DJ9$Vm(O%uA7mKvZbH4FpM7QIzWwDZ z-EZ2BygRb$y^L+#NS!xbEBwjDO)c~d^EM%_lT0q^m-WN`uZT{`K;s+iA*v81+EgH()wocozu$o_{E5m# z59nInZ^SE&c5OWzHy~FVUHg_yCd0QQP7A%@T?vh>=ZdFv3_WQa=PEafpv6_>+a8$x z-an;aw)1JF%uHWnkg-kGyj7$t*VOoO`_!+LJPkuOm5_s4sjjmh3{}QTz5MM-TNfAo zrO;29V{s29KP5bnF=_)153NR=Qgi{TM-Wpgo=M`Th-{5_i=G=UVYV=!G3KB#aeY3A zk`Lt7;uCA3JjzN{`bqfJ7i9eIopU-#Q^hqiXzklOcQ$5eCS7pXZTb3^-{}sE@cFNM z)wo&3zMm?47N9EQF|o#5g|G{^6ZCXDi6 zO``IxQs7NjcS(5h56?wJAk$q|tT>C@Q?OpmfX8{asPGJ5-#mf|epcZs)D z95^HFoX-O%M$Ht4s3Uk39FvkdE)Nx(?!lYDT6qhg#F7F*XS`N^zaP4b7MsHSA*(%f zn?a8IxDGska!hMyW@fMp;2O|O7|LH^@W-&Hq8KHwr&=W}FWIZrj3blI<&E9oULc7k z7OKj7Y(MNUIMbkGqZKC@G2APh9A8cLP;@g-%_6Spf8e;ny`MJ^)7+dBs;YOp^T5?f zJe_#galFYS`iha9!N=Uc!-}8IeRUk$dH;EG<}-m{uIytF9v#MO+E;3{TU<+eCy zqFb5wJYDII?j`u(O=&j3yqT{4j_^|+J#vzLA4pLzw;ifo6NEW(*`-&gE)9JS(TEKf zZT>0}i~lbjQ6~~XJOHH{#-2h#3RPgGKOXaWl7PE{MO}E?3IHqTyyH_l_!1Yovt-cNb5V))OKBNL&)KH9; zzd0`IobJOt-9v54b-zh+Ncfs5dWLBbela+_GjV5oI`nlO`vVZk{C(b0M}b6e2SkNX^|am6$;{dk0h;nSD! zd-jw2GE^6*6v8}?FotuP0ow#*DnBnA(w599ehQ253GnUB8&h`f*f9gxEmbF{I*=zd zzhR;O=0`eeE+yNh-FmD6*VSK*`0yQX?RkXeMCH33ZFvolb3<{?RwF<6F9uEXBx^l# zWYWx#aG%A<=gAGyTBA4V`zMyJ(Pi`m1_8wWKlrAPh4<~-S6*^WBT=>cNoql@{ch(d zum?o0y7XM!0+E3c=9?o)v^#h57=y^LpV>F<{Hs4ju1f>If7gL531YEgRU)qXGl_9( z>$(gGg)MSTn}787%y}EtKRzSx|NO3n-0N-8)^*P1WRGoWUYMeUk;#VbV5eH-e)nWE z23xzxp0<%!|I+CM|x(q#T=%BG$YUljH74AAmHU?E==9>Vv6W{W4HJf#7mR?Lr3bE@Rm9 zu*9Kb<%)y*9ziH^K+K7DA>>qW(D5820E=xWWO^3HnU=o}8+h=& zLqqL=K|#nt^lBzLwh=xJ50_OwX^F(+G#%hbB*X!b_U2*Fe)vHKNAUvzZiV?8iQ=4h z=&Nu%Aba>4k-aVKOH8$Iqbb)Pn-q}h?;AjIz<<1^vt+zdcdx(DmVvq9*5D;kRvXrvmfA;htM# zZc9^)t6W4Uk-U(TouOlmj~+b#<+N3AQ%1Zg2gc`3k+hN(BMi$UuCXR7Z#!`Q>Wi#d zumURr_o9nqm4cY=%H0ju4jgfSIzVrl?1>Rj1)I+Mn)tbL)D4I+@D7HLP69J|ahs?& z0~l*ssa5Ene`rO;M=DOs!21!Xe8!c`5(2gb0uw{tn4$W;J9l;o9BFr-PPXK@LoWUe zR(R|P*|Xa!%`IQd>8nwiW7WOLs%C+p%39m1su5<1NAWLaI!)iaFU}RWGx#={7(9DW ziSYrOv>lq=rn0yvE(6oMm-dSWA?CqFLl7o&J^+?y8B&8@TRR(eWaT95@M*EbyL`I& zRvuaoJ{1I6yh_{9l?NFZC_-3Puw};%ZsXb6S)LGQP%^qz!Po#_b!cEvjnoaUOOYi= zFqzCDKfiXndhOl5{jMM#+y6+!oiR@?#&!b#x*bY?ESZb5v$xf!zP>#3cbr+fz_+sjZRojcSq~oX5+Dj-XEv8 z-Tyo9kZg8DzT2l)6AiJHqO`dE^M{kGBcrS)9S(nr}M;gXt{OCT|h& zsxGc1?hz<~N60D}#)`v*3*5-21#Tp*$;Ju_S~j+jk@0Z}M7*}TeN83DzrdcTt~G5+ zr<`?#Ri?f@8n07Rm#4GuHh>3YxB*U5IS+}m0zenC`rYK(x84B(BpA(6KYtq;QH@R@ zVq83dixq;SlMs}mVAeG?v8F=fgW`ADtD3ZzIv<@aA5q!CHJ_(gkTq4<^twRwyOa%| zjz~eWrku`XuT7s(ci{H;%EKq0U6Cos`t;Sh&w_vEpLHtgfO+SFRxPdo-L9X*Bm$_( z&^ZcTUfhew7;#$ygQe$zmf)%ThSk)FrTqaugz9TOczxFoAA$@T8{@bEvLc#xcaRO= zn$|w@YO->e#R71v#rb}6-*+nF%Oj;61FkR;aP7{%K=rz?F`zd?eORk9`venv z`<7JTzf;qvmv5~pWIo_xQBNh6<=m}%^^r|$N04Go2Wsy=KO#aZNhDQ zeTI{yhux|@gO9ZI?tOf8P+AMHV6UFj;(AE_v3Oj-?-9t`LI=isGk~GEY}gJfX95n< zne#D`G+Me>iE$wykhWGPxIH&B;gQ+CY8}IaWHe4BjQ?r00!@8{d z`|kvU7zq2)`-e#Ld7+U4CjR%tTN{!M%|P)^=jZ0G^j~OKBs#n(YqoHD^3;NtA;Puc zXkQWT9*dS2igE%p`#1du)Kk#5GRo%;hj(UPNLAZiF^P+!?-LlP{nZw?jk@CtlN*Dp z=8PzoHWwd<7|o-+$TP8y&MDZ3<0jp7_0s&Cg8fUK`bC28ipe5N|NAs4DY)60@;`L(b?Af92->9=GS!a-$sT#(2Z_awoTW$rW*Jexy;v> z1xHGsYunR4aM`}-AgO5P^0>zE^I+S$bX9Pj!aaxV1O!?OQkSrZi`$50Vx_16H6vz* z!I)(d-11_)d1i$EF=ejh1JrjF#hAWafmxAWp?hwd>M>h`#clK6b902~Q4}cvY-J+M zVW7gw{9U=w-Ob7fMVnDKv;syxhlc8twA3;Ityw(WG&NnXXU36A_7D(1XVQ&%GT^W6 zw6tlUWuk#poqSgPw7J2KDT#9gIfug!H~BU{nF^l@0z|K(V`8%IX1olB zce+AS3~OWhVG72Hwv}`hhg?}zDhG47a>Z2?t(Z5feEKjauUNv!X5dYM)mQ_Nhr7Mr z4!o6Qo-n!30un!h{i4$R`u5m=7aL0ob^lt=m2NwjYQZDXF%v0o6d=L2w!U#9nqE_V zj@9UeR@emHeHoi2NbecJCuj<+-H7&`*{O@x#>PhEiQ65IOpGW{Ha(%DRyaLh!@)sw zVyRE^J2Z6p%iE(-v@l}sS{`;2F;X^7>Bo6=o}RyExq*h}9q$|v*~y6sPohl_y8a+T z={_eX%mn?fur4nV1!)pq9Pz%--X=!OdJZT3AisB*K`#j3racN){u`3!B|DMCo$tfp(R=T3DE(5@8FjwMy9f6@l)V{SA z8ZDOzhgN&kLYY2Za7}~?Ulc47zrS-Uv5pEpxm0gS4ihjF9#+th?RfjbzJo-hnPVM! zIQ*n;k?;p}`-X;HP<^3jGR$Y7dM~{%drwKCy3~4p*$nipzG-gG=#+T=efx|dc#ky( z)^xffkWD*xw&G90H4%F2ETVS^|IumP8~z}fH^fsY-EYqPDw~i0@e{9H zw!`G-Df~rXyYmxyMbcUp=1Ta%y({F{^zxQ2MdeJCjthG0pOwI(TH7u77yS2hIsRw@ zKukmClgXl{+U~o_l9mwCeZr0aQwH>B*!Hl~{QA5_`l7|+2LE3Q?YijNWh3;zAxEFz z)SCqb_afnE+ne8}WQ3mhCnE=38k)3azeIe?KK$nJT=<>*cIPJm30U^UfsUm)@4v}9 zzj-?U;`LB}VxJ!0Kk&6hj#jK0ejex~UpePE&Bm8MG4lDk?*65hH0`GjeUbJ9Fmy{Y z`M0+9>x<9MsX z{m+mH&>YTjbPtQjF2x7}b4@z}qSO~g&F!PHbkQq|{+vG)gUexkfWc$^PV+kPP2214 z7{SABG806}<87F{1NXu7ez2zpzEpxBPvHf4o&+LRHEgZxw2rI9+}!f33g}+1G;8c!RFe#bNWsHCX1&hUbQ$YF$jj zE$-;-9NyZG46*|7F4q!bJD#Ect%vl&xMJNp@^%VthvwUUP}qTVz^3DgR46Pou|^JgQ}X74zAr{EHvsYKJeNuZYOn6G}=O zDqvO`O{~1~&7Go-Z&3k7C^NZFd;-_e29FS4rIBh!xWr~GjFg9}S))EYzfv)>)}gOK z(VA{R*@~Y+iy>sAv0e7Hp52Cwucw}LHaV;@r&+r7sDI%|i5$U~zN!w5Z&4GN(*GZ{ zpe}QKMg9f7PFC7WHOBuJ!6D+hw$##g}%!o@{J39m0!5{w>qG)bt}F;b$7H_kYP^s~GJK8N&L zp#FE}ta|o6L(mR$Dbd{H08vtew>rv6z1!#H39MF5n;cqT@Ir?(B`j3zVq{Oy(n_2q zRNKV;&nTDx652{X%;~I~Gs}`N=$8=wV1b>;E5Z&x4amImx=vnzZ7R?nA1K1iMh$BS zs!jnE>`j}tZjBo_8WA!(Gb8PSKuWN&6^S`wtuGo71X6CHl06PZ5mFk8+?F&E&eI7O z;bAn&1K=5x0aP3K%I)|Y(D5TA{*9bX^@C#mF+B-hW9q!OS>dw>C>PGXSeg!9)%W@N zsZZci-7ewoiteY|5~Dc~GP0=PQ*4W%;1MHcIJIbnyH8%gr%&&G7$CkW18|mGSD zw4~%!ZBaOx)^tFZFJ8Y3kvJ>Ya;hh>pVG}9J4vbLNhQY7mMAS@rPL8#40Ox-AtGOq zdMHJV?2U_JCX2V*VCQi)()6t34_4t!Y1;X)ceMbm86IzN#!M~1ArVqyyx89O&e!5N zrPk>F(%9_5f(b=nZK$YIdNae;t^2lb*m;=Thtp43_eh3AM=8otM5THW1L?{0zHlCk z#l%6sBL+4xKGaFEUArU+UYS-zlyn6$L%OSm|3$(9K*Epb*J>;l3^*jg8VjN8N!3i# zNBP%Inx6%fj(bF9$F20X{tNq03GL-6-?;tlK9M8AHDh1}61P=MJASU*=5$XVry_TdmEbF$addnp%JC>J&btZz3`Z3lkZ-6!gp4Xo zPfS2mouwP`s63d5s~MI0B)r{9jnJN3>4ELkKEgT9JZuQVZS<}~V8o$e5rdpH8%@Hw zEc2jjxRstf%WAFzlnI3E4W9Za?S?tj*E^S-k^ehzzsLtK6H5b;zAH&-u9+SYVnK5c z+2_90rk^yM&z{qEb-G0Rc*#AVj+2;KTF5=bhHsWh8}2?A4XXN{MmNHh>H(vO-6K&B z*vJz6Z2j2(Al~5MtsB^l?OG?^`v9uijvvHlZ_e!A3*f~RUaS=rJNNJ3U%_S`N*f|> zcOTN(x6YIsgVU9(C%yBWqPHwP>M!A-LVN@RIC2;djI>8qhw`y5egG)m!bLgyVG{{? zfxbQzx+BS8k9jqLi=xdd1YJ=sxv+2^m*K$R0&7wAgX+&tR~79-jxL9~k_qL;CYxDy z-R~qF6HglUosBa^$r<|Dq_2j2ExVl_xN5w>=&Y@9=`CHhLu#>{!V}bRidcm@+yh-F zk4CA%&Y@mK7`Dg9p`989PDALjRDroG$jfKsDREl0j(EE*fDEzsYd7Lx@5(*__%AsG z>dZ6Nb)Y$Z>1{ZAuXfJLljd&`0fp*pIVNu7_;cD{uLmrxh9sdzBTg&t=AApOCVb#p zbf~P{{$Y(K7zs7+!8*)1jv>zZx283*x0+t=m?CtcJo|}>zqdE%a}FBYE1IC5q#cK^ zUXlg`m*aLfFj^liXg!<)2oDU-+acnc>w3c+ z+1+L)dV03)|4+sW-o~?e_KI#1p21V~fpGb6+MPmwsrCOf_SFGZZQs_|fhed59u!1H zI;0zs4nabYmXZbu=`!es14t`)=n#-DFC~p2h_p1)-NHAw0{7nEd+&RX{}j$Xd#^p$ zTraMA1!1LXySm z&E$|E>?f!VIlr8&te`|3uTyophsS3qpUwhwh9^|nG^+h?(m*xap>`AC_@#}{o10q# z@LOpUNC_Zc@Z_(TCt#55Qv5O~=BO201rg0f=*8Ak*9HZg?-ykNTno>xs{38JCaJQI zkDJP$jhpDX&>h_A)BP~;Q)u{^*@ zW=ZQcM`H3pYrFPIr%_hfc;BsKSPwlxe*igAf!;G^_YT7T9+8WlArA2Rgi6>~`wGpg zj2FShHDYewytx7(=m()x1rH2FL9`O2V}VAk3_%Idv1)?CyWDY&V7xW#i!w+)KsV6) zM20cT!7cUSLmUJ88CY0>0o6(ykhlXD1qM!7JN?FwnsWUec<*Ns@JT!K|I8gA{eUYF z#3Fe8KR*_KM4f$De4CSa=m(TeR0Y z5aTK$b9;9WpfZJ{7jf}$ubjZE(Z$HyL4c&b(oTh#HL zxj{woQnle|gTd&zn+-ua_f3x$UAjjn#<&+LZ_H_lNt&39sNY^)sbWgW%>0@1Q!=uH z{E=(dGrzys3fr+1Q|9v?FIH>pY^7~>DyA7zE6BTaY%Y%n@G?qAJ(ZGN=}WoBXG+ZV zEjnx*iWul{heG~&iVK0u2PXLuvQ zItnU5_4!)dcqDW>^5HB1pfRALY(eo^YJ2Sf(DF$dUKI%?4xyXTx$?h4PZo+hWjz9K zqN}S5BZWX55oLBy=z+Pty{TG%V1$AChqo3+JslwE8^z}EmU2*oXzTqwWai(@?I#Vv@q2`TGLA~VFnbRpNEXAJ`5yAXFHt9=dee|>Wj z(!bdqdvYkyH_m^xA`8{xYB`mDt4PU2c#a;0IcV#In_9+viEUqa-=$H&H1F28(oD0| zXBnn#)WtgA^GHcn;ptp^jVvLtX-o6!kD^|C7!zF6+XZ1i#9q!ojFtkeQ=awc@6ZgG zRdb2e13_B|`2{s?ZEZ8dTMuyRJD@SQZXj&{1Mr+hAH_$`yZho=8E4)7L{!+mqY4vJ zUzo^#rCTji_>yTW3&TK(iJc+;L^LxY7lca3Ch!R0sqctPn-vbZTXgQ=LVeZvTY5y_ zD#Z7#Fzf{0fd(WZpbIuvwyr)uFxw+%B3`A#=&~pjN_(rSEXmg|c-ck5}Vpi zTS!{~X=o*gK-E=33GQ(xJvFuEys1I}C}J|{DYsfLZcfbc z=*|y>DbQ8)sVW-|lyogU_R<0wh)}6OpLbT1Pm5{-ms@&q&jYd=Dx0bcTMLJKhXnRc+#0$Tx^_vwQoyah zXZ~@g*XZ2B$xLRx+s^(JE}of_-!giE590Xc4og}_N_p4W9(?I*n}B)Nsi09SmJdUR zg{j+(g~pG<-dXt0lkSlEz`(d$%XtjHkyXdjLl%{xqM~fKB-W^%hIWr+9IK7x`BpMl z+$cLxWH}b@L!kJr?_)}iPQ&HKa9Wkf-uyi7jcJX3E^PvKF#{U)(#LZ>D-(8z_M;;l zT8O@__bHdvp~G#%2k-*kSxTHdfV932Yu%!U7W5^&w#%kTm&XEM7iBM|qv z8^AP$nrAQ>d>97npxyZPc5)Sa-zSDePC3v*0nGf~jYMW~35k*6VY-qT#w;>X6j@#7VQZx{U>HU<=kj>)1(oaDP7`dk_JAj#+cUfS*4 zeB>Av)0MF?0_>y*Y24f_c{#VKQgd$8HZMWJKI}8_P3`(BD#pwqk5XQ^L;jn|Rz#gK z%ng+uYGOWF^H|842m+uQuQr!ps<1x!SO5(dYF=A8Qs%7(f_AfUy&vrq-|X?)`36#T&UR;tcI zf@YKQz|b%yLjCJF2$=SoX*h3=eI|BD2cCEieNTZ|1x#MRR7P`H3To=>PXZqKeyZV@ zw|@cNyUZeF8V%_19L7cq@*LVCpr)2j5HI%?jkmW`u~cj(=0J~!0Nbp*TeiJyyC#@z zAUQoO!eDoby784ePP0gWvNg}*>#@c!52wS*YQ@B1eFq*ga$2xN!)g;}uuGctIe zyY_=BWx31U3Uam5j@?Zj69<*oU4WKtgM1gRN27*5fQ~l+t_67E z4cdafn-cI)!upU`DJA>$0$ z2xbR*N?~Kr!&;reG0i(CVIYb`Mvhws61)QWyXzH-DImQWde;Y=fChT*PVPV$5TyOBK1=xVDCd^C&WrI5|jb*lrS|ZKy!sgC?M`9~O#}&~A*ocN= zxv!5;$R+Kj7_N0Nc7hAa`Nk>otPzsPYEYB{XAcIu3Dq;Ta^JEf0uDxd+Z`5`wbCth z>J3G1nO;D-Uh}m8ZOx<63SHqNw7Y_j5Lecd2UIfda`Rlv2ssu<c+;#=H@7svkvQP zeODE$#KZ+0t6uL=-n==y5H~?N@CyOkR1WZRA0Dfx;8>klMArfzkJ=^Fghk{RoK8Sm z6x@W`*i^Do2gTuj0HYmk^@KW~m?LB=(u*6Q=Y$_HU$N)B$PSG%TG|IG6;N_IiG%W7 z;+ij&i%1q@j?V(8Fk=VqeId(M3(nE9oFoJhA~D_?M=ycyy(I+LeIYw2j`tVEbPfSt z<*vI3aY}h&mmJ~~H;<<(2{-BLhNi`HZ>MjDYfw?ssHyKrw50pGEH`CA^ zA~O5Vy9`LA&yU_PXuh0K56DFWVOJOm!hPGZQ{3ybz2w+~A8Zb2!?@L=28FF7lzxaf z%AhjT!72^AK#_rFZ@5DyH(BQ3=n6$Ja=X6Y;m_b;ssTAQR9&6~;xYrh?HJRAJE4(! zDc)i_qrf{(b*txnVr{JApiyTb+WpIWu}cw}K^jz>a;uCK?SRV^w1cP_PKW1%EmI3$ z1i}afz9te7oH4J~ru2K1)-=)bh*u$rnb^6|g5}bxDyp(#&xF$K`xnL$58HG!rZoJ4;|id zXtU2P+lp&LBX+6a8}zh3^sFKkvn3c=z<#Y<;KA??>KL~hb#oSUP3q(Dpa&P)ctY|G z1OFTn=2wTqq`y3#DUh286Jas!vjsM5F`Rsdk6Xxv6rg!5pw7}53~bwO8&I0cNu~Kb z-1>RKDxgIrI%8vv7=<4;-7GMaU38JK^gv6M&a zW?WhfVJ_Z zP#f}(6!JS<21E^K*H<6Z;x^X9R7_VTI(@tl$SZ(R^DVuk!!lqG+z+~t&8B7 zxV`00p$_5n1(Ir{1)R^R*4;fcXs&T~tR@+Ew{LBtXN#w4G;vj8xHQsXENOSj!e^54 z&m>vtxF|z^-*iWa+BkRz@{1=OyN6!d{@615a0Q`V--G!_@=2Q>rEWMWZ!Cp5PA%G9 zHk&_)IByg}avk>>HktFryPf`3aM$V95E4oFU*Isj!g3J$R3N&;x`ZF0*octP#$e_j zJT|@?_|gxYf;*zJ7h(sjdrcIo=6Vjy;is4Z%u(8M5x+%6l?tvL450_yousjjuI>Xc zsFrUJA&P?PGj(X_SRc~z0PKc$FccM`Fj!X!C2hM^=dR3B?&Uzzaq6s6!q*QK1~#Us zJzktG;#u~cZdH7&uU`0t``O#gt?A~~DJ5lt_G%zoy6kG7QTe|5*QwCfE-$cbo#^$%x#==0sxESH}~A(1Etb*C)+kJPagMxR6> z#+;%D@!a^4Ch%X$R4I_6l$4T!)7?n4CN2-2%PSZu z5Xh%U`cyR-`S~10@Q|G(`$PkRvh*y-`x;0ULl%PtlWu>Ldvw&Us|D z;Xx)}Z|-McLY8)amhJ`EaW~XO)}sUB4!ApSk?g{W5@+nfLx5JVgRDlaBB*+YIv{}8 zA{!u?=RAY<0HpO{F0RFpJ^u5|HmknCzR5J4-)nk_53f3)2PQ5)mRkOTksx^93^GFs z-=bNJ!e|gB4v3zG#Gv)x$@k!6f!G6D7KmKSk&pau2B4FbXy4D)N?4T9{-4{z$shZhN_>f3GR2l_!AaFd`| z7P1zge*S#V-@)9euI(xU2_EU<$STl)MZn>pI+(F zRfvlY_^sk>U=|YDV+1zxkA}Yw9Ldr);sQQ^hX83&ZWzc1gJ@`Jo14Gk>Tp~59qAkv zUsf4D^#vaL3UpCGIA{=F5&7>|RQ`8W^Vu21!LwkFu>Zw!a`1=vF;z@?mlhq)$j@n> zgIZ?k3wTgbgHIHy+6y?D%%2`jy~eUMAe7daKPY$pz=4ed3@+gz)GM=Rm?N38HHHrw9X`3Pz1KGLT4)4ki`lz3J;WY#x zN-EE8zft4{E_V+Mivyt2r7KsiKq(#%uMNso$;rvX!&=Ytpg%o0)B(-LvMu7t>9S82 zW1+gJT!J2>11QV>Lc?8&fIEV+YajF4f0dg87gGO?$A2m2^eK)A;pkQI*Fc^y{5-9ABhDeug5hrht zc4%qcekB99?L^QnzLR**1wtWE%wLig9tuhJzQiNm?AQY>cb zPgU$@zB7r(XjZlKDB!BJ#u7;0nU<6NZx@okWZ3JLhSO@Oqyc2?9b$Q)B#$JnhS2AH zKVjd?+fAgBAAK4-xB7Y{8@GH4+XdixEm0# zJoBt0LnSs=eMUAaSpQ-EH9XBQCLK@C{t^vbG64_uCSZl2$CNm&C_whna^!OyUhlCB zJ9sEVjt_E4?Unbp|K|mRv$c@Y4{3*>YXRvTBOe70I(-fB@eY{rqata2%UYDdB$(76dT4ZU24vC&Op8Y9lV`2ReWq|Yr^YJ4y z9o<|`BOUgcGa!WHwftPtv~cL8Oa_DjP+gCmvoy+Kb3e~R{_4D#*!eD;AmM;~9s2tM z&D-nY6mFIi3N5b}`)vr0UG3?W*SeCcry||3eJQucFY6!ofF>RmDI-ImNLw_WiH(mPgibLsG_$P3m55E?f3>vZ_+Y-@|2p}2 z%P*&0er1Am4Y3AF1n^@J5IJPsjhy9d6IZ3 zST>8XAPpDVAUUPdZPU;cnvVtDWhG>zZ5RoIy!lAmOkStmhdm#A+%J$HwxV8uvMYG+ z-%iDa&JVhBP>EZHW21can~G6qD*kUjm90~MQG~|=rfy5n6$#2uLxrAzOI_$^;QRN( zdT~5m=}JYM>(G&a9(q!G@f_@^`ZACi{l;duZPJLM7#bR{uD+NSiRvvKkCc@eDBC_U zVb;AkQlnN9)*x(Jwh9TFlXV07E7Q}*`Nhv^r5AgQ{x+Ns5M4tD1`Nj&Bp0l#tgtZ5 z9e{N~R3<%MzXn+|jz}30j8bSRUWCZX{$17VhpDiaFXQ8!ITzP@^?C}jqE9C1HZrEU zi7qX9D}Vej1I4=K;l>K+AZ@%jwfn52vopWDAWPTYmpY5avg75-=0xbvKrrL9Mi49w z_W7qf1!bFkcM4S)FPL+)-hf-{iFa#8p%4@;Y6f6|MnMsF-O8+Y;=RvYQIds8P?IS(duBbZ*r#kX3Ke9Ee+l57cZvAiPBOv$kH3DTPy#uv-###i1_V%PY2Vrj5RbVx&H&>A)2+R@S|CO9R)fK# zc{UDZRNX`ncS?x>AbgXh3(ab(nkh(<_<+l#;8;R}i{jMG0N~QW(zVo?+Udab?>(f>lP)42m6l5 zmF;gI_yu(7;^RTKl>wX|)R!Bpg%uROK`9psdjuCR%KpjgR90)Ca;oDx0`gufV-7bQ zMk@ArKot_B@bmSzjK-%eA4%FMa{4F;JUmoV`z;zzl;EqHFx^Vnh|p^h5>`lYS#Bm! z$ly%r=q@Q3%`Bm78N$2rwEWi^wwM@MhO$yTew9bBw87~+a*J>ICwe~?z4-+5o3^{L zXAeUq;=c|4Pf~s)sQt7IGS1tD!+FQHw;WM-I`_;ocWFrFiNd;9z5Gtq1#)mA9c+Wq z-T{0;;S=e{y3#o)1r+6rH?|b@nAO+U zn46PB6DT@bRDki@c=8 z3`rRseD2=h{7&8tjOI!*E*2Jp`iBhL;Db{StMBc+&8~7_JA=JE$o~@3e;;pWKOheM zx4%4$#{Zj7GHeYTh+DgUO8k!t%c5KkZU~EQayzEt3Oq0|J_N3{*!lRp>ey&LmjNBdt32Y^SXZE90J%BS)ZV`6 znydAe^F$WJ1qmWM*C9FbGM+&ciJID5H_HR%B-M=4MA5qK| z6TVP))RiTqUZ>9`bKhhito&-xz?MY`2b_if8G!E&u&=EAJ5v%wODKCpT!Y*tKW&viEOy;@`J>V#1qTIN;M!R{_w{4awg7 zyuZ59U;nkbpS{Vke#imcqIQ>LzZUpR>R*-^3pjK3PiAr`0xHk25v))2UKf)0Vd1VM z|GpT3v86#BSKTFYDZ>Btk){8%om=HlFY9#}?J2HcrT>3l6RZ#(qA3beEuE7`{txf< zy8`#7z!(TC-3j?Ag0*n$kKJtV@2Lfcf3NFHlbTDtCH290i9@#r8;_wKdTQF#I(m9UPINCsy!5X%Qtvvp&a<^r&NF{acPKHCD$*BZ z#vDI*_D{KoA18>Wjs?=x?MHR3tv1f*p^%aD^qtEEaT4}tPky#zK&xN?B3a;lf~?{F z#md=lP0h^@QvK_7ELM+Kf6ai(DX?e(1WN++3>dmb01ZLleZ72n)JDAvI(%1T5t-7t zkC!}IP5anEb#l?&dp8=;_t2^xZy&NK_9;EuNP~)--z@toX(>{`*5;`%&P)-h%XzR? zNU-t8P)dJD8X)~)|6f_4th>oULHS~1!`3OQvKOumdZ<;nM9^)92{IpW#2f+elOJm0 zHSpE;X#53e$V?{3fLx~EH4fSJw6q8S6J+;Ww(=o17$LX#={_kG%e;i8-80H;TvJZ%!m^(mG zC!xFykq69bN;o$Q@+v*4yOnO(JfZD%EiKMtp^88;>5TA&UZD3SQ2UcRi-@=PXSKjY z?Gf-D$_1vh8qWb#1QYAbL2QpIh68Z(w0;zq40I;&1A3pWrS84qDfClvkz$^1w?aW| zWoc!#0wT`%`1mX?yE}!2?7i4DxP#O8qC-VECi?nRMV^m#Pwi%I@Gp_Y zD+1XK8Nwn1Nkc?Ph@8nSH#eaZZed=`jF#RG^c1rURq5IeR@s{_V&lg+#_#gUQ X zr|2s%tG@*as-Pg!OzP)`BET2>CI1ZRR6i8Oo_1tu>;cfh%hwmJfmGP08eVLupBhf*Zs726ci#mDajuFURIuXj zLmk-B(bRuKdcS0f6b=d5h#`>Kar=|#Y^*+PcCK>{=((XxS3Ko9Wi_I$q0?GT3K%XQMl$zX!?&}F#!=lKNTtgE zYh!CGLhetBbEe{?<>eh{W8y@`;G1D4<3YxxS3vSk$ahR>SMcmMS*9N->p@3A`8r*( z6JVBx;|uzA3$9Phm-yS{LZ4}P6{?#nww)~e>du?Go9@bG%q1YL@KfKsB%p~izn)KF z^MmJP9(;GhYcuBq5h=z&3%VAeEj|DGcchsAW1=z)-9HB1^ro6l7GJ zx_@#=Ow6yzgn(4(bl}J+1f5nKw7EW?nB(6B!o{62)}Y+$@jXhrDzmaOzVv1MOzH6Z zgc_5i2(|t>U)J#GvJJ{W87(z_W@=Px8XX4YHl*via)2da*vf$`GJMQjf%v(&03jsX zCf5(*1O~{GMrvO{99xn6lRB0ibzQz8At3>pjMubAKn`B9OIkvr1eRzt6PoTHjk=_+ z_PYRxu#=@?Yl>QF7p&#yG@B*}9_8RIs_UoBPlZ4o^-=xZLMtseBY>hKXP*Le3?OXC zmCv*#cyvZz^55EXCo&BjQ<&uf?Z#Q4Po+U~O2O>gSh$8MV+1sHiF?=0!BJcHwr!M8 z+p-B^FX5WIl|qfg&dzRFhzC$lr1;sfFqTu_Kv2x!_S4Xh5r)>!eI&A$C>KU$r_cU2 zl(Dki@hG!Y9=+am<&hoc&X&fTJ%EV;5!yVe*82J&rkL+7Y_Q_A4QanhmnW3$;7=*J zx%<}QEzs=@hUbZTjkLjo#V-LuXu>h`t)WBx)VZ1pU=BbNDxX4T%2V2`tq#yPNPoG9 zD|3o^bkXL>dctE!TkM=GUZHlfSb_XVwF!p_B z#@Ox-93t$dW}C-U_I4)t#u~43S|zWATvm7a_1x|WBrZ@%C&R9Zu$k%*3j=?YGVQ>P zA>{#%{&2<~K<~$pND82?crI_nmPvbL^t_ro9MV)zSf43o#?F6C2=x=TbbE#3_ZUt z0&&;)2N?~bVHFrdW-YGUrZz0l$r_oE9p0hGuG?^V@fTeMu&8upg!Ba*Z`NNpl?V6` z!x@u5ub>dbFZo~bL+Bg85H7q*>6a91FHQ;89P%n1#6D%tf;b7u)vJ_e_qMt!Qi%YH z>Tj&MG+Mt^Ec!0??R|d{)9)YnN3xMYb0SVK!4q`>KkI26EKZo1Z<>meKlg;bQ>0 zMZ1BltZc!JsXB{Absrm5%GTy)laAyd3Kyu~MX&EKCCq)P07?4I2jr#8EnHKaP!V)* z)m$F(AOU65-fsl>E33inFt2U|YQ&)@brFz&IAsyQrI2^9IdhOEl!7F%`3SOz8c;-m zLE2r>XklPLjP?<9JCol&U`EmL3-q zQ)V&N03|%d-qt^6`vq2f-)uh&i?L{@DU7|mhAkiPjr!Fb=p{Qp7P{&=3x-%umjjZl z6`*@=Ghioj=cG=fltLtK3A+0k_?Wgp`k*!Em(locPc`cdMLGBL?*T89){&!+m?r4=zt zdr2(kP`vQ%H7$9N!U_!7Ri%{ho~w*HqM|~H)l{C^)X0&Y#Jse$RNYG&P&O8(g2wWL zeMB5ll?W<9h(gj9CE7|6tj=mBc>dKiY7DgqWDuenmfRCs1M;UC2rA zBMDKg8$hG#FPcYq1p}l+!@66SG!@Ik){x^>B_P#OoeW;EQwMNjNR4~*A1Db38hjg} z#F1{+Oo&|)lr47yNEf+;>J%Af3~;U8Yn}Lts-)|nKd4@IXP+5`Y~T6MFObt+v*yx; z_spZV9~ho|a;0!!mJ0lFu`S)P9pbkhQ#Y6}2|Klp6BMKASa^W|VE49DBaLevOt>)t zvFP411XJ2ay`?~zZa@iKj#CY58S#X}MDHMGvIq}baplCctsKkEDa+1pC|KXs900ZVs{w@6y2bg@JW7e*PEH z6hfu17{`ZY={XFPKtsIHqDcLD=c8duX`ZV6(k0Rbrx$UB<#2&Q?2GJ2WDIvrdYGNm z-c>@ zqFoaeAL%j50%X?`e<^O78i-v4O7{a8&ahWT7UjVtfs$rOE1*qzR9@x6@sx6Bo8Zye zz1)7|=jcxB@2hC{B`O^eu|daWznsQD%K^57&Cls)7nC2#WHteyz%%YzPD_B1A3 z{cOr8$Y5X<$V-8Yg!uP4EnUv*9BNN797mSsC0DJ5lnr@F;}1uO|8y$@*E zQP0NN?)#Sic>c~4*jMTrp!n3$h0jUx^~`twS7)Iu15LzW{Ae1lVNqx2-RE6u2JbAd@M zA*_>5_eLpbE_CK6NEtA1@#Wf=Sfr9gyvtvhpdvF63gc7|Q8Fn8IVdfy_=_E1a*7`W zl_7R<#oVMWdk4Wx(}U*}THJt`o z9Y9kx*bnBaF@2Clg{xYKiPos1EMzW*+t=GQ3gIjI7eYsIi zR_f3Q{|(3vJdi4wmX@eN@@IwIoSe+U;j>D7oSe!UW`$5!od8|L@K$yR!g|jR1Lg_F zMUaJ`dDe*lfHWHs5zLj`enZ$p;%9G@k|N~7cxN4*_qIkIod9Yl0EIPcHH&((r3=Gk zBEQnljhTX$4W+ySCxgz%@3$!Z5H7|VjCV85lZdDojdhqy$-f=}b%5OW%yf2x#(8-W zT6DbA3l6(Mtr^q3rtwN`h2?1BAVXrHClyn;(XD3q+bNAU+^K~0hN6N5PE2Z>=Rizw z>;}0}(6?^ngyiqr(tX5uNB9~YWYCtEZvXTIMpnuaO|nv8B$Hjfg= z&DN)8jEdUB&FvSkKss6X-O6=QgNFoI=>bc7J_bx>;fw8E119i>(hw+;buH0Y^DM!XU6)owH6;Na-)?&gCcEwC9( zD}GsLPro=t{P6r$KIhVOkSyR@6c@VSxdlz0QUKHGZbE~sLwYDVZcO!bC73lEB)>qO zfLx6B{mnhi4*l%@_5My9OTet=0RL&aHHuA|l0eO>TQ|I34G^VZ!ta?c=88{M=;im% z?d;8*VoBW7Ny?eM6ZstYbp+S&09%)SxwxZ%b6i&@@uSQdqDL?%%SeJyK=xSmzua@Q z*S8}@{8$3)-TY9wA_^b~?C6~*A}f1OGdn6v4}`MQkmDdHaGnd%AN0<>Q?e*tl9TWc zXYx8lZu9rhYsyJXl0^yOy9`|ibq8)C2+K!j@a_X~FVqmhme4o?6#C;zaB||glmV-+ z58(HyFv)j`1{oJTOA0T8R{7&IS^isXRUoWVyd@-N@$0l395m=R4SOW4@T9R!s@v2T6IYO8#y5wEmYXx5g4o`uy?M*5r4NU_*1!tII zwAIJ=0}fA1dcSI@xD+H^iBNfvuhDIIsoA@BIZeV~XXUH3wPKZwEFR39PnVsP9h`a- zPR7FW$SEUL`z*9CWi>=$lFOI&dZ8B_$Z8482=OIX*n#*uM6udjpM4pBH9@6a*Gr;o z6j~EptzYLopl|O|yR}h)-B|HPmwoAq?hk|DNdGjgIXb5JBvmHL8lmqi| zR*N{O2u24Jz!I9)@PEN!hXDRBwwz9R!#D(JW5keT^`K&55pJJ-lKhV3ne*GnM-YB);&ZP#S zTxEoARselNKU;wMnuTu?EvivwK8^^(o$mk}4{gZ2^F%c!T&Qq1zZbm=2r9);3v&ga zt30x%G+L2wjME!4cW$u;t{zY*5>{RT195@F__YXd0vvm=6*D1HqY{0V$m~ldWei%l zuupfO0>FH}m@7Oe2!X6Z$OWVOkZ}sogn16*6}Fp;Z?m!4fM)}#m1vMr1HCk;4gP{c z1sC6KO3I(WU zY;;tgPc@O*e*zzKjk~d%AX>*GZw=cylN{={^JL?oyB` znPP5*I$cucjG_8aq0e&8X_zr4L-n}X8K!fRD5=8?J;==ce$BMzF0u3JK_a_Ino_N5 z{M&jHj^|dVDM-DAvy^XV;Z?c1Mf)BM`cXJf@R>^N@{q>fR(RF!pPr|y!@mlzmo5p2 zA7gl^sVT#+DI?`9v$Z&^Sk9s75Z(Q%SE8}i3u=hNl+j7dTkG@mU1Zr7z`sJ2m=!`- zo23&Vy}9{_{Rbd^^OT#khO50Skcc{UR^9DS?#*R2`6Ia!aM_f-A8|Y{UuE|2Ym)7N zDG@slam@mkDyu3hA{-jDv$+=D!@*L_juqUF>D{-Yepm4E{d|&=71Y&X3}r7O9SH1C$vEC$ z@I`$PhP?>8rS>j1ye5}+8yS{LnY(0LDiH&<@i5EpFeq$m{A$fM5eW%BIY}@%RQBBw zAwG^H>keX4#<`$1YJMI{%$&-9j(JyW1ALf8^M(!zQ=lHvwmxGaSe2Vqhi4uKS%C@&qTAr(V^5?Qx zt|*AB_S;BJm?0ZS%U7N^0{14YP+k#amt5G@_WGBUwVR6B%r`uUqap#SymQZ5yK8JafCp=GM%A83xzzCFc zmn<%vX%l@a6{H_{2ps^Vjh_W z)1m4Pdz`cRtUj@dd^BGskJ3%$B2A~DuDK`s!)viZf`8s4mMa_5$RdPuKZ}X;C?1xm zfo+VL>Bd9t`nSST>O;!IuWqn5?X9HEj$@ zBD14q+$mAJO_!%E7S#Er@d-uXCO)^^vGIB!AF`^;D`32T=^s3Z!7(1g`qbqsCAg9B zDOTbZh|(|*n^EEn_6;4$U&5xUx{_(S*%SvkBJ%TTTSxpBL=Z4Bex1_qDn9qN_cc;P zb!yvJO3v2jcMn<`@V#`Obr|h#V}_!75A?9G4AVb;{0K7}fL{|*j|kIX>1$dgRxTfP zs0jgNi8&Lw>CZk%gN^anbAP@Io{ol=mKMfRLKwlrQ}yyj!?TzEK0W%(XS!mF!~j_-JrpDkMPx?#F1*hm>`eEzTHUX@|EEKLK@JsMSbwR2ci43P!^E{eK@fahri7>{LxdzKam-CH{cbq7o_&vY z*T}FPP9fsjzaOk06Z^jc(XzdCTdfYY8wbBy;6d)~6VVP21Ud_l8p{;8I+Gm`l3Y=@ zU}zEtN6|fki1sfw>1ows-g1xPiX;utN3d|Md|MQ4eKnGpcQom6629W8>vUw$9$eV@ zxA@+Xzb32Q$Tx+4v)jLeN8mUs%{V1|pkeOn8WIsfG0jvSuswzA3|l`^21-aqADll-LDHLGM`gjte#AFYxFIa{&PCOn3N4<@!lrh z<&2VX(*!@FzS9`n?Cgp{|56 zU!Soh#?P_$C zgLiQh7y0E&N!-sYM`EA2yd6O~quVKHXe7nN>KhswT3Xh0X{y5Ua9+*H99~a~5fhZ;BWWZwz7{&Vc#<`eGNnl`#pH7bMQIb5AE&kfJ|BiTZnE4T|LD(4!G@m zAI`3%yPFN8YFja+awtf}hly*zJAHcal<@hXG@|PeXsLnL5QK*CdBobEq@#$t7%b@g zD*1r*0j}l&x6vmurqenHi}FJot_8Y6!!OE5t3~j+qiUbszI(Tg3UjyMWm|$aBy!=A zu&s^h{O*T#bjTMmMD0!d-x zP^%IS8HQBvClY=STGN*Q=s zDpUX(8q4+VgoioKUXx+69170&fk=reQ(ghm*vEb8br|WsCc_N@-dFDrb`I7YOoW7W zhlyu*BP4-MXL<+Om7=1eFx(Bx_2%he)X5~~>LWdJsFvlc3KDUrhn*$*(h2dk>YfP{ ziyT6rAHITdWyGMJDo;vH-S}(tHQ#&YmSb2yEW!L|m~Lkdk@Ms9qJ@Dp2Bd^p>R5eW zeTr%^z)`%1!=vQ$XzcCv+xGkikB7w~2Co%bR8OBi_4lYlyC*4I(!GEl=8eJ)6Vs~C zN;vZv=IB!_s-TF|iFW5bLDyg-9qZs2(!ScPcIR-NwUkc`aw~%17ARHO-=E{a_a)YX zlW}?H_Q#>zboX^xl+;U?lBUd|GPHZb zTVYT3PYY=G)}^cE0lFLGClAzKT~Re41T_J+puJ1dzmV3=Qi^W>K-6*C%g--^6*I)Q zd9EK1hvYVsqa}TEmHFZKz?RtGw@MEWhu)2; zPV?aFp3rC{G9!Ysq3v(fhQJ_s^{gxsf)y_jy$J36AdW!hxV**WbBDdwm#*@9>f7Fm z#yg(v3;W{F&vBm=e8*)~@(>t{qr)BzeZ4_~6J6}T7EJl$Vxp4*eu-Wc~k z(1*ct8Q(?=M?!lQ>)yj9C#@i1h+1jGgh$` z1RQ?dRgxqmP|}KOX9rgle)W29a%GwqPCzxwxif}MQEaUkwEK?|i0wGyWGuC=b{~F_ zH==!^*%E{~1Anh7VQBB^$#nN+wEGQ@k-Xo-tepVZzz{zamt1QEF20{7r+R_=>k3+4({A(S{I5EXlDo@;ey@>D(evL6z1RPYYZ6LUifYz()G zgXK!X#9B6#Tj)N-j{^`)GoWgS3RcB9x)+389Vl1gK0I|kz%>WC!zB%18z4v6@vDQQ ztbGAS8`285uwX%*1O;q(t0d~rXbQ- z8NF*feeydgBq;LUJ`N&~y#D&^^?K|9`nC)a{5ywRj08@QTM&kklJdv2Z_tA+urBJl(`874+XkUiL7j34rI6uwc*m~-&h$yas6tJqpYgM*PcwrCPq zZdmtRms-CFe>u(qU0P&vDY(kTw_Cr@7wBNc`sr^l#tn7roLoFmx5X;ev^WvR(+>n# zpahN;lUGtg$nHDjlTlc7+xv6ZJ#)R)OV=ghpi;&R2PMq;fB{u-L5RhGY`u%ywqOg( z6LBuCpZDOwa3ztGL`;mscHxPvEXe+1-D6mkHLZ%Nc0ZMiDnDoFS>gsUD-2yWs+U() zT@-X2au%<8g@-dZ%G7s8kC3pg?jSyUdlFuc1}|V;g83m#AH4-HDCIH%#xO)7#_BWU zHOr+}z4iJId9Sv6!n*>qk6dlTy}(>cMJ0z?!e*00)k?h^`peOMyZ+oo!h=<_4VeF3 z;~j>K@jMA{G)%x?j0ipgZo^%Wt(2haOHk*6^+SP^$Eu6Gy2yz2r4?*xFhj3?@2l2Y zMs5rG$x#HH`}^lc;e*s4+Y>w%qjfLNf~2xIxb5RuHyFr%YPCz+ycE>ft;)^5kkYeg z-&W|j23cd#<;>H7#x&={40+(;1dK9qUu?YLac~&B>RkxYWS{B+HBrR=@OCBZy82d-D~tc$*F*!%{B2d zq2#ED_O$h>>FYQvMiIvMM@sR*L;EXmQ+6dv=E{DiV_)##nV99!YgHoByT)!3;19Am zgx<>x-dFsOQXhOv2d;(hRMpv9{}2dS@0Fr@o{?t{Vbb0CPO;nvq68D*>Y!^7^%u5{ z1)+aCp>+Zw>MNnOC1FnjVX+(m=N$cP3*IolbrR9R+T6HN$1<)fUR8f{1yTAI~uJqm^N+9UDbFQcG`q>_5|$+(hpFjH^2?U*K~SrVG*4F;v9Wt@50dcE;!lK z^H=J`;pECV*JaXyPc)Xm(xBq!|4vvetxX~sv_xY{+(L!V5;*%+x^OvOC-bV6Zc|vP zCz}(=xae2fN^$L78>Th6kumH;6~#{*h^u`*XmRlJ?vh{PJ?Bwd&Ixgr8;`#%W|0u< zTVrteH8pQP{{)t^Dt_+-{G6%$GMZ;AuS-)=`7JLm=Z6Zb#mjW*jhff?@g}S@3Oqf6 z^~oMvA_$t7+-;(j?<(66)O*ni?zE47-zfvE49*Ss&H5Tv=X;U(2DnAjd5B_1SSyb>n5)^+vsfHJpTd8MVKlwC~y!!z9Rwg@q4A?Wf6oo%|q&N)->tl1E{E zF`l$ai2t5!$};6{c#gmj&HdFZm3hE^Xfw=FOEMTAiN z!cJ-3VBVq?FB4i99BIHq@vL1n8Syn=_Hj3P4vTK{^`}*Z47=ux5o~vb&Z^1fNm8uz z@G%;WR3E>Z0@U-8I92PeHnI-=RAzOT*=A>IKkl~VWaeUWl*zU)ow=}D1ZfexDzy|i ztzC!SdpxGX!O~~YFP4!pKG$XFi*JZ-(l7kjZaX%n;^5$5hY1Syv1%I>jEcyLKh;K4 zw_z6Bp}s?msT?VxAiJy=F@7gu@J7Pz8hs*7t1~o98lL4%F_W;YW!TuSD~Q}K)s{o_ z^yCqy0{cN|?HRaJvL<%8Q(_S#D>IfQF7L{R361Q2jraZhh`P)MN^B~!#I;T1gQ)y+ zb90MA5!pz031-fPj!!ftyADhED578_6ZKzGHr(G z`pI`I+HKb&2nI@i@l#z~5+C`jPu)Q6r^99_%KS8!S@z7L1iE}WpDRk99M$Y3T)*Hu zr(63H+t)D=&LA4$$48MdU(das>CgS(hjqI6Stga)|HzYmzgTnm%S29jC=(CEbaB`< zeR)v@>P{moE6mrx7~gjj@(GfK*3#6}HQ)5Td~fF(Ab&~g^gDGvMn<%;)=|tb^e7PE z7sPIwK|)27^g*WwCZ99?V+UbG6ySwWv^kWurG8KDJ%cexYvY#JUU{f3g<0Im3pZ7D zS$wkf#1wP)D+Bq+@JHWq@dQVekeb^lMXAO@#uf^CrYqkxci<@+NofKri%q{7z9twl zDK~_7qCZ9Oh`&F^Zt{U F{||rmRC53T literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image-0094.png b/docs/MIPS/img/mips1-image-0094.png new file mode 100644 index 0000000000000000000000000000000000000000..314a9c89a223c3c60ddebb5bc7b92618acf327cf GIT binary patch literal 31432 zcmeFZXINC-wl#>EOeq4Q1WP0*1rY%eEs`Kfaz-VCfaDxh5EKQ;If)XABsqg3NKTR@ zBa(B@q31@=d++IczptO}`~B>C&UuxhYVWnzT64}Z#vIGnax&sX_!seUaBzqu?u*Fd z;NY_1;2g)nJr3_Qb#B?iA9&C1t6AgV5LYArKNiADd^N{=vD(%Z1`Z#i!W9x#Le{llqfhAkIct zf2|s*!#x!ji^eq&CbY-pSCXsq^HwDp_1b$8f6J@cz|t+fib6dOZE&4zkIzqaLS<&Q z;wt0w)He5+8bj8#>s_PiTUULUQ5xs*&e5Tjy?$n2X5`R(%$;=0myA!3F0@+mccI6v zP)F|? zJ+<5-UhT^(x0Xkr%Bbeurg5S4!C^z;PTf83%wKn=_ikHzPurQSF}klFC#o-#EZP+)x>QW3-7(c?PJLTFu9xT{cXB)HTl(G<4wqh{XbvUc2wt`0Wva0%)7MW! zpZ(Oh;y_6GRjADR(PWi__2V$VLuWUi{g!KJ(u7A>g=wZughQ(@Ke>E8_<~ek4bd~5 zflK}#;_g3VEKe`+<@qgccrtp*R0&tvVt9XbU%mHwE19YGg?S2XdY$NwfAN=$_@qlT z9=msKQ{K*woc?mm-peh#h<0u6v|8vDb7SQxA>%`{Q$hnoUevv>-v-*&ALvEJ8DjfV zONi3$Tkq?-jb$g1Oh)nCUdeUuCh490YQ5DO`V%vEx%*X2Rf}%z=hJsY7+Sa{r=u zE^A0o>_<1vrKczALa+UacH_gA=G_xGaT8y^eoY)M{%nA=;rylcu+F~a z)iELJ2ApVtst_OFF)9v0)QAR#=#KHumkZ%1E)~%jju4kU7s5UM_%eR=OTBAn#o|tF z7GID$#{ET84!_|m*XN7rXQI9+e57=Z;({BFua#@N9F_ptz zlW4S}=c_3?1|Rw-{SKg~$rIZlnI*Yz?&*1Xvdn>(DIW)a5Ixp`A(A6=I z-Tv`<07G-Ryv}rt^i2Xw3b~1=dP1Vy=?0N+?G84_BVWsi`L=IQowH$Af43ku+paJr zy2ju4uHx>QYaYzH{NcYb|$}8kCnJSO6H_z8y7qZ#!JD>e;olX8_ zGjMESMDF&n$@BY;pRK{zXB{eAq1JYzt3Q}Iw<~iAO0q{j%5s(}Z z7FZY%RTow#IM#Ze=nYSe_c_`6q^3{lpE@75$SueZF|o2nF*~!=G7$t%GG!?ZCA-LM zKVK0ilO_`&3zfva5z&9$KpYapQm8m2m#WB$72@$yzpsVKNQg}uN_La`l**6IQF6rQ zDzPffDrKcqDAJ{=VXt>FV9C;yQm7S6KXxa}C>P2brdoFzrmA3RA1=z%w#6I2?e_Um z*P)u8{or@mcW&vxq!5Gm46@c06UxmUk^V*(nxnseuryvZ>Nhep5>yvqH)StSE>JG+ zrpX}Aa5IxOQ<)c;PwflotIaR9y++MST_BU$A|G>tpV#Kj^u=i|+wBrxn^~KzsfwOU z36oj1J**x5Y4%!+L3gx-?hA>#7rVVY5IiyPrRDPXM{mB0z2rXaN|z_*;6LKWbjk8j zwV7~dTg$cXXC=RszuA2|MyH#Iq6=u}PwWtL{m%dW;Ct`)w70SsHY3}lT%=Djq)A0e z$9CjTOF414vX_SpS(q4IG-0_u_@wXgPH$5m+t>8!r&+y%)^GT}-Tvkh;^^yG>Girs z)t8bypS+O0K9sPLt&u0xEOap=JtHukT$M*vFtL~KsvxzX*x*5) z{?Cb@=AX--diNFg>h~)3Y2}E|56++3xHKmqeooBE@4R0+P49U8=ocF%s}x&@k(A#T zeyNWB9NDr8u1N`g*eIU=Zf9a_B6v2hdAh}@x&3kC12NurZu3tkn`|>}DkdF9IbCFSxMfSw2;~T0 z|7!T8IH!FUChLT@yQ6@^q{HHh+s*GzgzilR*Zp0ZTyEXba4B(}t}w2MaxLAL+P~%2 zzaPhC7SY=@*c5h5=Gdsmw_}TH+UXJLLC;km-G8L^Nc_El9Hwn2e)p@v z*NCtAU-!}G=ni3-SLZO_gu}e;vfusGv?D4NYNC5;plVtq>7co_v*dl&?(C>fQufr( z&)KZCL+|q5o)^0*@|?-8vmwQ~y}Laq(f>P2_k%8rZfv!mU(DHla&h0N^M$MnS}u3@ zeryTg-NXy@3>C?*?yQci-jsMQ!5Uan`|cvI^+1i@OTBwmy7Oy$QWhIm448<8yVCP0|g6 zQOUt!q4pvv%uQztCN53NHZPv<^_3JI3@T^HiXGr2rm*0=i_dWW2D!;s+HJen@5U(V zUtSsh(C~po;aoCRH1@jEt$f$W!93B9Sn@Lzhc~I?T4IK{zS(>qpd>NiGBl6yYLblW z;_7$V*n{1QnV5@y5D~?_xp^)*kc{49lD^qA(&C1D%bs|p=bpRux73zJe|yK9L&H;Jf;FG2&Hzc{dz+sqf4<*wkL{&<&~0 z_vaM6$9Mg(`jNHe>KBRj`U-`JWSV>_lVWS9foao>>lxIBRjttims~b%F6CxyN4FO1 zPL{XO7Jn&fd)B`H#I;mo_t)^Co6x|op@;7EzvX}Hw>C=0=<>^IE|*%(n2dDg_WbPO z(DpAIa-{q9y*aZpb0MlMs?~ga$jP>JYGYEsdg0tc=%7NSiskT<+d6H5``ool?W66oz`C#SyA>OD zlapkB{7}XDu;?IGWmM&57DZ*dd+zUy+5XVyQ_pu7SB6~ObT;lCerxf6>u(kpct>#m z_Bg?GV_#N*PE>`Ld#OM;?=7ZLAHE!&V}y1$=uUX_2;jssoVfdPol?K7>>!9oL_4nP1g`9fMdIKD40ZYFlck^v&AL0%J>R(7MylPoKeAJGoxGs-X=V1TFho5s%M@O z??lFi5g$kg^+XF*@2^tcSJL|0I_Z9l)WGFIin%%=4vzU%36Xn>4$*Ui_AckU4r&iS z(cciwZgVEPq#}1uvBZaoN$dPcMYi}CXU}sA=FOgR{}6BF!76(9Ze;KSJQQxir}wca z()(AgS2wDdT=TqI*=o^Bx2jx_hO~be!bX7VfHT|gY__Ex#D@BYd0(c zpTwp4cymtk+~VE*rHBZ90`F$?$YN^`_z=#(RF95(J}u_{sl;7mYQ9|aL?Q1-i{m2W z`QmpRgKJBWWRt6JP{6COGr-m|7pldQBA4J*lF-4Qd&yv<@DzMbuA#p2qWoMSzS!nj z_|fArE*k#8xwW`5-nb!*oBGIx7P;Joq&C!(v|cIheiUZAPpZ{CGjg6=z+$519`aiz zbZ>8O<^G1oV?59F|F{&aM5hHsLHINtI>)x?NkPw?3b&xf(HHg)_EuZ-^78a9$up(B zU7$wpNk?`OgZ$|s!)q~AW-~3Xt{%B_%=165me|p=As@mScyY9{M}IF8J%+BPKl&^D z$Kwg>WzT>8fWvg>KkXdyKXZiq@(cT)Cq@45p@BNOGxB$w!vFMT^Lu|LlTNc~v(lyV zn_1q=bZWcAVR!i4g_lPIXsN5KyJf$i>Ehy|si`R?CB-K9rY2l8N)%pW1g-WLInJ5i zBhIH*2uTH=Igsi`yc zNnXExEn#5zTwWq3&MLD(f;HLP!C@yhfJUpD+uq9>g7nNK|Dheahv$eGak6ct#G~1JP zNsw>x_1Ndy@84f}dPd)IRUbNQYs!!))Cx}~hdG+y_{OZtgNvl3LGRzc4-a?Pm{T*0 zz!{>e0aCjb=i3`YbN{(8}%9 zzm5zJK2Jfxn4H;ey|{H)Q(X-YTfbW+9Rc^beG&~*i1X%sKtO_d^~cx~_l`fW^vX4C zjpehRSex!}KiE!gCxx%o_gjuuzyAFBGpylJPWeLm=T^A&_4Uik%awa8zIJpBiOFHl zKhV?D*L?pDSNJ}qX0Ph|`ZXRNo`jUtSUnP;u^va;M%0J5Blh&;wE?bp-{|bKXU|e_ zXkK$_pzel6l8xafqo!`AJ{sV$KLbQjP}G)(FBKwra%5!WXzs^}O;?hr1nk&u-`?9C zc4tJk0n_Tytjl@Ay6L!Wf4YVC%tJ+TUccTh(_B<^D`La3aww1nl%c|dsD4{Re1?}6T9xt7y6R3?<~3>vc};Q z7Z=yeeOzN_Vq#)rdy72KKhu$&oegu**qdt@LyV4f+c8$CcumUKm%81=-D>qFJMIPEuo}DHRoK=hx69M< zRU=5L5Hl5vVB&yUk`4 zj(z(&?RNU)$)io-fct0ne&zCfX3qc9YE*l!FF);z34AXnU74S8q49@mLjeR*eEj8P z!}^Tdt4-Yb%|mXx^V1#4?rZJhiDK`ZwtjifcBS=vln7GJP&XSWYFFB2@mm-yO>Mh>?*|nsUZP9+RstSC*F_(rK02 z&A%eL)Hw@V;K3<1R`ztw;vdCsH2gM9SFd{h+g{-yK`)eVY8y9WTb`ddBjv6yU+gM5+)1I5;pRbB+_am3VQWckJ&Nt_j1qqA9+ zLpl15%fD;ahYcE(bS16}6|0wIKl*xn`WvJ@{Qks)p_#1I$@?Z_@688_9!3u48v0*M zf65`g1tET_+kU$!nr`s@w}pZ8#q8{!?|+8|1fb`|#l$2JVSi>dl-kZ3s2w(hupaIX zA0A}IUn18kb9ksMajLNj;xMgN#MIyQ;J3ffn8)t!ZvTP&SK=77XL^QCrQ2YMt^M53 z$7&qTw6~r;g1!CG>)46YY#Iep98}X$>QNyfA@AQW?L+V`eLW+8@216Yh3j)&wjW<# zo_YE5B_0~~^6kF~U<8Z$0BZ?zqZG6vw72w9DaL--Riy!@Xed#fr2q9U8LRT`^-hIQ zSv6G7*4Hz%s`;yb%OqI=fr zwl~owtb(lXYwTRzlT6X@%U%)N&1Su-fHLND3=c zDClo6e4!}~cdk@49Vl9c2T#tm2tCSlx6k}ZkRw&7Cy*OWN*up<91A7;IL>c7bAS6i zT3FlR*D07CxBVUCj`8)`p2FyfgN=R*_NX5wZY%YS0LVJ9si-Gao_L|F(?l0<$aO5s zc%o$d=~-ALOVt$CXSy!ke45g{A{%?VH-~w@yh}&nFyOZ9j?HX$Mt*+2N!QB8Mkqz7 zcozhFZPA;=lNj9Pc5&KNE5}my#_?NeXGApegF-?+HJl-#d8&5DZO=jJV6|09XlE)h zLp?vRN4mK)H{RFf`^yiEo^{R5X2TWbos@!3d26*+T_i$#a#`AfYF$vOf7kj`bYlP} zEdBcSDKdKI&Ye3>{03e}0cHKS7I6@wF~Y)K>Gm*cc8ktjyLojU`trx$UL9v#fT`4H z4$GI2kjS|}PR>A&Rd0xjd;5>W(uI--4WCH*3oTUE zfB*iizj*K7J^GuW*LAiH&Q7cfOGnl*~Y(VkS~e79!4izo}>!p?Y!wdj&DFi2tv zBdyPIX^X#yEfe)6|6wrM5(A}nURG#dQ9Ff}>Mf0+x~$N;C+gR)UqqK~2J^Ejr+-#o zuV;))X@>2WGU!#WY;K;p!|pZJl@=E3r-nwV;S$vm;A470AdKrc_~`)!k5akA@{qJ|#2IkB^JnmQ=^y$S&-|S-JPaN=Z-eBa1~BHpKb_^MUG%7ca`n%07Z!ZAm~Fu$XCc&w?XDn zF#WWTRZ5e5?Zo!H1%*lB?1bp=&ygcQfA^=gD1Cj?`2d-|xZ}Y~suL|SX_63-2EB8T zMwPZ%v&u$AEPVMm`st=;XVWfHaq0P(?Vq7>_`>4(tfT17H)*uU>O`}~xM_E~TGUOm zB&moTm+h6q-PzR$sQY7@I}l<3o(%cIe*E~cG1r?&^W)L3!|UU~1!7${)Yb>4p^B?z z1Zt-?Q?RK%au*l(qk6~#1JY3e{dEgk&226OG`_7zEIvF2jN}n zFS+D-;;Q!ZeO<4I_^)&9idzBjz~;N}&*~KP_q{@yUsSOyV)iup@lm29N%|76xn`xC zs~Q!*%@ov^vB5&@q7CkKASWIkI2pHDS<`H1yIJ|1qI5iXJfiU z-0Y6?#?xJazEb-vtnuyuf#Cmrp)h4zqrN7``+9m*$m1MF@f-&;wFL4DzMwE0cMMyi zcNZ&%^}Z#bFt4sTEIn#$hE0VTECHMT0EdC}?*D2TY8OO-)D9AHkW{BZ%d3rRcV&YO&R)NGG?k z0C7LSQLwcg1>Fx^jt0N`#0}O-Kdo@c$+SL@zHrbks{ShqbCr?NeRI&BvdKKir4JC+0`RY^s0D*x0zhRA_%qA>R>#e16fWC7KVL zq-psdxw44f^S-RCthBVWq~s35f!*C9yFt?>AtB-MIpQpZXA*Fj`rt3jQU$J#EO9YQ;D2wAqLXy{k~9 zdl$Rh4_4zs*)^~Y&B-S7Xd29y?_5` zjgbnT{0-~%>kkiJMMp+P#>Osez}Bqosl*HS!0t_;<*a}?jW7Q2j8yG!YFDOYR9-viUy-`y0r zE~I^#-LuWS;yem<&G^Sv=2QUTP^89Pa?`WCP!SOk>W|IM7ph;A5)q<5euj+x;}^An z-T9(o&EHn9()y*OWBBty*ze1e5LEpDoEHYv)p4EGs_6KM6Rj}73IPDQBpj>QHv)NvX-Vei!fdyk^OCED!D`}C(AYj2)$(0FxuTwGj% z2q+3gzp*G$W!EH{hVtGFd z-$nhU^;2P=^z?Lq1XxDC#_gr4ME{1G4_%8uF|ddLK-Ll?pt6tpnsNlIa@n=36=g{tK8>4Ce?HQf1OiCSZzE?rLga(kzI;0?`sK-rq>B|X{ zt?ljkpV>gPgp~cS%en0>iFqR_uEtGSQI?{Z8aRIM*>=$zW}w6)Uth{J`BT*0^hBkmrvBIl8YSTfY26zr-r#Q| zz#dpPsJpyoeR)pnCd>hm^35rzE4;jgz+iGrpfCV<^~(Qj+LH;}DpgOE|F&TZm1C6o zUt#}hTfA$1j@ z{`!GY7#}D~w%4L=JLc#Y&sXpF<(mSqw%B2{uvlb_b-UtT|6fIlX|wP~CCa3X;7Ouma#X&!~O0-=b1!9KtD0qcF4N@xwHi42{C> z*gee^Pz~57Gt$+wpLoTPb7+@MO-^nCu30NHfn&a-VrYqS#jP~?Ib zWkVBpCZa6d4)-@9v&pOG8c3(A0mSY{mp;?1xvE8ki~G#{&46;2c6sMmg;Eqqk(!0( zF>mh+ivI#A7Upg$vE801j_4MX5&*KsTglngZRY!I5ytH1hK+&X(9hk}xb^tAbIUapIuLT=>b?N!hJ9?bcbLofYlRNlq4=_k2Ry7({pf{9%5eV_ zA1~DD^|gj>NdAz$We_wZr<}UW1=>44cB2Tip+=p}gm8 z08Wv8@IZm5&|=uUw+?8$;x>@?^gbP!wcy6*KRO`G+GFiN*2+*8+?~sXv_*66k;gp8 zQ!j7t2Zx`iDXRbm4D$epjJ)V`%Vq1Con1jJ2bmF6?<4T8ALaDoW!bZPxB-EIfzi=T z!_-LT!9sxmi3=nxU18kdb6MpZk@)EJ1wo}g5iv0uKC9}MZzNPX&YR{u+puhv{h36B zgo>tNoI07(%MiT4yd+tnDA#%sP*_=6Db}|N9X{=?0v4pS4dpuHB9Qm;VAupeItU0J zj18NHYk@&Q z3i9%rC`{dOh5{&@G>E`HK!5x8ZGQepR8WnwzAy!8>fiL(V)Hx?i6hWP3V95AnFT9_?lkbd!#t*28KmP zBitSoIs_kjY&LRM7G3sy|A&?|dHl$b!n77!GqYooI==E~=^W(11C_Uh!36w+|*2!~Du zMn+yFC;v_zal1QJA-NlJ*3;gca_7zO-@jiRq%I$`AtE6Wa@ksft@bw7mN!Nzr^>Yq!fAee^}u*-gqH({L{PW_f~EVl$Xw(vl{tw%+YL(uq@fv z*LN4BR_Cpy$J>Oz0y^Q_e}!W|iTn54+(;5)Nsa|u0Wt&Wm{B%%ZF7@^kPwqH?E0H z8nA{0VQ;sC?MWb~uqxUWE_{rPwNv%mIa0(~RC;`!&!0a>_FbWc&fU8nWfVtsE%t9G zX=vOo zG7UnT1cVRJ%s{1~Jb(WDg$oW-ZD^>2``a5|Me~GNymr(CoeDWK#b_1 z$ww*y&d5DCo(I4OKpT;fxdY7{`3jLDAG`bGOAYrzh1t(&Qak?X`2^oM+AA;+2%!Iu z&7`9*|6BL!)RBU9^!_t~|5G=pdWZJ3o{vwzh;%KH*U|+~6w^boxTQZo;&=oK<32yP zo}otb_lmXO7Y?^5zI0F8UG9KO500A^Uq)%pu>3KPd5YkFd|eE-bDiB z=!I<8)Bn**lZD@$2$sSv);lO5IvpGl!Dl z^W+^ePVKT37AP@&uVeU|-^*S*nzj)um}3up++PSJj`;qTQsE~b?DVXO$}vxysWBM| z3AKPMgXTz@3z(0eKBWp5g1C3Cf4Y{|J>AY}VSq19>BMQm_bYG6SVL6}Z_u2>yuHXH zNyN>~-JxU_`U+L0WVnI|!F|jiNI5tZb@d@YQCTTNU5V9kZ@E_5OTcmEV<)fy`?=2S zvFa#CJ-d6Q*g0tW%;Wr)4I*y4o>U2|s{0{HZy z7kVeY#R}d>QYe(*n<&f|oKUn#rQ5y~g95+{d;xK!{!b)~m09hGFnTg&$DG4l@Ih7G zX+(X%c;Q2rF4WXa7#C!LH+?41?c1;qh>guOAwTS3U}Cz@1KPT*R)tHiRTqGUH|`I^ z4rE3D#zwQt23&G*4{tb^Jy_cI%y zVi<>3j`fGxXmg~gPze1u**n`~fDc{9LsSf!!p}lE(qQM{Fo%8TXD2j8BpSIs02EZupPurW!zCotG+}U7 zQE&j>wj)pQgHl}lV_YIy1cRvx({W=K4yEIM`tviyz{1aLI!4CiFW=p_ew~><1du&u z3wm=P{e#m$aRHf4mvx~Bq0o-i2LTx0oKBA0+1=H6h0ySsThW5f-7R-NCUx?;?G63@LA}FZE(XXQ8YFq7dmg@tchveN<>qVL9`i)f7C^5GqkQ)otOm;K0 z$l_4Bl7bV>nfbQu)brb^4j}3CxQ9eXKTZC6MMwxK^iOK=ZM9SZ--iSPD^C#(t6V&a zoG+?>BWH3lIVs|!Ci(vUet9#DJcT92u?tXwsiB#i-22EoxmW3D$mdV}AjLc#Dk}z7 z$Z!d|s@drqgZ9HpnVP*=83;J4(`vT)GBNxhOtq{l zOb$^C2KmDK&{~s;;HuH{yE*Qz)Ym6ZhS>vvi;aO#lBxB!uPQLFC@g~p{Mn?fg&V!* z;#OePj*p)2cb^)4X6R) z2iC{P*$~F*zC2np`nJw5&TXf@Rw}A-cCfV2|5RfZ_bgR_n>y~EwVjGA_D|ZmDx?fbii#JEVj&4f*J`yDtQx#Kx0OB5hpH0PQ1-&At}#+Xmp3JwNl zW7w=^2SEA9Y(en?T_w{%=-an%`Kz~J)tV>$fS-IJ9Xzn<2mXQ-F|wKN48W96icLVM zNBU?^?9LYb1sRc9JquL8O`rvV+w}_+sWc23rfj3n)RBDHNLlAO7a$gaA zQW@;9H zSjbzgqw}vb&A-XQf`v3~B20#nioLB?KIrxC3HS-*6CixSGPE$295rOWkIXLUoJE$u z^Dy%g>~?O_qk-kDnXU{XK(5Bux0Zi*X|SoJsB2&`K9KlR?VvHOuBR{VpZwu40tNb! zqBL}uruO!lI;b&54h(?o;H7^8@W>-dj1Pz$gL+)9&|7ib&Mh>tdkUl&3=E(ht@O3F z%62dG=8884V6Io1OL2j`M$p@3bFGhP{gszO=|<58ez@obyu z?Brc`b#?7}0`KTsf(}qa89*Z{^J|(mz>U}ob*ceyh|Xv%uSIMZiPFDUwgD21&8$#iANdC_>2;^GaX_v!Q98BtL?(@C4<;Uq~M&qP=}msVDG zAY={I)MCbfwOTz9-`UJ8qVs$oqeO|V(9MW^nMnS)MuFFP#2AB%4S|?APQp=bDojllns_h;-cDomfi#j{D z^BxCN{?8IyQ-uSH=op%R=0qJg8$|K@Nzz3I&pxfRSNmJ+C5|oq- z6Kp-wVv7Gm*~3$1mHP}86T7EfS`LY;f*J6aeF=7oCNAir3-KInMX3POWx6#^GA zQq86B5Fn%dgkjcRJWdJB+Iq-S96eG@-Q?(d4hz)pU~PQg~`EN`TB znnLfY_-+j9^$P^1iF5RT$it3BVRp5jfxIS7Ua0iY{;qt4X9wMn#5(5N`*c5A6V50+ zh=I-^#m#5^cse}AS%S)6yTOhkJz>bA8UpSE>`f(HG>yyBJ%t0a3UW^E^lnJyS6WBO zAA^W(d-U+LB!L`MChQ zuCNL?-6m5x$~=FpLck0Zk;A%n6?IYrxY);C}C4X$uKS;v6*Bmr77p6 z5N#u^Q)VI0XHFtc=lQ&n-9>h$Nzj1C6NtT#@+x$=-3rYOlhlZ^b@{2^zjeD_L=F^k zoAm*#PJIUM71fY9L1*Rp`vhoEs;LzYz7^^~TiOJtS`oVYUDLoyc3pX1Bx4gjjv%r-k11 zk549IY&UOqn{-*X3K}*X(zqgpZ=$w38EC6bBn~^ zD-~}9U1awJf%09XWlK{Nte_KYdPK<&+GbFn$?jUYS)3JT?lcSqg!mn70?zWaKqWe_ z!ENk)87kRLFQhv*^Ig-If|ElDEduQgliHR=@VTIn4L* zblv((?DRo3B78)K0aIW~1Ow>Ok|8Xq+Z|BJTlEeYD(Xj<>ky&S0N8^tqZ8n2y)+Oh z$&0#cYsIDg`VSYjp>}i)0aSaEj|DI)kJwZ4Q~oTzQ6bsRFK^m6d{_3@yiq3PrB>q& z;6MxQ0`{&%AS1P4${U{3S88W{34A#CeVrM5e6UNZt3_-B!Ee#5x9oxoHCcMGv;|d_ zfRyCS%V}cTh_j(`HnWs!{v&B^ZXLH8BiK}L16q@3`UV)!5t`0G|GK{ELK`2HUwZ+b zm|L-L_GLvMfW-nKxLJE&y3l2nK($({^pIhm-sXE-s#~=je+*#Cf zXpag=W2^HROKy7n;Q5xDp3l1e4KsS7J^rh%#L+P};lf zQ=l)Ox}Y$&HdbMTcxY0UGx}g->ODNo1I>}+t@P`+ae}tb@Xi$62h}M-c@-Re=JUw{ zL-y>RKjDKzFH(4G5)dE)&I(2q{n+gTz8P~Z47Hy3Ez8@hHqrG?=PzJO-OTOYIJtzHEO#{ zcKXa2Q!n?uW$%(?Zzi1z7gBE9S?lXYZSlut*8uYIJpI|WrUE@%buYx~`693TgD3%y zj&V*AyZ?J@4c5x}g8ojuaxSyJ8yS(pAP>>~kgzk!IeY&6*woQ%?m<&-=G8Tm5vyPp zmCUDHvUX`Yc(-_qD=miatSg*;2)qaYe|Qsb+C%zKboFSBuhK8bZOM{*`}<0-$B7mO zJ7w+57S(YdURZx;@sn8%+!8?X7hu{>_8o9@xv3cr^SFV z4wl$NMmb7a0}``-3^af4Z-dCw`N3%<1KPprime0Mc}5Sfi+>aclnx#3C2&x7f>=MB z+jTxR7NE3iubQjr(2w|gFQq1&2TbWfc9=09lk~zTi*;G4Ut6=WO}yH#F??Rxd>qgK z%W1jD8^%_k1Ft)Q4O5+m@0j8*VC0JRz^b}RFDJjze`!9G+&H`m#{mZQ!tBCW_UYvP zIS)WBseN}zB~_k2;{b}_*fYL%3GWT?Kr>K4WT@vT0SY!#5d;?+wt1#2&D0Cp=6TlF z*uOn|=hBjrPs$+mEXBgB7<38Kta4>&lQn8VN{4hEqD~DZq`SZB4jH?Jk4pSmIx*Fo zpH^3`foAmKaW3kD-|%A`ON5+||L4)be@mo9=;-(0K97zrgQW)?CMF`HS!&lzA65;- z0!+DuX8jY;x0t6;o`<;ysTurdvXYW4D}FQl#l|B1#kwN=^b8COefhJ{D$b;~0to{! z@A&UJC8CnlxYHGjet!3{^TU-wmoI;z=lyQkvCNj%5-Z3W_rPk8K;YAZZ_Ayl+suOk zc5^?$VgY?k)vCci`{mVT2sq7ih&X_inD~FmjQo~X$sa$a*Qvl35$KrRF)e9>#e_nur=$D* zEpxhzi%S_lm(}%>)Jru~0{@7|0>E@V0`bsnJjOpnCf7<1B5T0axmjNU?y%k}mN`%n zsUx5fA9B|{`oh0|4Fu^=(4Nc5x@p#TUimSI8Z&iuJW9ubNB$k~h&l}T?y|5CG%T~= zB4FnNe+q2y^tiZT=uXZ>Pk}*vbaWKL_Xf0rpzQ~qdoXil0523RcxnpzMNSq(1%>is z5EXzd%CTexjAdfY$B;9%xVUI`6U=`~ zk2_Ny<`lpvTjt9FJLDjMD^ovB`ZNj6+|*AH-DK52_RF zAebF_dRf8s;Fl^o+R*vwyZPTsMY97#O1R5F*cy+e|97&BpP!ap@m2t%wi>qW z(Ne$o=a3B&D&zn?jrj>I;F6H^sN)h4DAZS{JWRyD-L7>GQ#S>vhLt`tU;u%C7Ie~OnPtR5l z<)bi${7($$SXD9=rOkeR{+ijAf&eu+eBIx7* z35j6N5H`k}r^5|En)SY+ge&|C}nx#^-!tNbGnbp9c%g%A$~6Q9I1~Jeh!@!|c@x%GFj0B#ZMpm5LC#F9^FlU@kn;xH zzfzA!6_Ss^JV?qY6I=rY4M^m-grnyIaY9K5TuIBgXCxuR)`4A<8VP)i zIA#j$MgbY%UeSn-EVW-$b{>Y~E?rn?dP+LN=@_b+5L`UGhh|RB&MH_%W^Qf;{9)%s z!S%+|K{daVVt$q;qCI1>O?hADIQfG~Mf#smhvR?OJUY0gVyl|q#q3%d1+N(~sRl4!MGyVC6XN2Y*9SxvIu2dA ztN+Tda&U6-!k1~(70yGIZxlKp|bSlw*1JqgT?w4rgMGu!*Y>u>@!~#!c!$WEA_^U@p;C z1}Oo|@vb>c;~>{Fe~$aRapIBXtieMcK-LkbaTMtS?g7ZyF+uV)(M83P*KW{@f{=_n zV7YOlvpv$vd>9}rb_wJxg<~EF7M|p&LSQyIwDjW1ZvKO_;jB$Ns_Nk9=6wI;{CoyJ zc#~BU#fTgq7F+56(-QSUL#a>4_<(@}DkI3WP;kM+F24ZnJGJnb7(p0nzj?xCU zsA{Lz|L$}gO{5Ghfpr!dk6f8Ff=($lfVJvJq4wwF!x0?b-hVs|a;K5ZLqmqKnf@XA z9Yh8yM^{K^Kr$Og!6KNC51z8JU;u5p0HC1FB=}DS;sV3WX(l(^vlJACM(rQq!(hmB zfH48NY;JD0Etvr11x*eocnc0mLlT%657P-fxGy0l_R6|P3FPxu1K>uRaPr8qK2zWF z>x)w+;owyatr;14l)49A+?ELLN#IZ`PGHCUCr%p({~*w6e^L)=OUNXOs{WqV5cPaV+5 zLlUG7ZZ^P4B{&vaXQBiX|EUZLpI>)VBMCazae^`}5|C8D3Y@KKOz@RVl=lXuTu)#B zXmjajLyzC?=06nXpMesPv&nS<*2+*Ox^V4o0~`bSZPZ-0hr;YUQnChef(?LbAYh}# z3`bpZ%z%7!J%MT0w*>R>e|I^gVblf0*C^ivVAzb|nLon=AEBX=^};|g_AFnVt@cB! zf}Z;1g&M^Q7svb*^Aq%5CfE7-`|Pa$>jT3%eI@pPsoad??CN>X@(i@yaIb>}HZ3xU zK{{{+=ms1_m@WGNzALF6&sVSd%#RGM$b!Tl?WSmAH1y6hux7nEH6}_BknC|q@s-GB z+6&Ay(jpOQI~b9`4#`4-f=KlX^y<&`7Qn88N|uvy`X`tdQe0;4o&94wM6Mf5{}&HI zx2q)eA>an~#uLYncTRw3=g%XHz!@)Prv0^zjRLcK$&}m%bp^n_fK#6pj0P*;-L{=TH$4=pb9+VZ!aX60B+!AWE7W#)B6{B&DrRorx;3N(Waw7fI#c# zP}CpP18nHB{s{jTh6ibwGJI9PA6oy7vxw@Ksi{Ve97KMk-|JNw;S`!5&TorlLCQF( z^B)}$$Kwa$qxwvWIsY9F3UvkN&H|u)=!G1s!6yp_VNI=Dt16QcimYkXP_&WMRy3e|Z8qKXdxD`EZ3GGcz*; z`Yj%yjvz5iMp#tm!9;@=hq!RV1yBC@CJqVw(-tR+u6jO0H~wp7a7I|*a{qfznp_{o z2W-!woH_@fuJe@8{k@zVbb`L%d}8Ig)P^ zF+Olyx}gXZkp$$^48GuARrUoRY*-Ca)y}@xwOShaO6$It4S8+G==Szl&_E2{pKE*> zra)5QL$nAOoAfjR_XhNU-y;PMDzHHrRm_mSe}8K%NEY}fJ8!Y=tTL4J&SS6r{BFi~ z{2j#zKCC4o+rU3A0cSwp!Pnq+AalV!GzB@mgXjNh@5;le+}m|~w|7YclBh(|s7R5a z3~fZEXduay1`RSL$=qPfRP7=mr6kL^k<6=1MTQEMxwV8W!XjCwwa)!p+TV4)?>gT( z=eo`x=b!q^)s=Ux_kEw=^W4w<-1pN5^suP5_^Y_MI1h-y;MPq4rn3t>G@|lSVq;@H z6`Be?@-Q_B(!C%ZNOEU!bG}Q)dNAk0@2~Wl)nf_FQ%8>&{sZB2cU0BWIuZJNGbOpZ*3)!i^OaC zH#aw<>7tlQtXic_%2trbMh%-nFOH{$@wdsj2zZ z6%~~Iao5(*m@%WVO^R&VxbzSSP_KXSk9(R!&Kw%p-)7ECJcEuobA9+wG6t>t)lk`S z`3^sR2!V1SJU$2(ruP0H)7O#(2GM!BnRPVq*Xe7#DtZuun8MXoAB5(Ekx+*QA9=g_ z>))TTYx-2RKMM3jISh8Jo&t7umkWqw(d3A5aSgH`;o#<%L5n0ioLij2HHB|$$IZjX zmRD5VEQQ4S^-*-ry>`P8QY74i-nuPk@&_c`wie_P`Tpwfz?0K~0|StA6^Z>AQwFad5~dMxVh`jiY4-=?sKkHl$Qr=GD$xkaMlFc6L=Dg4W{>^ zbvIBOzRz<4JtVNwOC`2zf4K>Z!Py(ey0!gbU#en_s?s$%RJpqW=VgMhwQ-V*vh9LpIRFkM?o@UQ-#!@xJ2>k*@jK3sx1K?Q&Q7u+q&Tj z{<{p1aBTWpA0{R7mWA>O=OnC&a4DTFvp(>eOxQ%7(e~ekgdc@1UK_AL$Xv?h@(Qis z$OkDxVMXt37bl#!O!Ju&ysgAQzV^nBuo=1bF2{aZK2K^owZLja^_KocR!WX{9QeN0 zbn$&q8SfqH?NW9W<6JDPRBnRw@S2k2tLqXDotRZwTU!^u0%OFd{Q0`D2 zSxIPoW7OkJ8(JR6yoTxa=qhB(2KJ|y!l*{e`C#g}hm`~V1u4vO2x|hQBfi5w>4X8i z%(p;`iCA`kUt+?QdhQ(`o-T0Zx5WYcb0cK2yme8lnOlE>vuHEMlgAAF;o-K(uNgeK zaJiOY%gBzAFK;D1mG;YG7|5HF2*U@~W2(h7pMQ!rJhx2a`lYGHw`3M))P+6rLcm-1mzBkI%sAM1ugPTgE zP#A9>6hjrE2H7uUl8?5YA(y>mg_|R9=lqv9lr8-j_)`KO_<#nMjWR-sJ!(Os;v)tn zcJAj}TW#S&a=O&NeNn{0oALIIVW zi9n3ThXU;tYg(|1gz1UQZhfyUyLUMEa&K;{79L*G@)7_u@oH<7B3c)s3l+_$3T)dW zSyduj$UFi~rV(|l-d@G>Gb&YTZ!RSzr7#}<#u03QNcFn)OBGVlIbFPqH8;GG zm{y%W#pm4Wax!W(uWd3V{TTPl?jXUkG>BiZ&E0Xr?aEQi15(@OR78#52z6f(6yw&H z0|%)+vog)t%*^bC({PoYXT}3N*EI@9o?r6)K9CVJxxRXID28MDVk+fi&OosA?(oKI zIT$tifY0L#MhaqpH8{CTbwX6Xf2^=7;}M8v zL$~#U4`x65lKo;{t6mVL>=3j*5&2$*3%HW^CD?fS{K@8 zf}Dm|TR4;omB!R%z7%s~?czrBk&~+t&7}f#h;6UcLd|A6xHm@4qlmRnXK8(1gh`gR zKkPuaE8$SRDAI5g3`)>C(*bb2;IL z&zo(>z?-K=m02R#-ifUkq6(PjWVftVgSrhkV9uWqCU^C7Gsvar@Ts@s&|X%08Zvts zmoHal%lLa;cr$e$7&>JiCl#VyuV_4{a-CFu(@2w)=fVR>OYK$@B2Q#R6iFy*e?P!d zO3>XGHs!w8`%eWVcHF1)KvL7JWCKcICEqYiP^=}w z6)`y{ti8Vg=#*E=RVzsb!ERMBv5u0Jm6cl!f40cIJP4}P0K6>a?tOB2xzwn@a3a>< zHy_(vFi4%F-wiLTN@<4A z3;U>z({J@Y*llFyWayxwMDrFb>O|Ju^|%0jckov!oNpHF!EokvVtje=<1moS9IWM! zl`9h`Ob#X;5;!?;(WVvEQ7SIyY;0^qj4>aR@DrV{jXZH^qGN7H&3Y|=w7LK+Qb9=; zR5j1g^Jzz3T;Y{TZV%ZiqcU>WI%Ssnhq}7+Fdm&%g8*V>Ek4fiqov=Th)o?ZHH7>@ z2i=ATTtBMopy5K14qhsqAPqIpQ24cg$O4^BDuBAdMM3 z>cIW66RD46vMw^|X?}oyUhHC;|)y!%xih3!fLO zSTaICs(Kb1xxW z0`;8x4ezh?=U=gGs{VfllK5o`8!VgozpUkhOi-fc=p8*&b%ZE)ZJ07P3{$G^Y$Pmbv7Susm)OoNX}l2FKeQkP%y&&yWqpnb|iT0TnqLyp*tmZy} zOnE#REq`}-eS#=M7`S>p*Hwo(iOC+^qu7$!QZDTfRrOR~Ahu(LyBCS4;~M0NUEzC< z?wKw<96j02-p+l<7tC=JnOQs=(XE33Q$hA4`{Q6-LM2XxAzVI)eBY)hPE#9OL1m1@ zZVZICK6rS{*Ep%ri>YIti6uP3HKti-jYaYYie{5Gc3ny~9giG+(~!c53*K%hu8+YO zI=t~73(go0jgMi`<$`bTbZ;jYVsp?E+iY5Fpv>62@Iv{E1D`NY!`QO``?X5*##;J4 zg)aO3u(qAjhA=d>c^Lf8TG;lE&V|`+<-i~ejnW7^q^_U zKs1ZGFPs1CZRtt4tvt1(XUk+SYu(RbhI*+SOGL;U+DAFW0L#Kj){fb)9K0d}k-RT+ zs=UCEI2L;rwZddGj=H*8*LzLZ zq%vB3lFJ&h_PVzD)Q79SI_e%sIZEQl9fAF(ae0Z3+TaZeg@V!K z2$rkKu;;gPF^U%(DhYA1rOM}yXKPc~si4pEWWQp80dXdldy^SPW-xGs$9PklOoRf| z^uD$;!!yQ4sGLcPjWS+nppklST5-`4&@H@zfTy*nSVf)4a^?-XV}Hg>JUZU(tLEqh zl$O_$q~%>#>!W(uF5Iy+ zFsxTLNCk0PTH6ZXJ+S}Q?j=Y^6P7y5wUqm7eA!tK!QWd>V0T3Fr)CqnvjJNz(p89* zjnA3{l`oQrJ)p1Z1i=kK8t6JcHUlW0Idf)L=gX!fiwU|g050{lOrEG?9mN)XJ}z>n?@q8oVJj&^bJ zHU8jKHQ;I4F397=G4Q>$1=T9(dOa_sjsWUTWNR>wsQ> zu3xc8jv=eSz&@(f@*y})+OtLGjO<0`#W$%NPJegY;5Cfb)$b64RV>*!K*hSuuXbK} zU=A#gqldc)dFyPsVm-Q8(YVBfI}A#FSQ`|a-&0{!J)V&u5F6!a7tpqEbo?yC5WAYE zUFRd2)5bfyH~ls5RmGO`2Sl-&)wO)$V&qdwpZFbh-~%5fy8Le7BVKi4fYlY>1-1)r@&bGQ3zALQ%HZVw@Ljn5Bb zcsZLU$wmm#00BCVw<~KD5W+vS%qyi3G}pzgZkR0W@%$qYBKF)Hk@r`8p zeT{&vK?%Uoo*;gx5M|h3CV;J>TK8k3j-?;>q$yZGSb6JE`thAndk6I!i=71QVB1nr z3TbxjNp|Rrc5XFRaYR%#1@q5MZoQ5+WHoyuY5(Xug7|&h3Yqu1y=Mv1JO7xubb&%Y z9@DDi{jto*{BYBAJKewG8cYYUlw&j zLXwrYS8_46+^u-7Vu{YoIU)n_gc10-HT__KRVY7gS>Q}`QX(<)t7ETtgn9Lyz@DAq z4=-=P5ezdM!>gx+@4*%V$Te}()MpDkF6=GOOS!%geWg5`efA8p>}?YG5#vdpq*_xx zbBstWJtc#hc1z12=;xqK4QHZ;BY)cJ&k~+}thBpVeEaIlnv#6@vQzu9Va*Gq? znoPNl5tzjA-{IUpjnG;jkp+&~*Ve+;d05&>yxWnH5U=oUz7ShYQrq8Rl40a9gzS+j zMVZGGjN{!CyD7NsGdR<)cxi`}($E8my7m5r4s-|w?3rYsc9oa}w#u4sGUq&Y8J&8; zstOrplK}X0GVc z5E(alHo`KW_^b;G7VCGFt8nDdl*%lM0Uplx5pJz1#jEXcrjEDxUO2>h-!|695bft& zfH&OMbM&>vyhxG@%QK5~3gs6_EH*B9{J2vtW^}i^E7~znRXC@+|MDkaSK(D+x09$-90a2hZeM4r=tWf(PVVE>dr>VR`-byRJP&Zo73^p z3(HV+7b$K)CCk=AZ@|pd5%P*)l-GeJcgiAvDpciqosC) zna~~Ko$^vB+(m0ILUXiFMJ#0KY5+gUgNck2RCLLYUMH75sp6Bh?9fC$(r(M_4W05D6=Htaa^}MF*$h>Z_L8MTLj#i zf*n1^v08cScJFKkC6RvM9+ucFHFiB2#LxX=7Qlk;ACMy|4DxIq0Kk|~a6yVZI>sRl&Tlb0_Uel$tWHI4fz~*8rr3MfX z>pU&Xt3@QTVnY`ZliZh{9^YT=FW?XEzmsLaq$Wra} zI|V>dDo54>(RChTBSJ2n$P3CyJK$40`b9^*5gM6+Apspx0ZyE|u8zO@1dT7xRw#U4 zBi6Hs5GEyR^l7Sxv>LV*cIms*1<5=&|5vQSJa*uN^j|GJ``8X)UUIoBs|zOTFAI+krUe5w z4C$p3hv_4&b%`Rl!)4;6tElGLh)s5={z84hzT(k^TJy`J8Q$tKVHOgI4;0F~eL8)sNGK1~bo8`)@5%r-^5@`|y^^_i@*&@}3D0kjT z+g0w^uKJ#UYg;jg5&_GhFcaH=NG@dS%sgGYSiNctHmPcgHk?!|swZ$t*yZ}3>7UFDBuwG{EUeLk z<~_T=aQxayHPCh&MYKrwi(hPJ-KHQ-rXW#TccL-n~j=ff+|T0*Tc!!=JPxZvzh z^U+mi|8xXlwmh3KzU(ogN7^*o9%rm7eTeWk*k+0wCVQiDdTwqm zJ&rVAXiYhGUgJ$DS!PTauXFdz4`G1IL=ty{7HINCYU{bXBiuOs*bsp1dsk9x-A2$&lw4}r zsg#Akx<8*vBqmxsH~A&5O}2}3zCh`Y;Rf@)iJeasT9FoDB&Mz_^bt2cU%#}fchzm0ZBOQ8N=@}azCZdPA_@nihjGd(<4Ix*MXQBT^ug=^ zm*>CC1i`VK{pXqc!;b&BDk1g{2jJBDTAxIGC-D9D!b8 X438FGj%{=!SZL>VJ*^atBUkjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1N=!uK~#90?U!pvQ(+j#{g!ri<^~3ZpM;S7;D@emrfD-oG;@VRG!aEBL@^T!69dUK zB~xsg9cOB4nVNH3jz zdv}iA%<`Jum*q7(hGBqZY;S}z#{-reZD$tB4?NqY?LY5d;prdg<*oAUvh3rf$7@tI z{X_k>;^mg-isD2oHm`u}1@;a?2XgMZ)AeY<(Z9(X866QH6l3Z2r`8wVF1$H$^Vyqc z=2Y$)$JFRS&AbfB>_IT#?M7{ZZ%h|lxlb@g^x&kIcn<@j?Dw+>Us#?u=) z5uEh4bcI1dq#jXgo@%s4Erc87kL7d#Eesb46V6D30I>#i(nRhcu z>ZH|as}Cp+R18!=2r`7x`iJ$ZC#uAjL`=yzm0=A}5Gg>2dd7Q7`%8=N6!DUIpFV#A za`xkF!qtRThga=u-iPwRP)T12qhpZ8xkScsT>@N8CKEdzYSMm-{hpdVwL`Thx=v)? z$aG9_1dr(T``2$jyp6sR4JwYfA-6X-|5iSz2s>Opn4Uu#VJ (egG9b z?-Fk~7hDyLz$9lTv*XDcW#FMAHso(`&vRdwy>4aD%0+n)G74X#8l!|~gu5>6vWBPX zP=SZE1(XL6BqgR6lHeI&onxH{rXS6UreZR3?ttSx`zS zV30715T8Io7>THDly8);PN$o<&Y$u|$3~rZ zIP+q8XvBDBJbKHr^!Gwdq7CQ}+R4XBp;SyxPFl%>X}h(2Z$7+4xUgBH5wSYK;0pYX zxE$e@<3@Q?_}h|}N$2fkta&iQh#@3C1c`y89z`D5P_Qo(chQ%07>yyn7{3;E%lP>C zqARd|pD>%vOf4gd7NM8PYlKDaVs~`6Uay~;n)=yvE8mxFaLebx2V>3QjCC)0}0 z7q_;z8VrVCke0-=+Wzxw&a<_B3k;g)Wod00009a7bBm000ie z000ie0hKEb8vpjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1E@(vK~#90?bmBalTjE4@O|i$ZkfYNh+l-6V2DVVmoiI9%{5cAmLo;6vPOuv*0dlm znydhFV@y;!X9v%jQp5OVO z^BiQf8OIjQ(cV|b7ELafgO%IApy+hEIS(xPX}==Z|IxGGwf*=0zGtyow2!nz8&NJR zufJ5Ud!n<8XSG^~pAQQq!eD+dol9qAGF-A<7-mSsc$~HYt0R zoIH+qv3Fi)UYDUuKdm>uF%DaYRimn%7k7H)dvOKa?wj2fi^WzCyYA3*1Z4+pkZn*u zSHoD?fCtTk@(1!25&Z*Fiy3wyO4K*$7$rS&#+zBxE6_uD0)OI z0Z-5)2$6=Ys#-&)QlO|jzJT&r^Rh3cn#$S#zIn2bfi8!mdd|Wyi2n`8O9uiJ+eck%-li|_w zXrCiKUQu4^E;U6Dl_E%Cm9lnU-i^I4-LsUuHoOW*8EqLcL=4^r(qmH4IE*BI66TY( zgodW#b>8Uo%kX12u(zGt7FZdmoz%8GYr*U!E0VE;h?)pAlHokv54f{9toAGIBu3Gj zd^K5GTk5{Y9ceK4Xuya?27uScroEd&c7(uKy?u4eu9$+O1*$HU(P*5<7RMvYY&Q4x_elw9 zk+`T@R^6-VH5d#(|Jz$M?+?qN-u!(2K>jPNchBDS-6OxBVGFljP)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1QJO^K~#90?bYp1T2~wga9{6lSd*^nmTXy*t=XDoU8l3^u(hpjbJV&gv8hcT)|r}G zo2hkkO>0-$&W8ztfTc*l2M`z!mMT@KMU+ZaM8S%{MdTuO0`~zf>?%vsXqN>BrZ4)< zFDK{4z4vo|IXCB|ENyO5BAc5O3Wb8Rl;j6jbUNLthqKW!d5M01y_dXgd+EJc-srfM zCD7N=)y!_ac5KcKdJ^w}pl4>*L1wD=9^<`V$lX)K%Q)8Y35mb!Yi{n3!s-Uql-U9P z3mmiO2EpFJ$)nZc1Er$hJ53|AK8H8>B!DeE2^!}8Y{g?@g}m^*xJNnZTyQ7A8ycC) zKEW^gMb&5U*t|jKatQbAYXvOh6&joKRa1xe{~#3i8#i_tn+E{E;j{egGZN+aUylHE z%#J>^A*1uih!xgZVZ|fQQL^&7aAI^0DsRvhmWxal;D33)wJ5gbFjxai0~SRlv$PuMrr~u7?O;4|BA> z=DUkmg)?*R1D8b3 zI^paMfk9_-hA=$g=m|-vlK(LfxHSw@`@HYu-yRva#_3gG7jDU9Oy#g**Vu;f#ztZ(;Rx|Myx{n68=>jWI@M%xa=8com z)cTe5CO^3k-g$(}>>iENyWAwg`^%%P5NK$*ETFN&{$LmlVe7otj>|qE`!buMTB&~r zv0c({jM;O!Tn2+d+B^8>0b1%sQw}4P){GuxKv(Vs?%R-M7(;?scrEz5+fK-L*F%LI zh6`%cq{=_nE&ac}D25l_Wsgj~lgRq8Y&ee<%5Dv3(Lx#2U{*_z)Pda8 zAVUuHu^0RFCRohJ&M{{*N;>5O#`ryaK0iNyjVjrm$Ih&s&Szu$8DU%{tkoeFM(V^) z39-|{@XrD;wWQ}VZ2)jC#_T;MqjTfbOzE#(TL|>sW%s}3AO-D_+_uO*#_Cwy5xLYC zK1DUZp2H}vl1y71OB)ii=ka(<)8=}n;-f;=+lTq-Kj{k^Z712_aRxxVI{9&l=-n@w z*IN4lr)xbGpBE+VcDv+`?gCkwdzzc}eH(747qLG-!>?&j_Gu>Y2cCXyH?}ENnz4n2=eLyrFTTX^e literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image-0104.png b/docs/MIPS/img/mips1-image-0104.png new file mode 100644 index 0000000000000000000000000000000000000000..0b9a05a8425bdc29124cdd6d7ed8e393d9975910 GIT binary patch literal 3605 zcmV+w4(joVP)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z19nM7K~#90?U!pvQ(+j#{id=D4!j_uB8n*D2QTH8nx-*^*C7t^f)wcoQOLqfWrled zO))i@Gqq`%iqkE{mY49>MYq;+QA;NCLME<0^r25i{l~z_bndLSzWDHPIOjd*eSYWv zywCfd_gH59}Wu-eYrsH`aKQ`T@Bx{WQvR)6jt=Uv=b{Lf&kYpd+bY{U(y4`_Pcw79vr z^>s`8O^cJ3mn~?-tmC5$Anm)ph5w3A5W4GWgQRCB5q}<)7rZg(K|>%`VJtc45{;Sn}0mGLwvSg|~&f zmAZM}^gPs4`%`B$Gs5>m3X8%>Wo&M45{3;w8OC5#&s8Ik({-o4?|TNkU)eAIAkG`h zLlosW+)_rLS_f(*1_J zVVK~Jpy62qPsR(n6$EkPl5qks(XY5f31Tq_d>R z%t)C`b|8*Xu(kCym-8-mm+X+G98nI!9qOn+R6He-Vjp2YDjMD1-ZljWlgvqI;WX$& zmP)2dG6pjcTF*cW1f@Mp^R4zJg_H0lYUyY>uuu_$!BnfN#GFJ71|)_whj8w5u;4-{ z77L#$A4&=Zx1ujbkByIQY-}7Ff!MY{tJU)Qcu^OkFk$t(fqnO9`(p|#6pEdlouj&& zvfDwP3@=`fS+1ZEbPx$PGWaASV6{?b6PQd`h0 b`UC$H-H~9%VlFYP00000NkvXXu0mjfSaRLZ literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image-0105.jpg b/docs/MIPS/img/mips1-image-0105.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f20512a2f27aa3beb49e6d474826ad817e56acd5 GIT binary patch literal 1427 zcmbV~X;70_6o&7Yg@l9vfds(?BtgVjbs*G6#YRLUU_}D5h)gJ;C?IVW1yOA30#RIM zFoK|vski`wC<0=jfD{m6R0;{Q6+$5FP-0{WOY-$g+p#nK)%HE-{<`O$d7pDG+z$5w zV|R`l2S5-6zD5GTUBCsPQ7By^!XSyoW3deZ~YVTK$(uTL;rVs5c?mF;S~H4MkkH-5p|wAp3HPR=e@H+S!SKE8hb z`vXD`9tt~rBs?Pe#L1Z0Q*oy+Tuew5T)LceLwGYK^;TN??W}wEA3V&?$$j*!sJP^L z=?ih$&o#Amuj*enG`2{jt?%2~J38fk{fdFXq2ZCy52}w-(=)T`xp^HI1kitCeIok@ z7a8F~VK8V6PR9kIVv&O;W3bltdM3_XT<|edn!`CfWqb17!fJgw(@RMWIo?b#vvHKK z`k+JmgY4gdo&R5CpTPd+>I4R82w5JQ4A?+}E_xw7c{b9sG>SLcvdq<*@%(AP`Ef~L z?q@u+34)=0&mB#6C=6U`VKDpA0|ttSSOH%V+Ez7|P^!Hd%~xH2>87`ScW=@Y|#LF`BGx1zl<6rKI+dM#f>5_l1l%Ykl_lIi`MRD+*|Od*9tDfU~(`M2CrK`=2ueH2T%A<4@no}zbOc6ENqk1b_#hiG0XS) zPT|q=3^|J9^LozNOJAlG)RCfVELTdk7HWz2W=VJWE#@gcPqZp&aCnEHsie$j%lFOZ z)jcl^#ViAS{+f{7eYXXCwi>tGzVOa~cxpp}Nbcm8*WS&0o6}Vj9_h%BOahJXW+Cx) zn||^J&oj-Q!%YL~`at%SJQxP|)(;m5CCvCOFrZsjz#wp4)l}AeC8MIENZC~PI7U{N z%8Upgj=m2NJvex+xTjj?ETpXTh-ZQwBzAH6(a@m~u^yIue3I-3Bv?^p& zEvY@;Q#m7ujg02YCoRG^(prcx7|%VNn$(qrS@0V zTRQ#Jy6C*X9Vzp2Z*dFlBXLx$=54B|(8OcR<59dK+P?lW?S(lW1FVr0nT{n@p+--b=omlj)yhS3W;dDpOkyg=vG5ri)M&oN?FmDu5HQSvH0~%M8z=whJgr9by82QNVc0Xb+)Uk literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image-0106.png b/docs/MIPS/img/mips1-image-0106.png new file mode 100644 index 0000000000000000000000000000000000000000..8ffe4398702f9d2c449f7cac9601e1bc41110516 GIT binary patch literal 3658 zcmV-Q4z=-#P)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1FK0yK~#90?U!9hQ(+j#z0-NlnHzW`T?rv};e}?onWkyT@N<tyK}&2D^nF2?ij{r}GM z@xD8AnO{S5SyR}zHMFJ7)Xq1C@&o47gc$u_V9smRI_!VKOrxgO?$)B3qI^|;qrP!) zc+e@ZlG>7p^a$52u5dSz8&Aw*KKsP_yp0Ev##xiyzFVVyT7~4FJGFvN;pcJlF7Ld{Ru-x70fhGh57F zQC^-?m z1-ssM>EGx<#g^Q%T+T32iU?1AZ}J|bsr{5qcj3zd=7L$W1p!9qmYSE&_m+5DEEWzh zOrYCVx804qn}(YT`wLM8CE)B(yKhUo}B8;Z2z z8sbnAd7hjDOmkHOSlv)vKzV>)sUNY2u!qTS%H5;gSulK4d`299A)Yf#-=_yG?@pei zRst0=@t4dnb-%*`D+0sLg@voav9X|{fCJ1tVde$#q*`f4TLx6fByz>fLaIZeG*LbU zK7h69+c>~rqJ1J^mJpSM%I`2Do?yX{6Q&n6*ch{MWMqUJOxLqCkf~Qw58pV9=e37n z>JY(Uw*@guG4(M_S|>|SvM!NTT~{)>jMzcoe!?T8Pf%} zgD_Nx{7@xDk0Rwt3ZxkZB1kUza`L9)O++YxUm|6Vl66sWgTd%AIw3Ow%|MDlRY9_g zGL#gF;oBLloNYg0uz&G4Yy|_w c#k_|09m$)u__qJN_5c6?07*qoM6N<$f+{lyK>z>% literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image-0107.png b/docs/MIPS/img/mips1-image-0107.png new file mode 100644 index 0000000000000000000000000000000000000000..b49056d4f8c9f6f3e2731a92735d3cae562259de GIT binary patch literal 3901 zcmV-D55n+?P)-N00009a7bBm000ie z000ie0hKEb8vpjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1fEGmK~#90?V7<$8$lGt{hxwJsECLtqTodoL=kO34}u5rl7mP^#DLnSGgkd9(A)+qY}#@(iO! zo$de_-@_VWRACJQmIrZ6bcp? z85ub{JF_2Ol0+f_KjJW*PPezWD}?MdIyFT7qoX7A`}+E{L{bv7CMG5(pq`VHldG#M ze5AhKMPISIyE`>CrRdX3^lOL?8yXsl?4nPdy}iA`!NJznR$OAEE375#IGwV>&CQKC zWoKunsi_G`?(Xgm#;2#Jxw*Ml1<=Xma#lu+htJN=&S*4hlUHJ~7(Rl3>C~iQo1;ZX zWM%R&KR>^=wkDmI)f8|FW@;SiCHgfKkH^JI*l1{Raq;x@)V%Mp(lFlN-_xi4{r%#U z+1c66%}sQ|;V_I#OH1f)ZEZnY=qxWUTNyDP{f&(ctdo_7y1Kex@9yplCNg2u*17<+qr*Vosx z*{t#0YyosI2FA9wHZo%4qJu@UGLld1aynhAA&jRiH90wHo|;wIHqi>VNGJF!9ceQK zSX5W#rD)DWhlhtKtC57nwYJhwkx{U{y^Sc$3}YAq++UD;5F|NA#Uq`XG;C?KblV~k;Lb?Zw{)b<6i~g9v9{_Vak#Rwg2VCg z@q>c{>?&L@DkPm~2$z^1wxPnIp`lbNC59ox!5#{Q(&==*u&wE#2F9_mF`Q^+M45~w z#H|FYW&TE#uJnEgXHoi;PE8uNG+KxT77|29M+X(@(vdb(p!Zd%ENkVttkT%rfEd@Q zP7Tqf)Ez~wP@NiLyu?!t1)Sk!NQ^q(Y3Scm#>ekY8q3IEc&eeR%nv7xHRLZm)zIhs zFDH#Po@N!)S!$%&cMiUXlxt4LC5_u?=%2c9pJycDU&`{;FpOZ&37LYF}p`nU4`?o|~P5Y=> zYRChuURZMm@+sPWKa{x18ygGAzi9ivJwy}#kfX)|@-;et`vK{^yZFKa@;jP;Kg0s6 z0{Ay*j4G@lMitf&qY7(?QKeWz#{b8@7+0LQ<)_M=xaCLPiSWQ0@|hF2{IG^<*NIzx zSVOhz#4SIpq1tugmLJwo?K*MGPX#r^Fy+D;VpL%bF{-eJ7*+lPglVT0mMHOS00000 LNkvXXu0mjf*amT& literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips1-image0120.png b/docs/MIPS/img/mips1-image0120.png new file mode 100644 index 0000000000000000000000000000000000000000..ed7e6d8bab872077d4535b5c47488be4d6a1f81b GIT binary patch literal 81988 zcmb5WWmH^C@GnY2fZ)M`LkRBf8Z-oVcXx-ugX=(WcXxLWZiBlF?(PisIOp8|{qokj z@4or6XYWqsh^fA6==eEH4T|31%+__L+^9|41KxaU8VpGjIj zQ=bL>OL*}8|4bOFigiy;PL2Z{hzb|`x0%llQCC-vN5{t%nyO}}zodTsdbsa;1IEQ+ z-~qKS{#U20%4%vDL_{DN&b8UCT2<_OA|j&Mg@w4ZG+MRu|J5_uTB{2w3(It=Nd?21 z3(sh-cwWrFz(AuI#eZWk$5K(LsjI8A)JV}I{r8b5Hc5ZSzifZe3B&q3`B$ za0=kxKFgm^G_$o|w5e_YlYyHEV*h=%en$nh_bX~QQQj#9+FXh_+?&(m7MXH#1xDw^ z0f7a@u{ayO&JET7=xWIBG_A;qJiCqaF7aD2U!s(x7N_#LOjQr`=j&M|wd#pOuqh zbnLIL?iUz1nqgqKO^Tps*u}UB+8p63U286p$bSx#7mckxqlT0I_<@4Clcf8+Sj2_K z_AOZ8H3~;=C#ID$!AMi^nQf4&oU16oP_9YW8y@v$Kum4o(jN(hh$egbL?$|$=PHU9 zV|qMh&#vp2NXCbbj#Kxl1vPd+Abq|pP)rDZ-N3{4gYp`_%t*B=*Gj6Q}Z z^hB&HB4_SqjvI%I&f@N&mi+|FtE4jJP>>Riavli2{u{t5iL)mRnpolXNST=~6>#oE zdK?endwoj7G4_r0=5=)_uKutfFuclQg@+NFr{HQwR#BS(6NSKY{>kUo-# ziM}LWnO(i(&Dq$CP^5>N31`0)VHEC*%r~Vyz6l%nUYwou-tY`DLV5X;VjfP|wJQmt z4wvs?Mn>>lkBC}|QpFbL<1t6+i@c#AUOzZJuRr*4TbF#T@fGfBy;Jq<*6^~;0bucj z8Y45b+x=&iOX7*V=ze?07lv@gdJ7aZ>8xwAI0BSvQzM$e+nmS_6ONf!*p1|tlz>4w zLPX~Wlt*M#fmcskJmZNPZ{9_h;y|v){jdDe<_ff+OJS)p%i^p^tAD5L{}rh#>O@@G`zUEv3Af%NhGaA zfvl5JicZ=9(0kY76O+zA?OiFm5>aI{Iq`a41J%f2Z5EZ!QqaVE!mE~Ibwm};bXCWF z(;lCYxRwq;G@$$&W$H)}7#9}c*E^lp?G0LwpVqmpaxzy1G8H=d6d8Qo2b zTmGtBO4G1?+{jjqNlN|UU?NgB@2Go1_*dG+he&K5)xRt<*cKl3QdF3H^y~Tdj(b{8 zk(=X3w6)+kwpHNu1g7TS!u8(B>W`{k9YH6#-yQEO4PRHTm(1+{%DR;XMRo5C0yd{g zM6KK|s?^rE+UP9^sCj9WxC;#sN?mEiU(tE%Ze+y;w> zH+fH>ENG0i7=2?<8$6WOHhZ_tY9C-0a<*{~^Tf6%v+|igs10_POPO8bJ3VZ#$~_i5 z|4OIB;`6-!D_2D6wfOVdMz+KD+x>1 z$UQg^XlboMew$7t*S`Arkt9P-<^A zf>!R5(x)0jY*2>Fb7|5^8a+lzaCbuk`%8lWbjqJaVn!_{;+3A(?HFmvLpe?vYR^Qk zo-J!sbW>>q<7C7JZmUN~gv(A=sRBVO2B*u%m-I1xrT)w}dPAun&<9?)Tnv7%>y7#h z#>c)*c~YIg!+@KUmo2GU&pzfwFd9%(>}VolQP+^$c?B-oaO9!0nHR8CL9aiG`eq`d zicF(_Of0fB#FU=#d^k7bx9yo!bMcfBpWMx%PqjTLx@iktNUEiwggZWVIR(IVRDYRE zDxVPSDlV-m=`Xglk7$W#VZ7ZM40Py6TH*=ZJ{ufglm+#lYM``U_W1&e0O_s zjC7IlRnq<}mp%~aBdfe%UR|*~5l)UjRLL`^8X(anxymJg?(M+9ZGnv4iRf5!>CDle z@qtsCl4DZQn@c?3-bxW#uW}^!Qqe)%Qkvm`QqWY>No6hZ_q&Q?>_#C|L|N;InA}JY zNO&3yX^gAsRZ@cO!ye@A;pL2%1AVwu7P4z94Bx`Zt%oXwZ8kf+p0JA=&x;yg`^cim z#+KmUbom{)I+IKgyUfhO;QJd;S&1P0Gf&Mq7N1Ek?|2V=Z?IK~;lI093r683l+I>p z)OUQslGpw#na<(oBRe;1Ze6n6xZED~?h zyVzP7dyujncE|Fk4no_hofSV+Loy_9{rHedR(o~bvnF~v*KLQ@wx2oMVTmk5E z5Qe7kgsnq_zh>~SU5w3d5DVfIqJczN7~`FmJe0*moD4bM_Xr8<0! zH+|Kri}L*-9a0*$Mjuabn3#j)M|%RGpBSHWCga;OcLy5fvRQUdC@UO4I?O1kw{B}W znsC$|h%St~2sqqMO908q&Yc7_osz||>ep-Xkz6cX8+w%;|9rnkBa6l#Y{oy=7yrrq zMz?acG z{B2M|?|jg-8{l(4*oL$BIouZ^y6b_e_h_N+A`Vr<>3iT}O7um@A^#Ka zI!uPRt9ri(PRRo0Lxnokg-l()T-*FfXmVY{6R;`sF>1 zT!hIPtTtbcC3{2r^=l|M2QqzobX!fBMc&Q5^s{*Yj=4i*gK;^S?AFb!nIbpV_cKir z$-AXGlWf-IuzVGXd}Aa+=IVSlDZQHaK9SV0y6>RQ9F}~Dw?rXkkWdj~kB@D+R&zDQ zREkdwUgnK)*p9`W8(ni0u0!4*;FfdRXM)Dx8{e)&h816ZBA5V$|IKVX2}v5NXFqP$ zKOx7N#9+CF&uruMCyfx;iesNca^K)4!rKm-{>#-o>u`WXzX>l1_?qvqN*&*WalU_M zOnbd%AMb4<&{q^`wEBQM)=Bbbs~kaF81~O|TiZ{|U$I7AEADqCE0Q-L!_BU@-UVEu zz5+6qp@L9v4HNqk8$Qkylowp)NvwYLUkbx8GzQ+7Mj}@a&w123;J?63*yzv0?Aia2v3xJz#{H zm^uZ6Ldj7tvTq3QKtz4kpHR@u!Usy}IKJYlwMBk9R-WWy{>J>89#@_M@fal)8k3l> z(cjeMuw9j_!DVx8B+z(-8Re`pb<0FkncBq0`Hcc|AH$k5y^=W{>HKR>JPH#tuNCPV z_q$ix(4(JHRCfA9sQ+W8&mN;!yZr}5&V=mwIrgsB{AMP@J+|+sa(@zZBWsKW+nkP> z@_yvaZ7jQeRseAXB1Mx6%?PQe@Ru5j2;G0Xfl4+vXUhG!w?*%D{{pLe&h3v2lVJzt zgWVdfT9-8O1`(jGqh&7nojX`|E=uHeNPdR97v?`Q+>R8Unz>_GKD58#7qCObsh0@A zoB$M(!{%~g$EN7|S<)D9H_}CpGoZFkyZyujagZQIBMZ&Qi>Y*k8)CfoEG1Wrj6)Yz zC?N!cnCTPT<<Rbf`w z9_lfIGUW51es&)IJxR`{UAAAlN(6dSb%#eYwz{N5G-gGHwZG5W=nWfJT%i(e=$|=A zTN1jAyD7GdgNORQc+MJy)Z}v|3D*(!P_yD5=S>#ATx^BeI_O|WteptNrYLzB>81Yg zDEYM=^D$fn8ibUlb2{;Sm4Vv3PSo@WF1C7U160{UjT9dWQgI>nwA4%l!`!Ljk2Hmaj6z4Z9KWNghd!TR z!W6AjuX=dWg{Kjk^cPS?WeW9Z9P^1Ey&6hm4XSr=5kmDprTe&`iQc;kiNJ`H>Vr%! zBkr&A1_Gx(jU)znZDocy&2u1iVBnzb{r30Ioyp+r5UD6B`~@>--8cqrsA)L>nbR$g zP)-!pTAV1`rt>8F2)I(Y{)p_b`U;2AIogP*tF2hljC6S*O!|3>R=+Z@u#sA&&(kSzk4YYoJb~}w_F6EJx3lx5LeQ`Q;iZdT(3kL^5^hBU4-}Y`+$chwGI?ggFK6eu z5)NC(DS>LW-(B7dc}vD z-0UOYd55*@uNn_Qmx&gqA-06A7DBv)~`O)vOlU?6*pSrn2rWJSbk>b&_p0E}W9Yn{4`6zGAC98^jYX8&Ep>51hx zAg0Yr;Jy+kv+EI1ns5b`m=~rkyJnUB7z$3XxB%pMAym^a*jW%Dk(R>DmI_5*Nt7w1 zA>sVJ4@S~~vGSW1gzTmtG?0*HcW&n{NuR%Z5Y+@qQlMt0lLd>*lr5A&As}d;5L!<` z6_TbsIKFmD@yX-`mnIS=6}xn=%YNv`l9MAeP7$)<$@ysMLXpPl!D4iW!Dpd9y!@;= zd*)h1YDv9!oiw!}=z&C}wP4>Z|6?!pvAxp*M#RqJk0)i|_71@hWmLiy6m0-7iIk`! zgWm&Le?GhAz*`{UXl&g2CcWo`GPAGRk^ZrPCb1H9UQ$?EyOiL13^4~KXUNKm@CBS; z)px!=STygXtK#$WI{#A2v9JvvWfA<4p&JcEIidfN-D3Ww0$0u^Qe;!w!EQX+8gUo> zyc3wQ8}_{vH;%k}hE!?laEcT4qW!)$!7p8-O;cuX4+sDyk7LN;skd-sX*Td;;|eF~ z2V`WRz+lx4i(X?MrwT}Gm&UeD`Yfs@=_bvuf6yA$H=IejUUCEJ^Ef#Qm=V<56IfDH zWUoCoBIUr|(Y+9ty!c3+6LlO|w4sVrebF8tT)OQ_$jAQyez!OHsj#4@zcjyXvOYnU zmo_}EPt`$~G(o%Ks~s*6QNKt`9e7qOp@4#UgMez6@efE?Ddj0|JZY*(yM=J)Cc@1a zAT))W4c=)dyij7}=-k`>uJ<`_Qx{OSsArKBc4okJ*a}xzmH4t>9fK;6Ir01`^Y*e2 zrsJOd9S)oHL$dFweqY&Yr!VZ+n7KXj@!SbVwPVT0{oFFzyytubJUEa0b0coFOfhV} zFv@*_F*0z+n5QomOz<7HDNhwiB;JAZ9*hXuN*5qR%mP-qA!?b272DHea5r=iaP=v{ z6v|9nhcS_ruqQ6l_^dbXVvRTYUJYw9wX*y;kGsJTpSCgHQ1QnxIO!llI$o6^!(KVN zvp5vPRA@>+r6pUWN=cqcNkLOsW?NEL-M@S3_C5Y){sypQj-q{ukAr* zERV^g7T@?1(yp0{XgVF|%XkN!)pZ zWLHVuCw<&FrLFNJ0U;a&n^yN$+|2qN1}~c=Y>zj zm>Lg;DfV)dVMLmyHCxmt%`nBP5;f9ngsQ6$%Uo6`iSMoSvcw0of4Ye|Kt>CZsT6Nj zVocwX5l*P5MU5_?_3$%(oT!}{%q;*-3|RR9;LssoFqb_yFNzQX`tOzd(uO;3B9?~J z_S$s<4Rp=m%>GWA7YbNmq&bZXk-`S--ZcYtqvy%fL!n+w!UmH&GuC!ZCm|U8Oi&eD zznru5>qj)0t$K0dX7SC$b^!`#z)AtYa>mHvVJ6qhT}GUF8H7uY@Tn8>C(F@N!6k=m zf*@*;d(@&M*nUN4_`#|>xzi8m4m;Vrb(ZscE4ZxA-;&OFG*|=&cxVmQiNd9Soq~p+ zq-hqvCs{-nRnjfTksAlMvu-O-vDsK5I;f*NUoyK_)~%23xew&qsP?Cg!bqsUx5>eX65k%7WyhAUmoE%Q?!gym{xvRhYig=i(^+0e3R zvl*2gRyQX+WZ2PrS?imF28KY1PucvQZESd$k@WU}fb-9LgtAAlfVe5Sa ztrNj}Zpq~u3qgiztUd;6Dex-TqFZ)KbWUrxetmMdL0Q2O(E(CKA*pA<=?G!0=RxG6 z$JgF_FEjeM!e&t+MNLzTDH24ueVdPVSFaMpms3R%i^(o~YIQ(*o9(5vge)nafN;a} zz-&{Fy0Hus77a2!{3$e#2z_yw)9+8&O7Zw1B$!1im4y>6;{>4}Gq3i;%y zIt%m%UBrVO-XhJtPIC%#({s0IB7b-2VM46Hxw4aNPZ}IY^~x^gsXD4t~8IS_K^`;lZ8_%@wsE9}q8gTVQ z>FhR@eGrLBOhaLR@(C7>JJ-CP?Q21;VAoZ-`#M5pj0ill>gHDrIXz!90-fiBxEuU| z=I4>3bH?u-U%RETUXg%@QJ(sG!LC)N6Oe%T7VThwW8F{2dQwY$M&T!9v)SsO^>aXH z)GMeue@*Y?`t)tC+X%DlEW-611FobZ#f>jA@m2J;>nH>(9mGae@X`c?;ccotsw>?w zH%Q@DsZpeb=(QCG+wBtj?1_&0$3(yCbpugkNOG$1HGzb-J~=nU%&E=m2rFG$hQl!) z{^gN0tzyyozq?{Iqt#8)F+{v;Wb}dBG8|#EDt9#A}1w^uraa z*t6F}A&0@mCYBp#@Lt{o3x@5~yj-}+PaaDXOiYk>mH!!&>d$HGOSbOB*d*c!M1wcN z#ohA*e)NE&cfm?fPE_3#Fh<7+b{iDS%Vz!RRLu3K1_Ksjr#O3xLoq%ztY&}ldYiUt z7oZBOvX+a>+)Zp$0ar6DQqA4`+im}C1U~LP$cDiP(Y5q8YBo?jzMpyir3UFo?VA9l zO){B{C?VR1M*UrwN45(wI$8IQKccxH#uIV77lNRi%HC!oV?I%^QZu6yYr%9h#`uer zVD1#fDa-*6(4a3k=eN+(#=fKl&;i^8x-yvz@VRnbo^rNi5B{K$MG{IThae+(5Y)RU zE2}D6E=-iV;DRE&`QaiEhKClutaoAit-&#wo=^qfxO~4ZA}1)nes@2ZocOK{2Xkn= zBv!loHrE{He}j~TH65Ug22n|dBm;77@((ryu4vX6GR~EQUgId3+S?HE#6oHwzV!PU zr7&@QmJkbQtO=cck(i85?msaw2<%5P8Va2vBa0&O5k-o5#rx%WRZ`5@@xY;);Z&7< zNycFS-}eb`c&#}z5)UTn0@KIs4JntN5@94FyrlNsF~{Tj?Faq$a@uN=3vQ?Ag41uQS8 zpzu?)zO%Mn{3*DGtmA7xe>@2(Iu0)j66$DFaAT!EO@CPCi$vDFhlvTb2|LB)-8WZF zz8qlJ1sH~xs&(FnN?qGU^BS=sd^ucC!2NE?jN5VNGZ094b&5g46ImhQCj3mu9KREz zq&O4h{uhcz8(IY3nceBTVA|hOrGzBpv$fs}cI;S}{EwuKfS{l)72o(Ve}rX6>!#bA zzC8f*YjrkgyNxQ&=Pe~@&@hgmsC+}pN}_xY}e3TNw42H zm+u|agtO{-)j1S-CNu8+rHfL=>6wqHmS;SQHdT~2(2=9RbD+kP@bZVgbZ8Kr>YX4gv_<&1djC$Y_3dnFCHcg}|#Fw=nGbJw;@3b-n{ zkfSVD6N41vPo z@C|#nzzJ;Or`Hi)JNksby4q-tyZ@%}E6ldV&e2E@z-!PQOTTlbLTey6a7}iU6=Rc* zPZ3o(=R-dp;?FlWf_y6OEKP~Wx_vwxU5ynS#@F4ysO8OTw-!-!yMR$yB+F02W1-W z#%Qn<%zq*^6L+<&6zSAtsIzD2%BV3A%>VRrrs!f>Y1_O-1OEwKIJ7KY`=5Dq5W|@k zG;!TJ*VyDrpL$&ZIPM`@7k`}GAmWa-J-l|e(<1BysaDEw%kB)>=1=%W+qiV9FO&nl z;Ys>be*KHK$fz=V*42|T6=(jDhY?l_A*v(t zwxPi7{}Cx0SqqtWUV;3>M%=$B2cDF$8(+ckwnunAfEr^xRIgMO@Xtxgznj+lcJvG&Ik0$qR|7CpO_mfpKO zTw?zLlZY0vSU)8aI}+feut3JzA0TYgPUG)~O{fNI-OiZhFZ5}zH#AbLh>INO%(lrl zd|G%6HCxN$bYOLyatx-O~n&LUJp?sJF4ZomcBNe%e z!AvI%|0R}ad&cv7bwRkX6IWBYjz}YQA|i*C(LdZb{Kq||B^fI#YU_k)HMk^gkOIYS zQ8a2?pNMGpw*%O5{;RkXc#Aizx`8go&8`2(abR8Dw3kc6qqSBCqRZqb>%^)8CWydS zFs@%g3Xz){T)iwP-O`j{Y4qsyahA4HG%}o$tq#jOWpo%6G$}t3B?%+oR{c8@__4Im%|WwT!=M z8aq8$B|7ur;^;6O(du(sc}c!qqw}ZQI8}jz|K$}Y zPy~`65TSeEiR%jyB-Mn|FSMU}k$w!}e0$hr?DI5d+V>tQ4fsLUxfH@W{`JDe^gF8W zR~z@!oe8>i;=dIWe8P&M(8<^32Z-H2K@-GRAVUn1&ck_J$#+HOh@<@nuEP_P`5_?B zVb-!n+M~o^R8TPIA<_3jF_(33I-z`ZSL|`EAmWweGV zwj?XPGMivQiNr1F!rHexOz#Ue*NJ=Zs_33{c)cYlZ!`MxFw#~=?>7w44&BK&yy#{U zxFSIyWqLn371?KdQk^|kSQce>RmDdWlPcx=iw^u5uCZciLC zZ7l#jydnd>i(ib=1Ip`bK9Pd$d_V!kMMRQH$A3{;&B2Sz9`p8zK5x)(T5YlCtsNk( zCP>2t3=8y1Chmv~{u2v-BOF>>=7!%l+D^wk0-^I_C}~_l2d)EH-Q)e}8I$FA<9% z-WDE;x}M<C!flZlycZ+u}e;cbmHGBj&%m zVy;VTcY-JKSq$l6qCnPt7J8DXRV(^`46?V1I_TBd za%;t_qvzFW-0anjxo`5T?&4}%zHby-@`e~;Al<&S3D9QHz3P-=9mlOoP1LRyrXfb& zurVY&a9T&y+|8KG&x=lRT53VR@>jpN%j_!O7VZ$uW-2x{6_16LmA66e zKU|^fJHRbIKK=_4(fU^Hf|rBcYEybc(9~+vgY0)}`l`b<9fwJt&69FA`Z=NX)~ZKp z%HIxMGT&!=hB|kxs3SY0``yI#EeZ$M<~~%!u_l_D%AP#M*l}i(8BW{b&h*Z=cH%|V z*v*)_Cc?4o4r~Ha?`ynlNT%-T_du*bdzZgoM(_Zbnf&T`4Bt(gWXII}1gr#SUsPTWk zEGW?(UGmti6FGZqTSlGj47z3Q+EJeG3Py{||L=|IMtdY!`So`8yGQ#gMH3u*!?fiT z)LytY4IGF}tf7)I(o>_Zj5o|EqepvWB%$r%S8{hs^WZf{+o?)VIe1CJx1X{U< zm6)FXh{h;KQqAhQ3H7}v5|QLZ=bYEG2`&l@?^3eCgbvv2Z)Bl3a#y8+r#)X4z_L3T z1cGJ=O=C7m9rJL5%o@01LA)6Gmn>~&d77hi6hW@Vl*Slg^VSV35nYF#n*9ymYGLOsN? z-BWH!q1G7r!Z-G28Muae*5%_PMCFKr$b=9JSJ|ztaYjd{7H(F)|7$TT|1ijl9bHBd zND3PxM$8cwQJ8b}rdAf`-BUOkf5f&`?eQa*;ubj3Ep#`nd9$~J+)ipvslgo26Pi8b z8H}Gn9dwZ5y2prXQewBlJ>>L?4*X5vT7CLTYOS^S^l_Av4ppWukg*_dwL5)8VD zttb{2fgM4CH`-A|%cIY6b?*DoXwG9!j{li`wW4=mie}pd?|FN#P19O%NQi{QfU^c} z)PAf=E+rKo)P7lmWhFgDk*I_as@zWQf5>RA6>L4Pp2-}Ms%YXG%dqu4N(HqiGc-6o zVGr%YZ#>Z@&Xj^)O)+^OX6X**s0~%0TnoD=E0w3Fw#?^SsUf6PS;L_@h-6b;GS%86 zG}wkO+-XeNHWJacAI=J+z%%LM@%6L*@RmeAu+NHV%ZM`VeVg`F-wm#aOayNcakb7RCh8a~^6+7o0qA@^TQlP?#P8`!u`_*%eYdaXrcir_ zNkgE)#_xfjSt}uA-dBN?VPF1VS@G(#k9Em6SEld4N@%$Cw2^MKI`_SXq!cHxbMQ^p zP#UilFV|k#56>&py&*SL=9jmZIC876m-D1?xajv>v~te&Lm9dJ?%Zi9)5QBsPp=E= z@$-ux9CWRgv(%K%B;HS>>1d?lOon}*#m6C>xP)Kr%vz+kEB3x!`wC`SI5lnH_#!sx zMF)A0qr3{Zv-t8ahs3KVJl0WM);3VihZDOSTGREW4a^_DiLdqevWyYpH?hyE0-jEr z=B}=;=GoA;A|WOwPum+!wmhAlNHO{$%!>PFRui@w%2JEHNz-? zxAR8^K5;$@V8^UsarTFw?(NZ>&BTq`8ai0zIyFj4?7FacT_L)RzGyn1=;@i{c3$mk z$qGs)p5BrrB=>1Hw9{#}0+|rw(jNwKHZl^aEHQ!hK6gf$@d^7HB?w(`0PHyWb6dtx zn7DSoYXY6Na-*T?rQzs35~o`wvA-#qlmatlI!E`kz=skvz&ZeqMFP5ghxe{cd3#0I z{8eU>!bN%Q=GRB#L(*LD%k2HssIa~+$hulFLM|U93>xL zDVaso?>GA4flHVx=i!fz^3EQoc06On>6v?j7x*Sz`!r)({X14QH1!eA#F(8 z|RiT#(aa^ z*^)~v={XSLqHKCkcG*TzcFI!QrYW;BkP5h&D?N`m2x3h$=J65zY=7zjq0{Hd=`L9~+3UQQ?A~0r z29I^71m~8Ham^km=hI2&&a70sWAgPuV3?A*t9tX7?ZjN}nmc>Vbw`u>vj~k~h}U?t z7V%~achlsXW>@Rf*|r+$(L%?7(_01k?siCM4GinT{O;U(9Pr66H@rl8Cz*FJ&wh=+}x zK5KX-)yDdmSpqnNq@#9@_kh43I45ZCiriTr&x}3Hwal~h79P`Psh885Xt5tvN*Iz> z^Jr`{*Ubojvo|+$<$T>&TJ~nSm%cS#*eAZQi6VSOu?47}QjUAFxKZ9WcN-tZg1>K&b_j=Q-Zy94fK2g@Dl`^&P_-7K%s_tCN8F=j>Eh? zP#B|zbGN!N1s?PtV7jbLdD?%=O&4D7yBW6L^wjURb2HtfL<20m>EtHdW0*Ct2$HWp z5T~<#F1z#X1;5=9A-bJW*v+WZ;Bj2OcpEI7*m>6TGVY=Kcz^Jl(m?9qShUstB*p`@N|Ajnk(`0f>gJ;O&q&?TQ=s z>3EvC`1U~{dB%9Hq>T=LDG1(-5;>dnD(60#xAu3_`CI0JnccqsA=~cdt`sfK&HQns z(|JB}vvB!H8bfTzdfMoae^blblX+$b|4w`ES_^o*oy`~8!c$;(uiG)P;{}ZuIirO< zN$u&%uyAKk?V)rfj*ofqY{a@-<;W4piAe7wS#|M9Yh^~V#uSEf1R@o%E7O{LT2os?&kTmrbUQuY7#%8e&2Y%T}}Q^_H(?0I)L9?dtN zTP~+@PDKi&ho0fdCMIOu8kEFr?_!zz_j1R{3PRqI^d(CJ?Wm0oK0IX)yW?t$ypM#s zIPQe2-)mUxc)DKuMBQ_jIHFM*m_OTy*zMj*NEj;VN?$g3wY2iPGh<($wq@1a5M#jK zUG@wPhS)-98aavJf>+U`H{yL`eChWK`5CSO(DeMK(k9iK5~mH%{r7i7U6^L+1XygT zb-x6G*a2`s*(E%Z{Vq50#@>KWYwVQNRz8LW?ZP7V04_7dr7Ij(ljrVQ$fY!~eM9`6 z;=3B;nRPdR`iPuD!1t2wLfV2GVu2)WEIiXe=QND2n{hs_Ri;N-xj{$-uz*&nFbCh( zbK2$NzCaC-e=;268%lBBi*;y@>F$GOe86-aa#MA1RPs|h*Cut~k#tZq_Z0NZD>IqiWr4A|!Et9)D)ex3Ia)Rl!%D{;*v2{`s21 z<)p$_p-a74UZ>eUr}g6a@rc(qHD~>$$Dn~$kOeaB&RW`XeXex=ILSf*JbY7DT0NaD z7A#kl0}AI|mY?7t&Q_U5E1yH`rr5LU?)G-A3;o?@Yh2$4r($EKOY2sq*Tt*1ra0?t z%7j%mn)zG-xk-&vGq+;Mx+CmTZt!^7wv3;Fx@{qp+5e!F1G$Vpv%KOx)Oq6bAj(UH z_!=o!x5;<69Ix+Tl94G@*vdY~0 zfojBW_aAT9LMThNiQjbTncn@2q*5Ojy~v~WeOniW#9cW5+OOcUyBY=Mv~Kt##0V7K zR&VTu&i0hqZMejeEIw|{+sT7D0k>x*o%)Uo1iPt(*4pCmlaSBG0L;<^fPCY!GJ z^V7$b};r&(Gd3leY{&RW5ot6S!S(BUaRVwI0>KjMv+R-k+}h)H!LOqZCLNiOm6QA_7} zz4R?ZT=!PbV0#~?=V;j6k@rIKt(%MW*o-CR>|F)T*?X<3idEHB*ENWD)pf<@J)7k` z3;g83Z%(zGEcuM=@7<;(8^8=S_O;diyc15E-Bd=og z_7{%iWFpYQLh2lTISu}2I z%dob#wj6>+TNdHjp&Kn*M9ReUg_5$!73RNqXJECgf&v-?1A{8Pm5alBI`el$1-*iT z!n;iCxw-ypCh!)8h%YocI{N=dX7K-``nejyeXo-gHk~1Ou{My$H7LD1(5$a{`_xvb z==yp=h#$>^!Fnsc<-fb&$yj{E$2?#y#g^2k!tjpuxRhS2^@gaJH!ASQ9Pvk=l*O4|^B0zm9X-qiL6 zn$S-#JI}} z`x?I1%|zZ5|7Vxa2QKYHfM`)0$Sn@f_1T*x?#&}!fV!sm+Kl;dcU)P0%?r*qi!Y^% z=v3ra`N*?I9KgM9uA+*uv9j3;QRDS!ybqaZI3sJFlK)r>Xnf z^QofyaiKzFXj?#Z6xZgqf!q96CSdkuEDpnVv*HDBb?pRnTsu0+wY{q_Iw4p1h1Zf| z!mB1&@BY+aC49o&+0bkK1@FVSIfvc5SFTNii?@R|N;7)P|(rU>WNK~Qy{@$$E{ z>AGon+ubw?&OwQSb(J*DR|b`Y>G5sRYI5V`Q2cb7@@EwA>Fs3AU0rz&zx(nWsrNS> z0#*3;P^#4Cjo4OxTeku3YeEiYcXDR!;&J!)?{P*dsHz^OSn`lpuuMyu$0UTT7cF_(i zvzLNOa$5ybLjUt!fJHsc?0O|Doa+&&!jn1@{Rc8r>8$sXu@IK)h$eu54eK^6aQn$g zqY$O;PmJq*->jzX=}R(b9BgpPZuGj3c%8==oWg2G6BZU0t&}f6Lr75ezzsuF$AE(= zrhwTy*Z+%BO>g(5qX|(_lbdT^&H*94V3pcdzLMcAzZue5g<0Gj#G;*9@ySwQmK={Z zi_oJvmn8w(NR!&mX1w{yskWAENL3-}sK|N@@DPV7HvFmtZ@aFy^k?OKOtumIwI<8Z zW=%c?vtq)5Pbp02DOj;0xnXPF#1B2g`@Z_Mo~0zGA@}k{o;%(m91!ub!_h;NwZCLe zdN+6TRiSqd`B#@^M?AZQn-56fIYx19S9F8221WR0VwE2BuyY@G~V}K9v6%c3+R;hk@9wp!NQt8%ltzkxx`zUG3{G2o6w@( z;#h!=fuWS`L=Rv(=UCaJrzll)(oAeqLbhBG`dB(x>vg7TyQk%afBjWLp~PM43{!0z z4@c;Bad&Jj)y`tHK-Flb8GB9Vbtj_pyq23}zt|rSzk*N`cyu-~zi7^0T;5>r^h8&$ zj$JnZDS^}BGH+-Z&z;#?bK)2kpWlEaqT<|*xA5ADDr;KT(!`TCZe2482?P)Bkl=2G1P|`+?(XjH?ry=| z-Q5azhv4o~I9>UD=iGa4_dQoekM7a^R}G52*Is+BSu&sX&bQt&z8)?FF^X}jFP!m$ z%6pkh$WG~KBCakjFd6SZRnex22~P@-c{!T(4m(>c)t;XETQdgMw~-Y%6+RY?VySZ6 zyqzlPGEjpWv(YBbJz1w*Tx7SjHp^%uo03r|pzg1Q`=dSZIQ?|_gBk2*g!E=m9__El z;0W-?7Ike60xpa;;otKbWbX9pG?AXn6&_-+2bp^muNSt-V;f7PErXHT)g$>->mDe2v1&I zx6#PNTK9)P%neYS|BkV_BE%wnhz~;!Cf!lJQ*@uxCAbDQcMzwu|0a~uETnDVs+bZE zM4?5IgB^ys;02^Cb;5~uNfx-TvpXOzOGscZJuT##X+cyD3OJR&Cb|GG^%nP2uFRsJ zuaD^H#DYPH`n@3P2$O-!oUop_eD{t`Tjz+`xwhoJmtF z_w93+HVt`Z!Z+$Rh9kA+9cZ=O@~*ChvG|=J;jyPAINI0U6YxQEI0q+&tP$ zIEW=ws{3wRG@o1|qP`IScNO%Y(}FAEJgm)YYKqv*6rQdhQJA74lifb>i0EB_Oo3^` z7~Rigqn-|wAK(=?G{$oC#t*skc>K-ZCxG005_k&J1y21vT7kLJdrGZHVShu>yAZvV zjwr7`C?X`GF*zvRc6|br@?i4DC-{;y&hV6DW4dU;-DWsG-_=-s)C}P2(ikj1FQCy2 z$p8LY;Naq%f41C{xX)kW45Apev0{MOe2mBNTIQuu|CKEvfS+L7#FNrgts#&c>1S*@0L7U0+eLVhehQw_jqr-Di5@IG5QF;6x%L$?mp0b(-C0 zkLolcIz&CIOA&7EmO$G~x*XW&$Vr^_RRJl%N1vPs9Hq4S@^Aa>D{6C&%GGajK3O-i z$t5aH3+t@7BNneOfT+fl@!C@7O3c2^wq^Ih_{O@|^9>(s+a42X&F~H!o-o5Ejw_3j z`T+{Bs?nzF9R25G55^y1!{$PtIKz|(lDtJ08-Jl1D5<^8fd>k`BS3gxwlkGL=!u_1fdwIKwMg7jzI~>=u0Ft zPqj%rbLLZ1AG8m36rJWsj+}43_uKiD*Nl~(W!f$myo8EX8wzQDPJ}weT(JyBPorsz zX>23Q*(YGU<32G1=v?XProZ1%p1YdMvHpWlrRA&NUZr-Oy&Qlx5P@{JX|8Qx6-kCW z96+Yutj!tJe0u%4u_}J(oR*MP2~BG&-S9&0Y@3f;%Y|L|`gaarpk1-G_O>1$t^1u( z#zCBm&jg-Dm9c%j&u{BQ6&r)@{6F*-U!Qq)GD9*8J8W>vW#90_BzWY8&Pbmy3UhqwGt z8=_+8Bd3Wy%*FpzUOs}&37ye~YLBJ#dcI^!?pAIu7vt(Zl7=P-u0Zz1$&20d0NpC& zH8AsP+~vIked$wBvtq=mM&KXNvHZ@N3}2e2-u)lxr9`-;MxEg)p?>T4P>uok{LRjpYw=XU3qhTg*(kIuDks%ZIhb0TE-PBxEXy@@ck%z5X1Tng{zDkrIT}#+YkHqgaySaRC8}ny_h`iMA{KKilP8r>ts5A9Q{zdHxH_l65-CT& z^+$&<*zNixiHdW&R?NcA*>xnRuF7~GGNR*pr)sjrIZEDvRBM=gm3a>Ng1ew*ibBa6 zDGq+NAAAsH-rOrwrb>B7F*Cx2YP8ZlFT}is&Ro{VL9yR6PqIvv2&q9v*uZ;mFyX3g^c(|@$x0L( z9UsE_OKc;&ke^9$+Eea8cj9rQ^Cx2)ymm%7l62{e9Igm5$|_NW$^8l5o|=*Y3pvBX z!$)T$j#5Y4u}8KJnbyn~hn{PkJ%)G9c6D=S*Ge=u)mb83#K&*AlF|Zn9mQpBRj0l@#$JZU4 z`|0Yk_E?lh<|WB>1+e@j*c*SBoYb8ZL=d4j`3F&Tiy_`i5&uex=TVw($Rht53P4EC?Fhcqa zoN1u_Sy!)w1lL`@6d6)mZ;#0Zb8ZJK{n6>f)#8Obn$8J9_fz$_zskSulIorgS#3X@ zJ7&l4d?6akf9AnjFpHdK;Wcuj={8-i&twzXg@BS zc-)UF<}1ompkh(dL0?(?UumvxC9xxNVNqj|LW#wF#L2Jt!3wOmSI1LeLa$ckgs}Xo zd})0eKMMPFzNvNRnr9locw+65?g^7)A6~b+zH8Xf+2d~+pF)Avf)VILL(+jWOH&P# zecB@UE81zUTqcF(H)XknZHY|sy(HC5PnGFI=OxVR@vg}X-E&T+mwe|3A#hx)zsIeG z^YH~P2!)Fk^sJ}_ox(~5Dw#)8HffvU&>x=F^EF6U{dEh=q8-T%pcgN}eZ672mx`Jh z{(BFwgdoo!V^O{dD5I$j=hSZU!GE;_A;w~bdtY@CB9xc$o_^$smHrwbJTky^Un5Ot zr~Er-PW`wwSY3oUt9oqiz{v}m;&}o?qeH{lLE?YTmWp$i6*!ze>RwQyUH&xvz;KAC zZ6S#l{LeHfQtj!&KKBJWmM>+Q9n*(>V{U9@!PHZ(+g(JJhx%)A7Gf*_9zcZLz~E3O z!GaMGiTDPziFHIl)LE?#Fy*6~L#<`3lcCpR;=C;@$3&0Z3~Y_c6D9ns4C${Uv&RiM z!rEqQcLi)#@`P^046M{##3&9yN5a6h5^p0|>02L3v#E+@2@>cukLAFhs8qiZyLDv2 zXCnxMGVmyWjYw_1bXL6VbA$UBtp5r+24w!e!s)8v;Nc6bH#?h~n>oi*z|;Jz^>F@g zUQt1}|9YAp1stBgar&xDO8k!!KHyuggoxe0Y}o=*V|M@LMfVl(W8~kQ+>H4CNmB5j zV*$i}MWW!dQ=mov&7bc7^#P|+*gwnyY+i?rI^VhQhuktLqMwmk!%x@UAfqdN zUDUZEF~?(m#P0A|>nYz#G8L4~eEvgzNE5b>n40f!#!MV*2C}J)TGu49foK^Tc zdWk`E_3lqs7)pb?c@|6GqO~`p6dITvnFK?l!rhzf2J@(VXSUEXek6H9H85Fh`_8HH zVv2Hk`&4UgWr#D%wDaM8T45=uC2@S?dl5$Gw#y#Op7r~hhAn;97%7|7B@sM%A1gPt z9W;8X&X^>{Tsr-<-q3%TZB%Zwd#~FG=jL0pMay)8D!`wtvhR3T=#gF(G7Pj`2`y;H zTgqZ+gL`N^lLE2KPhH?ko)lVF({DE(ZF>*SMHSeHz6T<%uoRktYRXscFovS>44%U# zj~0I;&#QF|?poR!a4FCN?xBRbmQs=ngYzn9!YbESmElMq-C>|0 zY{P}7tYy6U8Vl3eQwV#z_dLZhS4$x0)w)L2jxO=V)s_?>+X2Z$h^z`%)=klME;>|N z4>lN22Wqnw=2Vmgul-X=k}mesw$w!+jBOq{{JybNKw^J?{}6>-@ex9l;!DP-L{$v9 zuw3%6tz*4{__C_&w~f^=F98xH0QsU*996{{CvWjbLC~!pGlARQZM{*Ld|4_`u_xkHmVy}O0KTJNg5jcjDI!SMZGK!$geP5?k zjw2uknfsHSFb&V%nR1O5IWR+_m})`iwDV54yg^4% zEMcP=T`z%bF#rB>USE~lnTi$m7E7&O4W5=Hllm2HSbvyw-vG{3c{^m3L8p`!rN0|L z@uIzwMF8ObKz2&I5RSN6em%9uK*J0fogY3gH5i#9T|NNEZ9cuv5-N2fIk`NFsk+0i z&W$<9dH|=>J{ZJ6q?$b)8!g0I(9bV8H$el9j}k&UuSZFTp_JfcFKDg^)5Lh4*7dty zjRre8?x$!lNCoadsg}4D6^;4orP>B%G2$_~MglU|2;T5T%iS%~D-(!#=i2BP1ZWLU z%iIY9fa*y=+I*a8drs4;Tc;b&5Rt(cXy=aKk7r!m*<$T<=)479h8KH?Fj*WhiO4L8 zNx5QqFuZ#&w|YGA(1jI4A~(y36s>ZJGe3)8K+d4Kx4jqce$iMnLp%?tNPMl!qv#HgtXTAd1Mv6j$I0Q zR^zBowdUp9(E!d&DX&$gH?$fgOZWLbcapdBr=wSU{oVa+SggDKbU3URm`pz{{q4rC zSSniYR(l=>%iV85@HoR%p1}aG(+?Y}nCiqQ6L#^>5jPpp$~Kfoz}LGFvoH+jU!u*! zcQCx|%PquPRQq*r88myR8RV&MekP0GH%xX&U~}I9RP9jXI(pBH#IG!g=Z+^+Tm}FJ zQ=Jp_@KS6MHf*VgjW9bcv}=_$jfgOV>Bdq;al@s6mTTiwsAY^trS-O% zxUi+gH6hRVRwePS7Z9CEb4ukZzaTbwbeRX%uCR*YV9uCQC$C89PqZ6Km^%ecLVdo; zQ&=D}%uExnN>-M;uBb|3Hvf1Nyi{YjVuqkSos+`e{NAk>@BW`46ecpqJ(Izzw9)=y zsWF1pZ^H2c7D5)Un4ORGtA)3{%AP@y*-iykXS5Pau3w0R+B>Mdz%7i<5vDb}uKg0NX zY5#dH;o4#7$KIy{YZ1e}5zNW!>1?}(ou5xU&IdsIavTwerd)R@n$}pJ9}OK4_t;Y> z_EFj7`NoGbOb9>Oi$B882Tg3vk|w9HnV>ZIJ&!6SztjC2=!oS=;r@-la?nIyY5#2p z@z92L&#ysj>%Jm^6WN&v>j;b7%v~(eseUc|CltRPA!{V%smAE`{%J_0*p|~Vjq9Z= zDhDg@saalXrQSsiu1K}!AJE53(UiX2Fw#sA^4{0iUE1&S095x0H8t_a# ze|OpyYj$Q>X6|Q#ZC-%G+xz~EFP*ol$k0hRChLnPsbyOLK7<>;EUvegkepNXoihY? z=LVaRp^C0zzy*BLDpNhTLdkE^$H7S4D&aa&F$vzYBmc<`WxurAhxwa={HO2X2LuU= zKGI{q#wIc|IygclPd4J5lg|&jbCWNJIf1MfGJ_g6Xu z0`9S`K5yF!HI6iPlB=6sW{rgV$M0=IOl9WbTQjD)H%syCuWM|yIC!SWOT?4Yp5aCj z#-q=chaK&oUrB?nT_??kM@NJK8eKr9dDcrw#x~K z7Seb$KC$NNT{DcL5#l-i(2q3p0leuD5Q|Qh-%sr(+S1?^wV?Os)0FZzTfcC7 zVm;`IG9tbVM=RU6JQtj9FTP^A++vH-A&zzgTrg;@0+qv~eJ9Hzj(4IQ_qf|PCQ`?E zl4^c3MoTuxN7+B*2lAAbQq63C;mMG|3#OMJd1*iV8aWtvd9QALwb1dt@s=U|q(rQL zft-|;kP1SqzRtb4#BVk}I~W2B3tewq;?H<4$l8w0+c#&&{ZLZc3tpL`dN!A4z2)di zU+NCUDB^Agv(c!GF*3@n?2jKz>r`R&do25s(D{RA$h|mt*4O1mzdgfp?4)14xzkjC zfwL{cY-)k&xtK2+T+In!YU@Ak$*!h)?2%@~j{J>j2qVC2_%h*#)nyAX4m8x*2TGEC zVsGr$I+NHt0heXZZPXbvACryo+D7u@W5Ftjr%{ftM_e%% zx0b0->%?lwALg>)QW!F=Dz_w#c>M0(PemMpw~n>;CpbboqWkKgJF4Z9^G(CqIj>>8 z2D-2!F=gq&NLVCj$#9H^pxXz`ncx}cTW9#Oo! zs&B|l7mX!Wl;bCpJbA@oFFLQ|oE{lNmy_8r(h;6UUpk+EmJCwYI#8@h(1tyCazfBn zm~Jj5p}-D)ds@s?7AhG+v|(&Hw9z(BCd+YmOSc23)SerdACktY>q=~ zEoP25WcBlG!0`PjQ*KiwgTTLp3)!xbF=d)}_>_?qubZyg_)5LZI-}BLLsYrWF5gxD zg$IBZ;0Vpw6rC?$rQ~q*Cpua3)3b8ruE#|Iwfp$Z1WJ)ILDIRc?zMaNbjKvOn`3Ek z`WAAq*BW2I?Dxh1_*~yQ!#R7SwU3QfsiTFMT##*sa3|hT2O8ly(xC@qj|yvPQo0vu zUQFbk-hRIeXFezeupwV>2*Z_Yrk2n^6dx$!@{19&FS10yxn>@tXU=1{)aK*NLkZ7Z zB*%|gBn~a$5<(HNN(a@rE;~&aZGN|0=VNJg$}@khEkr1fVX%9hOq9TV{L=Pr1uTRQ zrdaOFb~nF?7>RvBb_!D%=-xBzJJj|<&PF(i$_~6Wew1sQF*=rVT1@Ni=dH5;{u|zL z6gxEfArm4Qcs&wT;ZQ+{Vs|Z9YbmYe;F(@xnY~lQ?%RHE2SIrWe*@{w+hC|Yqp79% zmaZrWIS#WYv-rbfYAJlm%+X}I3qp%TK_HF2@m-{V{7beWDmJ#*W~ZmEEko7S%vf10 zZj@AChm&Xf-l}RABhiTWP@WyAo8gR*j8LyRO*hopIw1k>NU4$Pn6rQ-7UC+qY z6R-zLBN(a9IsgbN(f4eE*E@OKFb266EqLHkA+q}+G0^@^Aqj0bIw`e#q$^jHPCNR^ zDj4f}EgaYF^()knA{{ExR+&;o@8%`}0YSFMBn{kET1KQgd|FE?JB)bF;7H%j1P>n9 zmHBa~HaAIqrK0In?Xi6Hn8tF;<4pJX;+!rj_r2BwE5H$46LXrjA9B0XbxQK+9tPh$ zR$mM$tZ@2v&&1_(hYijrlU9Pf?DFOOg9_n_4y!5n???^LUpSIYs|7>rzx^#HQRVIx zPF~SCr16YjKd5l+b9JUPCE*pt8DyrrxFx_b0?=v!j*r>uUq^=jDM?{ipT+9E+>t9b zA>m9lq+_Q=uM+ZyYK`xHm@Hsz?$R$1IOIw5JIf2-sr;+N6`0mFj4pGfc|{2f)g)2t zyuzZ{q<8%Oo=FVqt-pm92+a2Wv-)*@BC%L`9<5T)epkaTO1a7`gK9pnw2ZnK7=FI3 z)tGhl+gxYDdgY%kkAj#cwch%6%SW=7LC)1Hf_l&Ch-VaP1D%JsBEov2vy)XwMyr^%^Mi13f&J1Oz3oj}g- znwbySOo-aClvL$&K8Zqwso;Hx@P$8oqK{dS;4t^*ijsa0SeD6&^Zm=5z<>VNqTiG) z_mW=gPclVb%W;bSgR1C$)u_-Vy{5`P)xKaLF(~&^M)~(^usqgYroL0h7OM73W5#P? zxY%DBkUJdn?+xkykqdx3YEeH4F*>lLG8q_g-%^oeMo4tC$5RiW)}WCHMF`1648BOC z{k?)}T&%mUTBBYinp|WEn~P3tEJ< zDOIQic6IT9yV)PJsEGdiEF%w+6Z_w_0DlMk{~0JTk-+mg!fN(10BpYFN9%V?w9k#9 zqz_Tx)FeuB=r?P&!+gx-*gi13L)B*n1PMgFw})y@vrZ>eiuwC|Xh$Jz(Q5lRSyGAQ zxr;k8*dAV1F196K#Yk)P7E&r5LipkV^R5sm7O18l?g_2D#x=T??`dF)OyrE>L>?y2 z3YIU?!K*V3+#EB|Dw&E%wiOY0>pE~4u_7sro63#A(A%6HYSAWGih8mFx>B-Yw%k6e zvz`&}JK2_gb|}otrkdH=6O!gr_<102=b%H0W=5qRmVDp8I-4rYq8@%H(@~;OJg;PO zreRYi^N|&*Wd(mA1+0$6QLa0WfrT)J&xtZ{K_BhCFfe^#qY3$4a;0FsifQ;b>hNGe zK=Fk#U352NV$O6fx(0SLrh(WynU=970?b!EeXTV7s8%tmRwrw5$rF7E#OvOQEAmE7hLqh41{xw! z-5tzUCiqDhXXIylDj|BOXs$$YbrR(rE!i$XZtBLj)lcjRj*tjD zc%E6&Kc4-YI)arP{RfA})^mrRmp$~nl8arB2RJGYTpIr8)Bxv#$UM_SupwMm3rS_~#N3Pw;jVmD! zJ6{trG|_|-wA>U+{eh>IRoe${YA>%y42sW9d~Qf6#Lb)%u&uX~cPno#R}n$HS2>?o zpQY2kSV-q>sku@d=mUz`UcCFq8EikmR9Wl^h;R)I?pTsYJTcYP+3ksukdhYuI#9cm znYU~lSC>#I*srH{F2bIx^!=<5ZTPrWu{Ksz|0YJ!Dv3xs#SgnAQ!|Q6dTUE|^MDj( z%yaiAyxBreYB0u$B&r#=&+A`86)dW5U>!%5lZ|J;aq-pRBP8Cqr_=;by@|d1KO_dBBX!K}O`qhhP)0|Gz~ncWf>uegpHmPtI`TEJ@}##tTsJ?3^ZQ2AmC{Go zlsU{g7Sf-Tpe>$t5wS?WmQ6h?ca%^p7ji}7Mi8HFitqMSQ4DBOmX{kG$5rpLf&N8% zB;n~O*UG*)nznajh%7fG^AMhfXg2vTp%STqK0rpANCDGQ%YPbma~#qHH5~nVhT@(I zg3&UZPO#%IJlh|_*5=W!7dM{H%S(C;=PnQ@QU5i1)8S^v3u^+DARwD^wCw+jc?Q(0&UJhC&6gUyV;3e`&}4S7Z`rv}sB0dR^A}$(rpuoo(v$@C_r{*7 zlw_ZR$$_7hm>g{alN%B6@J}zROa2I|N>Z%l_qkgTlBDQ4e7Vrrkb-? zUtRaeQ_>K?U==Mg+~2gEcAk&jcye#oHNDs-6+V4cCB}VCZP={XD;h)aczVh9V0b5= zfY`5fkclK*l%fH7I}W-|3{m||bQrIx7L~l(Mj(gAXwmu+!pf0M>@nc6kX=`hk%>Yg zFa7NdyC280-A+{?NlHP1bKd=~u(Lb?7>u^zZ$w|?i52ISE(U6KJjAa}O<}6Os}P*e zT?mtql1y!FxT>E)LBpSj?0be@EU~T9Nab7{T~Qop$~BC-EY3Wc(m$kkn{yI^H{ndX zIS%2iud*;do(fC2EhgVC1LZb3xI6+UM95~pVp`pRa?sjY4Y+Ie+OC&}7oux?{r4D2 z@r)L#tA1(}LZB3O3Vj(J*MJcaWdrI_#gwc=T$N?0zJ3hRQmUwY&U~;zP+P&gDe? zRl5%Ctx4FcBF{!92)+w3TawB(ZOoqtpE>Q%LBT+&00Flb2n~p~g=2z*@H$d_hF87l zqm_N5s`P`4KRDO6dzu&Cq?pA4A)BS8e~dT17;TNzLc@q+dRP=!Snsunv?dw!+H#~p|9SJ|MWWlu&8=wj?eF-`oN6F z5vjkw2>AMHM8fmxn8suLWb44kn&y-#S^tIBlLC`ji!O@9;of8=abo)+Nists8NcG! zoRW!x^SkAqXc2;=?2xcMrRXsok68nDVgvJnASVay_7=~_3PeT9V$n`pqM@=j3gueeEDBNdpuef7oQ5MUbe;2j zqHl8hslfn?!ISvkX^vWL4v?y+U2Qh(R=p{vr`!+lpAwrYOA<41iNP0fe zXRx_DhM!YBmIZB9%mysiuI=KhtHEK3%J?d+8wEYdI8NG~qA~x|~kdq@uHCm1Yehr~>{;ZgBF>XzW3#HX`ZL~$l zJ~A+PdoF&vM&NTp+~x38FZ5y!T_E|;Kn7tXQIu}}Q~oJh$Fuz0mocc!J(c5v_?{bK zlGH&LeTH!VawVjrna7&HfB&vryDJH;ZgA=#FY?RFHVZ@TgdN?Y16tx>=_YK zPC3bCS6mi#>SP+@icBQ@P22K+SF3`Dsp`%XUvy5|QysNlcJ5sW?1Zn&D~@1IMJkzs1+q2E|nuE z)mBmh&OfL(jTwUL9shO4pgW!+`^tf-qJH~*4@xV^qH1SJ9_)n9Y+@5icpbqMq8}HY z{oN~xsVj^=ZdwBj`NX;QmVLu9izT$_86lr$geP*<+dyB%>8sCs5TaS?@-AZ#E`Fr; z<2}TV+q2pUS$A8Ftloy)_H-m9MLfj6pe6F|<%p{=cM_ntnkwSkEu`b$JyoR~aD>om zVsGp23=Lb8Rkw}UY*^1m#S=j?{PwoS1}c@a6_d~A1J0C!7|Ye6gFqfp>Rpz5W8!71 zOOx`~al&Fhjt>MqycLA+?*<~Wwd13qn1|{@8l@9+$-m&k!2id+>#UalKv6Pxuf3ll zh~M#|f$I=M_2C&IAzNlaH0R9OMM3En!Vm9BUU#TuQbX|;)KSWjD+-Q@XUO2f)&k4g zp6&SOG_)D#$y`ybJ<_<$0K|W+{LH6`K%k}O`QNGOC3)4vW*MBE=P$Fx>Te#UWU=<%7ZH-?pj@hfJlLK2VX>@q4d=L5kWx```b`_BhyEj?{>lVgIXfJlvZ0 za>ZZ`A5Cd;!$1mVpSE#4J>>_=a&hH?sHS+%MD8)0%#e!oB`o{&ZN*QAYtx9zs{CM! zAwCt{k=TIHlA4C5{U=}5_UTrJNNiT);ULu0v+|3Vg$=B>;iGoc2bg$gQk@+gDvYx} zMxxZ&7KF&BNW*R8JglV#ZZA5igAkALzuF32TViQsQsWD)^r#D(gXAw<6je$hotFJA z{p-5MtH{Mx*rqHy#tUDZbA?QoDQ|d%Dl1b*Xx|MpI&Cv?SoI!sMI_~%J$j*F_4d-e z{*h%6jdYL`mtUT8g)hI3+S?w9@ZINsig_CP%-uDYUA0*r=5Zirb*n)!A9>W{>tgaT zJ{@!$Llo41AAZ6$e;G;Zm##oyHW&T_6oG9(HjOtTLW+fZ*r85Ws4Y zyZK!p6@0HS%+|G0_({ujc+3`x8Q@W4N^ z*hJ3-b8^E|CZ2K38C>s`$DV6BDvb{SyF2v6U35Nk{1We+e!r)rO$g;v|6+u2VsPM? zB1AbJTr}W1y6}bIahT6Lif#;@mGv;-NFEl`3lXYp#Cdv}ddw*}+z7!RC6$%qtrHCf zd8Rvm9f0})B;XNnXQBWH^OGa^S0r?aZSJb2>qlH?04)d3(WZ+CgB?Xt1^Yzwo{UAI z>cv-A3qqDUuUEH)%9Mc=Zh{(yfw`W)4u9LKacIuH^%09~wN5PZq(Rr74yI4--+BVk z$4h><+_ddLO~$|&WUCLJ&|$OTz2HN%J#7(27dRghrOsF2fn9=iRGQKi-(UCwJ=2#3 zTtx)`4w@uTKprMH70=Jlf3(^}2W}6N2K-x3QNQMYWQ~csT>o&D|8K}i{%;9hm4EHXvJiD?3f5M8@2rhHfjY&6q8tKAKumZ`Z09 zLD2SyG~x2HKsUV5MT={2fD*pM6m+{omjS1t0tnSEjoh;2fj@Kt7z&~DbDIVGm+(`K z$w{L$+HwrVpB67Pa?m}nk9?K&72$ZHg9{ycbe*NmwKgNyZ20)`;|8&SR*{qc0kJF6 zHWGEaw$#p~Deq^=$RfAZLGn@U!an0?5egYqB5!Y=gi7m4qmxR42RD~*cj+C{OXEej zcCkf+oeHLN!K70qqVjIuE$Oqy6E^!}SQ8nc`%6)-a9H$_U5vDKMk>~Ri|1t5x8Q%M z^;GXm9x}a=bm4z9b`J=PEu@p+kU9=x6r;~ zd&O4BRV!OeX{U)Q4+a_zzI7jB;M~mI+RQ1cO*go!o2e1#yTxEwMGDuPh4m17OK<(& zxvV1leVa)u06<>0i%_#bKbT4W+vC9l>a1WMGrp&Y`N1g29 zKwV$w4{Ck{1_WMBGFr@z{Ex*dw0HjlvC06DGyk(QLEh;kn9wkgL&LgJK`g8>Iw}OJ z)YjThNEl8=wt4O~&;W7Jn8Q4W1jBA!T;rV{2#%zwX4BXxDvY!g;NEeW$dy@d z^72#ZnSMwZ?g10UBqSt!CmR05KCfD&H>DJdjhm{TOEDK~LO>Vek6yQ^k2rId3VgVL zv*s0L_Q784UYM)1?;hB*CB0LjO;ieRplsSSF{MAWOhdcLe=fuO2WW7)T?+{(7oqCv z<_V^+x|>w6av9U<8$_O1JnCR>W&nJyuyF<>3_OK|xv|$1kP*>jIhu%FB)+F9jN&mc zZEy}^wW-ZbeKJ6?UGuTFOvQ<9LjK$i$UrB#03}wOEN}hUzE+vVqzMBrJ1s|0JcFr5 zXgJYpw!azhGkm_VAr%TFslc>O%j`mJ?{dclWE!@XLbEdLzr-oE=3sHk5>lHLR1Jkt z{rN{Y?CFdIW`L^gn2+<6I-L2A-~_FZ_dXMaq(Af#Ilw7f;CPy+MWK#+e(sM7X?Fbl zM8e1guDFhRv~Lx&;YtV>wW@7clh%5l-}qv+`8#1nrajf~LFPk8H&PX?8|hWn;6L-S z2HHGh(?6{T;nss;@MLw#YG$MRb2dM3m|9pL)5G$-Vo4P-k^IchE0-Pizq)9cL3pP! z*M9M6pw684k6L-8eyWE}wD@>-b9?N{TR6Ellro$%08qtqjaSE@(TE7U__cZBZU9QoL#1#!4i0IRu@H~`E;v39x;(5J%+o=B#Rs^}qlsBw^16Aw-% z%LFgw1TdW!KswVYf!rl|CbiDK4!w-akKOpNP-@nb7x%?BUQ?ePaDRaT{pg0iDP=Ky zH0eI2FZbEb-1D>kr!t|49iX^2!1$gupi?mlR#uc#Pdu7$TyHf*Hd!j@qeU2+`=4f* zU(>O%y8S)g)Uf>bGY@&w5!S9r31AIM_U5-C+=HSlmC<^-gX;5PS=yKBu!J$5C8lb| z8BL6U*s?bMM;irE1#$zDOk#^@oOnq*C8T^OhiQUf(8^adIe8KuQkLH9rnoz+;_LII{p-WqLLHn?aY z#vuzu*h_a34GMQ#`Wq5b&sMdK!$72fV8$PhxG`@p;-R!#7}W{u=^>SsGS1LdqgomE zc8%g1x*y;Wm;%3C_8b!loTp6qu(M=rGDc1C?y$vVd8>{Z5MO~haOsqi>;^9IXXgH# zCAO)su1TL)MCX=>MtgZ>z?e$i8Cf%)EjqB@dgh2ojeLZaI-mZpZ9S#H|I*g8F<}ne zR-R0bJNBo}@{U<`^~jJcP)=dWg0D!6Z*lg6MV+#fZ|fay zDHcUyDBUDj=I|RLiMpir1?O3~&sBnh{8^E;1y(D+kbxRZF=VpJ0c4>q$?GSRC@9I2 zkKOlk)1NR~8t-6}+PuamM8}&^*;8URZx|@ zE`IXb^r8Px5eH+m?0muwE_do;Ug@J99A;l{PUNMxC;n!I*7$KE%Gc6G-`T71^7tMsOO}N^C3s z`05W{yi_0AMX?HvoT`CPl*3GNpCmA?kuj2m9(|CgmrzEmQI73hr^&|P>C&jss99Tv z{)2NWlg&BxFFKZL~@ z`s)nkap~GQe(h(Y`@|)RCVdjx>C1FLX9ypx6W2WOZGa!Vg>wi4H*9fDS~oIV|L^mV zq?BRo2M$8tnTB)PutmI`ghT>IVxIBro){uk`fpu^D7JL%qaThg?a0n9Lk(U!eXzRL zJ#@t62luGf9AJLyhv%}ZZXeZIzcOmB$v>E$e&BvTLcPL}zTC!22IBrpB7y(vS&5%3R%dZxuzHi6#J{tLL1F7^p&I_jvumyDp(8v3yFf zLRX**>9nllE1ZvBpnISlX74`zpS^95dGN0d`YY)c6Nr?l6%4n=34}`Bq^@^Fknk{| z`S^|ovs7F4xJez~9kO}=ZPx9VN#y)V_09*OwLcwq7>zRyl&aQDz51XpV!v@d8QnzOFfe3F}OT?$fd%&Apt=5P| z&b{1G`Otd_RqU}AWm2S>8ulMb6LYTm24V;Sat~l4Gj&EZQ7IkTjN0PH}{NPtqse+<==n0PtJ_q+aEzZh`VJG_hSe>*$kIz zF4s*}JtCCh#gQfSy~a6vOIE=fXQ*pgLGJr!J~Exd4p1&?EFpQW+-KhW5QlpKrlu&;C>K(gbj{GUa=bMBGT!{gQlkNir={JuA_%_f%>$Ttb>C>p~{#b|c zL`DtP2aGlQ#NTq&U7d+kI|EK@buWUjL?8 z6DQpglW7}~aIN`Zyx%@?n7#cWn^U*0$#gdGmotjZ!GB-Hglz4 zll6y>YC`G)0{utiYvTL5(D{20q4kq!z@iS}nyUvnNKbKL3j2`5uhYhTcH zewG;>A>pC#e79!ana%b3AZqQ`(lBa%AHR7xDJbdIL_P&G#^_=g!?d$g_u+fcXu<(2$T} zh(a5>)QCucwrBT3ZPm5G1UBVVb&@PKqN|5g>!OJIrPao($ zg1e1)ZJO-dyz&l_HSX-$RKHua-p{}U;^@Js>YQN3u(+>an61_8C@?KQP`W-lDug@K znDZh5-&=?#`C7Q=GHs3VG|eW4JQSsCJs`T zudHKxlNQBx((}mgvd^TlmDz7zy0uN1EMY9`y^6u3&Dt^yrbJlbA|vw+Md3kz{rW&> zjvV_O>*3qSfq1DNhr#AQDqAArlhlI>&g$>57_|9rcgLvUU$DqprGyCKJmtKRY1G08 zuCyV5)4qm7vk3$Ih-CW>1_TZeAt3_a7I+Q8tH=wUHu!oWKfeaL|2+Z;D#r$#4d8tE zj(RTm_YmBG-j2UVg!s!e|35WIMm$YgNQn5-sb(-ZO}d81H@+-oCyKi(UEaM%jn)*jtACh3ovuDi^_8?=?z4 zqXTXkCaG{g&k?${IvX%xE;sSqRmCG8#?dplmwol-N5$lRWs2|Fj)Zpel1H>Dx*W2? zp8Q4D@o=`Zc@{Su>+YSyC^LgOZpU2;**@8NowkyVLaBiKBX(9h6xFn_*Pk-FWc%9F zuY*l=`TFysKOb|DCM!c}OdsFafJ`Nksf)ho`i;o$o7wt1Go?Qqj@hF2hN|A69NA%D zx!LS>m#=6mj^_&2q0+y?BZ3VrGcGT8PTy@QJ2<2boUbF#s%%UqsF=*|A#o}SOlJz= zqm#u9XJZEEJY6B7Dwl4oU2Ukn*q}uoqIx9Fl((2_c^2CLqZB_MNI zT&~^#t@PnkcQ?<_^{#E#y-W2&wWiuYj1(7E)637}tGDxP{JKw#H{nOCR+!>mY!W6e zKZOrK1zwp-5o-3}+t5GNi|?h+ zOLTE9STvqAwYa$W*;TwSss5ElzNafT^`&ecQ$OOamwJ}6y580DCh_?R*L)Y|#;h-{ z(8ry2)>UxZTeg&66h2eOtfejex(}3A*7Qoc{BphFQb#(CsUJ7y8%@C4V$W$s8obe& zD9UZHQFUQ$$C_$@K*Cd9dvWwL%f#E;IyK!9nLVDB2%K|aBes0&f z;S)_{ld~-%lzG1Dl^MT$txwy?ylbFrbaK=)r_o;898q=}bU4xblk-}J(%>xf@a+@B z(G!)Dz1vEg(I8uyCyd7uaRq=2)-8<^{Pjb7G{&G#e^&+os_i&=X!`<-o>E<14QF}M zPXtna@H(cBLMxfnf2?HoC#gn)9~Gd#sGHZAI2>A{t#P~c`1IT*!Jv5o{Dy&uxTZPt z6bZ%b*2%|(SdE{}*A<&SJmW;Z9=6HgK9)z_q2-Oe2v2m#L-XkX)BC=gs6x~MzlW2@ zkhUthqaWDgnd&tI(j(Rc(q;+ZAy640>wB7Q zlGfHDc!$@8mOV{lj`MmAx*BhwEIx*CD%?7E5$N%&w zzAbl%+KuB4mDf4zHeHQNT5Yyj>y2ckubF0Ie*QnGd&{V}x;9%h2_Ym9NCLqvxVvj5 zA-KD{yHjXEg1ftgV1eLPNa60m-KBySP`K5tykB?U?sNN&aekaL&b|L?)YyC3-fQhO z=bH0*0+=LI+4qwjAd}@dq=ve3o(Zi?-URbui<~)@I#{MtvYWqs7 z$^-Wo75AK|m|c7sQEh-;AeyQ^=_+FCsJ|?6S(N(C$Nf|5I)4zEz-&>HzkfY;P&@w-BFMjaBe;UTdMAxWy;dH3hNWv@SZQk zZ8K{oeSb!$L9Q?J$%H-~ZfMA5YTy7GJ%0E=WzSxx%kr?*Wv%+vIvcCL6hqtTKoyfY ze>aOr3VrpYfq!$;o>aH%#iVX z>l-*W7RTSG9iyG-wRUTALVnBXK3c7n<^g}@xItGV-c5bNmu9;!72ct2@Ylu_f)M}S zQPWFBY`gW!K=sFVyLe{8M_$Q&HZ$u5TQMQj+`R#uO8@SsLaDTGQl>(DB4gP^9pW;) z4^WlGKAT|ojp73gF^uxmauvON+*u4@H!S3&29i}w%ls28LtJSB>hAU@xz%ySp8%^q z#giA=?8$zKpZVVy4JwR10qTw5K1RvYiO*w8OL1%Yx+Dw_28smmFh&gX;zLm233M&w zIjkW}&i;&nh5cLabfSF&S^8^S&O5H;d@r3Vd|T58M^P?<=`oS-3Z$0)O(0ZF-Zdj@ zxjnPr&Y{M-IMKg5_u$&w?{#qh`*s1U&R$}+N^VCN9JF1CeuN}P1b)q1ct1IK1PGCF zQ)5o~Zt}i`gSdx<4YxFjHq>0Gw#dHS<7V0;zAzD5bGfzqd}m0!La%8+)tO&65n&_^ z{eWJVF+^BcdbceRoKrbDkI|93&ojK`AToSvy2mV+;TfTdZ|A9rgnPw`#2O0skU&>n zavScSVwa3F^iYSLk*_HCc@HP7dMC^a!Ihv4#eT@fNJe8=txYBOd}E^Z+vprI26pv*--vL6nLWcA*rnuenvmz9M5G=O*VV{8i5pB%ufCiY)X?fF)B zG?nsAVRg1)V8)l<7V!R{>-*)6GuvWLg`yeV4YX-QFl$U^u^Mf`tLK&F?c!Li^TXrP zEIyEFKEhxeFA%_%xEf3ewV3vBNA@O{-N>jkI|abUfJ09b z6XYVEW*&{vtgF1t6o`mUkue)y3y&3BOmv;{iP9tXS~|zpoqiz^`}p-9#p1*uG5}4x znUp3jtM(*Fk_0`7<*89jD-RM{H{2+MLm-phO zXzy_~bud+pzQtXcfL+tYw>XvvQ{z;I)$La@e>&t7bw3)*tWB;ZGw3IEFyraf?&h2A zbv^&NGWqulRM72VUs@(j%kXLAJg0`QP(JaAiAJ==dMcgNiv&gTo$XxS1ZmT)=a3F^$i(SU=pY0X~RVZwQ&7K<2GqgtkfW;10gDi;-IAa0BBf%!pbn8%>`P4s!?f|#5f3@CRBL(7?buQ2XIvT1| z*trIMQI?r4k2b{Xu3YmU!yk2-$_G)fkT~Jz;M%J43?D$?TIvnwuQ!G_f{*kk0h=ar zeU&rFcSROR2+Pu(dAtLGJH2MyM@;eg26BhFEhKZ{c12v2@_ub(%%1tSie1SGoa(rJI zm0Acs9ng>(xHGx!w7;<&CCd+v^@|rMQ7JJh()nn#(G{##X^?Hw8?oZ5i-b|m3Jp?N zxF=KhKftPt6sq)q0Gv?W zty5;Q#gvZ$OuQ+rg4qLno{ z*vw{wvzs~aKpKZ5#QtK_6C^ezvp^QqS{o)D2vt(AO+g~ntemda0kIJeXNE**g*hVO z1FgRQD0P2=uQ_pcAgEyVkD=BA(?A7J#NXcMJF%U;t$p5L*>~9=#>Z#CabH~^BriHV zeC=*(O_VgAv-2N}MGOl?JhiEmf=tJIlMH^b(-S-waiwE6vMh}T@QztbCLrGBp-mxc4KoXqIHtj=eydf%z~(odlqy;S zWO>7cadYQDU0vCFfP63B`TQRE-wwC`*8%^=g_b9GwJz4<=xvW{0{5lDy|owLP9T+6 zbnv@)lzSq}mP^G{=4}?$ubOYn&e-K68#O))~@BRKVFk)?pg6k zG}?Pv{0t_^e`33A*l(!Q|1*GvF-nB)M|IKKLVTs1*SBf6xrHzh_kb<@M8_O*2cg^| zY%gCnI#ag_+$MZFKxl8Rt%I`uZn z;BL3B$69Z44?JI&-L9G~eVv&S*_JoF?}*40JU#h0Hu@J3TWa3GoB`v?!^RxM*J}F% z<$4AD-JF`$M}{_mmr`28-z`?s{W+MvYOfrfUkN|SSr|C>&LQt>C^VQ6bW8V%Skmd< zl#K~^K8s}|adm?~NR*Z=q)P>QxN`$ta9tJ%cQkV)n_afgB|xFgO26){R~;79QK5EV zM-$AgNM%_mUutkIFal^P(i=5aU*gr;{!1NnjlKV{+KHnXEy9MUkHEB*eYg&t+1_BumnJYb z&Z^>uYd++3prza_5b)#3hq)V+s|k z2aO=o_S2NT{W3}k&s4afee>?PyW<7rYjAvG^Ua}T&}Jzq;DIpa&67=OQU%J zOe``}4Db?br}${gXPcUB(@GGVHQfGmn*(^vT1H)6U7U60IB!(+H||Pm`85heV|Q9+ zU#E0Pa5Lj{LdG>*1yFL0gxbRx@@D?1w9|KXc0NY~e9qGoMc6N3b@XM(XmmMsl1l zazeI7aDs-H_{3q{2P17Bfb?Z$s@Qi=uQvyyohtSoFO=hIa#|2f>I z1u6p5LX7269h4{jcJXxfTDqK^5n$}S8SmHV%2RIvv;EPELZiaQzmn}F?ip(wwu z&9wY)FZYHIw%RF}DI)Z1B_=D^*hRJdiI^A;Z_aVrv^j!gI(a;g%Y#Y6oV&Kq*&>#} zkxJzL_m7KAEZcT?UH5rAH+~+#W;v0NsWIlK%mSJ3IQ{8&{Bz~6SXBi)#`~mpLVMLIhj@c@;@}GXs4lcf(W8 zug!*!0!>{okb62-8nZ9{w^-@jk7^$->1~T+cI(?v(#~A7S~2b=v%r?p!o4M~FAyYO zmr2O0;&^_s?QRmX;@U`qi(RUKkK8Fa=aji%fWRh}vv3s+8^C}-vjY3K+j}m$*dMMt zX1wyg@y!?B)%Sd)pAbPjmv7;;{=MPTLjGH_*~%C#qBXf6n85Ih@~7Gfi0&C4u-1aC9NSobM^=-|S*|vgl8~%MKxxT5+S&kOdV`t|ggRy`-B81_tuN?t z<=mq}&t1L8xHU**Jn8TFi$(Gm{tfdpgIkZE86`-`)otiLuvkP@C@z1ah|;+x+`tnb*$rSVEWlq zVci=_@TOZPPvS9Z%-LyVtCm~ejYO`aOTH&qd*sj{AfLQls#4ris)fhyzRe9Ul>DGG zae?JpbTu1arYjGXYd-5FK(;~1a9>et_*@6=W|R2M3tw=;SMz15`BPDHgZ+U4I#=T+ zmoPTT^SrrVCN{e`UFengZxFvQ|MmK*6A3uy_lS}tKwKJ^xv-q>FpcB>6kss=L14+PE&{|04LayM;+M=f$}XjFeFyuvK)N`9;b*JA10u zs7bP@rcn^~qwOjI;!1p1p=0HI$p@-^;`!V2T$t>N_4CtW(lK{YB-_83Ptc2@9d@%7 ztIMjcPb0{PC#%E}vBF>3vQzjud2+kT&T_WyNKg6-MW5k*L{*I^oLcW8))pw`p!Vm6 zF&b7=mkvy(7|ES{(O#bahuw;bW3Qb2=r!aQyV>LW#cO+Wwa`y?kbI5=@VJS5N?%f2 zU1qiru*MbYKq)KoNgrV~JEk{6SWLzfWjY)*Sa41j*7aG{nKGeMQ-MgGS~0A918BHRfDqIWWGv_{@i|QMCEd! zwTlYs3OT*tC!UO)&^>l>6_-J;z&%i!f<2vv1uz>JcfyoJZ z#O$jv@};UVXuVOBwC&L=%C?b$W&jnKPJejZ&AzWcGM(MN5YDoFau3jd{92vsGYK2@ zzQ>jJ8&YBA|2i<>S@k$}BZdD>_C44#IbDh5E>+I>u_1B}c3SnW=~2}~gHW8!-E)tN zf!grk@X^CjPG(+C!oE&PdM(-ks*%z_YO`K{rJEAG5-+iJ#o@=mZJX1d-aV}EHEXMw zDal&%eJSxg_W=--D=^p~PzhJodK$4$tlWI>veU)4@m!KhB|r;{Rlhs$itk^1Vy_x#4~@2`eC(3G$y#&aXbiJSlafc8=*!q-C*o=H$SQh z0tbfrA8I&kdyIIaZ_@Bse!cs%`RSw<#`Obi(f#2E1DlzoGd|)#PQTr=n@%jdy7|V-_i)(1YXRzP$+is0hl|$s&yH%c zw>^({3$=7djN5emllV<{?w8vjT1LW-SN3AThcRmrPv(DUaamw#8)ix$=@y5zsOQ1v zW9lBw-skr9RB_h}IRcri!JJ$)w$$w-M4#5=O|KipWlK?;*WcYU!(y!iydibk<1nKI z&D`2^DaMkLVb2yrY??}U54~uS-q{)50l+c6K=$^@FhAcAgf~|JzlHsJ5AS|9U&Q?z zBuu0!F}Fcn)uAKQjiEq7HdPU&Z-j6-+=J?-&epG}(NpRB9)F6zI?9T8l4xa!{vWkE z8q)(@bLEW$VUbcy^V*S}J4ZT>$}wVy8dGJfEQF1<&+I-!OiJn{sgMWthmLB8KG`;> zwCw(^LBndI&PPHRcY;4);4(12ahFBBu;%7e*&qIqMqDzUC=SKY2S0(3S{x^t=pz=7 z#I4GBwPkylF|nwXQ_yPTBA?}a>ascdgDl7`57H&&|O z|5PDC$hkPX{Ewsz5=+F(9fG=A+fe@B4!pCxk?=jp&N*wbAt%~L5!-%LCQk;_S_N!X z)RadvCDl4m-C7*>U~4UhuZI$$Qt0Wy8hW(*UUBeu`0vDHK7h4{pb4(QDKc@Vy-tu2s@)?j;8VmN$cqwzu9b5a!1l#B;PUix!Ws&ftvI>tT;2O-+r1`qh06xHil4c0^R-R zwE_zAKpImMq$iDV(J8@y63N#j%eT4b9qj3t$%eT&U2L{qKTNDi)n=MG{!ychL~?5x z{qk?AUo1h@fCCQNE5crj+=kbCI_EKtSq5tRd_l!5!~_#CuFo*vk^DqgS9cUW=hjs# z>Cobdt!x0{N#VD@6b0Ahg5e>nJIr_YNa};_=!(dAV63$DW^x=1FzS@ZIIRoPC+qu1|ZyWJ{rxonFrJnC39i(N=_z z*k-46osSnvtp6>;IR4~H|KHZiY#1DlNPPCC> zK2~LP;xtPl+_5WSIZ=;^eBjVRCpHfYiVvDk{+?_2_Z8tR+xPU-nJ~9)k0r_r9cAmG zPq_EV3F3Shj1X%kIZTzJE4-Q1}Y=Fb@q{gA^bu6nV0t_^9#J2^jB1K*j~16VDVb#5zmGc z;_l_@*bQ4SB^MR(tMG7wiUb#t-WELX6zjFoeAhff?cW!#1V{PhAbycZAxJ!$)SK!A zxlZhBewgF@mm6UJMC}1Dk*GmBq`{TsdX0B%mvk`pm#(TFmy3gp=xBrb8mGDW^I0{v z6hHR#A0j!$^3lH3#by*hGDp2GO0C6OtWXVLu_P3q@OLw$^%owp3Pf5YN1vJ7R$Sa$ zL61YJj~_eywG;W9rl$6J0=2U_eLmJ3HVb= zWI@|jbt-Mhmrlx?8*0GGlS>)xW$tTg@U-kSHEiZe`S0vThT9qz>z<)|yK+Q7;vsPp1xa%nlLHT7o7ueY2P+M- zH2WvCvoQX?h2gkzBWoK`oz(9bcLJ&q+8qeFTC0ae^yd1a zlGF8XE}lq&6t^Xi@RaD|1Y_@@vme1E9&+ijy*Pe4F)IMbCqcXWdEnp%bmNB=x5PNCDuo^IHpP@A72OzI!mdjZTynX~8pfJzJ zR2*&xrpSBQIzGA3sV}hj_*_*NO?CbBjX>Py*VrBAH7slCY@K@R-w0w8NbTzVkNw?1 z#e2pV+;$_fiNN^nt-ux$1YV2uZU^0H$poCvh1W*Bd^+9j4}YMlLJh_P(`GDtB~Ud7 zbcgS|4~8O}=+?NAxe_|egf>y&;b)N35LtEN)YaY1-{45)8X_zkIv6U|4s{hJTXnd9 zJo=C^QzE|7kjQU$+Ix_aWO8~&X$}jm^Q#lV0qS?kcP9dITb${SL~AFEd%lFIVVRq> zK+~(}W>(Dy1C5Tm3#1d})YPUQAT+A7i;pQK>uUpYk25w=9DUx#Db~1Ji;#vJnGgV# z_wZ5?s57Ey4Tv9o(atz+tUVg+RW2XUJM;dqVYa8RT6}*mxX5p#tmupw!Nmb=6Y>t+ zGpvpvY`EJGq@NvAk^j@<-Phhp?Yf&nI_hnlzNc1Nu1(_qux7cNVR_a_1l~j$$!V*6q?Uj8t9e-< zqrKd%t!R&D?#VyMCxhQ){QAot8hKPaEZk?pV2w_U(yw z9kx8N-zH71w2x=@*>X>z6&=hJ+fO)(0qfQP`l|SdHt?XYyDKzb31Lgz!-@s6o#5)S z$0_HY74&=-+}h}E9igVWaUz?pFZ`-9w~2{$6gge*pX&Jjj!YO6UNn#18fPjAA{GAl zY>*^VBy^>ayzmx~841<1gK96gppfhBbwOc#G^v7+*VT>98~X`;Y&C$v@2fM98{A50 zyXv9G*-RTA#;CRJO#?@k!Ay2qkkrzZ#W+di=;&kaC|O}2laVz((Q;8O*CzcYe5ju$ z{Bq34^FJ$Ox?*VXJA)s>R~piD-;`}88b9nU6|+^wB0{Qy=siY>q}xH=*JcmaWj*kz z#tmj!tF8c1^%Lq=ujGWBq!ljh{h7?*?u>YrT^|b;!O?P#mCGe;QNwrP!0cV_v7A{t zt(SHS$xsXdF&+0}x8O<7Acfr~y0!JCu!4h1AEo*uc+%akZjVBz)K~(zC*CD!J~tMt z;0Z5C_nLPtnfw!Ma_qMTa6>(NF1eP#6ugzb{zSVtWircj7f= zHT9G46iT#RGQlX~s!U%vLIje_l}qj$K$K!HT05giUtNLnRcqeW+p^}PT^1^?%@GLs z5JT+)SQ11cS1ku@O|`1nsJdIzBmaxmhOGv##^Rz^Pq@4AGt1tk8rJ1lZr^00!8ZJo zPI8$d2CZRxrypa>dk4*eO(c4Ws*QwjCLC`DJu&|yPqW+-aLx5HTb1OXO-nI6)$se7 z>xw6>Atzuwy}MJl2!;0OKDbpH?+l+sruj<9JN5fH6|fa&?o%W7GTgL}XITC`<;q&M z3EN(x@&hv&L?*Skr#yY7{^bgQaM092DD5{Os2iHX?YtSoA)El;f3_RG=C8C4vLN%yTj>bsC+DsI>7*-6PR**_;;Sn-0{3;w_kbcD3cZxlJ%E$ua>*Z_70?(HKTp;IuasXVtbsp3tuRz z%ecC6sJbq?rm--5{lesFG3c^%@Lwc8w>>NbT|a|!IgXu}idxli03UYNc|F8+=~Ze` z9%xz2Mq^NXS9pVa{c??e>tr7t_g>zqSdfCE$P_L5H}CjY4C!Bm;<1~;qbE>_HGlk& zW@q)hLvEj9yqPM|&vmO4VQaRs*0TI-kd=%f+}zz2%yxwR`(V>xl+`(mq|Bn@n(tvv2uP z{k}vx6qKmdXn}^-<{&$?*(S_oRsMqecyOqMXN!>YAEIw)ekZSherYY3P2S+>vp^~i zb5`^IBKN{{;K&0n#*rXiH(`kD_NTM&ZeRoM3k>t#IiJe4?VKJq+3u2w)(NpDqkyTL zerD0O>&8zu`{lqGVr=Y0c89j>8=WV7G8Z>V;Dao4m2pP~z@hlJ$}7eO0P8#Z8l`5C z-b^9S4=Z4)3?^ls~CxZpfBcM_Jk3{SXQ9T`j zXw>2|YP5wTcauUEP!0tZ_GY4yx)*96%^qFHFAa55UQUV4Lp z&m-jiPF$;o|G@(`4iElk!4h=nB31w1_td%CsL4IOB1^r5#EycM7LSG39e;2sy`B~$ zTGr6yYApM3xhZiazR>eW1z^I~vgUfEo*t%NNVKzDo+aQE0LT(u(S5a4^%Z9hn(Mvo zANAA~WV|$D$&dz#-J6<)g9($7Pt8l$$=S7=rJVRSOM(%tILhpDVZZJ`>A5EJd*4(2 zklBlkt~oK!dVl}66Hu}!u3I@kpA~d{+i29(+MRE+W5n#t(SwvSu^C)63)kgse64;y z(WYs8M(tqS7d7fDsQ2mb6a*&Y!Z&)C>^z*Ss3qV-@fx6mjBLr z+}P5hT%aaR=f|(q*He}~n4?2Q@E`+h1|q3IbXe5k09ANqx(M`TNr3IdrJEn6&>&#k ze0IZ4BQQo}V2nz0@-bVi8oSAv@L+uHmZd*&lkIiBtbiu=<2{b07T2HLaPzsb`~sas zDY@oVkc0Uiz?}cWcf8i+62^VB_7-uVyR^xQlivr)wnVj2zoem{Oq${o5?)?eab{A9 zNZ3C)j?Kh37`nXLUW4G1%67z$x3F){(Rr3M%;GgWW;`YvJ0v$F-C63h^5nxo?vKKA zj3;@RgKunC5YO1ij#ff-ptIGq=ZgzN|5NDXG8 zK3+uDS)7(ACm?-Kp6d?@9tQ8;^}z2z3%MbRqPJJYSc}Lb65h0)=h#`zfmb{6T}{;m z_pv2gv(6LfaXpS3@t&#l634%EJ@3+w;O$2itA7-4#4%)-DFTY`fQ5gm&6%4Q zHmXgpX!bAvd`8N1_iAY966{_x3`!4aUk&FIi8Rl3GTm~DFSbb$9$6AvB6Ws!@5srE zA6WDCFsAZ!xqLmwj9gDJxINSGYnyXX&qg!<|RU^Y&t?BY3O z_HB#g_E8+P9Ot%h3HWB;8eed4(rtuFJ z9OZKvANyu{N6nbDCX<*|x`bzK8w5@)Ap)FN=Bg8GGmQbxr>r)Me0zDUtC=dGbd5>hl zT#=7eK#DhS4w{ZEI;;BS4K(q$v`Z6TX*Vp8Af{H;MW`#v_*!K|ENDY4+E6Y)WF|W% zQG+L`&Ho9}-}r5P{Vzn{l@ABiS2&u7GxuWV5A|P4^W))cA@}{*tRy>1e*{eIv>Ego zC~2N$?_rlmr>%``B(#43om%p{eTc#cH`r#=Os?_brlS-qIo|{$(Uwsw{47dmsPTskdyL#@Zo&!@sZl6n46tmwXL|F65vuT@FeZt<|JCs9 z$$TS$Gf}1Fx51P_L2xhzzDldNdju|%$GAQ2zZ@%^)pxJ1(hq(9+H%6e?p6OEo);@) zhU!{1RoS*ukDUObmooqs) zcvaWern*zPlEm)25AK$o{klSj?l}uJTi%aoeGTQ3GlKeQKKqx&tlGY=Z{w;`K8-eW zAxt!$)iy7cs33_AuehY-o-t-mD64#a@qzNdXtD|BqEb7O)uX#Nh+c287k#Z)9c)gO zTj(8^veME?_%Jq#cWV+wwnD`b*(m!^c*$(zZQ>jD7YgaE7httW#FI`dig)|_C zXBpp|W{_lA&lyEezf!STT5zO}40ZwyU?ZI42YXDrX))(aJ1m6+=$r2G8=>Mm`gxc4 z;=FNuv#fWhk%PQn*l0*D;f9*TL?`v>p|wbIILnz8T`LoYKxQzzzm*pVX{cmXjg2>f zCH!SV9Fj7rRXM`Z(x;Ejd4(Stazzba%F_*BOB%^N`TmlFJGx#imARFAN?vJyiw`r z!D|Z-){%u^ee1;wSp~**%QTuQRB~1l@linP*?uI>LaJ5ERp#I391V~RqMs11wU{V4lVkClZq4bWW;emKrK3%qE4|U|%@SUW<>g|aUUR*+ z@bGWTV<<#xS`4iT*)klYt<22RijA5&D27xB`|aBk!&z!dL_)zIdKDCxbz9hHoz)3%>2?U)~YtSTAn?6I!#6Cv56bky-I^DV*sUq=`Vf!|2Y%cKTO+y*xC4e@QwU6 zSdX+j=MX}nhRMaA4F+#>2>qaiN|#xXsL|!yQjB9HWyUaXxRx~;=Y2}xqu6V5cYuqp z$6VoQJ}R!{^makS0pdW#X!nNhM|m1I;FTT(u3Y5%whiGvk^Yq>qDq7=tf6R$$P>yW zc60xVWX&~!KvyvH>A#!47C$v%Hw1U*xc}51m{~0}1I@|?9SaQZEC~tgExRiJP+@;u z%$-aoau3R#eHh7GV@=$A_i>|!$E!|*j5VlNwPKQr|86za?eQhrSdTvJK;LOtJ4&Vr zvHg1jgL-9tg&Dc(=5c!oQ~Ya1G7`JXmD0(&cMAJ&D7DewKBn3{KcCjy&i>FS*Y)3@ zyoqE#PAJdH=w(BDe6_~WC~dzl3J6JV!E!x6QE1CO6^xD)`Mi<}Fzq)dMsDscS($}a z3)Qom>+Xzj@-{Y*$?I{*Kv@p}g+d@S{7|9c%J4iu%Xc7sxGM&zt6c0LBs%}zyOpKu z6`(Dby!f&R-m^lTV<@-g(XDkhZ2Y;qTR^a#?c$)$WIAIjD3sh3cDr{D@_x&-b@YI? zkQptzhM5n$XL>&ZJDgxNHPOWU@#!c7cn+-pxpafkHN8hU!i)=(sq&$p1KmUgRH zzjZ@v?hGL4n(9TLGFtXXIMg?#T|YkR-AS%QGIFFDt*y9ocB_rv7QGL1+HO8&y!Dxo zUPZHh*sW7RFCB4;2#(d%vDoDT|6_{z90XMMquV>n_0OGZ=GF(W{R>wOj8^ICS6pNa$mj#g}>By@P5x`8)7H>SSjn@ zsJ~(xqUpqU%+sH_Rl;W&vvyTcvIVs{69)gzYQJpnDF{(uuU}q~zuE8^ommp4{x@gZ z>C;(zU>!Xyh)X7#oxH)b z)Ay#h6~jwP%byQ^2QgaJZd)OF)xNqW9+a^o5|LRW)`Hmxa9H0D35c_Cjzg;mV01+fGYH}XaW1gChv})cv4zBHo7epiS{8(w-ZLOcr{V(Mh zExp5=7U4{|oO!GdWNE=`J-#o99d^bxQIWxf-)=aj7(Qp>sjlet|Px}4zu9|=nCU{ z%bR*05GApCm$zeJMVOAWDrNvw35x|^bJ2S|up6Yigh+-euBYGo16FHh`~x?lMPP!# zV#j~`Qc9Fa-`9(gA_!@(%{D!y z!w}e+35!>R%3D6#K2gLxJPp7Y9=W$(Y!GN_yUj3XVmncZ_0@Wk`|ReWQ`5+8p>4R$ z)iZ%72_TD|n}~Df3M&Lq1B9^V+}b7U+s_#w*Npt#=tbkwgdq0;l96{m^gG|z*Jg@g zQNE+3=A3jM`sjih1R^rfo)v2p}_hgwN&FU1bZ*c*x|Q}!bADGL5YaS zl8=k9CkHWQqLMzkYP%_)PO>V0lQ$ON5F#DlkUNp@Vc?pY&C);|eXjhax3tmAb9L^Z zAdagT!^ZdZtKesQmknsfvb$BA->6m<96Xl=I|;`>HuJLH$Ev4b|GO5z%JJ*Q`(J#7 zR*R)I=O*TwDLHkoag3;UROn?zVZB*!RI3Yleu6EZ2}!jXkCjsk3nM z^tOAF8ljw6UPDa5%Om+rbcbEm-e-jT_1-ukMdbxtTh1y#c>m-3_iHLiE<8Yvly|l{afU+t#VZ5Y^F%8+>Licf6Tm6X4{ojX6uU4CJy8q)yTWPDYu*;Y(7x!XHyA4 zP+fPY*PZR_H_nN8i8DC$$J`bynjy)9D2bjJ$w#^l^Hvl(JYKLrx3=RM^~B&Gw`ObuIHg|MS_ThH3@{&s72vk;Ei zvk;!UU?f6Aa}gGX&k{ar@g{Br?z+&=q-vO2p4nf~CM88aajH-Z#Y5GGTx-ti?SIPk z_0b~LPL!rXpE}J>F4Mywzguysaed$^1!<^-YztE+iVdf_aspiq*i7Ect8T4;=>_bu z!Y?0_#w}YOniMxL`}!f8XK0^!4=KvMsIr7JP7PEQHSAUfHll8)UD&bfS+jnSU(!~h z9*JgRUPaVmj0v(69K&F0(o@Cx`+839%1?qPTP-^Fk`Fu1OPmrl911en)PuSH2PH4m)=KF#G)f>Qwj?W zlgLNXWk4z%ZN7aqN23>$Q?v`8WUrmTphEVj5%E%XwS1BuTCwS3yVrRhP6+$&4xwC}oK zY{r&t>y-e#M!TB3?D>~9e@F*DGs_W?-d<^#dn<0{hKEYT+sYL)-BXX5e>36AxYYN; zN#KvF#8KPDKv5KQwnlp`NaHLe3z7K35}%GcV0P@dM3ylohM!;@4*#)Md(--SR<3hm z;_22+uJ(D$A?E2f!trX4+8o;QZ59E=0+!EEko_q~aIM>=hIM{Us2}bkm?7}A!>p}I zD-kGj@C5CC30z=>T&knnB+9`UgK=4ON?ENZzm_9nGoaMBTHHCg6~>5f4e#q#>aOG# zyN*2BJ_N3b72(v4#RHdSewg;_6gV1m{JyD%TskmB-a!^la+l(pTfJ4eJTQoqxvaPA)IgV9{#(`@4};w6_t>N__U$2P`o^~_e&R>A;(E z1&PJfEkGcZ8K&n)*&C@rN}Okx(?d=JD9EWzd1fy(CAH8wbe&OG-Qma%-ka6dZDxbF zzk%jIMHyTgj6KN(D)}9oh_aN@Je+ghx*zwi%3yT9{Hf!M?q#|xn8*s-p|+ZQqLb{5 zYRYZPSNz+|*L-O;eIe;xYwm@uW%X^@)BGt)?>fxlMn$s2i|Q<4Un0NiRc3U;PnLj2 z>|2asX!&|UXMpjJ)NN5}mo&;xZEDFQ^KJ+7HlgHx-U_8?&6oO3Szb&EChP=S0yS)V zg$`>wO0@~$i!EPQMAw`d$Fp0FW!8IAFqw6{-v;jCE#b>=;e&ymOyB9pEFQ#z%(<{z zJ-8U;`&6}wAjPU@XS>@+c5HlE6l>glh`CwFTp2p*A%b@Q#A3E*ZoQSvQB~z~i^{EV ztrnR%%;12yFWp$n3B)9D2U$V5MBA&YkZ2g`^$ZiV;VMs%v6-#S*Rd` zQ(BsTJOGIt3VK^C=jd2bEW-(HH_+Ir@0@3iaZwdE0Soi|9g+FB z0x%3?)S7c^v$PIr`HjhqnWzJiP&ld%N3B1VK|I^sKgqmhKWrGhjI`W5n;m>Dcll+4 z&gpSL!6VD1_%t>9gzZCU%MoQADEkBTLvkWq2)x1Ro7<%DMh}tmX{bCatpC-J6l6K*uWQX*TzFWADdZcM2~eEjL}%!OzUW`qMEhQ}qn zxK2|$ef9$rkK@zyfIq!f1JZ6|yT5MzXN`KSmESCe;P=zTirmg8l8BZUYr({W zqW(nXn*Ebv%)&}dUA?x&NlEE#wxE00%F2WI?B6u($i_BO{rk-DJhfzH($D+*Co;PC zt5^S)mFxeXzp|S!*a1|pBxlbKa;qCPyfT5$e4RaG>bWX|Y8)QOSPS^KG@0V?czkng z{gzBWJh~Am4;J|(audBTrxJkQs`T*jUB;G~QlDNsmMwrnGMgbuzO7F&BYJRhl)~p^ z7gi0##sXMJ!V0c3Ut9n^Y@pO-V1GJ?Go z`AY2|q<8pie;D%lPxHlLQ{1;&LzZW{(;bpT5J`efMI5~3kyZ@_3g84|(s_`rWsDQW zUfZSOp!@_Q&1q6Mj;aZ@iwt-(wl6|$_vseaIsSP@D;~|;VuNQ9}Anf&upc(bUoS@$+udx zAkvKB&Xb38Qa`UR7#t8;_Rizc6-3p1!#Bz6H%RjiH&BAX$If#&C0 zDW+o*cDsN$mZyP68+T}+ad(Ht-QAtS-3w{lp>cO9+}+)waCdiY+@|_@ z&p9(OF%$9qoB96jsI17$y{ob+*IHNJcO|7S+TAsvYrhnWP=hj|9JOWAaUR*q%GM;D z$bxjkn=q=e^Pgvc?uL0gTKt3rJe<8*N&IaWsotw7mA3y~O%ZHrd;(hb70# zw8Qp!$(OpOrqA^<+!Kp1-7g6?9l9IdwN=EdfZ!&={QJnxkGBo_|g0Ld2Z6?SmmmrwyAU?*a!(CA9B z3+q4$a!{}-K4Ec(DFCGUN~X=q<87U0+Ju$cK%K4PEW)S2zOk@w5+J9%QkPYlIn1DE zUD-1Gd%h%22-SIl)lrT3%~C3fPKAVoq_(~cLGQk>%H4$l6h5Dmd4IN+?Z%cbuypgn zVfmRotGf?bl2%SCCm zV2(J8%AgfcKgQs4+8$M*ZveYy?ViwvMtLfF{ibuu*^pT*^gIP}C47q3kulaJ4)zP4 zX}pjxw22%r)5~N(2BLEA81ZR&A^RVZaRVxQCU2#dCDb1l;FAnP*(rCOz>$bm)Jic- zcgWJV&lvs->Ey2y4tFXmS2pgr=36pJ4<_rH6`XUq8hNYHI>J}*yLql~yja9cNVRr> zB<+Gh(=2KzY5qQBPgC~X4MzhOg)~i)kprxDEUvT|-I>?6vxD3YP=r1Wso_wl?5-20 z2|3hy4WqWbrR2+#pf)cpy$!xFRpL?biJi`=D zrw&Xm>~f8<`OU_%T-_O<{&J+EOc)oRjWioFr3IxyV< zl#x115f9_dvJyyw+k@uHbbBC_B_2wy4MvhrGcv1-I}>@#?1n5nJW5~vS7~!;4SXT> z3!gb3D7>yf%ZS^>d&d9bEneV3Zd>t5!ySCRD9+^(PsxkWj*RE9>!#lV^;1Tyl6_0j z3kFW~tmnPdH=@WjYWEUjEJew`bv!(_l}-Wv~j_$T1FsIx{)-!UCKH3RzlQZ z7uOdbI~2)+9vR0xvGnRCqo#q3C)@tUJY3E(*#s1meB}r&fOBDVGZo5oi_}MD;&gw& zDn>-7NZvq6vu0LsP`W>9hKDq>y5d%?Zg#TKl<>coE(}k@;%7iq8*ewam^P?L&dz=u zsezGvYlt{xjZ_ip4QYuL=Nei$tPzR}*Yp~k+K_`Yud+7K3*s+VYSa-frYEp(@( z|GlzgP9c0ML#RaD`K$CpF7o>I5|B)3%z^BjRt(&D{f)Dfy!TC<$yFjz0CLwjno*VO z+&_mst*G=HWR$so^mkQkZVdI(Q3BCoEA$R@+rZnRhD>3$_F)@m1e1Fp!vrQb3TT*2 z$6xWS;W+dRm0-0#)@MI5-HtX^dsIs(m0iuDZ)6uqD|+uy%qIL#iP6-S606u_;4P?# zn3YABbJ>x``R4=Sz}bH8wNx$BV;_h6tLz~$Nx^h6lvm(mFR9ix9d3B9p4LqNo(>a z(v7{0+?>GBtKxyq2uE0%s68E&bY$FNU>;tg-M(y+d>y<7zp_JX; z@)E;2Lr=5cG=Fnt4_H`t_rvPt`HzHsO{&$z^+L}fe_mf>91x6bzcE)Jdm!9=tP+E9 z!6@x9J)<%-)O6pn%RS4&i~UnowD3`3>H2v z@_KWO8lj8xOr#cvH%~jD7=7}pib-O5gTofS(CdxGu6@{BbdEKWwWDw%1iHLWlmy0M z5jw==UPKac9DbJM^kX#T9-X(^X{=x21TshFmx7i$>^KCF5mH*J_*EP&GG9CEpu)Hl zG+97p-h^F<0HcjY^Y2fwADDdT;Tk#=j0cvvm&BW!%GJ{>9}v}&!> zzE$F@b0ltPF-PlOFUU#2VaZNy#hMHyipHo1p!4wO`E!v-sY1J?32ZZE;{pE4NRTt` zqU#pyp!bF>i~A+D5-h!zRafSBQbXoizx|u%BMxOXeo4$}2h&?YKObIcI;<$NprcIEOOa&4&Uf#Bmx2gu(oPmiA4i9qMx7N;cPML`8lM>qiei=`o~n-f1k^B3w2Q>{n6Tm(C$-oK7H? zb*)T$VA6U)E*HKt8wz6>C%sFf9VJQ1yN7@R9`CB2`q+lb8lMNZ5u15n#cW4%%^;Si zXiudbN=3?>Pa*_Er0WNvtV+}>Wv{mTvzp5SAkx`dl6%bcB7$ge7u{2L*>nSz24Vnw z;*o1=e8lw?gu&fYPUwc?Cvu)ZbNMmkrVLTe^8!8X-+D|bA2)VSzFJScIj^o3{8iNN zUz;&$1FEbMy=Tp>&WRxsJLEkGatNBq^21IJ^rWb;AiT#>#p+4_=m4CG45CxP>5PSb zbGxf@aZcMbuYWWkJE_8Eq7j3E+x<<=zD(W8+@kYA#nP(_Ndc*&Qpf(Pi}#Ejisft~ z_95MEzm8d{;9oBy1>!>)_?|jq=31N>j1j6sle)0Ja(NAQ&jN4I$>qZ4Y(#(VrLtHm zrh3w8-k?+eJ+UNF$Q&@F74R-?7ohHi@{ay2X>MQm9EETHYLDaPdW^#S>s6RSe2|`8 z%3X7uzE}bDrj{aZ!ZALX!e*(zJ+cCp{%+lFmbVm!iA$rh!k>*XXpJ4y`Tf^)7!!u< zfBvVMpG`O1k?tniM&~;v{~%UYd(_{pWl@l2DGS(MN*^X7DZ09c_2}Ra&GUGiSNVI? z`M>)O<50mKip93JTjTb-hq5A+-me|e*C{FN6$Ww*>}ltJ9VZls=b=ul1gUL6Z#+#ewn)g7DAI{fzV#Mx zA)mO;KeqE~c<1o@U!P1Ea?cC-Q2n+=_PWl;U`{6@$Z2Cc(V4x={kyjcRLTCDE6lMF zYY*Mg>7K#$>wivQH>&+#)8(70AY=vpzV^S>2r}m}uy{{Q{mT=8rb1d?ERYEY@T<6A%WK zU8GjMOs1_Km0r2 z&bmOEJ>AgBp4XWAY$+w_J_mVa{uMZLnqym~5G7FOBU32<>q7fY@W7Xiust_JCBVrv zBFd~KteU3uZma5-0`i4{#fJ^<1fjT3U(>%yEwR5S_;^O|Z=8~Hrde)qF+?@4j1%WL zq2fGe82!O@ey}palMBsdlkJ{c3*3$LH`~?=Zw#^}p#^bQX#PC$Yqf;c3S!GsEjNW< ziw(1^=+u}3@e27YiMjP@Ok`>bW^vVtgkDBDp8X z57ZTjoZX==A=@X`0&L&YA5V}>?~i-(do`MNUA8U6k&Yi1@=cSz2xDheb@%BVX*H6u zo;U%H>LI@jH9p0iU}}LAq4DElnkOsxmCE6%RO?1WhVwI*F-Z!UxB>5U+*c@Pz7_HG z(;kpgx}Bra|LeMeZj&|0((+(sR!v2H?_7d^Y1QA)~m{m=s5}5-Is$;+QGGs zR44qFKl6}4judOy0B%Rs=dhXP--9b@p3Qs5gJi{EgXKDN3q!;0o3d+3DSigw^22%g z4DYF;l9Cdf9ltq@Op{r9mjYv>J+I>~2R;js-g^ggceZZw{7>iGqp~-T*Zw&xgc?L7 zmC==@V%7oCq9EvlqCv5a!jp)t!T)9fmX}miI=`|Pm2Bm6Ij%C@F0U;$VwBS2)sxT`_=EzuDj?+?)V3^HX->K|u%8D?jo6QE-|yEyT6|f+E19o| z;Ih+u+F{EVm9{DK*p*i%-3UJRcnzn2RVn1>(6+|I%Fi5Qa3xLruCvBom3};Pq;{Ky zanZw4?^_zZ3`uCLBw)RzoaL`eb=a35eD7%nux^9rbxTy$1Yb|L9PQ`zj*EiEXR~KC zqV?2Zs2iM)6j_;=n6yTh?Z95JX#i-)7Bl=~_luT?#w4mJxIr7JTS;glJbF0 zXT!nApr8YV(Marp@ z=Hy{jZR6GF3ypX`CrDPm-wbB9?Gi==tY#SO_ViTaS@OlmNezb>5@im!^m>_Mao_2R zsX@w3oj0IL>V~1Ykhs6o-Qj70XLDW;XIhb72P?>!Xk&!BdyiIJDU=#TkdIQyOGUVy)EOEgT@*ry4}%(>pvp#tGlCsyheOm{5|;qW}Aw8M5F#%()JD7 zpWDkvG!bq5HK|Pv7KnqNJ>(ZOdcJ%JBS$#FG;p1?LNrZnO;$_aVyd<$`%_GL&qY`F zNTJ*n8lmKaOox#9fMgEm(-m)v6Gvjj^je5Vg36!+Yp`1g3xA>_N`T>sA@qryZEU-A zLguLBA=ZhFFCnzF6~L5lOXzX`7;Dduzm@uxVQq7f-Uxg0!gBvKlHD~1Jnqul&KFjs zj?RuGcOJ>5I+QZ=g_SQTK+x9-xZ+<$>ZoqtjciNK&SR6Y83FjNgNr#p)QOo{NP$Su zf28NtIfuZA+-o!K?+4t$MFqnkR> zN~rc5b%!7AI)M_>gwXlLLw)*H>F9cA&z$&p^JB%T<>k;AL$+yQ+~4`inNu!%QT3i2 z!Qd}MXJ4wTMrsOdb!RCILlL5S*raG2rf)CRd*XsnnMk8~5(M9t&#o5U2Ugw1>?+h! z+F-Mrlp4gT#I_};OHBD3F&|g0?s)zeQI;^o?u;)l;hfo>xzd|S%m+*^l-&{bHI}C-zMdVGRS;d6j$n}6 zN1qGh|cPdBt0)ym|^&j?6k$^Uc4KNFFT)1F3npHf|>mb|T!)v?oAaGimav~|eh zSHa^VuzYva)rbpu!cLbXBWTZLZ*_(Ri|H$7e(;lbYC0W3@A*gY?b>%i<19o>HFC5_#EkOJk3ZY=ZCFm8kqbyLnZ0@;-FIK4h3BGX z>m~=rgL=J4Q&}i}?k~j&uglyAQ4r_VQcPA+CY*hQsBeZ;LS9gfwbh3te?F7hbFJv5 zPL`zM)4NO9PlzR_%s?L+lQs=GI6(eeSFw!5Afu&{_`q&C3eFl z`i@-Dw%~UmmD~P3n=2(oe|(j%EeJ2yt&`Fday5SIfjy-17OWICFH2#Jb06I)nyGH< zkLsz~nrSC-2HqB$o?@_xu6hfO{V!Mw9(GdLpo6KiaQWkLjqb*eiSBR*CQL`R{J)a^ z=9hR0EM8E*MMU@WqCcce|H&_T)eKGl7#<9ppY zo~kGAcOlXmN>&-965ld-&dVCGa0}mOmASY?vgfnMWQG)FX)^aI+XhO$x{C$GXnwJq zwQ;gKdc$T{r+SD#fS0ls2+l`A?#aLYo*7P!YH+E#$wNym-?jN zOcLBWegcVe?2KU-G?Knh{UEJwBy2>1v@K_eUYuP9ivX`iok2Uc@&QA8nSJ*9^JzH4@-LEG7x!?Eck#-~vd8*a&zOGa!&}w-D zcEXw?@K7Rpp?A!GcLb6$?^hYS(je+S4y!dW5XgR^Fys(5d^KJZPR4Fa zI@}djbbcJl+Cbt*f@{Bc@L@*oyaJMmvKy`zv0qkiRYaXKthNX~+!aU@)CM$(qH6Gz zQ2uoX(kOj*(!31@#`;fNo#OhmU~SxQl!`;N<@r=ZS)S)<4CYtS)0;BI6%)xHub<_6 zp5KxfLIy`dOz(S+#vpw`MuXDhinF3?asL}kVZQ-HSYUDQ6C;2 zAO!H$mmmGoEsk2Ch$0-j)9gT_uI6|glSs-11r$(nknLvtkI zg)cv2dd6NAA>8M4^1ogIqt4dQSG9HAxwx0j% z?^U_ixK5be2zXQF0s% z3(Zd$uvG5J<#lw%ZpKIROd$E7IYSiJ{(j5Hwk^Hj3P(g__d6N@Sk&R^&;@BI^BaZ1LT<2Ba;!j7;G6nE3|%rWVm zDk^2VY7KDQ4yvA)Z94Wq#;M>nM+7zve;v8Dv9L@P%u--rDFb@u<#ovX^=3#B2J6OHv?O+BmwDkXUOdagDs^7nS1 z;+;;JpH?;cyr`a@Ip_msbaY`mvS*Z9JRwp{0OmOs@7lBj)vg z)f2GiiqgjMkFAKl@ACvaCGer}Ksjm!n!)N0Q@QHjsq{kyKbxR57||~{?@?$Uo>x}> zZWK3Ijcu&81T&^j&>uwK3@4v@nO#coAOD~?T8`~x!1$UE%o;$OUtgKu3Z%9>Memuk zww<(gWZ)Nb&DKN4c7G?v&J*G^R7*JKYhYPlk77a})$erUvfiixO!UOm(Hz4pD%G#G zYR6aowmw2mgUM|D?Ujntrm7e&G^>d*5kA=LB+`=DxYRAVWc{vFnAF!8S4J6w^kbUd$%Sx&wrPIfNYr$Z16{+Nz znUj&myT?^SgFZcHu`DcuuZhpCBbZNmI!~O#w9%M*BmW~T(x2PnzUi)qo3lF>8jd3; zElBjKwLMa75oN!u=~rGOstrLShy6fYSH(RvaC07G-4;*hc(jgoIHn_J4;Me+mp z{wH>?4Zy?;SN;W~yzPW^%LAD`(SBlcc-XsbGD&1*$nw+>V+vA&M8#1_0$#A z)Iywm=|Om-YV##&VC_g9R+dJmtAeG^XGDBJ;n!OhlHs2c7$$4lmCumc))&kE zRsMoSKUMJkDbOb-gXK#dcT8Bj1`St#x2S_{0Ibs5k z(?>f;y96#2IFp*GkyYuoF^d%~r^xUc@I`I73>A0QEz zjE6S%#7QVWq>AgF!H&@Jl0vfO!%!e)f|onbczX6z^t97aq?m%|961n(RyVx``>Wyw z1`&jBY=*r=B-rL*bNxJ-ITku55y!}Uq#zhIbC5eFq4kbe$2V7`D-Kuq4;%d9z-;ou zqv@613+*4T(gw`)YLHu~Ace$2v$tLgxFtrsW?SlN+cj%;DhASXY{ZQM4<@tMHy*k% z*8Y2PBqxpn*%kvd62b0fcds_}TEMam`-AlslVLvf?Yp74oX_?p+2AjvL+y$A>Gqf* z>lF?_+bcaV%fqw5jqn+>L#R6!PZ=wv9I-l_mSioc7weah%vI_WA5KmuZTyJthPc)vYn$imNgp&hw9I$mpt~~N=2b1o$yAW_v-Us zU)e=}sug`(mxN6++9qUH7L%Jbfeu4&Y|bF>qZZ2BC94D>WO`)zQ`)2YKhy2{0l)7Q z`thx1o)b<~36Tz1fy}IOfkpE>f$l zmau%0yWBEmRt&#BY&#xveHt(m3@R_^n*rjd^`9agy=@|`55CfUjPX7R)H(e>1|On|N{K8IXLWq3?{5F>br z|K~gTO~=M-SEF`rXU|-k4U1bT-Ndg7ZSHm8T1*uyb*Sgz6VvUXw(4ZOsVVh2J(6sBJPuhFDwwNy9oR?&u41W zBJ4eSri@;h`zKHOkSjpv@wv**LF$_FgJ`bLN>>cLBj){!RV|#HR%*vhC;3a>NBFUo zubr1!OA20e;rz5=Y_BY%PtJuK>yk8mFhyowuv^xvBV%;+9l(u3D8$& zS{bbx!|`Ynh2@~80-WY+A;NWfhQt`JWqHO%mfd@-P~N7*`WrR7Y5gBJNko6f$|BNa zKMy$B2ovhxRJ29#9QE{jQLA%Xk|>CNR!fQoc;uYdS!;bJ)N1FqObU;neKnt|;G<1b z162v}JSWnTmb@BzKTO`=CA3t~=+S6Mzd-9v*F2K)oy)`N4I0zotq#B8?mb#vL!-q; z=RGV$q^HU!Ep#$6xNQ&wsaB0E4c#ylklXRG1XOlX{Ji%J#3qDg6?OCJO=n{~CjTkOjayH@PT_q%)2J~O0czM&<482aHfCKj=tC9;K)Vui)~3*i?d zYbw8MGh%a>|9-aVp!XGuK;J8h8Pho+?OE56v#wPr4{n=WYjMTHxCTOR7R0;JFJcoY^d?34cDCP5CByXJ zB`CCNe_S&~ok}#jh4fD0yp$*x{0`*HCZ~}exbRdgBL#Fu7y~!__A5kRpalg5i*(z$ z`ol4O_G=ov&Q3N(nm^Dxsu2mZQ8b~q&HQYAQmk&EN6%_$LuBuy`sblNU^+lXVO3JG zG_kp~iiR$*L_x0OgGQTl33A@COv<(UxK1d;=OfpDB}kRiz)cy}w0{nNU|c#@Z+Tez zA@b#At#1#;q6_#x$rbBlQ!IEiQwkTvNSDLe>uo^^c3q@+to<903956E(mOvvDGaVU z!_>w>VlWY=Z$4ZRMZ3?s5=B$lX86M?3rWNs%`xo#e|r`;hz&rZxfnEU-c|9HKcuz~ z3;S!f^Y#=kXj{5%(M%12$UsnRo-C$^ z3$6Pz^)m1-XU5f59%L(J(;QfR+Wz8z{%^Vm!+8K_FnoGO=ook|9Eh}{B!dgySK*#Y z%c1#u%E=I9ov7^hmLkm+Sz!8k^WI%LL~a=f?Y2QeyrF4OjKL>z)tcAoMpc1^4Zh$6+U*hUUs`zQkmla_q_O&~tC;{Y12fyr(B|C`At@9JIM$ zh&2PX;hvqSEIV;AmX_5}c=u+0x-^@nsL7l}@o)Sp_;)p&(yWP*r8w%xevq1p}p6D^}F?cdkkREDbl!s?K5S-@Z6`@ipKV)aXM9OD*@rO#`e z`T|wktL%pk#3l9NZ{VXUiddO@GIJJK&B65DTUtL`n`cbb$~~#A?dmw~xl-4+a)A@w z2r5#Zb8VAU$Tcl0>@lrk0c3imn6t%V|4A;fmgVg)_6e zrjz=jm&EB6Lb)h@=u|t>kEc#6G}(5+vAalgTn!nfU;JBwc$J%Cw5l>44T(ZE2?KCrQ2F< z8uR0;b3E+SlEPr}{|8|_F%T9;O<-eg^>mH!V%a&$eu9YXO_lDShB?`(VEN=%3CBuLCf925k+pSI00drlZ51q_`3PhW1#$*(KTfH5%^+xB$jeL<=QuEWgF8?@ZpwII*m<6 zMq1i4Q$<(i2zr(XeQh>qhQ8!4OKy~ov)ZFXd(%(HN~(oBq}bb0QM9}?CqJ0UxSE#k zp^UFIsxs$MuOd`|r6+zg|Fg5UQd1hF($239TK90OXeoC^ufN?cEt#@Y%;2<1@i;p# z(+Z!%K-8SOpW&bV!yOkfWbgPWrD97oY<&zW)Y=C^2RCiQhtuRE?&-WR*FLo3IRyz6gG_S z6?iwv+MY|&h#uFt&ZQ$F%wfk0ypiU4n0PzR)joc@4QiHhADS+Znj0D#vZA$&^MayG z1E6}gP&h+E&~sK*9`nU)nggHo{-Cs_l^_T|exAprve|n#H#uNyIw$+;qfw(`UpALP zm*Cu2uG4q?5bS}e*icPVMzyx=mSg5+ek0(>lT|}ou-WS5HHve=Yf;tMD0I`LaaVaG z5&hxRw`?d*xKNjri|cIN^S}6WjIO@=1plK>L9mt{LcU8ya6`dv;re7Z%j{ZBdnQnc zYgob|Jq%L>0+gG*53YL+E;zm0kEx7w%-F+ zI?v5{w|#@p3P079h8Ccc9{f)qZ_&~UBMtT6z5ft0`8q2M_u=adC4c;k#Y&r=3?lo^ zt7d3xsC>}R+6kXKmN*}M?sXh@|HigY7d3)L6}hU}ntW5=qPXz2E3_vtqeche!|@9m zoz=VI=(r9Fhy9;VQFIeUA8|WByjamQ(nE(z(tL2wt)Y4sU zNhENC4B7AB`CeVV0&|sQbYie4`#f8yd@WCb} z(@W)GJUq@JZnUx8d^wNvOB6k+(=Fj5rhQkz&rg7f)~PxmuO~~&LP8WBCpw9~cw5g_ zf&U}JAC$_*mvkcAgWV%-%Yyy-1~0R-n1QV?8}^q|h{hpX!F%^+PXZ#l)w^X_A^f!g z*rnbF3c-E@jqFN;?D>~#?a~&vWP4hXMncLci_Z!b@feCxcAIXn7SY>i;5&B>Xvs%( zk+`)LL7u`~yPv!`Y-N#Iq`ZA^Oq5)*a<+2!__xWdrL^lcd7)~eB9gO=big{puC9q$ zDyrt)B^I}&+q`TjH0JZps*VXRBiLcC&x?CRa{CJ^A7ClD@HtdWcVFyJi{HNX@|TWZ zTp_c-ArzF{#GSNAKE!YJwH8mUb@WxQwQ`(jMjPOl-n87-pWfJ)fZtoHQ)6KYKHxTWb{~#_$QM$j7Tq_ z+TO{rqA;M=RhTdROqn9|D-XktZU|=2o{lM==Y3@-gh6t=U=d7m5hVMFP9HwfihDz@ zo9%kv?*xQiZ1|0ca@jwcziP5jB^t08wRNff^^ViDX*krn)9!l%BV31d1SkJEAdqn+ z5rwo}VWYD*L;8sC)dug%f?hJ-&1pq))bbAwD4l*!?p2>poV_F}u; z<0!>?Zc+Pso~@Lt6Yzl92MnR?bOY%kGd;JJULx6kt-k}Guez7N)%)Xj0(k26PXx{c z!a3~hK9=(;aWb;U+ZlbKN~rI)dqe}Bs{>mwd(c?i8eXHaFkSoakC|H9XCevT7YIIyj)$!p;2K( zueU8MH1BqGjaT!g9opLib@-?-EjWT3_CSfD?q!uIS&pI)k>jIJpD_9YMZLHc!^HQU zvB$FzS`>AH{^9e-0Rp+R!3WoRy`MahyTj@+k8dhU}d{>+Y=6!q5z&8n^Al7x-;}8aH&G;MGTInLDUzdhC$y>iP2Z>eU-;n=kDOF?vZG&O=BK^SWni zpy3&5aNB)j^PrT5JCTTX{F=CG>5W|0ecGaobw>ErtlC5#8sRvtD1>|YE;>spjpnVW zZ*vc{?pE8?B3v>?d7RUe88yVha(}1BO1pHfS#Y9FE6&I>7<$$~6VZl!$vP9(S;wBN zwp~}gTOajAo3=lD;??#`lOI)xT!2=3ywhQik~$es|$J^XRf8d`|UbD)y*F-G>|FDR0vg`n!S2v@liyay2F_^*pXlqqY9UO4A` zvpxqGmCVuHj{eE~bff+*S@>1Lp-=F?{04f{h=c4*y(WFzl%Q^+o@i<0+)zZB;=yz&mucbUVb16kZeB%!`Ud+otfNQz=?p?~6)f-;~i3iD7 z?oPmyB&H_&*WBbC>hile2JM~8RFyF;{7~IW!1&_F{I;!Mu=3M8q3fL~?F2iVTJi`A zY`aox6TeN*ie_>d6TD8F+`mUu9p2GsuAvEWkl747w%ug#8@2aV8dSynlF%RcVn*ntI&U&u zdhE%S%B?i){-e1Dbd|9D*hCP+IU-dqq`>aI7GQC;7L(BF?N{PnO=gK|NIIj#{g|9* z=M#m52fh|&*+DshK-)?-T5U&$aI2~ZAK_g1{V`e`AR=?*=U9sPc}ZIpBAn;Ybc8-aQFFrI1?a=+5o5@6W;EsKvN2I#v3vxtB z0;dxn2O$EZYn1=Ef}e;=c5%~r9eo#{MX#S8P}N5e%$;K#Mb9%f2H)1gQ|voXaY1u2 zXJTzU!_?+l#@}S6@-!Be3E7bsqAYZl-r!yccl=lVe%nSqvsAV=>8K?b`EMCa^FK29 z{|}@2*)PelTX&3XFHOIt z@Lsse?7c(OmFkGg)&nUl;ygX4dHr-gZVe{hCi%AKkI_B(3DUVES|>E!)IXv;0%l%02* z>6Dc;j|o1}T5{8n%?HyS!6r?d8Bf)joQ6-OwBRtuP1MhT#`!um0FY*c1_SpmVRZzc z2ZkZITP?k5FJ}!8FWoc}C5!!R_QkF&ey6>&4otI76LM6G-BGu8ERl^O=?wN8WFDT( z=Mkw_jD4xI5MW9ROXb!uyVx3x)q0GqZnUhsj=A1G^L`PmQlX8bg`0P;LgUX=*V8_| z@H)^Kz)`%q;ci@_wcs!`l{@~5GJ}J+g*4M5TbXiS?M_$^P)}J3)`9$^W!#eACiw&g zI}?1-OWsY^ft2)z6Zi!#*@A%L$w^3j-%y{bkO*#$Axw6~ z(0lF>>4T#kjc7ea{gq?psEN`7v2+g=H!@1&xWQJl;cS`CuEFP`wIW>YASo%++Q;=; ze%rSCAY>ucJj?5^y@b%uVzaxIykW@B^*@py6E;Q*MDymdN47IwWP+{@NV(-LCoO8U z{m6v)^JB3$n4a%5=WHw>frfYsfsnLAp&Yu6WF=ew-an5aBT!-{^jXT4;d1q!CBUeuze{xwN{gvtRaPskBb5REp}@7S;Eb?mDZHETrEy*hn(&--Dnc@h-~~ z(eE@0>^&E}&&^)1uDt);&Y@l3c9VLO1HE|zdka)v94HC$%Avd4p%t0Ezsw|M_HC}j zXTF}~YH~&+G~$^p9$H@mZhdlG^2{3>R_l7^Uv1$bkw%bA|A-sMr>8QE(5*{GTq@PO zs*0;SxrF95_=hhK2CZgL)~SmqE&4RHVN0JIl&uyL`3nv%iR#IDF)Dh@_iPsz=nRf6 z#)7x#Knvq0cO`lG@n(Ye_S6rnqwBLOU!_dmF%WKos~^LB-}qvc1BWQ7Po*Z2@$tjA z6+bje3y5W~)60&?&~4lmnn&-WadgB_&iUx;^?gi&Fz}ogqBG~Wms9z6yIuB*vjk}L znXfxFknWunRD-z(hE1>3jsV*o(S}}Y_|-ROy4uFIu4U0(p7D(p8GqZ0;s{&y@O;2g1CaMdhL$Oi^xD>9rk7?TmrO86Qutf0N&wP<;qQ%Ro%0Vs?1rxKn-) z*vgvQzkh&94GdD8!)72uab?FA+5453hpvm;ZWd(LAdNMlA{JQJ3VM7LMAv*ZilLRp z@CekIYx56%wSeK$Z=i+H_?@lX23Y3Q}3`yYAo)EW6C|6lSX zj^=<0Y!{LQCJG69^wFO&A$cWTgNq?iZ2~PS=H{~>Kf5-?QLceD zB1v@5L_$%bGpA>-HGh72fi2>!V~7_DjZUls2^Q2WV$nGs&t_O17+}5!K{{q~ZN1l> z+7JpS$-b$&sXVcytc?GhjlzG)v`1Q*&tvn)z~9{Tn|CYB)VDF?7?#sY_@+@a*y=)0 zMymzhjvldh_9oP zI-XKck#kapKdM|PFnA1ZOpk12yV*lwFFZ^BIXU<3DQZRP(+8Ze&V@**N2N#SD?#F# z6n37sKgh(Wwcc{Za+bF55t|kL>$svpBr5&h+Z`JXR`50HwZ zEy`a=Y3H8y>%gjr%QB78?n?I#^f#gMu8}AA+AubXQl{7_^Z|uGKUj-S7@b8!ZjE|L zYYGo13n(a#iu3u9r4f?U7~isaRkG4$G+yF_z9HPa50FXRgS$hfV8Rq)zEYI^c6RZ# zkYwI$Ef#jd9k~?5r^KmF*GV|2DY9i`p*&D$tU~>K4_))&b9VOCWnl)RA^!ER@YkP3p?u1K$+Tx4rF&u zl!P*0o-YNlsqhj+Z^?x6brmAiE}>Ze^zlMR1-Q-B%HWo0-c*L}XRm#z8WuagBVw^s z3&x0~-Z65G`)pt!+^E;LU7sl5yYb35UJoH|m zur3k~`D}Ypb$4BTW7Qh9 zYF(_c=KDSGobR?C2~%t%;OZ@|;fc}kfxoXl%~HvEfBe^D{jH~X4Hc3ME-Ia!;Ua3= z-NQ3#Ep%VkAX)u&zF`nFI?Mc8}3`9!vb!izzuF50uQ;>xG&gS-Z0s~df?7hE%=e> z&GtV9FrhiTfVDFIDQE*Ljx+uNap3H;JjRaAN4(r>|H*YKwtsp!%j)#_Qg%E1eSXFJ z|1cu{KehU%jH@pdwAep)x+!3oPB-4-{9e~(>?z`DhdWZd-^lC2Pb%=6Rg{qcCtpk0 zn&CYQT^-XM*C&@i$D1Y9;}|sg_F{1HdhgF)iDwMO+Yg&AYS~Jli8-FN{o|kY&6ot3 ziMEz^4H7PP&+)A4$1$b{VNt%Sma2{rF%=AxAzbezfuM$|hZ-L$$cY7wN-=V0XWLRl zgRFi$XNe~ScO!q?5xNA(+5Gt(*{mFH5UX;`R1?1bGtp+0rx=zBmwQ6*YWKP-PU;ZF zxz^m%Gt#Gy47N%=zvs(UZNpY&FnmuyEA#g!c zRRHQwK{MZnYQX{*#t^G>wV>&8xu0rensrc4xlF#Et9-rjA}MC6*}cKpMMDYCTfNSR zd`IsuY>0|t*)KUdB|EogF z9WZ2e4A>BL>?l`M_qf;eyeyz#CPmmD5|OhwUUzMiAzP^F@VTqf(v zx8{``>V6wA+RUy=a@CderRh!OZN;cQYp;QBHES=7|@o<5DLKRLk%s#s&?XtPs? z<+NwXpgJh-%e0p~)aD5_Rsw%1H7z4k>|rM`1k_3{3#!mS(!?j`ZfLsE@{B73bQ_uS zGn76=eN)6eF1DU*J;xXsOm{z_Mx_vBamMdL#xrbFwZye3FBuNJ{X|bM6B-saI8miG z@0i(OgNibSoojmZ3C&LDKb6qBax?njHflx$*4`Gpj?5wtcGUn4-<_uzoy4D)EGyr- zLq38g*lz>Y+0XgU%2FO`#hG);5?;X@Pmk`uyfoJsLE0RFKMbuXdxG4YDqbYJCx6TI z8EGbYUUG&`6Ll8YEdo~G$M;+&;l+}E&IZ~~>DJKL9{HyPxHW?7+)vHtBAX&{ zHHfM*8mR18fkgVprmiUn^VyS@6U8!0!1o(ScOdmf9M_EBf+Ni*E6Bmi!2exY-Cm+I zbSZdcPqPwID$dl3I79B!>y(hewzgP9^>}d0=iO58jo{&2+PU?k`POGo)5BM7hJcWp zP^_#Ztv^T$mCgEs+u>0q5y)#ugCe3iKvVai-kmA*B~pQ;<%V)jbDnpB=zH#_ffn4mVzQJ#C& zA{-WOpT$#NM#-AxVkNX%*X-uH_ZH`Ct?3P6)F_ddZRxvhr}1DXJ}8;A%(r|dUk}^N zmBC_CwJPqmk5EB|u0g(Q-`YXV?sl2STJ327F#`uG;02Dm_l|4KlLE z5?YD%uAOBuwYW%B+<7kbX_Sy%Uo%niL?(?m z@|4>Hd6P%#_2{*B?A7^D=U1?<-D!{`YQpTzr02d{9Vf})jAW^sqX>$?oySX&)Wn{0 z?V?28vWgEbqV6C~6e<4gkGQqcmFntIjSActOXY~$xKTzcC9M~!iin7C-3T~=`Qum3 z4(9i%O%euAlM+)q>kFf*hZ4+8jxH8ne!P=gqXiMSdah2mRtg>Yv^sZNMl;dO7Z1fe zF;kXOi1G;$c-Z3}cgl6&-61FkDA#>hvCgk6hD0)k%Rz8hN=`g!v{A-S4g4z4#$1`x zPw6)yrU5}}6jaH`Uycp~a;1baPrn2@I*1o!RaM{LyP!ndXXvR78xHq7a;I#G4k1vZ>u^C$aRobneOa0B~^*ieO@Cp+S9sj5gU z(ePi@m|6Kw?V1tG+CM+G&O&W^T)Z-klBzy3MtX{2quK@zQ1OoIfg`sZ>qPR&V^2Ro z9G45d37A>(SkWz}En1Dq4#>nOf6q=713i==j=jBNfoC($W%yavJN&b^?^ccl{Hli_ zfE(-g=})uYmGG-iEW%&0THiABTx5(Ce$;zk2Vfg12flB+Mk&@jD)ICfEz9hb$GyZS zYX>)|m`ji8%VW;QOZVEDI6?4FQ>*yrnJTwIpaJc|Ws9k2{gZY<0Mp(vXn|%}mg_K9`3Lu!*c7NL34dpivMZC?=UC|XGTN`z z1JIjO1v1ksQnAfJ6bp+Tqm7JkWoOG4i~b;y;CWv)dH-{H0Sjw+5?*XtEzk2pI1yNY zHmFFao{PZxtdKuM6p=u&K$+j;fh%$RRK9R#>DyFm1~e7&{=Axz4A!f8tftfD?UH82 zqHl`tB#-op)^is2s3ijmFF*3rF&KmW-uqat4LNM{yW&C5*wjZJzuSip+WKx`{#CHZREPIeZ5&>MahF-uFyLw?!o-)|jmcdqVWP`iM`gZ)IZ$_ZQ%%wp*TmJ4sIrD8fgV~pY zk+|LI*Z9~}UyqGjs^WD0V%()=y^l12Jc?UMh$Y^QUGA4Zb~RkJ-U#VsN(#!X*+7>WbqQ)` z5oI2)be1CJ^PnBm+EN1#Wj_c#O9K;-KkwgzcJL-S1tE811jLKhGr|FE}4JzM9HQaOSW6&;v4- zk=$uxygjQZ7d}DFGOA>=ZDP-rKN7#!rES83my$xJnM|gABFj~LksG_`R?hdJ2egq^ z#8SX2X|#guMJz3}M3i#=#2+(A`3!A^oa&kX8zDD2R;sUG@Z%6o8}9X&iM4f|S0Xp` z0=vQ!V-IvL^O{B69U3eANREl%p001l3nN%E_Z%6l9f*?ZCOy?vAT z*-POTOGb8Ht!S+^+G~B^A0>*p&MW?J%3sxvkxu` zB?Fn>y(yR`dCGz?z>Pdm&}9tLpNlr$Z?}10%f72BYq089sy)6+WxISGkZAb>67O8X z;}(38x~N%mOf2BR`92vPDrsqLZNmf$hZ-g(s|`!CgD=9OfWTM444u1km1JdQI4o@} zm#@*qM}KXhOHk=dlPvV|#|I5>&zs}nOWLhn@L^R<0N zw{oHCj&qAwSCKC!%myOoP#Sg00j{Snakv+T|84#Bqi`S0L zU|c?i*tVBW3FO9M2huqmb`t>4(|sq9|>x%Sy`EA*x-XLBu}zrYCs zi~Y$Ming?hL_>1gHd+G8nIVOP;fRHlFMk51serKD-xEbWav(m>8stkqsGs!4$iFsmc%I*k$VPqLotcKa*=&I-+nZSIJJsj%|0XX&%t z-%|DcKZh{CG5Gk8am@c0S2aM!4)ELhsLJ(z?;alYEcb&CEr%J8_(s}T{XXsCLIb8R z;^9RH`t= zT3#NEXPHWBvr&SU*e*D^UHMYnHoM!4RV={-T148*XIC!$G@z^B7O(-&x>>IbLV*TV)u4)&g-9WKMOpZJPhb1LDvyw zo)|8-eP1}ny9L;#v~~a9EPKUnC8atmJ#4WzbZ`l@r)8KjG{c!FuoV$IWLr~j$1dHE z7i+iTvYXuyD>qgYO|rUw;wD&-R?il8gU^DP0jNZYTKpSJgyjy_yRBqxj+ z{JAwY-t+BQ`y8Rrv!5x zPZUd9ExfKaghPVkAWYtOP85uc$L<2Lp7}&dVy_#hoy{JK@UTP`-*UzUjx1rdM_o~e za^JQ*`JFqn6ZQg^3I@+E+S}tj^mAAY!jF4rtm6`odFiUyM<*|8J5F5~yil=KXi8-CxbNH|GBLn8?T8#_MNq!iZl2v{V- z$)FP(Sr8>zX@fmKoDDG3#IPx}m8j&4NqFf`+M#-D&;?LF`Y4=!)-Mke8((zt63;O9 z*+W&Qfu?lfw8Bw_g&wDxAXqiiTp79 zf|UUN3%@*l_@fhR6yf()%LPR!OUP)sBXeQKG5ro|U||0#m@nDhFBg{x0H~V;dQ%cU zynLu=W%B2c6{~nslIlD73#e4pWeMW<#llN+se?qOOZu{Trav`Ekziag7|e4&g6IWs zSsWk>3`!N<1p(@Pf#cPS8X-i=&5GIG8aQQoy@~HGtcg~FTs^%81~Kb1edw{W7$v%+ z^S!i>c~-9vyV4w4C>hOQ@8wejD=z_4{qGF0ik7|Z-NY|bd$ANIts>6IO4~byg;~;` zrp5MZ9~sYml~TzAfS{v}7`rAmoop6QPOg!nHu72m&2Axdr&qFZz*&`vKLvN~W>P`z z*+3p05f_;}ZM_9%u$zSrv_Yock0n#EYFswgB90bJtSx%dZW7|`9Ir^$cvSP1_St-( zMLK*ORFpzFql(1LpH=ATPc6x`8x>K^{A7Ls;RXm_haHT`MlA8O_&+H}Dm0v_%k1x` zSBeNW{|t2VxpJF6?3^_q)MUx|RF89=E1K%#)x5W~iP$QNleV%^ZK7;mhzi-f7O#f1 z6``=epYh_gkB16%gVv+ZVi!P|nXTRtm9cUC__Z6oOER2ctXv@i`nh z>$ksNJ6*kaJ7*tYgSog~(Du8jlEIy|0zvPnArhSo2}u$UyP|r;_U;XPq@23~%^o=g zrIGW9O~iV^*`tM4H(};lK31w@P<85SrIn9UEb=x+AD;*dHcrNU7aVZVXaGzC~s=TQJ7E0W_k-V|O$9l}NpjdApQ(l^|lnZH%!>H~P z81+;rcTj#jOVVqy5#cE4GN%m6E=L?5AD&~4AIe)7hQB&$+Izez>9#>`aRbGpvQ3&z zp5p0lT|lQ2XV|?oJ~mSS52(bDe?MY)sHL8~;>o`)=G?~_&gfa|N&H$SZFpk#fYG26(V) z$q-PuwN}MSuCgb7zc)p-`;uKVWvxvr>7e#5-iC0fLG_Fj4>M$e$NyvcBCA^|JbbGT)4%5-KDDBS2Bje5Xmn2XPVtQ;JB=y?Q5r4_IHs4_03zuNincIuT0GWcWLm#_hrJAf?g1j%g_5w}+_`@x%lt6OYQL z!m8T3+A!e}O4gI^*dE5?v5I#7lIuf`1h>x_T9c#?EN0ZiT9+TTN;vsVOZ3n3+Q1C7 zigac~g-l*FtXkaF&()}Jm)$cDeP~5PaHSBCe_tg~!24#n?6l5jPSry`w0&#&Wz;SM z%pMV-tU=3hI9hp7YC|bSPHGy37}fqK?4{T=i%ETc>bv9L<9PG+X+uS5u^JP zIoiV>GqtJ-8>jtOCfe?)^=y<&hFTL6{F?A-;wm%!8tTw%pPrO{n>*O8E>?f)R~oBw z=(%S*;>z&9T{YX^j~^zQjF`MFabLYpWLs$wbY=FJ(ldQ3fHr=JGD9*jBnWdpmacwC z)}?vh(JW$CWqYC+`Op+&w}{2stmjp`kX!9tingcDkZ`2M$oG~fNZNWCU3y&HzsLkF zD9I#ZMIlzvpKg7XDgYp)o;>gRmY0n= z;7M z8}lo>mGJ(XYOIoI=0}Mke=ki`Lny^)GBYEI1U0IZGHpRB*)ZRV?{!DY&8|gb; zQ5mokeV0bi-6>0$)O!5Mqcvq(d1r058G)hL!w{D#;G}J#R|{SsN7rBC_0{p0%e0zG zRaOk%cKoMDp90St3(BDrCF8L+tm)#ErZ-T-7Jwf^Do(}uoRBq@SCsm!X^Zmc(V35# zI*>FRk`C;ao5$=8|Alvnl6@c_4dkqHKNh2PKHXfoiYIDFmKz>5DY0Qms>4Q3ZIU@d zF2oWY0IUj0NW3BDb?KR&_Pdi-{?G2Vap)v|qb~(cd@$9hWFogCZ5SbsC*Po2&no}H z8PuZwv;C)YWTgFvn*@}eSy7*$y}gX~pQTEMY{By6N;Mvu$ODuVx{X-JeR}|gHRFBZ z09c0Cf50{=K1a=iqekDJQR3$huFC2LqW^`kx%E+5FH0%ryTEollegWTIO?S2M2j$t zVYKbCs(*TzWD-+2330Cw=Pwsom+(LRWdA>{%w=`H58&H6T6aeshC2g=p);@C=lSnh zEnu>PCB@B%;{-%>cKSKIU=yVj?1vx1`-pkqngPkEd!JwY3iHtOSIFWI6`Ev;iz1XI z8C8#p-}?8x2Z*Zwg{>ux^$S|>oLD)Xu)O|^m}g#uCVr`?-X3} za{J0lXDg|`LA}~G?Xh_YYWc|P`+BpMU1mum&A|I*zHCOP+X=m3IT~b`nEFP{CWQmJ zL)K`&*nyWUlsR~=ZIkn5}#B8%)N_e59M|zs2O!ze)kqSrp+~mte5gKZOSYRm9Ksxci2h=wy>Ual?jcQ zf18e%cKo(+@4*jDlY*~>XJkaCDySuH4b6aDH-<+aTac#8!jV`;ip2-pfg%Z+C5StuzJE zrBHOTN(i*Lpi<|s=lvHY${n@xZUI#34Fd$?VQ#yF7uNnsdfUNvd8eo808g z9c0{4GJFhHpO4nx7j|e?+3sKx>;>IZZYwHN*dCX;nJ>r5Svfj&)CkZN#1&m4(;@7S zC@|(dKbC@7f5oLR2FBk_jZqf?i{##9Q%l{Q$;ZPH66Ws*K*I#44vG@hXqTlSiq?GJ zsG*~R3qLLg1Is})930zm@==0~%A^XEa&xiUjklsmC@9J~IXMnBC6`xARJKN=U5;2F z&D2kpaO}3u{xpsjNY$LHL!HQA^BMvb&6Z#70}28qasKQ4eiwxjIk9D!n7T3m4x>?V*)oR8;i!+A5d0A zCR25i1@9&QSotNKs>_q1XdD8U2oi~13V#bJEaC5^HVLjaHr|UYj%V*5?Cgv+o*N$w z;1mq3tbUmsch@1e-oJ_&nZJ#%Ua-$}@xr}7F+aOF^Lao6F>ZxNN%JB4Y7Q+} zlRgH^=WB)U`A z&8jdyEAY`@ijKkqSHELecxQV2L+dxi7PPv2JiLtE+P&i5Ga?b|-&|^4g7(u=?{4Z*)X$WODnR7DCzP*>0-T zNcrv~y<}cbLe47B72yZ}f8Tc$;rBGl)^?G>lMxhnQst^dw?e-f1|ZCl=pt!%$T#-l z{?42*f|%|m-gM+Bwi#v?6Y(qYV-RWEYWKnOeOQ~_@ANF+5W&tfYW|c@0&ZrGn4I#8 zq+#Y4X@Y>4%XAEK8dYFSy{Vjo&vAqt$rh^&Cc^2`UQcq~Vuww3`;)^_O-y#LJ+db< zH*d}kGoqQ_`#jqTuX~d~)BVjk=OW5hMO7BtlU!8xoGh&ZuHCRX_ zedk8RyZoM5B5iMsHg|WlWs%HIaHs8=oZTCP2rgMkxD~9P+J0L8d*0^UO%S^5@V>y+ z6qY#&Nf>;(oV8%}B*8B6ARNr#@fFgxfwe86Ri(4c7fOp26awfq-k8xHnR@c@o6U}` z6@=eKpt7gts(OBUBZbRk@?)p( zi}n(K1TEqcGUOh_seL4u{sQwh$4hKsDpTL%H@h-+G6je~Z!Up4wEj*2R%AG{!6fMs<0}m`ML`T}r201->iA zg+~KMXhk%df*t1I{2XV8K|Buh-bh$qQ$%%5Z|>Cx9Ad8Delr<)8A;NGwVWl)2@EXc zkktus^viFA9(Myj7`5c$i@uG%?w*FG4Vk)_=s2-)Mxd%77pK{rv^h^X`ap?byZ0asd2Wu_tR;$BU{4u*z6^j8GP*o@x?ljOd69PjIlUcPOI9;|CbM5-1-)iMqf zr(TcW``ST@ALl%}z4hV!^yD`UjlrI~*c`gFiI{=C60 zH0^@sus@&K-?J{kW)9hxJ+>OS`>Nm$TE@ETZ@;^DlZfQId3tzT4{&GrUXZpQ0DML4 z$)59NUx>q|sZ-E(*3IR~3ohM^_pTONvx0f1JP$p7pk~zj1LV{j94LbH`c+w-6&q84 z_B2vhZ0G%Z$`8)6MbRk@_v&++ z|EiP+Ldmt>LK_b06-eyxib0zR4zHoc8L?7`l(`{+AJ0&O!vmf|{2egXmsGe90pM(^ z*LUc3p%50MqC*=ND`puMQT2T7bePH+-+CS4>v^Dlm#Gh0pUMoMbtM-CPLblBpz z1NmlD)e6D340c6%zNTMJevP1P1G4LW-dfvLKHzcdb-jLevrD=sV<%gY$p~yn-(i9X zSF19jm2IH!NEuG47FOlPFLAlTvt73M=xgLEs|`G#rg-bPkF~T85D~6#9V#6M7)4h%ho1ENucC?fw2ybAYVRY;q#{X>H*@#CDmDX zK)w6TR5G$fkp(p#v%tJy0#2{rm`e_0CU1st)rOquyL?2iesGBGTVmaiNKrD4HV}&= z?q$Bl9k<%s!=8@EAM_n?)aHCGG=^`?W)O*g^SZx(2R2J?r6BNs;sH^Pb~Kw2E~t#K zjmmCCzq?hcXU)^(-LEm1F(Ku(C3!u*2&4=T4PtFn&`Okcb46Zwyq&emH+n*om=Oqul_QIAI{ik-_H)7`0a zZi9gJwCV!nbY%4UoJI`p*gxK9-&RC3AnI-A~Q zJ;kS|PLzA+_;RAlU(8N~=EI&Ev^={B>;OA8k+DBke#?*W3qF>z5=FS=c*WiX+UWQFhlK53c(FH*nl_2i$| zLG;CPuEm3z^9so+Sv@hb^7ur{MwPqjpA?BdGf52fIuiF!X7cmI{9Ww{3F0pMriXn< z|D;R&C4%u)>RjfERm^RyhgqmojYj@DC>%onKa<9vf-h)jVE(@z<$s?4iR!IymF1ob zhKx@CzYhB6OvU6-=M?}A9~OCEGnNyK3EdZOu`1edXR|xM`1_hk89(O82Yt6^0n9oOcZxScP%H0ST8DvRK_4UI-fNB(-u3vrg*FKw7No^%k<{e3#{~`mhW>Y zx*rh-nE7J4mo5pb8c4CIQT*uG{h^Vv4EiNukd<6#=DLaKFJM~p(Hn_TA6ZUfr i9CrVsOa1F#g;F&f{8pxpw0VYueI!NYzLp8=`~5E#trO}1 literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0004.png b/docs/MIPS/img/mips2-image-0004.png new file mode 100644 index 0000000000000000000000000000000000000000..4e273c108584fc60d6a65fa1a63fd89c90ba615f GIT binary patch literal 19118 zcmc({WmuJ6_b+;rA|TSzB?y9qfUraqM7kR(N$C#hk`Satq(r5qyHf!HL8ZHqkPbnb zGkD+s+1J_E*`Lmr!v`OIWG(JB=a^&sY8s}jD0K~&3Kv0;YckT}stAJi27dn!2OWN` zDU#TR|6n+a$*AMt;7rUZ%_0Z`A|w7--TnPWs+%5Z$4Q6zR@5``*G$p{hF{QCGE|7j z@Ls2;x1m$D6W@JFR$~14rZE~5yGkiF83xX1sUazuC6T&k!gTc7$%#qR*vulqPZGQ0 zmWGR(krD6SZ3Pg`?>zU18`TDWKBQneM zf`ZePFFw~46liH_wU@C1l%?S%G+bJ8y1Eh)!I^)X$z1QbDkvyuFV7qBM06~436bCg zrHg6KkOwnKUC7f#v+l`~i%=Zc4^YAfd64PawIE7BWqSIlhk=k5vwxBt`+R~dQj~%4 z4Vr>K{0A4?Nf!rG?2D)!UVtbYMQVp_mxfe|-gE2#WgemEi8uLQ9@KuvhgEQ)t7g$6 zqxnDlA!grE$$A!be!|N`SiIa;nv1_`(M|#yYVyCWn4r!gfAWuB|UFkqG*)dpGjB*ir$hf&OAkz46 ztmD0d>Klz=!Z(uo`i*sU=JJDP@FCTw5_mp}qLut#b zA@Xo?-L&Xd`6(lFcyclo^2u#$+SAk1bRsV=kNx)TKV#)NNlDsLWmrgOPtRa)FT;?g zt81lqE$8{`p-<;i@n|ta^Z3F42y8<08n+FTh!NyM>6^v(RRp0iDZ5|RMU5Un7;s05 zSfj#{6mg*A3NQMQJewLIWVX<8kOc=5J2@P07q1!4=%?F)3$%!+T;oT&Kap31{u-8# zAqasK)fSTlmd?6#)gkA19v|&GPFoBGDukb1gB^q6VnZbV#D%+Fr8z(BTQgd;oxb1u zX=yY>oq0OE3k{LiTd`qE?P17#`Q{BV;x8E|iHD&RK>pwjr*Al~yurIQTO-m4Jbx7} zeOJrV@QW16$RZzp4@ydzU_Atp#uIVk$oOd{>xZ=Lh39O>V@%NxAK$5tQ8gpPX{#1Z z9wGH=p={3GqIWvD<$j$FT#TJqiySCpBQe^nVgy7177xnYbaxrA^m(7O z9HWLP|IO6>)3|bRgnd;?K?qZ zMyQnY1IM?ke;0D@NUH`(_~_z@PsAQz;xs8{B)?L6#DlP%#e7h^_Sa=jg&{SH3mpmj==bRmK2tW=q_@ptku@cx)z9EG{Lx0ZgSaB*=pdhQFk ztSD=0lFL->?(N;+ekN@4EuyWhjZ44I!rEF&T-@E$GdU@#wyth?cvyCLa;n~q^Uj?r zyQ#dKoaOcP_1RfvRaK|imVhu4R>frg-1Ky@vL&44BkM@=iazdk-V3>|ev71LVPPrz8U8ea;x3b*V0C)>JgfpXHnw<8 zo5=g;r9T*t+EA>IgRyl%@ z;LcJUJm@d{gM}P&@h2_xMj=hE!i)9~BkVSE6sx z^djDe4mGsoQs&7ndIq9OM^6WMQAEznv$Vbueho}g7`>ZW?Cwxs-`6%KyU1HQ)?tJ0xr#Ka_FTkNNWiTdhX*CcHO`S~k4<|nG{<>chh5ZL~k z=#==_rTPX2ALHUMkWZ?)RaI3RrR`7@Ug;SbCMG786&0bHRpOKA+WNaXI^$%Ei+NUg zsPh>Si<5+6tGiefqq6hDbUzLGwKQMTupAwjPQ24)VR??7K^gaY{S`hXuPIK5(Mvaa z1ZfeuI4w8(k=)x0&-m|W;@u})!@Aa30F73>Sr)4tXl5Eb_z=S%$@kJt-o?igO>B_9 zxWmO2LM`rwdeurPXm@!`y#B7BAvNAdyuuY8duD2C0|%o_I0OU)*RDlIN548x=s20- z<#yVrl9!k7S5;%%Rhj$h*pOYfFMdY64o|1AHl0u%4Ox`03Tu?Ppj>wz64&GFbQS2b<6DH{7mVC@SmxAL43x^cj6zs z%g%)@EiIjwe#RY2Tcw1BMI{U98&}S}*q!yg6W&gY6J%||LlJR!c=+|J7+rJ@G^s3A zOaA0-?qIPr)m(9$cv%*)Lq_!5q_`nQr_BagsUx9AqrrGL?r?I#X>xXQI(!g+lyB(h z=m=Zs?BKBd_b<&uPnQRgvNZD|w{k~%PX2A7A>Y4$pYi!e>*ubZ5LsTn2ir1hr=p^w zqeC@WRb6eLqk@MQu3c_61vS+XMGK!OFE^Kx&rIIIfd~1No^HH4m}?_&0*B@2&z~Fo zm@;y5x{aQ$^71{O9tVbswtu$0-De?x>xqIwDq!8K0B2JzQN~{vor0&(LyQ1GZEkK7 z5fRNKGt52LnQY;Skj%Kv@t?1=8&r|leqq;Ea2e4z%Onn`vRbP0@~r-CPNlwo|MQ~= zw=p_qbjQiwFOjXu+J*J?2G9Lf=m#$^?l>^Zgipp4xqshqzH2H~{nF~1Z>g+?UttNl^vnWEIo10HSn@>z^`}Qq4QCgC;qM)E> zdiwl(Jjc6t?@s61ymV@qpV1nV>39l4+{ z>!+bt`??u(H>2)5FL_^_{X_h1C#p)vY-l>RrW+r?#QOA!!T7~m+LKqaQUZHRKPH-d z>c!W00~cyOe*Bn_FapgjIVI)n>`cVx`1kMM{0_4%)%L+7-KQa@`g(e|DPJh>D;*_B|{N`~{=U4iaRM{?tfQ^0>2oRKGow&=w94)7e>{*eg7Wc#LU!GugSZ%tc({bmx(F8u1=>$;z+~v zc0d4O%k=a!DJfQFW@ZkK{L<3c?!~e(8}jgWa&w6&$Mk}7Q7(!Q zg+!jmhg)^fY+*4u4Vq42**4bAtRew`;2hq;=?gr7|MTX}8~aqJox`04qt+0tD_1x! z!U3-@8hlo_dhtRaA}Jx^20i_CgpGqE(0)ZgURY9pmp5&wXY@Z`{DOje?!q)3jx@_N zv%6mwc2G1?4h*F~<{U5uW(6h}Pj3jM{J7wldP?UW}`cRX-z}qooU9gYn=v5w1K)Ac&8TZ+l^9w^?0RNY1*lQ*Jes z2dx+lx!h!YRAx?2G~Gj;50mdE8MW@k7Mq4d45N7vPUE6Cvc}7{^Q`|F$bkyDHC@4^ ztf2w*lU82Ncah+~i!o-`Ju)K5#>U3Z{{83ADD@|WXx5^HaH_*{eqC@9eVP3ds8y)5 zzdlxOuTZ#G!-=VFNP)dD41B|st^O)@CI%+ zN1B-`TU}^?Fk*F2O0wbWhXJaiqeDtkQb$)e+#&SGk000Rg#9OgN}3krjq5}gW@IcZ zbVN7byZ5{5(DLF+TTP}g-9u*EI?r zL%>dO8ZDReu=~>*8fff7MKT#~b#-;YnLXIqNfP$hP2e^-yzW@5CzN^)xCxLejgX5) zTPP7a{rAx8rN=+o!Y*|Q-3Ssoy7Q$U)CdwO3AlidpLtP)tpQiUD<)tyWKK$VVv&g5`jY6hf{Yy3G)xHdJxX1bNsN?;qaNmPSV>X{(>CR{jL$+OVH$#PK zXlP)AI+~e21qZhS41+&2*Avouc%PppB{FNPt22Lj+xqqEaFPDR#0<*3TsCCC%ZuFQ9Y{;$F%nZ(Crwp^M`9g5jrW7~eb(fCwAg)v zJwC6X0N>mRI=xadzl?;$^Rwgq%W>^IzcyT0?|UXxI-b+={y{(|Kh=-c){NAde-Tvo z*f}|Wbaa>xWGg&={1^}`@IaR=mpeiX0UW!)+xy zk!X8Y%Z(QU$!^OM*fyto-75nDa&?2vHf9*eXVU(YN+DlcJOJ|Pq6BzO`>PrPDTUeD zx7N99^z0s_b^_jmGuJz=az6HzpGrYh!ra2*u!G(YjvDXXyLVw$q@|@vMbl9W*wncE zA(nZl9Rr<)Uc~o(#M`X(Di`yfN`g^O4`c4ufX3sA!iOb4(y2eojBil(4jd#{Gd`$~ z9W}G9eM#bG=jLA8-W8Ycip=ti-T^3ov8>@hV@Sd9+@)M?u!@xQ&{j75Rp&FsE55i- z`pqB03UT_XWQI!D%lY=dn=$ztG9|-Sl2~cAzi!l!eq(Lwtm57Ku5o@m|BHX5%)Me* ztVch$=KeJLKByxmsOI6|z(XN~zwkpe%-hLwbqLmO@5r>KW>p1?#svJ8BCgCX9Obpe zLJ-rYhAR7xIaUchx%lnY<#??-NoJpdVpnQ#91xzEi$gtWn>eLeAu$H5R!rSj_oFw> zwGSA-fEpZHbu(kX%H35-%n#3J_qqN`d3w*=jRDu_g2YFr(@TRwyFTQgT}M)?1XMpN z*qbr_I^rdYu`Wqt=$}^rdN)gMb>L5}RX08hPD)I4MiNtg%J+B?l07?>G&rf>Y8Fqb zA4W`hZmet~YY)84`B=pwRdV`Fp#bIkvHww{AT0f^|vLK z!etJ7(E>y(u29x;W^rL~9%=1nT3AtI#GLQ`IAhve|6qFk_ng&FudmCzqZAt23Q4#p zNuR%4?cdfC`NndZ@%L=lgmHN4E$=24r)&Mw<6r_$i`e&!AXHzI9~m=B*_mS!;dz)LJ<}z9JM?x>Xc1yJ zT?^(*sVj5e_G+QscTTSjq1xtWGo`T~v6}flD|#JltFRyyr6NC96wN}!TNfM>m4lCG zqLp&)Bw1NWf3x7Hk`ZSZkNT@A$SHfuvA%`-$(UJvXm;u${a;FCN`*t9(Auc>=~Xjn z{z}*9!oK$;XavuVO7A9D2a9%6_EXF7Qwh&qd5G{|xxV@DqvB>n=#+KfG&`k2EzOes z?E%HikX>Oe0(bYti(ySBQq|{)tgtCTe<$CmZY2q}M(gH1(yexy>5j%CTQqoGrpitG z?7{OtDg(6>#MA9P6qyocYq|Sx9bf3XDp(GOXXK=7BiqRz=&qlZe{M)`(nja7@pit{ z9!FxV5>DMnd=*Y>7*4-uQJ**M+mI`&Z47&@6bjag5ClEc;nlerqwVy^RMgw^_DOxN zqK^gQfcjG{Xj^AqZk#ib`A#cPoPm&6eEg+JVs_Y>mHo*O=ZBkd!xT~Z2RhBmZO8AT zySmeta4=u`S4gIR#fb`YlFK~0Q_D^Xs{V?wTy{68TYAs4%Mq<5;0|wI7J^!Uh^nc@ zk@m<8kO#FJ$6BrBbNq9os}5dS@BQO~h?-q~WxL!N9vpo8_N|MsE)BslbmZSz7ef+1 zVkX2~$ocvC;lO5=J2C!8w5JHk&ChpZ*6n={STwh4#ih=|NcMLXVQMhI#B0<7^24>F z(g-D|ULZIQwpoCX{zqdY#Fboj)OEV&&Z?F4n1K|(Y?3^sT{Auf>wt|EH=bRp(OsRr z(1+I%M?44HI_Iacb*A58PDRCA$v8aofQ3Vltg!JZ*w!LIoemc3`hL1TTLI#`?yv{E z88N&W!XUzZy~D$)KRTlzy~nuBG!+k8k)s|+bR|;P|Bex&_X$Y5fB}O37&|pbjxIpd zg%CdA|H~Hn-~1p3s4;j}_B{bpYOFNTtaZK|bteZK)|@&*K zK6w&IT7Kk;i;D}DUEtiuo12>}D=Uj2fR>k*%$ORQnm)*89c)gW0F*YqC6O}#M8wD2 zyR+-|d`hgs%y6yqvW=}R;KiZA!EaHt9860FerH+f>AY^6lfz8RtgOq+%iMZ3F+nzO zu!6+`v_R?PcV3b|eS3uDC-k*Y+edhL_ht7r=d%YA$dL*kMggO;B@~ zm<*bIeSo8mkB>7kt*otq>Xa1p{*CnW=PZaJ$iYxG=&wKyhAqZq0z%n2F>?z@Z$*X6 zmzP*&Kc%~Ql6qjhkd^}N^264D8xuEZX{U0O=y&2?M@0$n@>1P*$_y$GwczM~p$nDb zd9<4q9xf*@kB_u``z9&=yP=^WC@4rGoIEVldEwi&D_5=ruMEwz-oAYm;c=W7XZj6` z`sdFd2QR>1r?H?jgfAQd)5=QxQoOhP(eGeuW(E|mVM@!SiVA*$S9?=#Gy7XJA8~}C za-dQf@IP1=vt64gGRN6hQ|KMRw zYieoTIIjom79Fe>(Rb&Xwa zfJXk|gTuHtZ=j45?r1x$4&G)eDJ$!rnen^qgMxOZJQQy@0xxz}hd5xzD(_7`FMSHf zh>wrY`mU3>c-wW6QwE;t}Tz?8Z`Sf7I9@r6ZsaK5n*b6NI7(ncLBYjT~9sANHIJAn|9BGi2 zMJE8~&8A&%K>h%A?(BH2c==~)oN})8o1h@f?U@^`Af=DlREa8(g9R|Zwq|W@4IliW zlG3lXHt~67DE(*8=v0O-pZ(ufb#*m22gl8M;72P!3Qn)$Ca{aWkuJOu*=1U!U7lT3 zM9{GV2o$=LzP>&P81%0cbG(4Acz86r?|kj*x{A#H{>>btC@mct9^MU=O+Y7%gRJyr zT#*lIor7;YJwK6*H!}ObvjAI&KkN%ANp&?f2m;%GUCA4I0>}VsYikBg-j)^?I2a&j zY8F3>TtF3^GqbSFp?;c`!?5^eTJmk<|! zs;&Lwqeydmr;89g>lv)yX+ZPY!36Lqcj4&(j4R}vIzbEWj~{=5-2_L#-o!*rMWxi| zk^}@HvHs|a14S|6eenH?Afy)&V6x5>slo1#wIZ;5zLZMEj6>#`o zrJFx7q19tjd_z$QDC5y_+6X}(_Qb_fzPwNxF}P_222G(z^nQ9@83+N^$nYHOf0NQ zilgG@b94ke6JkcF%@jU!f~W;OF1O#Eu^^L}^H4yQ!)aU}ErlAMu5;y}nO&Qz|95_N z0=83+A&T4IPc392g>H@65h3xwh3O$=-(n&HvWM7G!Da`6@U>zUGBw%|88fB??O$Y>5; znb$!1lGvcBw_^FTun=B`63^re0*A=w-h}g`x&51eVw{a|7aG~ zF}y>T^(&z$)w z`YHH_=m?0Jvv#1|*VTDCIXQ*LLZwZ^hcx~NMG4abx*NDm*24vqC%YpE-7-eZ{)>;{;m1>H!(5(3+`4{?8q!sptvdwWMI8t9fes~Bn%AbrwLYS9tsOX zNAmXZxlaRo$7lW%%mjW%rs$3}$SKs<*Jt8M8k3Tfqa$@Le?Z^YzVj|8ht&`3^{3KO z#}Rz(h*Eb0UHWr z_D71Kcqg1&T^lQqihO*0e6QSn{+-m>c@L@@T#wO-2`x=c;#VMBq9Y7UtwK}a+0AW& zy;@OGVQ6xtW9RVD9ch7`@_=LJdv?5|L=PJP^Bj6C&np;H&{s}5pSyEiG{y)PJ!`~8 z5_zA4BD%M`3nGuz-Ertchq^yrU8m3Pvw%Y?-q{t$dYe?%!{a^?I_+4lTFxw&HwMu? zZlbK$F$|gYh0r;nTkX7R8SyQC))*QCp0=&6t-ZbdB?@^ZmbTse?^1?&vHsLJp>^)> z+K4?sx6|9LT&4jh!bUj|K=3AFdc zUtRDVM+>k)Um^i%AqbtYJK|=fwewje1KI;XLhhKd#>R({lHFZh0rhrvcBHtA&=MTn z4!7q(qF`nM4~n|A1P(+nv?N(fG#f@@g~OH>QTBK!NLlgG5~IMhG)5coZdQ0Pg4o+{ zJ@h)L7_Ni?3Dy@WE$uZo58-Jrl>oUME`a{LE#iubqQCDXuAxEJWU#ll2dN{lzQQt? zWXTvGce?7r2mqjY<1^tGC6B}9NO?nMJ)gvBU?FwO6TDr zY~=~iT7#2=h5)Fw;*5j+0_Zi+*GF_nub9M(g)pqsj(5TNLy!{ZJc+NZt(QJuHMFRL ztSl^QJ5&^y32`epH$F8rJ%dESSlM$25cpqgO;5plY@UNx1>IrWp$0FOP5o+LgnZ)|OBdg#w*zhI0?+t}INLZ3731J;AI07{x^_M3rG1;*IY;$rH3 zXS2=8+EXGBW5JOSvh2?U^Bf{v8&mZ+6bH?ClK_J|y10;bcDc>?TtUG8h6)<95fl_$ z5sapNU}kTBz1+yq5Lwn0hD-vqj$a=|UIjb>7YhOnnBgoBAJPt=>zuOvgWd!#95t_L z=k{DH8X`=$1#MI9 z#ib>>`%ajis-XB{RN^bivO8bO*tf^uofz+}tr3iO^~|b*tpXbD5a&DVus{W@aWQ z^$ZOBpgWwOpO@rhmz5Ep8$s^|QWO~xaS1FZqA4jUf$0GrpMXvVdZ+^pKv)ohXPSH- ztRpX-qg-5F-PT9vmX|vWdC)O1&=5TGI}FE}M%VdOp5L6^aR*lf)&dU~*U8O|)pIN^ zE)L$i2>J!6t!{2^D8clmCSR}$AQcl474@vzj<#=T+!vsvMr z$Yr2scjETa$1HGD5tz%x(Mlwlr#S!WnD3_Vrbv4S@@EZlD5S98o3KU^Yj$DXkp20YJzCY2a1aju$xg7YPAVt6zKK7Fq3l$Zj9qvm970wpO3&A2KFb?kDPg+4Y;Y|V>ciK&7 zYHq%#L>>%>8fF{bU*T*>N-ZrB*Msx`Na{De9wa|u=HBxQZ9vQU8v4Y<503D)1A`|UkZjE5E2ooJbn6khZ_VMJ+5ky(fIjEi%J>SAAymzWE0Ay$lZX4tAUkv#L1bl&e zg9IWX(%jTU=erWM(!)?a6y^>gHyF2tE??1v_>mKGG*fMuU%tFI*CHp+ovhY-+|5oXC7$*U;(V<8+~DA|M_P9 z)+6sj$ld8^YQl4x+u0EeeSmD(T(STrbUw9g`2db^Xh48wwA9rpsHrcuTd$*A1r|tp@Inih%({cf53Ub>|izL7S{_`O{xePynCI-ye~Zk}}>W!%0;%C^HQ! zF1801#@F{UE210sZuR%+Za23<`Pga2v}PVZ9v(#FP*W`^ryq8db>+X7?gGfSu60oFi7pDK4xPfx>MF!WAMO^uFH zJW7UR#7`CZREZM!DMZmigA*|@Fd%=_EQ%4e0OvbfHTM+v;p_ImE7t{VMje3M0p2@0 zJS5ETh1p>Ta0tMsGA%C$hc*0$&#XsUM#j?4?qGjkztUQyX?hpiOBuqb$ zG@u1CAcq_K4AYK@=G zRcH5O@TSI#4*s_~gokV&?wDw4jX)9&Ty!-^=%Ew=??MzK@Jr{9AC)lvLJbWKKSA_R z%T^0zY+_RHx+Y-R&y4s3Y$`0=fUxM(JLGzalfAu{Y#tOacnW1Q1xU%Yv_Q_gpgl8A?$UG~#&-G%(DDbSI~m45HIqwDX2xDnI`eYN7K=;{O$HC5y- zGc=~m5dKMWntwz!|CEWdtw)N`W7A%W0*-=I63C0BfrLak?c#>-VVp_O+_`@3T46x} zbJ^L^9w6qxFART4p{d=c`B`B(FuMuKxG-lBPSB7H$;g+~U=M#yX5eH}w5(pLs?jU* z=Kxuh>3p?t%9G)nK1r2?04Xk3EvLs5Pdhoo-znXT=tvO#C7MGZ&t=Jp?)M%xm&nnA zKl$s?9Yhp@YL{upoPnD+Z^A%NtA=sS#MENThQ;O|`eb&7x{qo8ZB?i_oDguOzg!S|cM!{ed_>z?^7buhK&SFeXKo}L~LK8c;N2?cgNUT}cKRC4!haoJVq zK6V@8TnQY?^Z`+om4_R()?ceSv4QGH-C%UioU2075Fq|trn^_5f*@8W!o-AL^%#dv z#1|CqhoILYEs%TstiB4|_~<6&6>4j0+#!UAAo#6SHe+u-eBjy+*aR2|ipph1;3rnB zw4>t=JkTdzF8qLpk56ZKoKf$G&!tN~>pox~xAm#4(0wu*AG&$n>X&|8)5N?33O$-j zYzY=2{_R@?3Ek?(c^MdJ$v+O$A~fc|lI>%Ccf>y{YY~nWwD1-KEQtQTijKZcV}XHO zc3J2p5N~9z69#n%0QHNVc?n?eyIilCp^HL?S`WE`0BB&nb?c>H>W$2_w1C#R?QYLK zdO-7BB1Yo%oUkhrK^@nc$0Mhf>Th{QsLq#+tpgUGgJshF3_zBVdmmsdd{7XUo_tY3 z5XN%?1g5Ktg98e3<0*pn2m+2fU}88A4g{E&;ZhKA05oRyS%BgJqLj$_;ld@}!=VTn zo0!PS&W66Psi3d{OUtVGeiTLojGWGTu3cp~Piks`k!_io%v=f9mX;n+PJ@H$w6v*! zqv1Bebd6(R_u{*^Z$aS%^MYvk89;w#=1hq0mXvIR6b_BQUUVK}MkSS%WJYIjw3*=h zz~cjIC@!s|lN=Bb5F4vvodd^PLQ-;kY%J`c`OQ4&@IZaP_w+#O7Q`>0InAN(c*T-q zIJRCdpe~-Gq82!Zw*jC4`iIrf@wx*s1ZYnoMxa92ZafE;=sv!@+=_XCCicm?s*<`GG^OK*l3A(}5vGq}Yhn6N#K_zi*Vj*N{(iee3}XyB z?WrNfEuM!j#nM9WE6nL`QN_!~NBu$%Wl=TOL8lcZ-7~Tx1DAgI1B= zeX|~lbX%_6TyNuv8%H0sD!IQfV7ubk$6B z`K^By#z=X2IT$Oyi!+}vXzdo&P(;7tQH^tJ|Bt*1V^Bd_8e%bTVEDwvk)#C##c<+s zZ+(xhuKw2J^}}4`rVuM1n~zUG{%;Skswp6 z3Kj#jZM^e%+J%m(DSas^b1>AzL*b-Buo_Z)bDus&5W>_w1@RMNwE!<(tdF8$VWJ_>LIAYsml|WemFoHV^I55JJE#=U zCMfa0!Fz(317r|0b z?iRsvgXlgsHg*~SJ^u=_K2@KfK7eyKR&vB_;49xm#FIalap0uh=AY^lKDL$Q!4`sNswK_NVm_ zoLf-FfaJC&g7ye{44^4rXx!j;!j8&+XNi@0mEdN3SId}-o*k@Qcv zUEMuK4j5=XMj)60GSoMSnL_6PAHKhT7xKpa>iA-zl2nz22c7lLYMsEM{tB8a0|6<` z(7*u6;e!p}2a*|MFsH`HeGj(-BDxu=rKCE5ZNjyd$GPH`-|JsL% zaV}}gU)8w1#!M&V(%Ikd1U94t2+J=1j;jRz#@+R}V0B0}M8c3}s11)HPJKLG*)ANe zeeYfJgmL+pudgqNEkVE12mU;J_Dq#0@{s-Vyj_3QdYh9oYv7NA*7ui!#A$Jz^}7S( z3yj&EylkADsc?%4@+(iDjsnUDt+fF%%@66})l|UV&>cb8FtN4ufdpk}C=N`T8NZ8030-Kapz9Je ze2(YPdgOHw@m7xMBbZnmw{Js``peYR8MI9>a^O;jws!EVS0jUim)t}{?wwsZUouqvBAN= zLlzs1H*aP@F9MnjUAcMZfnf`BdEdt}DA9$+Vk7rE zan1kfuF|YT0l)Q${?~2cn_>TtOT&rQ&4DMy^m?$_k=+gP~E6uc&01 zl|D`2(uW&lpd!FdLdI4AM#%O(wnxT!s!!l!fb(={Eou5$6VwilPj6sgU~gq51ir){3JGsoRn~G@srY2YoCo*s`y6hgZEC8k!%FX1w=pry z!~2|{j`?A+ZraNjQBA2duZ{pXMb`&iavOo-kc|U2H32lz1_phYmaq)Zmty4?|rN9`c<-u z^79`+s|V@eOS6^awxbxyzeTLuHIii-z$^+ukc4}CVT9b=#c%{<|1xF1Dp1co3K$>- z>8LGi5?f;xBo#=_s4@_GnnPD1VwHUyPt~z=saK22%6)5ml|h3M zr_$fGRm*ze>PnUtM+1l19Vk|6OsgL zWnm-okWS{}vIA2wHZ~TtT$JbE&xxxVyJ7O`xmXtPqbxL5hwUCBfIc$d)>M$mo0kn7 zrqGC?AHx~Fw2=WSfp-ih76Vp2j-#@Th80sT1ff79l~3fEgP(RedtSWYx^ri;?0NWa z?htR&blEB69sv%C1tzU$H+;;of>@iZ!i7QW^!7f51>wODkBE4G*F+MG3F58TE2O&d zRGUH%9;~gb*o>BZf$#tn(Ea=Oq1~K86dasKnI;_7m@sx%uS3oMJg=U*^=wPPj8!-@ z8|n8qEOLgi@Sq7D3;K9HXbR?+OPmX^6K_%_=HslQ?L!nGS0Ai8ZTfKTB_ z-HN*!r;4JXr7hO4KUnFz359^)nwc%j`Cc(4K3yVT6;?APF%f6xxS>IqD*?~G@vy3s z0ZwSsqpM&BUpqh9740O1MTTk|7#t+E(6q3y`26`Z_qK;BbQP#Eg#yS!y;XgCX#2e^@pOjvw8S@WA8U`)VO zOt|j_w+i5aPrxU^W4`p3Kvw9Z{9ITFTssJK1DGUWoPZ_>0;srP6r6exmk!se0BpmB zTKKEN)R#tyjg3B5R;zGn6(IR^gNHNZhrr7L2T{W1fa&JVjrDcuc-g_>Veo5yL#P4d zLx`_~_s(U|1hyG&&MedKfT#@FABH>-?FZT|ub`0Cd-j;UOe1T_0VO`8ZCxGlQ6OIx z16gtLw6iM$UYYo@Nlqa?xnp5*c^OP_DzIIsspD)3b`)G_G~kZ32Dsz`rm6(7h3xnI z{F+hR{{MMBkhJDMMKbV8u{3b6q(ozJ7+F8Lh7)dJ<*MGy#Mil1LK2{!3ov`khafCQ zKsuhP@2+TNp(3VgmZS?lFaUJ;zW10Bz{2j-xu2D|M%T6+Lg0H zaMqadwm|^~77eC&ja?At?o??E)LQ8F0wZhQU<{lf&>LHyn-T3Iwbd6;OVD^gj-qu!uET|B@RT5F!ZVi>WE*=4fk2QsIM~p&q>=~?(W`!LVuBGL z`B={S>f3kk0D8dLAMWaciHzzqfIqz3X$rAy`@dO#n%fczVbe=s3-s%Lzmje(&)Rbd&I zm$wS8^drA>Z@2*hOdeJ;KQ|ZBR^Nw);-Bh#Xg3Z^dNq701F>j9TJCTt?!`D$7{D1A z@y918;hJQRJ25S53afHe!{cxehTFHpo)S=S$-%YhJ*XTkJaV=bYSpLlLY$nD+bHGu zI!MapseTmp=dRM^7>#u4LumgTr?;nz1 z#Cp0kjJlbuTgQcYgY(Sj2nD2&KocIdIe-KY)`-)~`5OSGi5oC2iK(b`w6$*+$ST|k zV}W1aa}>yKtgMWN2*>2fa%$_E&aSQ1`<%Q$eZHnU%;N=OH(1f7B_#|GFmU=hi`gqt zVF&_zRPlo_i0e8qFyP7vh}M%}o(c$PIwlNkZ08NWsbq14V4jHk_ALB^01&U+ac_V_ zg|vWYYr#Jb;}1$mx-yFJ%8^DEIUg-m&#a%hbJXbMlC=W0aOmQ32gbLhz;R`vB}9h*}pkos%NT9Se3KyYmv+WDeCz3i(5!2 zdF8{h)+ZGP7;!U=Uf`r+l0736i`V%?$Ep)wms-{N?l}-XVuFg2l0<0S5EltrCS%Hs z*2`D*yGQgAa;Xp;1;3Q!(HaoA*N6A*%#|4HX#(2#9w$*N1Q62h$wFm zZoonZ4Xv&g#0PK#rAsD4gtWlDR3aK0xKw7!cJh7E37lgh_FO@h4u)P+obieIOP>8Y^T#8wUge=BUB;|BbH@Gm41#0X>Itg!qHsN_*caNHIsQ zgI|pQb(-v%f>2jUzC>DZ?t?J)%Eag^BC2XxlAV12^H;QnV$Q58S>%^|#wu?R#{;`i zHwY+8JQd?}QhQ${{Re}FmH3s%A!k-Nw*xQd0*3m;s`%yq>_9*l`Y$i}@4szyc_9V< hiKG8RmOtVGBiC~T%hNhZ3~mx2G7^g7MPi08|1ZP-2mJs5 literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0006.png b/docs/MIPS/img/mips2-image-0006.png new file mode 100644 index 0000000000000000000000000000000000000000..35261e15952714e1fa34f91fa4745875e4cda6e0 GIT binary patch literal 19830 zcmc({XFQhS|37>ZvdZ2wB%6?xkgV)YvNu^tk<9E(vR9J5_el1Z>=3d-$c}9H$M^fY zU-$3-@V=ki*Mp+CuJb&O&v=i|afYZW%ih4I#6}S0#$!1tbp%0)gRjpqQQ^)H#+$C{X!n9~a?^9VwZJeGQ>>6W^gW~EO&G10wETHqsB?ql!}{aJ^PniM*5r?Q$U zN`z?$b2*_-tEnEv4(jshg;t4N5O;hUPbi)5(2L)v)*eFj?6 z?f&HS=Yk~HKC%vE4-*=jjs|S3uRGW42auiGca)G|2gJwQKV}l%Mn(0trX2`2s?QMj zJUNO{%w!p)T5IJgD%(*=;4&EB#6%Fx0Mr*R!dh=W>M_^Mkfzs^@rC5 zR#qPwYNl!OejFo8{rv`F9A^yQqmqYO7-*=O#s70h#gRso)y^7OsSoL!aH>SSZowN; zUj5^^MTy!sdi*)`fe<6|p)zg4|NQds`Y{nE+Tv%Kg-uz_8xQI6**?DBsLl34Lu%`) z+CCb{dcNd-|0%&svHwi7A2nxFbT7_EY|JW2;1xyXNNR9_J@o zD*t&+)f{<<#mV1}kz<>5Hi)bFAZ%b@kg1v{N~FjfJGdG}!6#GR$;RxpEEYEF{@Yzz z>!G~52+g(4FEaDs57z8oycq;`Jm8kXwmQoMiq@wVnyhI)|KHG2g zYA=og26LlQoH+~i5+v0h3nnHK5E`2s;{5RJf3{IhU~sVet0uD2@7g~OvEzj(&pY0) zrbiy$`$qphs=og9%a_%$v9a~_^%eG7T3XqkKDn%q^?v_eb9)LE>FDmJqM(5PV;z)| zmzUSlifaqgGQWIKUAfO4n~H*)XuY%hXu0L^^i{yro$*|8{LQ@XL|jV52iJwAFS$Hy-3Zga zKy9bCUW>{T8cI503X|%dyGF&7yTkpuL^jIFMPhv1C4ng|r-g%K) zz3~2kmFyWMQN^LBd4`IpDt*SKn?IW{)iH~I7N8fPekK2S@mxO`_jxWm?evMKk#=45pe5}GU#zd3sWU;=a=E^E~r+u=p z8WbQ$ko4hK&U4ypvPzUed(|g8s1yF?WlyEkY8uhd5Jn}?xVj9O1ywJ!o^!Ymn|{1|F}*C+@1FXE&$dldgK_rq z+ihm7I=h=e_5!?4=GT|CaMUjU6YB)cJYUN;$`0-X-rLQzwd6EzSqz#}nLV-;;17X{ ze3#{;7{gt97Cyb`WTAMMkdc{(Y6QNj_vFNt)U4n0VRpFszFk$J$4^$Vx8K~F{rsh| zk(!$Nz18x;#zYjI_{HBf4anK1Ca-^6v+y#|*MDzb($FBn#l^+WURYH%*3#1Q?VH2M zr^gT6|Ej(dmQzxSC@tMiylbXiYFMoKNGWstWE#`I%9vbRRn_Tu&%)dLlFOjMKPbri z;_S)e8i%>22Tt=X(b4!XUc3+&7k6~r9vK;-x&JDhTG)9kPc1GkF7)2N<^F^uKC4J7 zL9ToEs$Xt=XJ%#=bo_~X^JYzT^$kqSyy9Z5B4KI_zlF86eR~4S?gW9l~YQR8@71#+5Jqo~eJq$jGRYA*O_Dh#01Btnc2weOpY-(Ceuvt>d>; zVKOd#IeB>o3~t1yzWz9dQFi{hp5Bjm&ZoZwWu@rxzb4*gwQ-j_4RdpL5)9d1RH3*NctGm0_c3fVx9hUt%E;$lq zW@<`IZpwq3BJSG^<`l$E&p@fX{dXCryH#3P(#SVi6cvfREMkoYb=UF^-Fot4#U z^TmmRf#JQdak zsnT+JZEetX=pxe6($0DvSlHNoJw0`=Hj_)X#6NSeA1VukS$1&KCghfuUT%7@`6@DN zuuzV@md#Yv%5L~1V9mdK`w4>VtPG^Ajplx?s}ptGk?7>Qm+)%y#{wH+==t|AUgr%( zMYzaNv$wZsj4ogH=-TVouMq@0U~ysLR#frRS_c|&FAvy7*!7s`V*BZuqwCnTLIYD|PKb+J|IOl< z{a$8%ecjf^W@>C_s?ut(E1Y6!bMuSKn)>npVKkkFh6W2$=H!u!aZUtwK9HJ97bllS%jzLBdEm-=$VUN{ed;H#q{n(A3maS0@Y) zuM>Uus-tv$eLXTwWNm%TMpEN(Fjlh{=f+5OVFL{cf)w1WZagbAuV%j)keVD2WJSfktSVsbJif`mvBk`=?Q$m{FR42oYix*yoyzkmN_-SLeb?I_dc zmq&_)VPxEa0RdQOZ!P-c>py>PpV!jXu6ez0iL|`Ct?+7VhBYelj!JsVF}7J(cuea4 zcJpQ6b?yJW_Q=r@CMG7kxu)~orEY`IuL4+)a7w!l&G>M2v^8;$ht(pQLZj2-rmCL` zzI?Nb*VWb4#@ry60kQXIvb?WP9spBT)+6TFd`-3hMDbQ^?+&@j`<(=6h54E^|9QDd zoOAPByGU@8sA4zdNK)+52=3+?Q_c{Z9Ui{Q638`c`J3te%QCXEMhz}DTQl|S+C|a1 zC7HN8WV+>!do8}#?kFZYogQvN$uz2W&d$l{>g{Fa**u(#Mo3czOdHoP%g9t1`+M8U{x04U8%;KEXR&n*JWg6 z^tt|}Xn5&zd>_t|iv#~)%NXW2fp}}~RptLa8P^eQXBPAv3eUU1eiH43okp3V= zieY(W#of&fFxlF-wEJlyFW=2!7oAa3hOYC-N=q+Xi$r`zb5+`}J$Ueigk8JGth}*t zroVp!uGJ6Y21Cw^dVM!~uRER|9$ZFE98qel!@)+Q0 ziQ4nob^GFA!btpV$FE@)MIvceK}W}Rw&7*R`#1l#pe)`c52t`huxazyp%)2CWm0sm}CNJ!GeJWn7~cHVcIF6=i8ILu6bdE=TiP_A3l8+*qQiY=5k z2D;}$mwyPk)pPR;3fgi5S*eQC#WUB&CME>$S^hJKNl9V1%8f#8&Glq`d5#2{e15fw zedER#=Vk1$&d}iCp5ETwgM-c4&;K?iN|#w*L!;YCFdj(0CrXRgewBSuRDxSQF^orl zW_EU*gue1x^u4csHqp0lbaZ+eMSj=xd(o4{jK!=%hS?8;=?|XG>Pbruvv{!*n3*j> zpUI!biJ-r8M|PI$?3$S6$Ht@11t$+rPXz@9fXT8^v`Wme5LhYE(L+#f8XH9g1qB(^x&YVxe~TUL^B>iJ(M4)*nZC?U}T)%mx}Nrgo}A(2JK$!O-IpkKd!K_^*VU7h~% zLqu43;gUF^KkeQ#(x7&V`>*~$YV7tp@H!p-nese6l$Mnh_c)3ku!M-2yod5R{iTb` z$mpoaw?BXW?5_@vT33aKheH|F*3k(Q6o`|0u}JpgQh_i+$j?MKiuQrSOx^B$t6yp= zbo|!U{nw(jQQb=yVP#44-1xiXwDYA261ZX+c$a&}9=nh6`o3_J=M)xx_BeLdqSWGs zbtJ_y#BZcqhZxDu&ffcKLmwl@C=-s4M+D{4eSc*Da!Mst=$6%O=rpqDr~jhD!^h6a zgxn*ea3De2Qqj@TA3uJK`0VXDWB8$5JZ02i)BAFnkaPcbngN-D!)dM6K=DaVjG94N!^LA&mM!Ou-$WZ1Zz%_ri zwzvjqRO#Kcqz{%RI=&5^op~IununA@!2JIG8*oH0E@O|3qGI?jTpUskpIOu_*{H4{ zoQjGHY8sk*yk57)&_bltmx!qtsBc<9{NT}vot+#M`rDBQs^yPCn}z)JfZ(9yU6nx_ z@8;Hh?3yc=Yx4nL2Kgh6T9#QBqN{J6UPs zwnHw&Mn~_Q9BkxR;!KQ<^)y@SqfKfOcXxNM!cvD;j}z@r$FZ=H_No`+EVXi)4W& zJA%a=s{`%V@eHjziaw486pJXOeEw=x=Uh8?5om@)Blz*6vvq>wjtbFV%4H4`Z0Ov) zi-qFj<6o?P0~9*+s|VdTto9+%03Ai0$1(2(dKZ~a=!@S&x7>^^Epzg2pxf~O_`5cG zM>&q`y40E5dPOH52W z+??)r6nyZ&bLDH2iHQls7U^0fF(#TK^FGwT!3=RcJUlv4x3ZoQx&Zp7<-m2dp0kh& zd$FcL#YS$~%R`k6^MsOeGJo-#iA0qz&x3c(Ru6~_3bW~x?J8Q6$x7!J+DQ7%E_Lw! z_M%2s+<*4e>+~)?Jv}SyT33X()LVOddnh&hFIE&6#WX0tbw|i&bXDMEd4oxcbg8vP#_|nSbsiw^&%@yuuiUtohFp zBo;!MMn+TX>y^G{xOwuMUp~|RojwhWbD3L$d#vAbU&_5AtP zN&bqa1TUY!_w{tK13!~QK-vK~WEV$EQEB&GFLt}>pawjA_)w3y(fP#-07ao0wD(`( z_Nnwdp02T9T3X`d;J5sK^(h|rf4ZX!)0y5)=DR_L9EHEcmz>>?@>JTC)ec551e)O zreDZVj-SSM*RwHse>Ajg6)yv?vbhSPu)sJb=OZ6GOY=_z`*>Aklbtr!)^c~UmSZB zwJ@(q8yc{^hK2^91P{E<>WrGb)YQ~otbA4LDM-Gp5U=0pMlg4wY2KGEXUP^vNlg5y zB~Be+QXTA&v^4r%z327%baWZo#d=edlQRu372+OX?R9g9sy%Z)-anN!%ZM0OxKH`d zqPGOf>K*TNxkW#V>rrmgc@>L(C=#gEYtP!1r;aY0TwLC$?o(Vt5RPy9J z^!_ zJM2vpstimmz0-|{*10w*2`W{9z1M%oLGsLQoMLc!+x%thRK|4}FVM>Bch|(wpBY`O zYZWaOVAO=Jd^_!+p;^i2$AFPcN>t4jYynlbOB>v+bV(IR{UI1UNsZj@m9P z+6d>8P2EUy-g{EQ+dRo&FS!7?W$o~%LeBYR%|@{vg(?c-W4g2bwW&E{OjWMWdgLPp z+m&ASPif`7VMx ziN0wgdMC#uF+5!Q=CV;0k^5D8`DUj|IU&hi{GcZxG*-!N^R)}TJ`(e3tD0$%B$r^hjG zQNoQ57ab(?33}X4*lM+F)APgYjq@%7B=RV;ac{mukPn&f9$!b^Ypzc0`8ek^U3$k| zXG~H|ZMI+ZDCa<**iQYdRM?m6sC4%X@clYh%mL~v_4uX zS(n!SuhMh`EF>+m-`-c*L_%@9R`MPGbH%!JuLjXgo9uR zU9yClB>LL8Ud!Ij_LHF3^wr<&K5SnpO8bHJ-uqi`>BZ&P$>7FWj&yTt4thr9)N*lT zh|8t;RMXMY9WDgb;o;+YFc@|`BgW@RU_*HJ4(2vq{&e51Up^_zVqa;bzKD&(3g|C- zopDkSJ$u=+snG0xs1qGK5FoV}KUe4SVClSHBWN231(^x&_`%Hg;`cfCBf1cDg*z(ZPG$ED{v$# zR9h~L*0Wfxm^$xd_a@kmrCq7{LnU6AoPn*z(6-Lb&I$_HNG5rCc=8GhPmgxZ6e`e> zp@|7HOf>oGPIKP4TUkMiWRhVfl~W#K&5c(teG8dg&)BE$I0-|py7F?I3Uh4St-Aax z#iSvXzb|P!;OCb&6W_nDudT6qWQjd@I)0AsU{!zxTD-+GCP9AwD~sVQNWlIFi+(&w zChpgPxbn5Iw}+SNME{4opfs4FO4LIEu0l@z0e3`!1-%uR5XqK*y-g4&A!@d`w^*0W z%rYmgoK_lsk`RMOj?+m+r8`*-9Z4( zhulb^^7rxa2X9WKUK~0YmnULi$g3YZaFHkUAEfd;HZn4rO$UpE0Bjjdh&nav11KL? z7RfFSxE1Uy;D%t@qjz%uFTdpfaDY_LBh*8ON)yB07%Zt z=<4jWFgFL(T4OV62|#6$0tkfTT$4TMAJ*2tH#VjlUOo$WllN-U?hr5{_z9DCHDO`> zslqSDHi!Rp0*`f^ZO}3@8nmngy)6PD{w9H>j2w9&YXOH?#`gBM_xZ^IbYX|-n&Nyr z(3pUm?*IMU2)acU1vzeDW#tPKlZA;=qkPRyS>1qrKuthh$Vf>mra+|~1%d>)l$?^% zlw4a)Ee238v|P|W{jTE?mIANT{o;Q0?huA!%k`ws;SR{S4Ws3laNEIt8Tk4Yfc!O7 zQ~(Ola8E&6qm8KsGSbY>v=gb5M^!)?w|| z@DEkhy_^6Q?SZIhQE@R)Ag`0PJ6OU%Ni%bE1z+#|%HjQ#o9hkgF9;f;drIihz2--B zYrlVo(}|}a#l*#p=WFD`eM@t_KHAAFEG(3{XXr1PnU%E!jCE{NZt3sF#9&_^Hc|$7 z!qd}J^h-?*sIWDl^S*L+epitP%oC_27WXYlc2&hQ_q|`hy~@kWS-H4gy?O-+5*K|8 zAb6=Og75C#nCRBFHdA0f*t<#=01go!xFPuA9@&zT5}-W{NkESBZRRpQefk89%4Ret ze>$dX!AS@-Si}d5h#7yh)_$6lj&82_so+{zXjD{fMTN7IlWJKQ=J-dSqqx}Eeb9|z zul@be5FfZ3|F6IpL4!%XYZmA)3Q%$H@bG(RIyZxjjSb=hD14E%x3~AnlP5buOiccX z#}G>7fl8@DY5_OBQeaaH0d)aL(X3^R*uOY`BbG4-fH(cYo5c{|UP+>__dY!IOV-i^ z0q)b|SYy}gl5b)Jy+9bHdEm~^&rggvZU1~QBz5+2bPX;-QAGuiQDbIi=Fz|S?xpP9 z+&g#fh`ayI`|tt5a{_(2Kb}(~SJ|Rm7uDAof)7??`{$Q-?yvp*({<06-lF3KXQ9A_ z6A%zoS`LW3`a_;Bmo?15zz`2_ZrX`0kixkt&ZX?^%!{;icA}>{*U!LCP5t;GkbDeU z>lNqfVc7(E^Lwe0__Oatz~U3tK@S8i3mDNggpG|2eoWB$R}X05Co|8)12Q|3=05ODW6^U^pMjCC3}!@`kRxDhb3n`k+k|b!z`$re zKb(f>ByrIp?(I+DZuR!QrLL~-CYmlcORBD?*YI*f8xJoE1SyQ`*K>1n7U{mc`BwtV zQF;a!4?4@6*RSIe5|&=KwYPH{G)Qr%o|Q0+MTw?a0lOalDE;!~OY*?G z8nQK6?w5FUy4?)9s>VVL-+~59K^{m#N_wRc9PKPXQh-|hY@#H4*c#*k!%9mf*nK%U zOb~X2oaRCDRpeNORfRYa78XYJl~7UHZV$o(ZBrqhBP0sSmVT3mFnr6w@uwq%2=SSj z(LZa0Vuv8K;$Gn<kTPuxtKzvq2lf4%pjScyva%`?;XVn{b#KAQt?8OEa=_ z16&og4r3VI<#joVHu>NZqq zrIM){Y%&=_bO#$7``2-IXZ_0!QpC8$2L+ObO3=Z7Ac;{nl0W&lD}oB~2@l5=T?POW z%dFJKqezc0BqUU1@Hu|K^7{4b`)-XHUMDuN(YJ2ha?&k>ZGa>(s7U zrL#YCbPbx-oBfp=fhMp(U`xZjV%@x1Qdp>{ss+(x=5bBJhqvKIj%S8p~WJG+p)Iv@_s%&=LpbdiZ z3X};!%&n~2JAiM4BD+-karkpV)g|FDu6dNveb+4)S66Ybvm^Ljl{As%g#{F(^0wMLjJQutxj#~-_!u#XPI7KHX9>f@$)J_%1@Iym9 zAXN{4g-zW2QO)jk0*%zu^Bk54gdyoL(gQF&YibT*8!Rj=s;jFt*~Y;EyL}t|)b*oJ zbMqyH^5imH;C!0%n<|SZG&B@Ju7pQSG<_~XA)!Rw>^9)!zsCzFpmQy-+BtW1bj(vg zv>*tS^wxbd@|EAeh1_sLjnHb@KTayz0R zT33{n(Knf234z}KcyQnBE}|zXD$C2aY1BB(f~SPlY%BzEU0YK_n)JTP^Yj&OQffj% zt>r-SLqCjG83webio?Uh4h29qkb;?+CfeHZc9#|AC;ztb5VwPMl62lze30FD6jndmYUcT9d81p@C9G*yT5Vl440-UW{R$jh4ew-OUFw zbBq)Nr*7Fi1WuWr?=`9@w~Y&EX2jUpU_B0&c^vNn8fZVPwj?Ac$3%2N!G!LZvhKyk z!ZI{G4D~fAS2D84T}lu}7bE^z`HzVs_HqaNCvBL~f7V4>XxvXb*bK^sXmr z6JiTNpnTc&^%fKq!1^X9Cx?36nw^)ah_0f~5iZT7P( zxDXgOse?^)y}T{}o70MU$o)xVVPgXn$Pgn3EsXl+_0mSgBwmZ(LzxUK;G`SJ(Wdhz zUDX#8a&0|5_w$pNiFJ;1Vlc~q+g_)?1$SZmbPw{5fo2uEb#|5pDT9oY5m%Cv18&-V z+6JQZ^^J!aVxFN95dmhlZn~F$*NABQO-)UAb~XOYi4b4J;**ko2QDL!GGNTp*48HG zeSwSUR+wWskZu2gp)KeEZv8X@3G=x;XRR@^ZsH2^@^EFuFRh5BpFE*JT84&pU=au_ zBIv|_{`f&jJ25`q+RF|}4%p#ve!Nfz1>uR@o~e)RUTU2i27Gp{y(}@2yzX!wKm@dY zDD}}!It0lQd@J4ng8*|kLDTvE{d>pX(|_CThm2vJZA1%yM%&sXb>~2zwTEsEx1|H) z9(%uf|I<8%tgE18MpE7@Fzb#K5fOn7@2k-wO#N-CI||%FDbcl=dOqX>gbRRzC^Crj zYrmmAK0pozTp>9f+qHSvEx0f#>wI4 zed8AJ)Kyu|j&`#?e|`i0s;1@`^pcQEoKzBD73^lGg|;%2_MNFu-WSJK&0gn$H>faF zK(5VIOlmXc0q3LEv@;Zve{F58)fqt+78Z`!g0JJz0#leQzQ&D)goEJ+BN39B_yAdG z!R=aEUEQ9lydJ0-u?Tkyw+HQqO3b6q-wu?9f`U+)tYPaa&ZjkQ?(VO)f6n&x*@DLe zF^fc}rOjqOy8hJbpa`hdu<=*kRB*7*7h!(N8(uHKGgDMlEGjAjOC2jEerjsU($W%sWUk6a!^MR^ z&;-ooz`#J*ynr+*r>%EMNmH;-uA4tPEh_<(;v$Ix_LM(;Z+tG?m8XtWWdX=VEnqhZ z`~)UT0$qD^b90|Qd1BD$c2_{))l`LrlP!9hG8h3nu!KNV6F8o{{ny_&2p9=;-f_4w z=ueaSX}lKlQc@jYI)Qy#XVQ)#D=X_3yD$i)g@aVV$Vi-r#|i31nQL=ZRTVg%ur{Xv z@*hb`?kq%(jHvS_h2zCO7ovtYGZi<67I0Nu!ICaTU;@V#rfC=$8FfnZUjwui&4r#F zee2QAC`9!&q$`pp%vJh2IywphT@1F`eIWI?+G#=3bkIfvs!fD^Hb4zdy{cg4-0dIL zSN=1gd3f=(LyZL&8b&Wh0I0US2R$BqGjOQdBf|jDKw%OWZwB`-F*6^w1dX`<(jODB z3^2H(8BBo`gC|cOe{EUXt*ERF(Xf%y%YkHb`sgDhnaRV>9wsz{1$Yh2gQFc`|G`zb zE|DvcvL?yfzU-XxqrkU+nU@?FoK4G0>2OjqvL&NC0E4{%u7TJP5^`{G0HNt7NDjIX63r9tgIcxO4q)9ih%&Y zSX3gam-Z05gp$W_m(rml(5fX!)`{{6T%y6)b+D=0{&LU-3xN>?|LzsBo$nGAve zMqu1*O-&cKw#0zTf>#B|uTC5db(jNSFa-q#l*yHql?boo)YLNopU@Y;!3S8gi@UtM ze0e%|2_g#2+d<))w!Q_>AU{8!iO>e--k@hBOpTM`&g9c)TCkHQ)9S_6c!XfFccIORn^p7Iabn| z#3}B!P=h&HSs{2Ft*y&yY5)TZhChK1W{WhUzIo@~J*^6PQOWz(TW`04b#m#JAzNw( zu%x@Vz-C{)c=6d~jWA8w`}D9lC@AQtgb^wvu=$I#;}U+P)f~cSpwe6l8I;&i~aj zHG$jKK)>VUJ8QiHHMO;&GG~hBAa)cdmgbe1?EO!G???+EPgGP?7!{o@HIU#jGXv2A1`l3RTUcY zdwm^7+Ri{Df`}Ibe={aV4MZ;xh;KWurYu5?Kx2w9WFf|uKG{Y`^+n4{N=o7tzxVuU zU!Z)p{OfB3l#$`PIFY0wjcBTqApHt3BxRmGR8u4HYyB{6t=cOonJ$Cl`&wQ>q565z z12#6m1Pcz{XGa7`i)H2WyO^Lr!#JPiS8}(87pux=dhk8c0-bE$H!3Qs?!)6Oxfiu5 zp+=ytFy&wdNMg+$=g>ZgUd#`fRu=#y(ODYrtG}yYKCe#?prm6?`bsSx8XbMccI_@+ znsP#PkbJhS!44_{>kPQPMv<8h=64@Fpb6vz0w#J*G>|ZeLGB3i?3A8TI{7o_f?f>H zUEJ86v;;eaJq-A2l%j+`{hDc_%)ax*`I3~MM;WOOI zxw^#$(nw`_M}_p`uE2=>{+P@Lzwo~8LEgOX)*>ubQhvF{TLB30Q0cm z)?=mI5dya8{QNnEurm{4yxbQ@jJ*uytpgWY5C<#kRFw^ATv80hhF?V3*%i#Sb|82` zrjO?`U`uJYg{Jij2$kvySx(DOhQ&4;5Oc=_v45`Th{aJiH@&V+krd65+v0n-nx8M` zwR`LlqeBK+LEa3ZgMu9C38aFA!xjfVJgw+$5FDXpFf(JM-=yTX27mxem|hzjgjG6H zQjDNg1Of4Q<4Uyk^V@YG5J_wDzPy0)17)ITrHt|}KR@u%vO!uT8pONgWbBIw)98~E zcd-l=VxBu*pk2?tU6hM78kyOYA)vEAv&^Xds-m>llhd}a>jp7!HZE<=_#TL!;Ko}R z+yaGKN=8OfS_?r+T=5VdUS5#7#X$UrNkas|!omVr92OGdtHDAK^-=Kd-KjE@YjN_N zEG&TN=fKptf_%7efTF*josn?~)*F~2jHQUU?aV_;f!^@z%yIlJWVEj@ik)~)b~a3u zf_MSNlADpSeQc}>1Xal2CYQB$67(#boG)L!65!!!DUFDXgq(JRxe_(CVLb7(p%R1p zF>Ns8whd#vttW!pud=}EsIndJ>*~T#fx(9_FcuaUr=^k5b2bK(Orj4S+`euq{T$@g z2r5CZlfU_}i$JkJl_#Ve#vI6PsbhY_{Eg-G#aZ?3I z#_(LA!|qnq);g91C5L2Y-YO?#s}T3Eh*@zUx)meGP|4n7Uh%$?I{9>rg*epqEg3!) zpIU^P|K3njy(T7!1aquKq!AqVdcuh5&;Q{ZrF1M@&ab)5g#xE zl9DJ4R{@p-REb*_m!&s1Y>pVhhnbi)mlNQRU|vBAO#<6yi|bq6&rW4Yh>ng1m4my! zn07~B+|q^}OM4FoSlgoKF6$eH15oD6UV(>R4>-j^v0UCUrV+5iT6m2qpg&ZiXc!rq%k`n** zXsyo({n#Lw-+|F9FHSE)V!yOMoq#bx?Z? zs0Hi;M8Fk-4S#k~3uj3pQ}?s!(OUjii-+#Cdf z-UOh#u5NO2@(A=f!X$~gvDk6^^G!gqpdyN-IhdLGG1XP4uPD1|MO+9(O3?4@?^iTC+y2yqbf$RW89h}PCy$Zu*1*BW4Jb$V*@cXUFi8o za~dQ6z^ssyX(@nstMl{5W@e0j8FSa>#^$U&WCv2OL+CUF6E(;_GpVZhT<>#}Oi+93&=is0tjEy^e{soj8 z+HXK$AYthZY;5nxNRvvs0_oP;I%q7pOXU5Cnv81-pcX^8)0E# z0R<9#T-x`=0|NtTK&3!41Ox*%81!`1Yu8}H9CSIbQ77Owwzj<1Ms7FiuKjzM4hS+% zK5kDLMs{LmnZft^b$%!L!2I^KItF-GAmRY97dz6q1BXHpM33;pY!B(-`-0{hFbUcN zx(yHRK1@JQ*OuCO*g%b2F-XjZ<<9b1L z7l&{)0M*Wj*BwEpdU(DGwFH(6NFeQ5Ve<{-3cf=i3ffINt$d}RqobSB=8!MFKdXOk zPk#^_*A>tE8ybhMuCB51JY0H?ViM@41faaLvjgrrnBVQG5cj~{fr&$f zjEIPs{qm;PPOOli`nRKC@{>NLSQ5CuJ$0=D4d${AgwzL{H76W_mVn{St)_qTRAv;Jfnd*Vc!(46-* z5V4(j(4Gufh~b1pK^MOyMUU>~J6Z2j7~Kbn4>UH0*B=pmhri zF-b|RKmj^oJ{S5tls45iZHtrXMd`=+|6TLW*tnnZym07ibE@*r^C~!}gnsr<2QBlZ zb?QZp5JcC}Hec#xu|b}i_tQoeR`#-i@i`3a@e^$!5HW7vya{lWkr_@_Xt2D8LCEZ6 z85R0w47fTd72AJ8{fEPTMC5tDzTnZRx z?()JFItZQD(Zl>40(ohogWBhCZZ1~@*J{HRZA}fKGipjx`>=Z zW0E@m0lfsAlwKSRi_sHp-;0`%kPtt=c3=V^1{V|-!fB>&8RB##BnJ>1?1Lb*z zi9k>8sI-mBRD!Sv_8n!!cTHgrG4VThRzcA07}4Xw#mM^b!KZ(@Z4|_I7)&}2gLHq# zoWdU!E(g*C&@3r+;6RMo#g-4I;B44@t#m$EQZNkybdZFMtgwYz65YD$;+2Br=E?L? zIe(hc9>mGN-U3DM6h&s&jqgfQI#`+GACwaCBYdFn>iK>z4ZHXjW90oAN&w8Q{|6W{ z!;p!yiwiXj>!O|8WB9>Qwb-i&jgJRY=*R4A+N2UQ#Z?R8S$Ak*_$8@)ZZDDs>E#MX zjlo2Qa@cN}6B82yLaL@F@m-K$;($%Tn}nDU)SN=!W%{2Ely{Lm+mqjX4$KuqT<8|$ zDkkN+Ac^5(Jo*%L<-LF&3)S(zoVli=f|r+Jv z#JuF;Iiz=3zyJ5&|7Rkpi;m#`Ihw9|W}8HRZr||_q4XYeh`tb5e1p-+y@>&BtjUUB z$2+BeIsLwCNllHmtZY!PIh>iRgg(vtW?CISA!Wc4j;L4F)ztxn1NP`vrW$x5fX1bI zC$!!=_(CA8)BW(z6FBzx<%=h1OIl{cnHv!od+ZT$c{#lSJ;{Cz5s| zz_-wAP5Ym-M6x}g|dB`X6HSkKCF7a2_@stbZwOG9#C1ZF)Vg!Os&FI;qd{aSI-5o z*jGB=1nLh5_EzBc-TI*Y-go(}s;ws{eX}kcs#N2V>go70O(#DDZ$%z|w6R zFvEa?fUcrhV*_XpJ_~wJ2(bf*CpP<!~p5SsOKf|W@Tl*Zy z?+lr$WwE1085BJT4Lk~7G!@_GRw!p$@MeTDoPSuKdQZcoc3&SGBjYs{EI3Bvaa>{d zvw-I1nj^@**ASraobQ^qAB0w5L?^SGJ)!5NZIm;~#r- z8#ZDhlNAPn37L&MMiRjfH)M?;fSwP^y54EU*EUF%cMv*jh#oYGIrK>!20|fwNJno!8eLAq4({(*{sRke7EI4)}oR z^J#|X0;D*^MYg$++7aa{~ z`FWDLzraU;5QxuRvo5gP57)=pxwss_F$c{fPW?!MPc7l%P%uMIgG>Orhrf&w-kLpY zDvXH12pb$YZD?#fgT@6j04G2u!BYqI(!tTORC5$F`y-TmH#N8zIG#cY`lE}>UpNd4 z6OUjk!y#KvDA3XdC)0Bt41`>E@fWYM410?Xik%ddlz^MV^frujCzO@(UDUgGGc_aATnOx_aj$$!6Ty`ZF zHKKVk-k*U)LIC07-~jl5qf#{d)JMgK%rJ&|08L;?W)YJ{~KJ#S9qKyKM!Uf(61)pULF>@>hC1nBXDCX@<*gMb) zdGFqRtfserHIXze;6=6pah^F#q|CH_DUn} zrO)&Th$)P2X&31}hXDuhy1pgx<$m}e!88bW0q0x*BfA1phojaYCWM-Uqy*C|Gfkdv zBqiG*R=m#-CIBw4G!zK``T6CktgIEBdxKJYRbW7LL_wg&0r@V>&p!rd1x6j=_!!JF zN=ey+>udJxnL?&2(1a^>#c&UB=b_RDxD&w9=Rlx^PrlL1Ild6AX(LjjnbWLyu{mWqxjL8;OG~547_{O|0%!-40k}LcMgsL@d!m#sy%O3!Gjmr@ z4`!`&w)zteZ6IT?37xfhq}Ts9*#bT0aA&9I+qb}6unvI)r--~PfFjsKYY%=ByfgTC zkoy45+)jcC7?~U`eEt5zwCt~VRh%V(v$Hc$3~>GeL9I7wF4!hz1rE08`Wakl2s1Dt z;7!1U0MXjX(#FH1vB&n-e+`1%A39oEaLI5S7tR5}QNpMQE@xuz2mDgrRB(vP)Wifu zuua;Ud%(*EW;Te)$%V{&qQRbQaSX$R>)G1c0^0xc=TDF`plra18By;(ASYl@;735r z-eF?mw}rVvdIp9?VCC>*p&=n_!&yN+k;a%3lV~xLnLqMAnf zSAnr=XRG=1TE9ATe)%$>q3=WaEIQ;Sgg7a;A)JGKW@m@%N^!ZIV07h=eYG?*gFT>y z12|j>)4xky_4M@I+>XEt0C^4SASn?MBQZ7rg;{;ar=lZ&o}UbS=8QbDwXf?O5afP; z<}PQWkZ*2jNh{=puqhXOWs!WGr{_&2`&OPWLgs1)7#3oe+XtSY0NfeIko)@kEu3_T zRKtL}o+VF?Y&a^oU6l_}P>Nt21cglW@fxjUY)ttmjH#jqe?fuS(JMn4EMPfHSc7-( z2w7au1|$&#=pj6hpyOUI;sdb;LT>MyP#X>?PE_z5gR62mYx#>UYwnu(9^;}|r!?411< ztj<}M#pDVn9m(-YbwYkhdmwsn3GZ&J;p_Q_?F)lm?MS%^WZL_r`;^EB6i)j?Rr+0tZ+Y8EteS_o^h-GhNYHnzU0DPeg0 z1ryUR=%ta7k=QO;op}o0Pc8KlFKUX5IRj0g4nQaXy!y`^G8m6HgW65YKaEXEThsG? zZ#MrvcVT%;3K9{97f9&nqW&%KAA8vULEYcoy)wtarFvhcm2^?#COq=YG;Hiom7e$X z#DtFMb6`%OT5OHxMjf3=`PY1~g@#Z1b{}vEAY^zn#(e7rjC1{{!_bWY>bKle6f%nn zfY8_v(LRCM@YSzLS@Ij0=nQ5CGH)+xVq-@^;|F|rUE{;WA-wS?n3>q=rt=1IIFj~( z2on<;0#BTRau1_jfXRSg+Dg5>5crwXbx_;c$?aZ^#jgA3kk_JsrF6y$^BQjUB7Kdz=>3^ISMLd*P|=OX_sESdU3DZ z;`?0u-U0nb(sO&Me#E}P-x>|t*ARAk+ZJw*6QW{2@$m;`TpjJaC0w!SVuS{ilh+}@v1;(&WoM)`cx-`^hwVLf^u z1eyT5&CK-eH4iQN4s-7;TwKr{TymAuKt7$Wer9ECEWr>1EeWLJ=BZLVAZLUSIXmmPM9o3{l7nG(szk+>{cpo;IaTu7(@~ literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0007.png b/docs/MIPS/img/mips2-image-0007.png new file mode 100644 index 0000000000000000000000000000000000000000..259d8f349cd4757c405e1107de8e28d7476ee9c8 GIT binary patch literal 35668 zcmce;2T)X9yERCXoCFDifCvqeQ8EY!D%dnhat@L+NN#cx6+vinmMj?>x-?r*=AfpJ%OSJ!|_`Q(c*Yl!+7v2ZsXu{HYcW z4xTd(4n7$%A@G-d+8>_4F9J_RunsZs6G&|R1_$RZ4*02pj$hW+uYfF8o1h)cplhcg zvE_@3@M(fxFmn_ReK_(KnD{E*6OUJeFNyfjF3&!E@8@(k-m9AW=d|buME>(nJNA4Q zcsgd&kEIAeA8(L?1xmWL;dja`6n%2#tJ3?#>O6BlG@tvoo9$-=Y=5?0nf?{9ay8&h z0ETh?fAz1FC=O34@y~D8)n_oy(rxl1X?(2@754%(-=s!oKAP)6>4%o&TgfK3_&%rY zJ~xTFh!sz7cNDC0­?opHYqA?ubc>$udYif^}#1A&)dxS69FA|zo`@_1}GM{khm zgce_+#D)VuiG@LEHVIg3^BW|2t=qx;Z3I+Ez|yHGl1t!5K#nHN=OO!JFbk|@zG~37 z1Trb@arw1d-Mpbdr-B6|4<061X87`DI1aPAAIUpvq;T;9JUt{mtS2&uhivUBd%d*J zSOeCIsGv#CXb{<%K`n_5|DwEb**oK4>3LqjSZK73a^Ii&?bbN`8)#krqXO9`>UsA~_5(!~Rk-K~ZhkKeXD4TMb`V2*azE|t8O zFzHv>BNr012H(CtL8YXGrd(ECveqQak|!nKg$x&t4TM(a2z85w+ApvBKf2+3sM3vc zLI&xcMd=M1Sml<(EAL+#cXKSyEBYUfrjN1_r(_poV+QNJN==JGp8-7;<(8-@sJ9b2 zrvTeZfhM2aIDIu6`wc(&En|&>Q@eg`Z=GpK=5L18?isIHxThC~h10}|EwWcw31L0m6UN0nxF7BK%v zVLxZ?w#g&gz>rgOg_9Y6LZ5pF!Z~jgW?WHGkvQi<0a*;-jQC>UquNMo4I2sb#dial zXPk)UeOYZrWg0yij1l;2-v5;6S0moZ1IzFej2iK8E*Kw4ZuM8yEKEA*Ass&Q?YgH< zE}p{&YpDtqCd9-nqciL*4R_|t9#PNPhcp9SSK4Pr@S|LyyWYT$ z%d)Eq$)gg$9!;#>iEkciW(_+ebP5=Omf(-AQYⅈ2l~2Ro>>icr>@7kSyA9yJk$N zQu@N4#bJ3PZe$j`CuCM0hPP_2j_rW`5Bpicr)%uxz zw@ra-6(p7yhBR^pAwIKimbN{r>%H2AsRz%I9bRP%aS_nj>~p7F3b>Yfw5a@8bzGLn z5p7E-+QQ7d@lkd0;+pbOJ-lc&9^2N|rqgYf+dOsF85t-oWsTdjR>lVh_0S-{nLvnb ztJn{|yqATw$fy1ktQbEq$bKbILzO35VgU7#uyh{@A_mFY6cHywA5u2(MVLyvN|-=S z%ySh_0`$*R3q#xvb6dk2p2=gX&1{~+IAh1&_H@a(v?SMfYc*emc)UH(H|kH~^nM3& zhH=#`!4AnHkxsDDRPsqqHQV(xKOfO}&QhvmZ=}7l-D{uIJITzO4aPZJ#?PMgUSU-*U|5s4+|6@OeyVf^_Nu6)o>`dOQSOm5Vt`70^woV5V-u0KdOd z)*V0Z3%B=o=cZJY;Jeu`N{%ERhE>`qv&07{6#dxa`cM~tVOKk~^xQ^}3nNvDObR-h z_7+MMjXQj3Ars5bh$q>qe|xCWJ8*IJM&v^I%_k_Wz1*)iDy>y`?UyaxR^$FC4mXM; zqE`ijYXxzx7!BqlTI?^Fxd=>GM+``&0=|bM5U4)!RmeLQGpln?)1D}LJPFR~dCH1) zOS8hJ16~W`=SLualAWIALokW~3gd|N{Xv{tFoojZXvf9az|GPtC?-e2<Y^yO?qFLk zcjq()BNWpU;`*8xGk*}$#y(}ImwhU5-cr>4DS2MhEvDe&+v#(NNUGBI*co|uE7zD@ z|D682;|Ii;38Uk2!=BoaK`&?(lr_bcT8?N}YIxAPGC*sWNo9L+*Ms+RV10fCzSbH7 z3SpTrRuoe6TfbrC%$Q^LTw~nhz2S1S<$XcE?;$)oO}PA(uijHcGV28PY#;Nx8h($@MU06!@D2L-tu3Y?XoNXn*U!c_0i(!o%zIgnKe_D(A`EWjVz!p8n1Z+YIfJ@lyLO1- z){5kFl|Z&kh0`E@)0C9u(u6_U_bf^!)Be4q0TjumY>0u(;PE1`8)32=>mh*wwJX6c z!wP9aE(t}kBY92oJW4C~1#T}+uIEc;7M0Sy~U zQ%X*UJh&iWrHha>ZJW|t%cG=|MxU!6U&+y9s2I3r-gOvWoSUc~O~nps^DOYri7Z|8 z-NQ^(-8#{4e!ar5r{BUoaF6fpd->?Y3${Wqh@w|q_$dEP#AinheUFd2;(=Y%R^?^A zuCI0(tfm+Orc&)*1n!p}THnS5C%?{q6EXCg!9K7`iSTxn_dfGrFJ46>v}Aboz97Xr zObP-I2@UT!**822;SDx>HzxjdP-m)3?Ll?2T>GwF!R?WJ$Q6My9}xb=HT5@aeDbb`ec+#%mAOOoTeb&1HBpBGu6}8f0T-A ztjU9VC$DUSN%U%e3D!h}y1Y4)6B&K3Ke!6IdOx8pYesKB7LfhL{KUq5Wp}<%@2$bb zZGrP&OF{=dqM1)yagB@G-4F};W9OvH7`L(THpdR5vgs#kf%BF0CxfL4RXI-ggWY*$ zUgKtd%XamVeiN;EViYBst2RDT8mKU+KF&uXi_dqep6uclYo^~zCMs?_JpUr-=X?R| z(6MawJZH`O5rx{Lk1P76?Vew!tuMjf#BFmwRjG~JWK-GVcqUH7dWlPNLW3Y*brhzJ z5z`E7i8@y;6+mZactKoH*~%JVMb(Mkk%OE=a>=7i93FIrb3dRP-y&{>)P1YvDhuO< zh*)VNq2@ei!BNK(w1g#ujk&!`cdjI%rg!GIYSs=}bm-@O=XD`l=;=jMD{?Ua&Y{<7J^D!$VN zHAWWh_on7A9xjk{YP+|}l6Mzm=1;6LAcOd!m(MmBh)x@{E<+@J`{rUx4~h({g9;|b zSWUD%LMI&^y6AeE_zl#n*Dbm9NsPG>P;-YbmjZv;G=>hz%2xHY6eRGG^-t}k7gt^& zXPJu3QIp&UCvVYF^m{ae6BE_imK69xbaTe)Y13S+$(VxRMY#_J_a}XIQl|-NetJgrgU6Q+9)NXsTYFM zDxTU1x--y<*vFI$j35;}l1&h0J4TcxZJ0$Vfvkee-!!Xw?R^ut5?s{`@yS=;id@v? zCxR>I^qVSkS|}~tg^{*c}@<2>fLNg)yHlEPAm*WrbIfb z$!}LRT)I1Iv;$J(;^Lu-vS8*SaM zMNg7dx?hJTmS=GY+R8VnG%4Ased0ogNn{@#sTfh2+`2Sz!=Dj~|Fy-vu|w)m626Wq z>A_3n>rK=@G&ndr(R(;{!|A(<*^dnRFShZBh^AVgi&^??jB3XATHds@xA}Uca{fdm zazBYH+m*oCk)cOf*$L#&2#(alxsKhj?}aU|Mr6;wD;JvejY zE4+O#MAmfV+kSUZn}_lT+pD9pim`JQiC+rz-_0MRoeC2te){n~NX1E;j`I96mT{q3f(Zjlj#p31*F`Yfu#5W?QZGiB$! zXwpmz)hKSCkQco)K5VF$9SdJ;)strCXFoTYef(+VoCwY??sJda)cw|CNT6h_ek<|^ zLr+!Ifr$RaY+x3@y}44r{@Jt6!yAS*CQfe>dOqs$OnQnQNjM(14XbUE98V3>%B51> z+=G%$5#u)FZ{J(?=E_S~<^BR%Nu`mlm3?wTdCGnmq7SB3dbAN(5UactCLbg5*~oK9 z03`q1YDx|6tQ6@t6~C2QTq@@7D!V^8CevUwHSZM6a()XEWczbEE9kN+M~W$C-(2s! zvtre6Y~_ew&;~@Xj)V_t^RkZC!Ju*hhkXIkDu)Wm#_2?LlH4{jnT+qb4=J;Z*F9f2 z#ZTz{<S$A78$|)-rMFk^)Sb3s`F&lH;+1)Mck0M!gYkE^_{zU`UUuU?ENeDJ8zX4wN)*eWT4b znTQ=<9>PN^&TjLke+D746PmIU%1}4{0kvP7E95XvZ{5~?dIl&vAgwB92 z7c>y61}JHRKqFxJQusjnR4DhqG}85XAj~8XV=Oto*I7${*m24kOH1gC7Qr`AKxb}I zFMdleX1S3=kis>?%X0pk)3j+2Uu*zhxV>Zld9RGHp%8uw&T&$qp=u+mlWFDzsM{dr z;!!2l0JlR{+Vm#5Ds@aKBy8Qgu$3x}gdCrbq+`T+!Fl1X1#69*iafqS_MHG1_+U|t*yRMiXW}tEUI5(yM=87-x14mF;wI}GTWdNN4nJy7ql7LH{6;a0vCKM+R{kh7cOiwzjiM3i$_# z>&2>6Hr_SNRc@Dm^lIw^?eQ zxmBLqkV;$1?U;M>Nx|_ED3D#1$frVtYS=p2Os1UA`2z&Gs`Gqga5Zt%j(qa0`$f-L zmGtny-Ezg&go}P@?~tB=QuxQ67mD!`AT+R2)?i(Zz4w<-n%PHLR@?F%=JXiSDyG zS~2B$K5^!9r{8&^I1vPfi7FU&jvGHgAtHz2kWY8~D)9;P|DYo~gzfMehEMF*h(^`# zl|CcV*x3hf+`~uCy(Q`}AvBppwK)+-{3*7oHPwU_8{A`2mnIGb^zYspMwPMazQD3zwuQtXA<#4L^W3ry|W}o;i>l zqn8eVL-8Kh__)Y3aGbfK@^1YLzPUm>Tz&3peR|}jsaKUB_3UkwZqMdmJOfph@ln)W zp1QcLrsjmeo{2kfThfX5Bf#oJj%Zx^$rUw|rbP^MpN)?%E5MH&zJk-LtKDbId!+9^ zqB2S0U+4V04v^99*7h3AA5;%GBl^4R!MgTI?ECC&b{0v7&Wj?w^5+q8Tmi7`gp25Q7HY+b;`0h8ZL%ACJe(c(z;zA~hje0ugYuGd*(qpDq zWqsu)SBxBA?~>!fW|BrxGJqd_C55jxi6@r2i6M>U}TAhaF^_Ubs#&fx+ZRBN zzmI*CUO(zgJxMxuhCg|riu8A9mi&EE;{rk1{^at00|ePpn5ac2sWZi3AYc0_qJ(Rv z_$7+VI|=(FnW@= zI&t(oB>ga-uCX_5MS`9rpDv_)2*mH?w-Jc`saM{Xuv3@g2xHp>wz;m4YK|h;tvpai zyfq|kdm)yWRC_&h!TjtNkO*z<%stI5hoy=VJx_m~#E zQ8_4?h3|#K*n>+20=pHU!Qzq8x|N z^CY>GvHbS1Ecr9?}1btfA z%mT6B1`ZJ7E%un0dB`BG$MMBGQeA&=&-`WC`P2HHa0nrgIeHH}AjdoOq=%Un4hb#}WRf zuio4A431sDtjPKJSAvGLi`*Rq{;7{Mp^H`owwyux<=%pp1(d~M z8{ZbM3#FnMFU~BV3RPkYW5J!)@+bnad&JI54ydZ#*5*zqTZ4jU3DUELRfFyQI zgz82eza~}~*;-ybf#y)$QE1H3ZUFtb(|I_zcB*wa=f)&uF7n%u3fN^(`NAZF#pbe{ zh)qD_p8W}$nIwvU!x}fYP#VCsjDy#g{))ohR@vv-q+5!O>1;_CsHRGU~%DcCDv4$aD>lwtM*>* zf!OwI%&GLA2peNPm_qo!aTHtEHYX?N+#7)~OF9>}2CJYylwh?ir>e;@n)_RF#j|`mr!a z>L3UiM@%;=_(5}X_+`e^i5%e4qK4H~H9=|zQ1#4#seyB~<}Y0Vw~EW4aAB?)W{tbd z*Fi8I*BB$oYdEi~Jbl|*_mcz+^Xj?4y>hwIRW8i^ym#J=ex-2-u(H(mRQglm2|AzX zFKsPdhgjxFLh6W)=#w)5SY)H8RYATE%Tz&@#K!7Vza}@xEHqd2FIZt$6oMmA44Zvj zP(|f8ruV6EKw>LbW_BNAR8*z}p|`G@cWO@B>i5Gy&RP-VlTbH$!=*n|@op_y8A|sB zG9h{t(zKFfY38u2k;u@$1$1%TV6@g&U=5G))sp!h*jFZ}qTv$|IdK4P!-Q7_BG6Bz z{-(-X7gxb|fnzxtY7_Ypt+$77S8OF%S@G%-INR5~TIA=muQbTQBy^eEpG22tw%G%{ zy{-UYm%IUPmhJbm-v$*$vDN(gr)$l2&A(oNgu5&;=y3ZPhp46QABH{1I#{l8`jOJ` zq@~}>brf>Yn}Z|hqjgzXwHy&M>j1aRfh50WBGZa0QLCry)W=K)G5_~o(;4fLFod69 zm}3`7pK1y;pirgv+!9_Tnr$L2Iv{*GQCCN+MAD%TUX4)J>ue5@fi!KBpv-B)k^Mu# zu(F!SN{JMj>4HkzEghZMNgil6q0?%7wd0%A`lqhoJx>{B%T%t!ZrGu-Vl_JdbI;ik z%!O9hs8f$I5iR1|`F#ZlJI!6bR9{IJi(WI_{yQ@ivj1j3!!z)j2Tx_)k!Y?)M%^Qz~s+D!{4vqKS zC9o)!O*}NTb}?qBm5 zC6_$}zNI*8UAEf#Sc4aj?z$kvZRg*Z2@$lBT;S$S7~c703Sn%h9O4~=OFbJ2^Ncx7 z(s5;3gkCT%N~>+(;Omk1LO_5q%svUf`O7v>@3tjK4hyxi z-q1=hC;N)~?L!Bpe@1i2>RD5@{aHJ`7EHj0#>)2{%I(e?^uz)%Ye?8!{$?=AU=Y>Z zts7ZxQgOok3E5PI(vzp9{ZeTa&( z3Id4W1kYD3BoI{E1G#gZ&!u+W{`wv+E^MEuf=y|q*mVr$ywq2>m_mbd69T^Il zI#^8HNO~!QRC7Ny@>_o!2lN9Us&0oe>{uG)Zrs+)1TpzYhaeZ`|X zCCxdrakx|D+p!&(NnIbi0Koa^i>~Ev%U2%jW5c~BS&koY6>*SaJO5BB+@0BD3olXQ zU=REnvKkh{>K9>pkYD4CK?C z*k}5MEDEF%Ygdcy9enbGFbY@vRo0j~*5^{#ZE$^qj|3XZxAEm39Cjxh(umn73Iklr zTZ5^duI!mx6DW5}EV^TUE3EZdkpOnTf9YuT`V1B9?1`LOZ_C^SF!Y~4(@;5@+_woh z0QR$)MPuA}^rKoL;Je{01$1R(AqBv9Q}tJ_18$Ab>7p$8w5S92%r#Xq*1JTOhmd3A zX23BRAdk4%iTy2m$ThPRHJ9I<6B@Cm5{m8Ve3%B=ndXey6u;(Wj=m><^Ohk~(s$}( z17+mdgIeBKgeS;HB*;ouflsS%X*BU|Eeg9<>#zqptl@65p z)H(in7wQz%Krww5jca_~hoh%?&L#7-(WBTwIgC53s0XRi-6jc6{k#8|Wz{gN5OO>f zpJk^c+aAwJsXG266oirmIlP-NEOOd!T4wwK%PkS}Xp4$`?2JDp)_NyxZ21%ls-%y_ zN5-PKPOjd4;#jX<98-YPAO(>&%&p9Xgm9aK$BdWGlj1c*?}N)^PS=tWXe}4YcA#F* zPA&-vEe_O%wm-|eKN*C7$|`LgKoQguH{MD!Q=UE#O-BjWz^D!S2?q!%@m6p*3l2m= z$9iffxX!oIw?vrz$lTQz?>vbNb@$oxoIc-JSbjtnHjh6(db|lvoV#Xry;XGw|0h=G zJ-K$8qI6y~abRMB>we3sdR=K?g6!*}EbI@hZ^xotr&U8|{^mkQF6@SrtmsM5!nrV9 zhMb?c$fZEJ6O}FIi`EFasaN3JwJ6(YD{p0x{WBdGPXphCS&+d&Yx!c zC+qwr|7K=KgzLurD83)`=nmqCVC1G;Tk(je8j+yW(&wfkXX;;|M?^Ec}tNL6O0cK&SwHB0c=a`P>QY|UiEJ_qBql|As8hM1+XFs)@cgqFPlnMW@ z`jsPAzhcR)XjgBmca?QF+k>u3@9_euHtf)Uxw=jaWe1yBZP*PYBbf-=HCgYPm=(_{ zXC9^z|L*ZbO6#4Dkm}##)Ui7cLuk+auN5KFE z-SoHEN6B|qdr4;o`vp_I(dU0Z2A@vrIzBt*dr}r=lIE!t&pUGU}|@D`KU=@6rN0IX@fOIv*&tX-Xtz@iHgjZ*HJo+?zB(|2$i6a%+D zAmCiG_aOP>vVLZ2)Fggm?&#{G*ls&?tetZ{u|5XZ_z|UXmGpjDVYbnO%Y>nYI$aeR z&n~Mi{Ye8r^hwHzy?P@ctJ9s-S)^Nhs1q&DPQf__A#`pPBLK`+g@WulHYtY6N21b? z*LvIEQ`i6Mom7yvyE#4HcSBBfEuD$##w)<}0Gr-ipk%|0Sa-cMnOyWl7zfH{;<5dr zD`v^mc(pIlQ(Og2zNnxuMHWempci&aYaON^eo;0=*iWY~{8i*Jp(%_Fyia;-vyw81 z%dovtsi{6_nZuh^pT!ywN_RhI{;=PA-TYefPdcFm!!6{zDly&%+~2P&Qxg%spULeW z#T)%AubL1IWK!lgXIw0_EP-{Jj<~ry7sRKE&94|P)}KU5DVc+qj|wJq^Fvtm@B@;l z28ZA>@Kj@IrozqoQ9*$y$P5C6&Sfs61k@)#=`t+`=4QLHVl92fn!^F0I@*oRHtNrh zyx=+Zb;KYyO|zE`uP^$DU`)qd)}{{9pX+^(gwdPe93xfY@z-G@JZ?%u+l1e3h0;jd zJ&c0)IU3^CNHM&5()Cn$$d1ecEu=`d>&$OoUN#Q>e2eM`h<<2A%kWqy4#A9M=6%zcN{lA5dle^6;EE8Opvte(Q9UTmLjzN#%&nK zC9Kvod^8K2t@J|5MjR`Aj%Q7t-)CX7y-*iopbD3{;hIY^^Gw$>Q=+q^?HTd-Fvz8S zynuHo%c;xJ0z<9&RcTw@EKAFO_+ZFd_>T!Sy>U8A2t<=Hc7|R*=9RaO%26vcB&_oR zFM%_E@1DcpNU#NJJm_oLd!vqN(oS0MU5F*UyZ4@SFkB^i_4%M~{hJ+-h$`a>2OU+G zlWwWbFKPJZU!^^e3$|!bU$?rVsCYiId#9oZpdh6?af;lZa%b`RLKKKc#R1_#&{y)) z#>)Lk9?I$jFTC56_$NMX2abJ`uB$HuN#l@(0x9kw1mF6JeSy5ninPlY%s|`&_#>W5 zj`X6%Ug53h83Q>bm#Ch3W08nJ&3ZeyTug<_j|gk@$h$;gbMwVU{X|_mISs%3w)fP_ zmE4&Y8OyDWzGG0ub0qV3E~rK{gJfETX}{ER#Mht#7q^d>W0J#9Jt-H@GASM@G!FcB z+V84wSY4s$f#N5xQe0A^)BTGQhb>%4`(|*9bK~bPvO~DY#?L->DGV))^r+q->*U>1Na@c{a77tr%PJCR~isxDx>jocS8!{QLNX@%>hA zUt7)H3%RfI+iS7x*=J!urN^f*@Tx7{8vXs{ZfN~jamA_90hE15ZGnmySN}hmnt@6~ z*3ah$9Fc^j4y+SgGi^$L{x4ZubzSl@ThlZsd%1P^^2S*RZ(hBfy;hUYAy^pXIK8{4 zv2dVkt((`n<{m$XDHE+{YfN#zX!cEDe(b+fZMp2AxIU9Nm84amL96nu%`A^s^vD}Y zU|rywn&keAyddNz#c%*5c1c&*&uiHKcj1LIVF4d3P%negOcppZ8DgU1?WP6m+CO;M zwvZXRqDNl2|H|_&t6qyHw2No&?Znea-3)*idEydz*M;MhlE{?bkeNT7smy*sy=?9W zky~+*k9kB0l@3V?VQz61cx_X9!W=)D5KoV-7O0JuGUppoq~S@R@nu>>W*)(BS6y)L z6*+m-j1!IJX>DT#RYbNDBh@BrhFhvQn;+j!irgdfhNtKWN{mJD$l%|I&0LUqQ;J>` zQ-#AYP_ZoRW#z0_gUFBxbe%Y8<<)hFxrI-($mA|J`SF%DO5Xw!k?YLP|AqJ3Zpo@d zB?i}|Hbs*qgEGZwMy&Mu8TdsFavr%et-YVQ4Oyj7ix87xeh#`$Ftvc8{%}~7PuOKj zTuNMEe1(1TeP^n{fFKIwkvyu;5c>`>xkQjrV%`ibix9I?-)yKc^P*+#Ub} z0n?xZ-Vtot<*re2XL~CdGAG?-uI1)HYjSOo6Vg19*WHoVyK@|;Ytwvrsw8-Nv&H^Z zrk2cGY0gT@Y`g%5;_uKEb-y)GFJyufZ2C}DuX6GC@DCfx4P-s97vF-|&QPnxKA*63 zhCqln*N!-#sZ!Sj!RCzEO7Vm!!CKAFikwyjLpxmHYV8+7CnI9-vn57p#7jxbpN^4G z;kE~?5ARD}T`C`8%iTxmKB{MX>1HcdFkUZlfOgnLD7&)DYr4+L=xE&l>PN8YBH^QH zbe-kQCA=RSTz{8-5>ME^?f8>R_gcd)vA2!Dmy4f2NDQ#}BUIz1=9q>}y-f4{pRA&B zWd0K^%$w+`lAM4xmRQ|8y0axuKbJroIVj$KK`R+VXSGU!s0-5p>hxeOnL=FShje3q zfqj<`X11~uuaVX%yH*r*9qb|sr4NdOESi0-GnK`=lGmSZ+gwWr5!f+V@{gRNLxkJc z?T$MR2er(aY-_m2Izl!WRa@9m$@B#J=7=xb|}8z1BBWOy#KMFmSLS16+(x$(-JHaAU{Z|UV zC-Fo;!D=((iM5v?@x(sf!u^HgP%&U0x1$CK&1FfE&>*D$NJtmke9z=u9&qq8EJ%!< zhMA96!w{%g@4vphHDrsn!J2y_k(n2_#g0rfD&)06{h7oXVaK03*!Uu(Juc|T+IfBa72IO`4e$AY#z4dSy|VPtKPV|w(^~5xD!pfO z9XtmT+YYx5Vm;N`6|<(&`A^E_6IkVqqU+f#nD(nOBFsO$)%csDrYqr;6O%UJY+;9A zNdddJ)RY%aF;hfS#9zEpe;FVR;XD6#~&g$XyCj* zra&{(=yk!Y0#zWml$LZXBsq_eedu`hK}(J<8JIl54AyFZ=Jb}iYbbASd44-`VMnK| z!K0ju@{1X-^Gs?5#ax^kt9b@LrP?^wWxy7u5sU(}V%LHL)>5kiWc;$T7bs&o^$$w=FESldE>rE&!zD_KJAmb1t$!_W?iq?v* zPC&jV2BSUX^ZFfR1n}ZC%-B>L-DaORBFn}6?HlT)y-2st<@YjkKGU*7-|h{Ifz=*b zY_=L&$EM1oCN~D6A~UZ*^*&FoL>E`uGLGs$CyoZyF)|Yf-mRByeY27Y8RZi+z2@RR z{L8DDz=*xKb3n9q%+SsMFN*&@U1zo}NptT=Um(klJ#X4N&D}F~)St+AA#FAOhH5j- zbbS@bWM1QE_98TY7~#o)`Y%q+^bOcn5}+6enS^q)dU@VckkPN99QGYb(y*9f^;$pOYm%wQxEHPBbYb{W?xUR!3 zP`Ldx!E5d(d@c`W1P}$RRieqzDJ?F&N6S_^?_FU<*!~^(ZK{F1W*3vfw_DLYO&l4C|*Ryyym#O{>3CB(KO-v$n90)@dFdT)=uX?6B{ zi;b1!8>zSTKX18{Q)rleVX`GGOm?!mjL?1oMjsl$bJWrVtM0o@j8*1|52JQvSmZQB z>pF1RzPp|E$kyyJ7&LKtM*A#IdneoY zel&^YG*4*V_u@2Yd_|Cv2hGaM=K9gG_vp}{Z+?7#O>!Dx&BKfIk0BZe!bf^1I!ZDOgdtEj z|2moXXv_I7Q1QLym03k9E(6y-48#3c!aYrK5ydmJ>9$JM+Wwh>p#XrRjUnUQSwh}B zpRfQ~P^Fv~T_yXhwwzL0gQV<)aNfx9#M+iK>5wL?YSb@{LAT%wam9Fgsy~+ApGK8{ zNMrb9oVtN_z1&duj{zCa_5-6>{8g1$toAQc60^F2JdjJ&iN4x5w)_ux*|mf9nrwl_ zxLCKw7!AT)rcgMk8{lTua?wRjTFQq1u(-6HOV*5PXckoqogaiPr#j=lLFO^jWD!6( z=KSU~Arsf`f@=cD@LvROPb9YGC(&v-=&!TzjVh>+YIcsx)gj@@PgCpGC)sPJ*B%Uj z!u8s*J1EJzK$?Tvj7VFb9Q%a?@6$GP?C`zM#!?(dkr{vj^xl$YzUXr6r1abaS3yeh zc~h#wfFhb6mQJbUFFsniv|*UGV4HUx)76Q`5}<9jdwiC4(mET$SZoJ5ppZPL z{*e`R)3$qc%!TV9?`ut1l_~IR$7FeAr~t*X(szw#pnrx;{-Q8LY*;Px5O1jh;+Bmy$owX=_IhT}PUM|;70B--cYISXk*U%N(UpU?fA1ZT@}x@jDgZlc8wTn=ZxXD8RjL{q|qOcRY=m2nJ&Euwm4$T4tN;d zzKSwieU|uhz1t+d?ZRJZpB;>Ti7Hk>V5l27}4PN6$BI{v+Ok1=(jMuf^MkNG8Ci+kf>hgXq;2p>BIF zcz5;-gYXeJNVcXbu0^G+B7L)+j)8M?|9fg@HG4p)OnaAq{3r(yB>dwvTmAi+<8(J| zmak@d9#!Ql!HftAmA7=}B2l$39&tYB<)H@38lt1D5 zX?9M1Ski{%KXrS!3%&d8MV= z-01WLGl{seg!YSu7fFxjX{q4WFz5`k=m)-E-$YT;ivo~=Yei+rDc}<*9=t!7v zLmhl&T(f)6pw;x`vuJ!G*12^J=l4yUBZY0XJkGTgduGhVJT9CVX9jGg@)jSWeS`HH> z0?e!~GrVG|>ayt-^V6!|K!>Ub%aCF9CxeJ}6OW$hTa0NG5z#i8C4zTF%hSVwkmrH4 z+|1tWA?&CSQ|<1v<`K!(v|*BvR4>;(E`hq$mN?pJYq6aGHTqBF-ctX10YrmLrEd)i zqtUGy1Wu|^+-|Qg2m8d;q)6KipeJ3q)YI@-i%juSE8tDV7De85`Uk$RcqGgyHHIrx zgX3h#1Gx<`W<3vtaCx%!9Xeqv3QdheS2&>CXEL;_hE| zEi(aMRmtrdZ*%E(yGn~nItPuB8_UrkWUuI!By(TM82;aMgAnCUEqf!xu{?W4LzpvFNu{i4XtP~+m74VcYm!v?K5`A> zc7%Pg;mFLtp&yiwYp(5jn4R|L3%yzJiBnt!XY#TwV z5Rpq#96$4$ycYvE)7&%fXy~bGmhM= z+lB~0b{GZfg#cPx>Egr|SKI2cbQ`G$iExBs(b{qK(4^Ws5{7(9;$KoIQuw~6NE;}z zc5dCb2te0HT1JcGW)=i-A`-4q?6-xBC(^|9e$R}Le4`CLP^5JJ0U#W3$yR5^A(CWr zJMYxzvU(Wx<*`r_;d)?iK%8(nf~7$g_<}EJFHsK*#F|dh5=T+(%cedCBT1YlPt)+&FI}& zJ{aZm$F#=ZD#f{cqRTY;rpmRe5vx_`YFE$)(dk{_1^bxGP0gr((E?5#7DAH&>tUjg za|`Kl<#+CEAHatuV7Q78RQa#nZ8~azO^wJobKzpUqg=?Yr&v9jIgZO*fVWf)+Zd5l zZ)JQ8@jI#tgg4xI@`*k+`Xqjo@IMghZPbf=P_fOc7c&q&Qhc+`czBxu;Hp5v1ho9p z`YbVy$T@tUE!5oYJGRoyP*mis7o*~04afv#MV&jW%w}Pj7Li=9;~RiSVbllPEA$p* z3NTS%0Bg~&tL*=BBp6}+mu33XaRR(H%?mgEPSg2&7H&yy-r5r2MI>Vx32VW7mfsxf z|78fgt~vi>F>F<_m9?M^Tt^1N(Q~-wb1^_w7qGXHVW$~;A89p1ppRiR^W)FmdPDoY z!77pSFx_%*j2rMz8m)ZvVJ1N0A&Go$1k7>G7TR6_(BnEID2}RWIDKp zChi>`a=jZh7ee^Kjg!xk84ZmqB0=%K0BaQti-!ZYN{}yVCDssLX-0){mpm;k1I&y6 zI24t@h_xp|9iEsBjU!|3MZod-*N~Akuc)?&X;?*J-_MW5H0Q%&www@hbN^5=tMt=FV}hIa-p~Zc5M+Z zi};^Q{12JG&-=yvs31M>ciVXc+xxe&Pd7Z~d1;<{$I5VTzg|w#Tqapn_i$|0qO0rF zI+`^au(@^pj}FTn(SIG5LR*Qf4L>&>V^US-j5F@gT6n+e``i-%+^JImu5Qc%;td^g zEZ}k;&}+U|^#@Ctm;diQV`5JtOJ)c0ox=Wekwekl2g{*Q==Z$m{pB^cn2uaOD^TIP zag!1Ff(YD3!Zaq*^}&BKTCci)oH8Y+)X;siKJUvGhD$+^V}EykJ@HWVD+LHOpv3$i z&AoM0l;6AePbeX&NS8fQc_BHNH<8sP*N%a($dm`bSn)?clS`z!_W=$?7`3X zobNf$dDbuf_^qFdwOp)W=Dz2?_r9+8eqXO^->4x*^_*6D%|=>IxB4F-x*8$3;vGg&D#r@|%vC{&qt!ol0vf^&ji5pf%9( zpk{|)+5O>r^+PcwTS2%4;VWX8HEi7%{oIB2Cq3Y)02fv*z?7^88ZgHTO>A9FEAVB< z2WmW01c3+A?O7+TO-s>G!;w+oB2;P;=J}Z^(9HmG<g9{m6E%o@jufFm+RvFHjn*%_Nhb&X$%k6Nt;K-oN zN2`=9%~$gXaEHX;!1GTQ-mM(nw0JOOgj$^67m69&_4qWj|6-5!eyhCtPYLetB=$VJ+}tQy6bErM^8*B>f!IL%B=Lxl>4B^2ak3=3ma#r0u5O{}nF#$&tET zF>hqYl0j-!R%xqLj@SALqpT_HI2^Mj6;)5&INf7mmYjDVq@Md0qOvci8Z|7x*R|Q6 z?i&caFIJ0-X{s^D&>p4x|z3g6!P zJeuaPn{YGf65DA0f#UELfw>;*RW!8aSD#u!eZ!Lfv03yJLlxeMV+1()LK#DNOp81y zR_IjF{|Udah`y~>=J9NLNs^UN)p{E=q%G4Q=E_X8Bd;1=Y2{h~C^uc3@j-(*tt2&OG03t__Ouec%XQ*N>(f={4uK+qfeAq2X&V#j^R={r z5dNP$9SG1!3{ePn>I}NAg+v9Vr}x%_qj>;?$glS02CDlf^B8)O_Cp@T0dPONo1Fog zTQF3eUG^^uk&UrIN)xYbUT)JXE8%AbAR2!fwu}V#V{$9gsfFd{0GRzAGMV&KhL3~KgU?4PpC`Gu z(Go<(l>@T_sYf>2Y zzVuUXa7$K}9e|p{==#>Xo*8p44$%ixvQ4oxcf8!^<=2LebaK#Jy`^M=YW)74quE0> z8i|4Re6qT^znL6!XlNh`>S5BNO374LAj&Q8{9+{L?;qFmxD9i8cM+uqL} zEmBb9<=D{E7L+4M?_97e&-)du)cVCElJDOzLlL?v?Nau^RXVlj?s=xy__1s<{b$W;l|d@W?^cEr%?zpN>`RUNr?u z`qKI?kC?XT@K=zAx3zmqaNgo{RBtSBmOrP@a#HBJJ+Rcl#9(33&K4M*UOz=ACAA}tv9D;#5Au* zM)QmD@+s5b_DB92I)Y7A`g5O5@Tt|v@f(-R z%KP?+!N;!hNBLtJM9BW&e9hs0%%G#Cm{UL4oOi6ASpfXX!95h^Ew)_NLz!Q4OPx~6 zgztpN)C9h~*!8HDOA71#bQ+Dm%=uL*^PzJ}+hRl*If+9iT*6`n>(*_jTMq+p3!QG? z!j^c2zb}#b*8483(*F)a_Qln*r`vIko2#ZPY_os?I-pbK<_gO@o*$~>Z}KWWG+*t% zXhQ@jBWQn`Tvz=XGajk%!&1|xKl#PaJW5+6xc{TpC!cWt-s9nA2mIhfv%2!WOua-a z{Hxbl2o$?STyRa5{n0joD73TJ(9MFPS-O$Jx_gt_&$+@fQ;SH`_WDw31o7!L z<3Sf=N!mcp#oL3Hq5?l$^*&_Cj?D%T!o1I0--!zM)=lwfS~;P+0kA){)MofiY2Ug1 zKvAaTB;9DmgX{;YG*=*sbXr6@HSPEYmAYHNnfFT!B2()#X#+HS>Sd3&3sH}P=-`in)n`}xibu%p(v+%)=2Or{dC)cl-l9@-@ z<`6t~cv1JbL8L=EUpb`iM66g)#(3n{(}w0u-Y+>--_AvzcH)Qs`J1tnb*U)T#7t2GhMa zgc_QPypoM?ap(#eOR^CUkrw0}1=-QHAuYH2=OEwzl z6A3;Z?mdCJn{JrDVJb#Xlk-%+4Rv1mDT=fYpSo+)W|#HzKdViMv1mO%b)Vw?8g=0M zQl*U#dtUWR_wt#y@ozZRb31gpLd8*k^n4q^^{*5-0b&06c(Dz%Ur=%HhM1qAR|IhT z2L_xq8!ZZVIc@csUcF5nAVP0`=h2_pYE-k0Vex9Z(h zVMMbypN2z)QAoaa#-ws@{M_hTiSuaka;MJs8O1o0M{~evLm@za#TPY?Cj6_K@$h`% zFN`SYQmCmAA%wF^lz+Srw(7^joxs6Rn?DAJF9fhl>xX`h`-Zb$Q_ zH{I##9Mr0F`?EJ#WApPOZf$W?JzFsYU*PjYbYu_uWvjjdmO*$gk7&$bP*l~YLt{S= zGfh%R{4S?Bkw@8*iTq6Y-YlYs427N15WNZG^bJJ3q;IW6!p$sDu5|6)dAt9&}z&Z*(aOKhoRcaCO%8qcKUHAevfIW>tr=M z3$35g&ejraA6~nh>@w9}LOUml&DxSO6lZuYK%uxYx6_=lC;76m)r3ir!GiCVo8hpS zK%JTg-tc%q_eB%&MF;GaOiAX`#A};SU#_OD;ETm+i>`@cM#YQ}Ub_Qa+c^{a*?G~I ziQDII3D8amg@_ZhWvBHtTF=oA(skdVs#?_b6k&*=EJtfqujvu5-Qi!*K0(z-=TyP@#T1c$q z-7+!eonD%p?N6r#Z(kH-e<*uTI3D7!JaRQh!)0vOM784XlkGj& zC&r*gi>{L8Ju$}^-qR4-glxf>$|l*pDuH-yDg=AsB6EHZ9%1eld8QjnfxQ};?QT(< zah>S!93=w9&zrdlr(wnqkV~bC^>0+$wT$hs7fD^6j86rfXq$f%>uI_z&2A7=DXUar zVCg@ojxELDm4Drk#W}f<@YrZBowHERq+G6&cSKH-X!Q7QAg7_uuy|1|)+R@d+5aD)cqmHLFL-`t@6X2NvL)NzZM zcPo4FpNhtqLQo(?Ug=m9(5}TETLMiuy!*KOn47XFa;h)!gqesWNL<9Zn67m?3u>{= znAaU!#IpJ8hl+Dj141|M$-db1UR{x)wK;1f5y>+L!=GEf4Vyh9UpL;5Ge&-0&^NzP z(&-i(I%w*}GInWA0~1h6dCY4ea^n2By7GeC>P~oHhWC98N)ZSSxJJU0&kEYaK$a^o z!xUD0N9#w(WMO@q-_F0nA*09>gd%$ zF%Jvl7w6oM7Z(#)O7vsYT0uBJ5|v%gi^nC=={-ds&ClNQmGCqi{vc*3Y-%%czEC)4 z+}&{?)#%P$rRvFG+&9P+#|ivhpEZ@aM+2zsX%~)}0~73t(^VCu&)~-!#p2f#Vs#EimT`;T7WI|sSAhSrpOXHm?Ve>Zpi<~-e`X#0bnbWeq zrr$CY|GunZZWf5H+y(c#HqhD=F4T`nGDjN4j1~6lm#IcICI@x!bJVlxs_hp)Linui zV}K(O-`NT=@Dw?0pqsnuK5*P*wVuFpEe!xYl_0O(Nz51da?3%Kx9MW<&uFo&k@L6F z2gn!HyNm)Ssl1lON|(Kd=h~7N_DwTR%1g~HW7>_7N2D9^gAFRLx;HqA>s>tgQ)Lsv zn~$oL^4;wVE+^0Fy#cYKWF^C}YCE6QMt{2(Zlx{#oUowFos06V5TVIu(La9?o`sfi z7^ZslJ%_~~>dw$i68m2JwRFm!d$|(kUf(X|*iHAJ^QT^N_u8aUSBX%}jLy~o_j?;? zgA<}2<8^iGgiMOW$)4D1TfPqk)HE1kkfCU2fz=gyAs@DB3>f#HZ!0kF)cOd!XCTgu zjTo;H{0Y;Gc_LL6Pzj%QoVBuyp)f_Jc481>X+>F@Y&Y{`-Q`}f9hSeCniT68m1uU@VI4JcF{U_uES};P-N4q(YY3{zj9>aQ{g39F* z$3lI_Bg2o~rA*<0KsSsQX0!0{g*KKAQl0Ozjj|u^fOp+S-dAoft`fQ3WrEg~hLO2s zzUHZ^oNphqBpLtpHo;1Q#{* zOu81CYp_Cx{2>|sF~Jv2OKxo$qr;g$LRuQ9g{5~(!S3t!RWq&;mezADjsK!tG)Dzj zdxD!Tun~J-^iSu#mpw83+x&U)^hy|N@wL<~46{xU`=?2gwFJqc(A&)kOBX9?X_!w? zR#m)}`JEf3%{2G~c4IG#*Wh5Yhqh_nd^TL$q7BSqMiCxObQ^4ffwC0YQ}q-wlw#&v zg(Lp*TM!4|zzJ7~$hh@BDktSiBiX=XD@eOHB60#(B7BNp7QV63OzmV?2jA%Znc*jR z+fA6S>7saB0zTD(Fyayp=-F9fujSP?Wdo zF5Z|zI9#skIZ4H;-bn#`*sPzSM*&X4I+NC;yiOOl_fw-T0{lL~OIRTmh7z?|toj=^Kbi>Ihqs{(j!=jg5aYrSK+ zYAoi(v1TRL)$}S;IJvfQ7mOuh`UV0XWAmahtITAaJ#Br4^^N-1gM48&2I^OiuPFy& zHkytbTh(YdW(lCa_%;*S)m){np;AFfMRW`8uew{#+Tk>5V-QPKjk)q(4UnQuuX0XAv0GjoUvnz@4yr6Jxp7_mD>) zEk(j^_uZ?<)xuWd(WaG?gmks3hWkLDYX@PTPoE~e#Bz+lkE>Rwz&g1U8Mb;sLikRv z!IMfiirRJ%Qxk&AWR}a}X(tNVv>zVIU_WH9`Vdf5aUSp;n_wP?rR410pmMGN*S3lT z8$}RP)OMQ}iE&bZXR{ykCxuiwEKkB*LMt;2SGiOtCad?;se=v1Y>VW(x5h%NTh|90 zl=|VmZv=50Ucyw=Kn#8Z6jWFLhwA$R?o=I}N(AK&t5=FagdX>Ik9yYZH-Uhcj744v0DkEa-OgxW5t3m0Y%*LpZg;W zs_(03MK@YXW>ZH{CPvd+@p)RMti_G$M|+~X7uJL z#tZfb`uw%?ONYxtF@6njrAa`3UY0C$Q7&>n#xiEByB`NaG@p}Bhy3^0LWX7SVj(?2Jvv>kl$a_K&i08kqYSyLeE3aZQ!h zI+#P#Tsnfjs_@$!gAv~o^vaELu|s1@RG+WuO}85nk{1{s_Tx=I}|Mc9r{(E{YBb;iPleZ>VyDx(YZI zj}{;WS>cC{OA+I_;q3||mOm#h#L=sLWaE?1D7wKB+KU45viZ1g#FK0R5Kpgg+*rZ|-dWODF|ZmxcMEvhhm z;z@Kih8MjbJ>3jciHR__K+s^mU%g_a3>qA5em;2ZaZFH&Etz*J;bim!eg$6^Rxn$D z6MRm?a7ZeTfx~8giMpxQ%BAvwv~B@n(iKu|Jp4MVt8qzQC7BE~#y2|Wo5wN--JLNh zS{5E!v-u@!uDe~quQK`4nh3}bt0%t)&7Z|EeE}yOodhzd5b`vlNB@A61dM(E|M?fs z$x-|m)DR5AC4lOWY}gD{7nO{VK9Ey?)UU&)Zj3cqG(rkCmE*e3NoB~lnPgx^eyZ&~ zbzu=)$Z00ynqzO5jLW%BCEm0}_v@}13n5SHXfKZV=Vw-*DSSwy_u8PGh& zusG$m6=exHW^3`)I2u1nTiJG}zyMu7>Ue1tlc&FLIANx}<*qj~+6WfGMBp-%kmhGz zTx_}|mXT!HcJJxjSy1Q(YVf`T{bfPga|$%*b1a8JExWYrAz%#{0c${<=2@+B9$JDL zq;Ujm;Qd&lGfj%%nn-J8Re`Au=zp?sqZmhFVta784sT;p$%lbNK9#WhSx>>k@wzeq z-kV+RWsR; z*aX*AL95{!_XG7c#4`|mUfmZ^S?k^`JAqZIR)V6cQNB2dX$IjsQ>cg8c~Kd4{TAKi zslv}XcW;5qFQpF7M%1NX>v|puFB*1C!XLx!^?=)lSyk%MbeEUypqYpOX`IkG} zDlI>EgH%~aFLWin>3Li!@BLEJv{Talgj6JN0xc3)yfYuk`Hoyxn?o>U#n}vZhmw^T$M{1zU*2CRz!p1Ep7#4FWwZE)c|Y9;f_iKcGu_`j?pYx#Oq4Eh z7E42jHl2}8|DqzR&7Avqz4QRv*nxNK@JCGSKhA=uVFjC_O0qbWA^q-YHzbPRH)Svq zEYPZ={>iz|HzlNL)pqr*5$L5ME~D#pGG{v|#x%Kf!-k0H0#^V?P_DTFcBbgmW2&^8 z#YbP;LkU(&J1YdbwP`~5{$^OEcRym!2~IIP1o}&sj=u_B#K^gXV)@t+q zKUb>MA15C(M=8u+=>@O#U{p^8gzq*X#K|C!47dFwiO_WKO*5$X{&g29#2sSaaAuhjyt3MKx3T;^uv|Tll4W}9lyL0ok-P@GEZR)_;nD6# z$PmBJJ5H6+4bg1Ar=_m#_gZJIyGr(kXm-1F-Z^h=BVNp2f7?BKvVghQAP_4zVR4zU2ofsF~ ziCe*ZkaByLq)9k|6EUqYEVUArB_FdP9^&8hu_O~3L#v&nkb+aneC!(29__-frF`Wo z3D5lbddlLS?|L6b+5?5yU=`J(@iWo590H(J)v1YVJaU>9hdIcyZyg+PeZgK zPW4HH6IhM@IvAt^^o<|OiH0MpgL?adn-Yj}4^MH8V=eBD#-k;uQWi&#-OC;SA@(iR z^q{QQ0=N<3Y}zXg^H=y)j}t22P%r-2^K6YQTA4R^4vVa3W$eqGYOcO3c0zwT`IytH zNIAhYK_6 zj9geRwwQyQc(tovkOe%XAyOf%;p)-CMNp${a%qTS!6zS(iL%JMY-4n)C$~M_jpO22 zWLo7^u@H7n`KDo{)VDOuGEQnt0)a3q^* z&v9^i%j1D;DX)u#Ln6ng9{DQB~wai#SR;5D!UO~JrhU^<4* z*V=S{)qiGBbhpU;GdqTZAz!}K%_{ig)g)hQT+=&JzbFp3)3TL|GCAu2evqeMn>m;N zZow4i;0bHoAewx_s@{$~2Wgcyjc;akOKxQIu_Vd${IkAIv4YdB1iLr zcA%ga0g=%^AS$Y{7h5DO&l^&}9=0AZn+3`uS$;*Tw&n;ZdYE#qg8TcrSI= z@S5hFDfG<@3>D$^=<+wLY?hN9i$hM|Cy@YQ znhrKS{f5nMT{Dl7%rLJsBX1X_3@`$sYT3vkj)>H=Ox1{>AHq@a4s(!O7#t|7+UA-= zIdtCQccegGEXjhZa*_goO{w{Qq!`+Vl|Y`7KDJH z@3LBrtjqS@WgIgDR)|%-^fz)y>5lU5cI5u=W3dPqB|c1A*=3~R6E0I-Vq{#oo?5dO zwsT2$x}V%x0x_}#J!0U+s)zYzJ-4cZ#RD|`l1Vn^XvEH*>K#3!;73Zi`e=&kr4-we zwwPeSsq2xl?5r1fb}BJQxv4Q~MRMh9Y&VoTPk^W=jUb6@|Bbvy>T+x|o{=rIk=M2?9`;dp|F_#DfjxxuY(o?m zNuq(Hu$oHh78+k1JC|NZLCPc}+9~(|h=zQVG}lb)LSQvpqTxm!V?^TfD4@3H(ZJeqf#%_lZRRM8;!B=Io7wI0YDwc*qrwVJpYx@1O^VBN&a` zF@vVjpuDr_?a}4lNL7;;3nATaTA~w~Y%_a>A z+QsoB567(Vl|UpP#hx@pjed~<&#_`n=ZD4W6N+(v*n!SrQW_}y4XwfQ>T-Bb!3k53 zjj2(S)iiNHuA^%0a?h66Ya6OQz_;bGJXHhqlTOpJ>#vsGzAY_loYh<9*&6lmgcbV{ zj}xz&dT%)iG=z>4qU)Y~p{#Ky6&r}y)_FljoJ6hv^ZoQtPTg-%qNf4qL z7nLJ&%kTkqs}Z`tu#gvVs`}rEd{qo7Q+G4@9ZdVbqX)$5tiG&d+Nb8ft^ zoQj=DntO)j+J!Zgm`$(J^kSWsIiKRHnRxFicr-oUME7%QX$>dy3;;x>pkH9fOt8sW z>Z&2`$eS^~{omx9e4{gQm5)LEUF&I-W2@CSjNzM**2uiE<{#9J@%FFujvkDc>uY-S z!+0ng!DjC-G%4Z&ikzbb`#FAEzGSs0=!R5#eS(XS*T5;VQnK3_Kvk$6 zJHO&4zvSHL(ck#WBtbPG@wWY&XzSuUMVDbgKTE32)^!DEm6s&3)gtb zo_dg&h3c|<1t{rlg!peSb$7{v9s*4ILv(~Yr0E@`NY8Ca50hTjM1K5)w=ldon`5RX zJrGLr)1FG?8J6u-qiysSDq%sM`^tf+M?=6ZyN)Nk$%47N3R!kyjxb}u zV{*vne6zZ>YRJ%>7{0T6D+tIGAiMlkUd}oK?NNJV%&v=P(?zypf#Ibd#P!!Nbqe8l zj(d7SLJb|e1jeS>m%R0NLJ+7SCrPJU)}f2bMjqt22$2H^$WsX4TaeO~Ji#Xxa3t2A zakV;iy7-1p-`@s+APrW%5`0qO|^Lez$g} z_R;%xCoZf0bQjI~bKz9p(KskJ%@j>K46WctQo~Cy3cnNxsTOTPKY3EybsLwrfgg z{52)&X-82WpHq(oPzH)Y+m=HLMi*POZQq*|ks;B-FQr)b=<7+|;DsmI54y{_g8;U= z_#$`wL*M&eP1p^}9Rq3Xmz3_eBeo_PSv!CnNW%KPA<8AMezmy+84`_P($}pFlb}R_ zDncU^HfGH#)mNdNy25V6-A{prV|tm|g2_Lh#8G{KxsZO6DJ&DlM>rfpfa~+iTIc{# zT5mYnc{i~I&(#_hlK!#dM}7Zw#mIrB4YI7Gm>sQCeLxeanbt*m;m|SU+Lzh9dXy|< zkm(}2x~PomE;+Eln0mG*IY3NTYj#xlsRLbF?0I+;y+o5BiqbdmAh7K2lkq9&r(V~C z zmt{a?kkU0_#8W-0ThW&n;yQ14dMy{z8mw&=Lr%K&CO(=Y%oyK1qVmM{awu5vDDVf4 z6i?L09<>G<11RgQaO&g0trJG^hK^ey)oBDPYevicgde31-bFcoJ@Jc&SiQ!z;Xo~u zM650s;ig{lhgOf^&~w7yrzhMhe^aER$foCJ^ehb3=9HF;H_(u}>Kjum@&H30Gwjgy z&K_$aWE&?#Bl2vlC;2XD2QQRej~S~>daP}r_e7@%52Ft4;QT$wQlTd=(beu9TU#%# z=3H#0*4(9+AskOcsWJ~2J-L=3NxkZ4xB70cky^o*-K6hWt&Lxl-kbIc;fdW z1p}RLB0g@TOX+^Sp|OQ_g=L{ArDtqk7Qc=4Vl>kTNs?sf$-5}O?oq-tfoqd|YQ0N+ z7$>=rDNV|!`u^Neb-{(lO`Q|3TdyOFb@l5RtSJsKuu&*_9(C2ub*Y;w*Tmr^mA7EZ9F=_|r^`qdVsyGur zsZ^twZ_|swlObgj3^h?Y?nq*q7gLRRIWNYoBj~E8g7fo(p5@GLUS-`h?RaZNYo^JgjDTVAZxCwBP2Z{JQ_84aMXPma>$*uOcjRQfn#qX%fTGG#3{ zM~OZW`?XPV-#5-v7kA8-R%+!YDLi=!U8g@SnhjPw@I_Fy>6~zbNiSraz3WSq^7C>1 zM%&pNeyGCqTG5DcxRwoxO}!U*`cIcBRFUrT**ChaGYsB?TGUSvUU3=Sz0>4mDWcy= zwQmmA+>0h84c;Q|jlLqg@@a;G{ zV2}DXL^Un0PmO#H;o>cwdz^34Kba=IkU;`z1P$r5%M|4}y-?NR%B1{1l%Cj+3V#db zLd~tQtAa}jz!iw`n$q*GcF*{*7ez~$^pZP+U6XqvqK8GBqTn0A(J(sZlP#@&2h2OD zaNEh+BSv^Cv3%+pOO(L6A8dXzb#2*Dy3b*Bv9YnENV(wPCo5#A>-LkxD%k|YG1r&F z{D7i!R`H!sMc@-Oy&w}!!m}IZ>p})o%c;BRK8_mV+sB3gU_gitvxqNI*@f`g)gjkP zO7D%s#ZK#%|JH!h-VGERAGGd<@cw;}`U6m$$G$R+f;`x^!+bs9bdL0& zHJV$z23vJ%2#mL~3m7t+N{94Av2$sXp*|A?o&2GV5mh$P0Ywrc0W;(mbTi5xj!b4U z{>%Z4PKK}dGIZhU$DCs29}Z*-Twl$y-zKT>#Ovt8z&cs?Y89;(yB7VvqBZf$`SXPv>=*fK<_kihLkp3$0h}VeCQ8ExJeD{kqmIY=<6Wy(vkvnD_;eWafETTiI zgt?(|G=q}OsM*gQ-6cGd@1#H%s$R14hrbG}65bH?L|JT1zN1$joL*v`sE)TDNO=;F zI-kBnGk+@qK?zV0TP^NNt7LOvay14S)`nqctmolDESNH|>Hm7&9}Z|~Yvco569>eJ zYDi-czeTZ9h@j~Kidia;F|fqHmGh3-_;a+M98~Xu7KB{HbOtE-doB9b9&7Jc+5C2W zkz*JCZ)>ISpoUD2Uoh9;ff(};O8Lf$kGo-15(^54MCWaVdG+F-vfD*|#-%&dgK}#+ zwed9e+1$yTG?vv=z6da(O>azXUfmm08)|fhD#6`pz3-#H`U^&QR03mm@|In#@LAr= zMXTfSz>Btq(bh<2#ZSK-;HLrAb05d$4kMLZILu~iQPo{_#=Q>|y;r^l?mXqUhufE4 zB0kQPj87qAgwiEFA3;7H1|Z%BPCUpTH+J&w(C}*9dxXn3d9iZ2!yMl>_$TeVubXppm>r5a|(*0c*=ql|1d3j98g zA?)|aa3x8X#o>lUBb)r$@?^NtGH-BFjS|!qa`564Mt%QT?I`t><)oJoC$0{fDD}Z9 zAXx0W@|O6DOg&ZbBsC+WtwP@gYYEtNaFAe3J6Ea;&m@1l=RA4``@?*>HKN>AZM>8^ z;;YwVgGqw_n+F1m%1068DPhtzkm2}r#FY1+fJ(T3K#V5Ye{@VmG`CQKW`QdAB6mj zKfhAPSoZagjNML`6l!j1xvgS@f%O;{_jMi5=(uB}#-VAokK@I49Q-up;mm&9|2@va)gYnJvz{7D{t}-S_fQ zs(|75=-k0cs-H3dGHR=NRX11%YOq4c!cy>l|JA?NF|Q-Mox3b#I$*zfG#>M(4+eR8 ztx{;BLvtAFa?hEg^jc}rXriuyEx$o5Q&OkQ6e&)43+(dy@P&rj3liF+8+Y&iI9|iP$GW%VKM3$>G36#;q)?IB zo0v=06C^AzYu}UQo_zhOW>DyUj(p*JbPGnA;9Hp+n+aUxwqi*8(hQSw8+Syh6W+*CXa5b{_#6C7=LY*o z@Vn2XsOa8QO(W*Yzp?&`)GMLA<%9Fs|4^MS#rv;;hh54{D<&JC@*#9~u}3q{!1K)Jysms#i*nOJbF26sa(OsEapG%cmTsF%Bgp= zGkwFMI$b`+P~hf4cDgGi-@o`JCUX6-%965jAT}$yX24}%s2Vk~v>J-P+ukYxCot9I zdvvT16qO=UX7JE}n+Z+BnSlY+{}l5yISz!xDnt?wK2pPb+>g&p(gsT4&mFB3W^hRu zk(b2$=${F!nt^C@kB-Cp*q4EHiIJ3IxD1l^RDL(#d!Lo#8m-^^(|*o3T$^D5(lL321!@78CYSzEB9sM2WlDVgpZl?!-N3O2yn!(Ip zGSfN$Xdootzo0b=9`HgOQB{i5KT-JKhjLYLK#|b@NyYGvw|Qt2nK9n}x5ED7ypD2h z&3H$XMI6;ulEgC38z*|t*ijlsa}UCEO6}Kw$Vfsdhv!N}2=awG0WXYU6fWfceSR~zf zBe8pKg$_-Wvuy3uk)}AtcUZdY=m32X`l(#$j3T`Qw+w8TCZnbKpPfFBE4phaNs+QT z2FW@MGh9CcGGCa5hKpm`SMgbWOl0d9G3fJYUOq{U>F$4OxmE z13^`1!flm6L?Ag?ojUOJqktlBhCXt4eywZ2-!RRsZ9@S0&&ZYn@gmO2!H<+c)Fd^q zJFm2HVN8U}FOG!l-(=pLY>w$kC7 z{F=VIYk&jEYOx_>aLJ74@pZ<^;zKP4&A+5*9luVxu=XgiPA-zX46UCVyQ~{9uepnt zQH2=fxZUK^r|7x>kAsD|-8sYmeFPD-PZ($M6I*;fzrM#%RZLs^u(9d$xjwPZ)3E6l zTpTPP<$0crHFpaVb;>MFK2$5-kTc>IvZkY zePDcek{VRX7soj^F!5Lfc~eU!nki`l^sk*KkDdYI_YIU6Jy{L;t@Y&3Z7%CEnnsk# zsPCAZL?()MH*&`!ttIPKqj1_7J1&++k`Au0WhlBC=I7B; z)Yd~m-~;3FMghT5H|-H&yx@9zA+tbD+B%)!KjBy!z(e=f3?A++*&A6t?#YU$NZsOmpBxuVw`>?F{FY)|eGUVx678kDpTP=U?Y5*0I%`pD?R8``J- zm~t0+#H7ZJ)%{K-*>26vC|SyXh6=!EdaJ;)n}^5O&ujIOrT6cf%;#Kmv2)TM7?%vb zX$mk@V-$%vQ1my3Rh^!-pPoq%Q}BWLd&8!Yc2D3aoWCbFW6q{VHVZJOQ&ZQFj(E`~ zmh(!b3TRp@m3MkKTu>KmG5=&rTRsSK4U1XpCo~=$cCECah+-(U`{YLuQ{8L(u<7S> z6$2H@8(HK6f>G=M#n&S-iv$(KL?e=R0~W3sbvPThW*h^=tZi~Ds5$=D5958nK-@rP z`DwP6luXpkvD=Ru8Nf_ajBaTB{) zU$KQiSNz{W5dZ$!|G|}vRtrv3GW4&0DcNkF+K7cMa9?X|BkXgw#uO4o1f%5t(;vGZ a*M5}LCsTA^LcgJae{xbvFN!6M-u*8Znl5$# literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0008.png b/docs/MIPS/img/mips2-image-0008.png new file mode 100644 index 0000000000000000000000000000000000000000..611acbec4fd031c1bd3770dcf09009697fe6cc59 GIT binary patch literal 187309 zcmeEtRZv_(w=PZy7AC=Ef?JfEprFwD{_{W&+7+8XLA@79iU_K>>Yc2^ z`w%Q<(7nu}u<(uXrpB@wA>@sXh3+mH2G>dI#jRV{E`xl>SI3jbgK*QALJ3!yShTUU z#u&%O=4RJk*S%GnSn;CsF|_Ei^mUF?&PrRGO53bTqs}@^L#V!!{C5iz9ylV!`0u8; zX4ot$^55-u_%_!6eCVeO(*B?EZ%sJ1|1++*X7s;5{I8Y%cWeCL1N8rO9!9??<_gS8 zhQW1wLSZ+yQ^kgnB&VVq6ZsXEF-)A1MM4bs0u2Xa_%}mN0|opuw~&PdAV!7bvxpxc znrO<7m;J`WqN2I2@FkO}t5cU^H-!db9VhH5Vv{_G?!WxGu0QwA_@;q{m>^&hBEDqc z*Z+&B&a`AQck@u3%GzhyHaHM*y;)gbJy_PxPRLl<_y+gGNpKS~Gc((72VxuDrE@Xj zxy6Yb3Iu5v3A&ae=BYAlnca6pZr85b52B9N82#dwnO+Q)lY#2X7&o`G6&y6@d|E?d z{%at|Fqm$UA|Y9ysWpWc%l$CDY5!%uLDu{f+}9n0Z|e=W5Ma_Arz0^uB&cV|^!bW8 zCetKXQ~&CpMKGyAkd~E#`eI07Bhd|dq>%~jCx_f0qXi>UlVWmS7@t4a#%6#yA8SSa zMaRmi%7OU6X>C&+tbRQhKw8T-fQsg@Mas|!jfkNk(G@eGzIKP?JPdA|@J!4M#D=!e zkYi_$uB+3#vcy{FaoE%}4|7Tz9-DKnh@tM9pr>0X$o2O|vi-Ct|W{ z7$o1*a^aSwxRsVGefvd8++)lCXq*?2;j4(kO3>}QqULymVqoBk(pincep>f8KQU2X zC!#l9g^W_ejevj9X000f{{4|*snt~azfCMvB-=+R@Jg-Y@_Ngd;wtt(HqM8CP4kYF ze;Z{v(!IfaxjwWTpc}oGJIzhoXd|&@wf2Oz<9{bvXbi}ems5qiN{qrG9BMhKdzg!? zQ_PIF|7#-JZwqtTWA zV2?AGh7&rr`f0LgWF<`2t}}{F8!fjHgtMW5W7xM{Yc0t&l*W#Hom|mfiz!{x+>NW- zgA@{E4vX4WZM<$-zTAxeocgql1U8k7wuztqR7L}G@PgiXo{v%jobXM62(_m6T-qophTlN@Iqk zybC_kgWYJ{%FgIC(;4M?&P9a(sN3DJN8b0kiU>RHnf?reBqb!aak3Jvi)H{#ky=*si z^%csd)o&{QxoTJl{BUcIf5Z@Q%$K)cPc%L*a7@Zs}gzxk@(z2EI!&Jv(0CB<&f$ z0lpC5Gk%os=!-Al;U(g~9sx9#KUY74KlPmbYTT%Qt7#9+?K$}Vb zY2S=bH}CN~yA;W0y{}qjEx_vhjkeRv*vpYn8FRYz{t1!h((ebv4${iFMJ4u_&h?ii z4{DjdZiTMHyka?cq!fYG1?78s6U?Z<1M15pVt5|p?+;Zq&VVTix{Zh3_njPdn&~fN zV#6nH8jMA7ZY9nE;vUn>u3zR=or>*_11H%~X9X@cA0C6HxBjG$);PftyC6GvJ$xa0 zACz{@TaG#j3)E<}=l;x+ULCN8V_hWD#!wK~nSC%A#=73BRs^@lbs2}gHOrKFZO zqgeADCDVP&koPy#PVpI-1@XG(Y~eJe3;2| zIUQb?3(0nv-nO@@TZp{vz4!iBSs!s!_Mhd=z3aIBjCXHq_OYg1A8Q)c%Bki-2f*o9 z5a;{RRM|Jck=bu-w_wa_Oq^7u6O>4kZ2ace@>f3-t!)7;63Pe2fR42XS}yVj2EX6m zyILPfy$rr?{!{IzmJnE`r2qsqfjI8?UX4B923KSLtat5%XLUd)j}Hr8L-G z-ZkkBw5BpL-uFF3^GN4a$;SSmDer9KV*nw(KV9FNOLbv!c<{TGwRL^08Kw)!-#^(0|7kisT|9}hP3Xa$6mmLfhLM5Xxt2z8p z7;qi_IOUqbhd^&U51ppn0W136#OvAID*4zSqq^4!Y64F@p6@_HaNPr{=b?16v7i?K zfR<5Ka?iHcXTQ$fes@#1M5O0So~#qe!!Q!nDR-Ew(cEA&i1uwPJ(#EKRycnjQ%s+n zge3Rt?a5?Uw5&W|fQ6|kO4AWv;P!$fl3~6x>W1f;ChzkTnu>E|H_O35F{MvPKXmXo zLYrg1EhW=CoE2-jeYA` z66rqAVpZC853%dt>pJ$fzV{Qk4oedh7ut1mwupdd0Ic3A&lYOwqIIZ*ByW$ryDJU| z)ovHK`GW8^<3!B=5IMQCAZ?aMHqBf~iy_E)7MhyR8JCp@}>@uyaJ4 zb{+IV0%|7U-8e#`8-2SLtQ)s2B0|yb1iyR{eCvg;1Wq(_VNLH(_`Ej{a;yiNK2@IQ z@y%4D&}}OM;z^ilS?JWGs9D4qNOC;scVBsXK06tnOQUaZ3-AWB71CTrW_jTz?~#)% zGkZ;WKZ+wF(RbiDNH1zO$f8l|$PqbBepo#uBt(uONc%>wf0ZKrOGn~}`Tn)*$y?V> zkC}5ee@uATsBO>SxlWi)8~kvc?Z0RKI}6XZK6N8ay)PZwS^dHSZ|q(dW!N=2t=N5( z+yQ{;1h{E+{cv!H(@`C^!Q(!1>{+$UCo4G_*K+*V3bv(1G%~toIONYWvA;#C$@j2f zBd`zwaV#;JNq!0OIBnEB`2WlY1+B|2ySYKQzpxL#a~K*`ldEX{;vtZI!hU6QiEJ|ZQf@vTh6MV@r?vPAluPbK*7iHixjiT~qpqDh! z=U)>8>5hYBE_JNk2CRKM_Qd2Y*eNAwOL9Bx{@Xq7tQ-xwd@)h!n&H)qqe!b5JxMXO zGFn?H37HimQa-cJJCy3om4;>xq~PFmiL5pPX@{@d+$2i?rN)TM{Qw%auecVHhpyO4 z|HaF=b#ZJnLhQd>EbN{hX7=MlAWU&BUy?nyb|3yBRt`2uU&WfR^(3hUEss`pqn@|n z-+SKlJzP{sD>Ax&X&8saxhmcdoq+R3#Iq`^shU8y>haWRWF$l@Q`9}nY9AWa{1XHr^2|l?ZUAVVOsX4X9 z+>Q9K_Lk8a##uN>?6{VkhO0HoMvov$ADp<5pYvfGOCn|fi2vdFndu8tH`u=0ddBXb zJ%tF2Ae?&})0c?i^HM^r@W=MypF*HloV$lF^%{nC%M(Y=fpcbkr)pUk@$k+Kz{$NF zI$my=`yr|UlwV8phr44SKg^0;ArS?;=7ekPBMSK($ghPUG5cpmXJBeFH<;z-l*3Yww2sO&V|d0yhT~j9zNOG$s?w_5@bMoug-8ZeX&Jp=@YUZDH29|H-3;Zabho$?J#s{y_7x zGbqq2@AcyF@)V7}Gkv&VaP9lc5xr&mGWZLc{OykP8U2CS>`L(Ey#Rkia^phoIB-h;s zYWZ2>rwb9BZtPF&yYmten>Gv!(Yk6+MZA2Dl@bIf!v;H{ZSxhkpp5a_JwEiHiOHUG zKjPb0K53wZTUT#v-F0T53fDHv>*FWsZf?JxJ8l(QH`GbK7s{8rLxG;?_e1fAWd^Ts z0lo{kO#Kc*#MEa-R&XSdK<+5uKm0Kxd@q14yAm`2H6q7(oDEk8I4;4)-aSCC2jIg1c4vt9)5hk-)terQ ztNd24E~wGpX0^tt4_~9J3}d{*7KPoW2fynH@9gzq@zP)aolw4NBaD!z$@n?-@Z#az zE9CuUr|9C*?hRVr&PqI)(yNnTW@{(066(#g3bCv7ey7|1s2m>JOsV+Q=A^`0G_3_r zwC=#r@M`(R6Ocj4JHB+?dwvn`{Veb>quv<~e7|IBuXd)qJKOZ9E6W<3^?oIPyMKRU zd7H%Uj5=Ry!kQNre3+1H6#ow%v$cQr6=Yz{C4x2E5|Qxx8>3alP)zSX&sOmwLn(i^ zosDje15^6slj>#AohRT;#4Iw=#;;{2^?^hgg7lw>_;34ek18JE&N}Wv&5$@44RNih zuE)iPy)It=4N?6b0q?h8Yqh-cZLe>`@lE6rnKtOVHR72)*J-U=vT1?Hm2E`o^eI6R za9?G%nNXZqvwtoAvR-aS)yDIb7@{8}2fi_Hc<&31O*Rlw28DkITo_%<+wEEjtcLiy z0ej}dSzG1V9IxF?^RwOJ(r`Y#^H59$2!~6IwOk1olL?( z0WqkShZm29@foab-`{|3{QIfp>KtnN{$*car-jXfDAl;^u5$3neG}N{s#3stdw&!!>5P$G&L0;}pn5v(Lud%0?Vg3_l!*`L}{U z{9Gfx=W}=+PO|r9c(bJzfcZrEnO?jXS_I*K=kfWhkHzjxv_pWf#et!_R65zv&UA?H0UynjIGa=C>ls?)k*R4bFemFg^{(oZBhZpQl zpESD;c#7G%DzqpIZJsHn&t$8C|8$#535aZp{M)3}iwN{0(3nA}R8{%DE& zbgXxS#j;&cB$S@7QZ2gMX|oxrxo01Dby|$VVG(dD(3-Jy{M=gPvYlZYZP!CHD#Z0J zGU(&Df`Xk5U#0B~P8*?digd$P`LA(3_ZCL0|6KBpWw0PR9MJW~g;(}E0UtQeRIwfP zTdlaHPMw=%e|i9+Z%HLgq=q}cDpq`ncs_5TX9BUbHhGp zW}xe@o%VE{-f#I*0G&}0m^>-o$afsWi($K}@K!k%v&S<6d$0bC(aCfB8c1U!1%J8n z*uHk(CggbZgobPQRfZuV#Q#9q?&W$#$~b>!Khr^*y>OaRjh0nTs+I)w+6-7kmn|jg zDQRe)?G%R2T8VP0B>keYZ*B^&qS=X51O2gHqiv(wr^D5v45rhNzGq()dM|y^tEok(o|8Ww%KcO~H4b#r<$6-=xXt z73cGRlhHCO4qm4$Jo_%Rxd%Y2o&)iBzasrr|HglT&0C=h5;|O5%uauhnd9rWBMU+FOK z|Ij;Mc-l+RRQe`_WYR!Lwy!6HzYk}umm-3YkcxG5+?g~!nEuNy$n{q+_QVH2u8dv} zTs7MTXxz$KAnDJt8#*4LJNxxc0ly3_0 zhT8yl?XGUN13#iAy93di{?Y5a*tnDlwt?HH=Rd{Qy$FtYYcUnFZLsfbJyD}=@4p|8 zOm6347n16p#*>zSjO=sldteewC`ljxy2yld3#>$Ef(e&a&xGyQawwOygA2zex0|XO zNe;s%cV4lv^Tsj+0pk$UR%FC~Z;sUeS+s0Ic7*yLLTBdqT@!|2->-N?`6nS63 z{Ph&H16Qi*J1Z@c@t!~%35iMJVcrJd*JutLPn1}$)LgWBqd=ujJqA$+y8-ml!{+I47ljTA;J z`@r78IkV}PsHT5#@#MJu(n;B5^9YAb+M!4mk*kp#eDXWun_cLuz2Wvfr!L!3kPkX< zIJ3v`{^^-Su9FJtAZHRupMX{SK z-1KA_2p*Egd z#{1Ybc=4jGden$zcyJ}!Ol2zY_Hr1BqkU!Sd3xQnvyceS`Iz|wgU%7`z5{tUIOoVN zIr49Y7z6u?)J-$(%hGqliv2^)*t{MCf!igh<2V^!n3e6%@ehX{Sc2|GvR>9nuUo

1^ov~uu;I)lNPCyO z^pwg8k4d0EfQ$a?2q0pp0YA2|(v_T5MloJ^b;0F0TAeJQ+Z@pn_=M2;+nY}&`SmNm z^Q3IEsn>{wRn#%p13>Tj+3w=>P@M5J-n(~_UnQV-Zr90)kE^qAeChTf+Wy=QEn6n> zHUpjEUoOcZu7wufQHAg-%jQ6^SL;_vj#rM(t5_QQ+w}bFTwKT&@_Ur`^Ef_djQiKK zhlire{<2#6cP3KHhl;E%N^eiRs;Cb&2>7n8wb|s?N=@{-CD*1sDf`=7k!vd# z;cR6)thzogu#Sap8uv(qaqUAJVxcqB#~#@%71h_|M(JS$JPjy-<{eQm$;1yi-PD?Z z@H|>f&;3#-4_hR3oXB0*`dbsF%AcDo5q*kid{0CS#PF(FvBlRgWwkUOg|6(vz<$FB zOLBcFg$XTnuo~-dv||WZ#ge@&xG(Li6-|J&*h={pq^Ikd`Lj2^ZbBActI;Twt)psh z0tH}*cmo->4&CZ8`Pf^)Em~K@w;T> z>F}r}vfV(uXN0EO@r~64wd{1g1+xv2j4^d(U?iO`^GoLOr}TznO*R{R6hp_)O;aHcM-QP;5l4ieH;%DoM-R30Ew^fH zHR8s$`-gu(2W@+*jM4Sg=U$U$6LaH}^a$R#oDAaI%^Qz3Kqc$&16jhAqu-+e2>9ip zv-X$4ogoaKyZRTgR#=Qn{|PIJr+5l31@qaX6+4(EqZ)=IQCA38M?M)6aA>sDcQbqV zOIQ$zC_OXE_{QAnM3|06|2tF6U%a*SO`@flByU2sh__h^PDm0oQM)(S{dOv>hci&2 zzmTzXDrUJ0HG}uG4JPnar4#VV#G$4vF~-4?|2aP!{*Or276epMT?e?Y1%oMcY2vxX z>Yb$2rBfDhct?J21Ed-vkNm?%=f2qxlN;;w-?-f*DKlsBN*&cViQB1?8ygIZR-lMf zf-4%7{%W{9>iQKqH+b3z*efKGuJ4%qyf)S)8P-r(w~S+I%pcVeZFQG{9#Qsf(d>qY z|C#c{C75A19675s&rN;-R==$P!reJS;>(R+8vf2TIH**GI~F9^D4maDtP+rpvKKo^ zx`;2UEhElgDviY1#NCd(h1sN?^tr1d;_B$1iE!dBXEA5N{jT92D1^0Kucbe>#3eP3 zlyp(h6hrVz2D#L_a3pXKd(hHd?wKtQUh$83{Gi(pu#R^WPP9orGzAXxDxHU}l68$X zjz9pMBitrH)iU=HGA9AV3$2IzzcH+@QfqfDb~|mG&!72!zY2Z(+wlp%*Z$n&+x_bW z&qLGu3n`JNa-iI;Z!D9&T)A($j&2F8oftO(Sek!j(SKuu=s}*HoQFa+sRym>$Dqli z$cIvNutGp)0FHC%uVzP@Kq ze$MCV&6eQPwo9a!r&|>^M>}5-&0?gvvD0hoMsRW)OfdmcMnRG}_-Ie7E*`VS9Z^33{jr&ewJUh?8nHvyNhOY)ta_3hL&r+b zMAcN$XXf1r{Vm&igD1^o;*2G_rx~+@*_cm{XDA!w_=ZA)_yB4W8gu9K!o)~1jg63N zNlp^OkN`fwm_#)TH~m!1cgdt#A-dbAP9ioZ$gYv(a&49Ayq!ts` zP&k^HwBn|{xG8Cb1)n9k^V^R7wO(|4P7#WxIsKs>=gOl zl*`-L+Y`0xp}du7tq$^~co2!{$Q?%svni--O7TmD2#cY#Lm@0{WS_4Uxp zswy8xJ(Tv!9QRyngT1s(Q-C^vfUT^yV6A`Xt|tGO{tu?k1-B|l-nS<< z@CANxcVf~DQ{dsSjEQa02r;6RU_1O`W_=pOp-Yf*6Rtg)Yzv=C#PiU>uuwG>)wFj_o#wsG*3qY0_BSF=$g z^m7(CY(S(l_y{pG%-Q2zTbF#LUW5|JM4jIyaiY2(RM;+rDu_zWiO0WG=U_gZIvdov z+ji$6vRrw}W^JTOz+@0Lcx%l$Tv1I9pT%5=_kKYtpY!4r5&DK?>(vG8+97D?Mn;#t zp$19bG+hVm$%vh8QTC|qepe_+ig%;5s@Ow^R0QRtDP@k4no8J{#?NW`2_b1Ml(q6U zW4o5f*=dp)j#H>659ayLNmuXTV-F2Ek95Y^xV8|v)+wSVk`>2o9h)`~;kHRF(2Pob zA77v+$G$pYekN{&PY8iHR3wHnZ< zg%_$C2$WYTZ{19~+*L*;)Tb^D*J}Q0O-WkOF6-C4&XstbDXo$T=3B?ZY1T$(s;`ot zCkJHnsCEFApN}+e(*x9*l=L4@B<`md=iXvL#D*Csi!N4wGbKMnZ+L_}Tr3Y59qN)H z3E3$G=|)Wb4}ShA|1i_BxZNA!HCF*5=z8hNx=>sHj_{)V^3xK9(NxE$tWrBvG?1UQ z0tC2!--&*BUU9!EMc)hkWjaXVFE#p}Mb*&J(lRtO;bUcuLl`NGqqtd6;(1OM(Zx6( z@QlqfvEsN%g2=CKIp16SmDmBB3#FNTG@>d&^Rf)y`Ng>9YnSHHzs15;dxuKQ>v-9r zTHI(BgF6cVUiVKI%murX?!ONFNwm~LdW9LfCbu7N&;XYhoMX%&=R3y!O(dhcO*l_+7GTK-y zUVwYS%I#wTE$%;0x6F;JAEJDATv9g*G5N`=#YE&waX-PsQhX6{SVA@Ty_PV{Uwte} zGiTzY!3<8F%?ep2e1Kkw(H~By6@iAMDvvaC^<>=H?uE+e7S4`fXw3-g4^m;9@DqXM_Zbo?kXZfinuC&CDUfLwSA{!>JUh)2Le)~8j1Mz(C0e?n){r%# zN|?W)l`;P0Jo1(p{-=f7$CDzdxYji8NotDfSyAg^*m($1=Pq_mw3pM3!DQ70D64+% zdlKvb)mJeqD&W<E~rxF4Uq3_M|RRBRkmHu50qU%NznJC zv2A&k(orE0Ib4=` ztRJb*D*~T)eP%m6-*7F2SECj$E`+NL@3ViX%NhMA>Jbsg zD5WJVnJj1#$d-^*4lmp(l6F_;h@VhXUcaDOgT+eu-Mq$hNVjE~PwCcjM}$@f?*yX{V;c%6v+Vbgm~mPv42biM&4qo6$(jiTN9{_6(v8B(J7c@Nlj7i53%?6(rL;qP#UI4pBf{r zkTJ$3>3|)=Xd8fJKJi~<{HTx)q~dPXG-}QQl!?#d%k@W+43xo4%BOW2TT~ppF}4LW zsrFdB$kH*q)!2|Mcbw?A z(<;}C9Ph1T?9JX)5Bw?qy~WOGW!>jvqiRlrI-V^?1dKn?1{$EdyyYjRk2zMP$IS~K zTgysf!KTmraE#ln^~AV3K`57D+lGC_@@$+7VvwubmNo?|$^z-@cSdN#v3~VynRn2* zNJTs_EiHtkW;{;=3>>S!T{!+F{ZzX!hC|`#lcq=r!j^B=u-ThnAT|l;^zsc5Pa{+xazko+Cy3+Vq#>4et;NVH!&*uVbJQZLf}t=wf{YQJ=Nl* zyg5shJ2k_oPuZ6IZJCqF_vfMaJ1sUB^Ov@l-OdOP@`?a5*I0Th=3hyU`|(K{lo%Hl zWG68-#rdmg0Y-qWd|)N^SSkI?$e}CQMT;e#{UPnv!Ld6IY0d(RYB#9OXT0KxQ)|$p zDxV)PBmqU5Gy0E5{h;JtP>V$}oDuiv?Ms8+viuAlwSZ)m!-5`y4S^|;Rm`D0`lBy_Fq=8IXQZz=Y3td1Db||4^s2J9o zdn(vZSlE|8P(6dULL7#!d zg2nz=)Ko&%d+$M%f*XT4Kv=L?IEi~xVe@>q{(y{x8`S&has2|#F}te(D`Vbc+T8#< z_0C3ocZ1M;r3;@f5pilmA$lWPOb*-aFb|}FL;nab2}acZY`#%<<`&}R_R93R#vI+0%v5w?QKDLLl1)Fk zn&RnwzG|~cgj3+|N`vWgV$0=u42mC3Hb)>ck#;XeGk+upZsL+mCRHK3a*|~fNR384 z^AA6z7l?ghJuCCua_7VcR^zs${K!j`la##^+)VgpxTQxzP@@>4XDnr5=$9!hZhzt2 z4`kB1Ws%J#*vo?H&yyYFBVs|{v%<-4^@bn-ssa9FrWt_D-L?sx*oNtDCx+unO1y!5 zM&SN+{5GR=b{z-~)}PYlg7G8yh*~Q`)x{fk^J!ZC^40s9(sQS<|L-q|;Jg+3_Wk=U zpXWWtq_MFR`qO;!o+DhFa-Li>iQz4uBGC5MbSkRpaO3dhOG&CQNPm_VVayr#@{JeS zi*ePLQjKg&{F~&o>5PA~lrRQshgA4DczSVl9c{{$) z@kxHaaR%OSUHm&k1>N9`wPp4UB;s&5o?kXoH-3aRf8QU7_R0n?{adn-06GP1cUJ50 zrr8fK>!+nJYBpQ9H?4Yflra~QFdVq?kQib8cx8dEB9zl#5b6TTFx<`TDvo)odHI6fzsGh@M}|E}L(%Y%HT#DPzriQcP>V zkRVc|QBH!jxb-H{%3xSKMa3$ad0fwiX?p1y6G#*3vR>`CC8%b%to|%+OT1C=jFHO8 z7H8(1ii$WdK(ho5M9V;@qcSQ9D%ekkGnnCHVjuRo6Vhss)S!Uyt18J-%lE=8%eZx! zq)Lp$EgC;Rhjam_vj)$L9R87M3l&8}Q^aGYXqHstfxs|7Lb|D=V+;62l2pDibRoHb zP7xon^xtsaH@nt+Rk>b^z3#j(JI>dm)$bef-pAgIhYT^YRw%N#3rlPoR<{+hiXG04 zz5WKMC7Y#Qb~&87db+`Q@lN_$)s1T<_dC3gei7U3@h@K1_vcr~`a$0bM-I;Bq_?8X zrDn1k{8c8}`^eNRz7NCr1i+Za#ppJ_rCE9^@*d3Fe8eMq?6<3V>sMFoa1mI+$D3Z9 z56wAqMya3AEwkhSv-A2_M8AET^gMTPy%6Y%R?pOqP9k#hbt37tjc7O(-UTNs&J*(6 z`UgLJ^=-06MQguuDfhl%(0G5?U3yxOLn*eZxwci_{aeraS#um`LQbUHcHxXuqqzc} zMX#)<9Gj+r2TJO`dv=_EPgraA`;(M%&3oap>+>uPx~%q@ZcwZ0?3SbxNk!+oLNj?q zemxDF6R}-Lz`Ud7qKy`WCXM^>BDf(*^Q$C;t!}dFm6q7x!7%`PcM0WJGs0Zs(E2Hv zOiLb2vnhhTC^e&iwjH%osi_nr$vOu4_xOdMfS~kBHLRHehQ1v12>SKMp!rVj4G)s- z#QM1SWip9W&Mtya4fMWXHw#}f;?0^~&J=yW!lu-l?-0;5h-%l4Ze+ggLUM?$69;Ls z_UnzfMaAte*y>gcbNq!tsCeiz@~t=n#k(#?$%M`QNAz)zL&s+sP`9TAhI1)d%uK6B zY3r~D#VV!Xx@)Z+Z*A55B=dRA-6WTo4Q2)xMnE5N1X|m?i;k{@rYvKYN$z(o*T*6Tpm7BY6RzuJ18>(27{lHz)F-R}x4A|gMJkF5Rbo{w~WncG*D z;82ISlc!PU@dvFI!lnbwdfzSb6Xr68@`$Y4%7`2%5*XQHUJZX(Ck(RviC$6c{q9n* zccpsTQMz$;&w+%^GuW> z_EZ598bZ!aFSs0%;SV9)-YCH^pWG0cbh0vf+>1H0obc=B7Eb1YpAt!@mo0Wmo==Up^ zhP)5;<|FVWdX_17isn-}fl-k-Pp!B~9Nx;9eA!3H>*vB^f7b;$ z#5LTGHfj#QtU#W)g(Yn9{qi=$rz7Ct0Oc#Ka+HX))o!XF72Fk04RY%LK&&J<9)PtB zFXK?4DDA^v9CsP9*3o^6V)5{e4mmB(VS_U!0HY+f1nwfl98z|S*jNv#s2k{dS3*1n za2`$Hx79nwJxOL4HjF6AkNhz0`1A4&pf+sqU|gz$>mdzOqXD6HOQ26tiaLoVgX~o# z4a9@UATdm(WdGtpR6U9Hg>lu=Bbm**wGj!#&te1LXA!carsExvVR9kZZPDJkNTyrMgQG&ccr^{yo5C!K7CbSr7t2tR173dgOA zd(PcdVE8Q=ME%SsM{u~Fe@P-J&|ZP4u*el=$fZCazXY4rhSY|R*8O$lXW^3%1!cyB zuC33sQ7{V|ko;PGCn$Qu#|_EW(N>X?^|s=OfA?4or*i`B#`)G@DAniY1*UoKeqRUG zg}hx#5i!Cu7{fvhr10RnW3>`Fl8V3@P#44EfT6ah*|4kZX#+pa)omaoWJFS1r#$x? z^d-`X5a;@7(uf1g>4e}+OnaW)+8yH5eg$^7t~%|Hp>zdcn|eh!FXp*FbGP5Fl)3IV zI4!joVO99+Jrw?djjskmyD+SP@uv#q#5!sY4&y^zw~3C##I1O^qj3TfLnyGt?DJvy ztj_yZu+{P!YmtQej6X@0A>=*bXZ{V22uu9yHH@lZe@dwF=ao>y^Y-?yM~_rO0yfFC zq0*rW#w{CRU{Mj#DUV*y1SPP$kni=P7`ZbK)F+$)sW}e}uDNVcS9Gd|_J=*o(^Cdy zV1fzOk`3k&{+y@BBkRZMBD(z~ZNp21*cH}KA9X@l<}Gs# zS!+281DD)ubPD>>_04gTLQKb>sVk=T6k(Wg&B~f+b@A)<243UTtU}jqZHSQCW+2ao zz{}h!T3EegSxBcVcM6J_jkme61iY|&HyLz-qj!9@m>&ukXT;_>pi%;v7%9<&2&vQr z*W#2F*P*l+=e+ZI;WjAF0t4oeCJ;- z-b?y%uFgWJOeOeCW86!utY2-Wv&diK9{2eYP7{B3k$p?pIu+@ptUV|D8;?&Q5ncmY z?|vida7uM-N`T(m4}$Fgo8byqsLY?5yR`5kmQ>`GgnSfsK$e5&y}QuSUmnk0z4`=M ziy2~{j)+9RWWHgs+IkV%&AWe@(DlI$#2u33g;fmbK?!Gpg_ouu^b_Kt1)+WveF-+5 z!|@+clI{q*IIw7G&tub2!xpfF8K}!=`OZ zC*`KZjg5&5TbqF)3mlxPbrEP4AFwY(Pr>sBraHI#CIg>&-rj~-T#s+(HJ-uot`D7E zTfnN5e?51Grvn6oq!rvRpv0x89ny#ASMR<}pf_|?M+*XE!xd?g_l9!qz9{(OB;Z&WXFR%7Z z);*cn`eM4e=xYFp6deg#Ek9g!?@!5I4|N(&i-W(X34y&Mt7ijY+VhU9!M}T+`Gdj* z9;kKhdfI9Xesj&loq*SVglyU4yWh2ko=$cIVL9c1>Y;d;RiY=r{^oXP1Apt`zH=jr z$cRx2t-S$y!Gg=_Elbkeg4xt z$Z}#@AV~wV(O0KvFPDFabGvp;uhK!Udt562ah_D{1ROOa$oUf zBz(x|D#3_8!SnpdrY3Q(45K#I^XF>R6_xq7$@NijuawXkWA_0oNy!i&byY5J#pfN& zTdJP)F$U;4vC*FkcerJM z+6gpUG|XZ^pHW}&f;BT&A`eTFiEy&488|@1!W>p)yxs1J5Gq1B z4kayxRa-dOBcl%0hsbW^ZPmqH^hsP_0FBQ6uBS+jSxEV9k@+#XOOW;4^Q&FQ69xN; zg`gcHMoG&f)rho6@tJJ<%^ZuXja3G>H`iUiIoY1DK{nQ^z8B0S?=?l^+>P;AQq)PW z&^PRS;$_Z|!f}S3z@p4Sh_>YvvttC7p;+FFKlfN3V$J0;zbynchA zR<+@mL1)Y;*R1e8H}wugI}1P|aNS?MJVea8=dEnF4&@I6V#i|ZG? zURd1nwIZh#f7k(if4!-?!JVqhj$a#pXt-?~tOIruDXHdwmf|3R3wA3zzb|YeAjlDzK>eAYT!XFVyUDJGWdBR3 z8p^kVT&LgsywqrPW2q7duE}SivNT~#>1p}!io|so84k76jf}w80t-t`%C(fxqm0Y~ zFL}}X2->4qCPtrve5Tq5_6;5ry!LXHa30gxZZ#~`6Y%5S|ib9u# ze9I~;%fC_d@#zn9W2}PeDTAc2i)hk9AoEttd8gb0%_LhT?SMohB!sE;1xd}OCG$AN zyw2nLTys2B3Y968gIK=8L&xTdZ9Sq*+4icK!~maRQZpYKD=Wi>O*M&N@C&BWMmi3rt8&{s3n)C zbD>t1V_LksR5(w`>VCibLwwN!G3>Q8gceu$WW}PC$g<63bC^@RHlm(a+U01~UNf{4 z70@|s_ERgLZfqed`6&w6C)LrVQF<2`zy(O{AL6b9_>`$?&OAxoMV}xewH=s%pXHO{ zUui0q^5K(CSe7Zlbwy5e3HB1sRqYgXjrskFaLNEUw1=C^MzsUj(Ro%rS@bNj>NqTe z+Kk%s`nIoP_maL(x*O7NlSXvi6r`)Pt8SqyRkfK*Z^`@lR{1Bh((|2(_ezb*V5(=i z0pm6my1dHMhSpJc6X0R7P3*nK25Cw7n0FKtNImpTX3&7~uR%R$j5U#vnHhE}jf?SS zkJelxx{O_-*2PwJrimBmF1by&9exzft%;rB4E5be+jZ+MCX|K$h=O)a;=y@XDR#NgsHs24wmx9#a0uWIqs`)SMFoENv_s!W z*I!oXGb)*DG`t`v89T_44jCoBFLd~$nqt$2%N zlev;nY}1SKK|MyQ!L{FC=J9JfN-;CXTBxW$3d>7T4~z-_?VTADql>NF<&`cM$pzR{ zuhN0j4X#W{`smCn*1|cQJs3d+$g1+Z!BYCvzx3~+J&(?`?Z^8^t6i7>j5)9w9ctU< zEyPFdxDwj9zUJAz27!p^_ptbI&#r>I^s&v z5*DxCu%q1+H<%mb41 z-CYtqxVr{-3x4Lir|$UyyJ}Z$S!=!B{q#%oC(8$GIT0gEs%7r3P(3}@Z|qmfWs0+z zPEIs~UbpwA4KVBB^{&(`p_$>~pXTkq9$$)0y?+-K(b{Y@VV;tb%8U#RWXM&8_H%{! z0crRaN_R%Ju9-PIZV_GQqOBH!9puHAFv$n%h^q!%AiXpThSL12ga!QZze5W8(qx>Y zdKOlq&SH!Z=h#F*{xQ7xxdj3QUyk6-x3}n4+Yz|bf$aB}N~8 zzNJSRD10w4XP;A4huY~BU;+E-S>u1L($CGL{=HXJh8@98me}^58)@$2`@R!wOUf9R z!`H>7&^}UIkfzv+ zim&}#7RzF8nA)=Q%AE66ivo6;6P7EL=-iBZ61vl&tcChYDj+wttbBHb5iWh105@aU zbvbW`9cgxB<40xPEZOXt7=2}3=EHhP{Qj zfau()^L+bzmbqz3{6hZdC3{jF-+9Z(>S7jC_3KFCiq(mwZ6x5?EH6LO1T8%2ajV}; z*sOKKam}^Ir9(rJQWyuLh&B7@12UrO0d8bT_`J9lGCh=Aaz*L50Dl!o+@?%c;)O&Y z36Z&M-zN`6U#P!?FVsOg5Fb@Ccy&)XkP$VkfYCA@u(t8_JFj#7&A!Rjh=gj4%b%b5 ze-ky#K&@8#s6~HGj{_o-zHG&x(3Tdf&8f|Q*=w8afC)Wg)B(w3bSoMq(3Bk6qx|ID zzbTH@fW^dz0Y7H2k7|>A-T0y_P#gDTs1Emeh`W3ueEwGDE`N;WNvw@P_8m=S`K+6g z^&SlblrxfWA$wOmD}F@1Mpd{6?byFcUqw}c=hRNI2@3v!J2d?Ivl_~xX$o9&QcirK zx{a@jJmNsVU7Cib^w?6_3YU7ojxG1f>pP3wqe2OMK&>x(GT}7cDW!FQcS-lg-pvZy z^7Al7GDrSyiZ^^~{yUL8>rz4-?~`hUR$TP%1%>D5a!12KGaKD@r*A z%_ivF=a!b+M0iK8r!7^n)9KLi^IHep<#`8qS0xvfUXq1!CGS$x$2ssYYz7bAso3zjDnT;><&`Zo9bEiOA!7;kxQQ?6SMK zw-)b*!%A67|3Oe^V<140e zR~tp>Qbvh%niY+ak}iJGpe&c zVj8}oyP90TbPu3I4(U5?kXJokNWdib_M@|`t9jQN;EzF={L0sV%PkHUShf`kd5nkE zQ;g?fPQAk<PnXoPNy&U%~i~{rG4imj%hSlluhg|^`;!S-8 z+_Q2ZKhKo8$}S=P%O&{NJXclJW#xE`;B#LZYBQLTzI7(cWGEk7mU$*e8XerQDFXuB zdfsRNr=UO(PJW1Vzs!#&)r8Ar)t@MF^94oXa0%&Fp7Gk{MMwHzO^7vI0zMV#f!JA> z;_lhG$aoj??n&$`^UONdZrK8jVT51`-Nf*O*RBUw^`l;2aYyH4Qg<_{NBc^AHEkM; zd3RSv61Cc0FB!O$53D|F5{qwTxdlz1KAxSOEIwj_EHq1s)y_iUm?-2l2=;-bb!+|0 zLUBIvi{)Wf<3f$q$O8>Nv`^zgc_@zT${oSZW{^(s_wzc>1dwMDByp5f41&SHG4 z!^+C2WzU!8j-&IP7S^wMzDXM2>!SFn;m9Csv^%zzxu6E$x{3-pv8s4o)OOs490nUt z^OpibD+y5; z_wiR>UVi-TkWjJ{Y|!ac7?PG$83NiF`M_SCL}!$Uk0v=9&-Z(s_(9uCZ%#6h7S#i$ z>`_>3KY|U0xJO)pdUUWS#dTOkN15e)2ku(r9=t0JR^*zh?E<_@#0RSiqb^5$Ck4nS zXlMuNH%5V^8A0z;<4+SPWbewy zIDG5Dnae^E&@YfgADSnNZJ~v~$vz)-7XE$8!s`KlM9({+RJJaO(DX7IMV z@^62_8;885LSAn*b(3BzFw*{wv+M57HzNDxZvWqgUl-}9^X%`4M|nkcEz*lYsh6Wz zFM>Ov7mnu}VZU(lH(Sg**HY}Of}6`uSD_o83fs#bc6(kk1qP{r$JI<61Jb)nAJn%N zKQ1f`64RXN9OCu6O7~|J;huhLtEm(}B<9wuue!oKMreL{2f>ow@1MTb+KDr@g-$CA zS+_kRBoDJNPgxo|nNsic#cn(EBvZ1~V6q5YP`tkGn$2R20Pp!Fy{(7iT?9CXrei%#;O#XemuCGmbiat-sj z(nuOKW)X$0bx!EBNYdX6nlbyc*yI~|ZijzRa4tu`4!l?_)e|-9@Z^{s)6SkQ1-`lE~+DM#Mtyx%~1$%u(z{!Hcg&D?e2gWq58*1ah=}#3x ziqjeU*v8}a?4=t9%CSehL@Abck;x_Qt+K@SmPPW_8YTnBT&b^Sndw$4U2RyV)<_O(pm8z6+oLkGXv5tn2;? z=BCCCA6%i*VRCCW{K>PA7FWOp@dsW+H4#wKu!#)$KRx1Sy>7Xjh5?u!-B;`;AK#zo z8lNjj_9O^}04Zp5^HwXB;ki(8Xo49uo|xS1i}9NjNZj?|nS50JC}J;t65&>9WD*_* zn$Hp_LFx~9wxCFM+ss-Kv8e^iseywlnxo2| z82pamx@C%6)$xA|>b!Mil`A_f5cCH^0$j_D zQlKgVbbtJzj;)K5$2-cIQ_o6a2T;TVeWCkBR81X-lDI80OIO!ZHYM^vpO46x?$Lund!8Hj3U3Voelx zp~MkiVYtI}^SR40FqLXXRfyj;3eY_%lF>-SK?W=;yB>4ba#ca?z)=?MGmBj=Thg-U zVQ#s3Cewj+mL+NSH~w{<+jiI$_GRb$?fy*7is2o@$b|CK(ft94nxChgBW96++!3dh zi#e|{NTc>Ww$1us#4MSS+ZmjIa)cBY&9sK!b1H;U_*w~(${JbG!ISUWh|6pJ_7zVS zYtg-k+gK0C`VUgE*W-n5nlwx{ig+%L@M1S}Q|@y4U(i>;XAmuR- zLiv4^!F z&pU}143q;BKK^{<7xu8df$FSWjNI7~5_scecbE{F?E(6jQN{>u2Z^S-?=erT*!n=M z=%dgiiU(4A{rCAyPql7qpF2+UW0buAQ6&{G8UC!Wx#bxIyY;L&y{jaBIZ#NC9Q|8h zl^y0bUU@E;KNoVd`|k0$TzTeO@Kk~K_ix7+H!SCaS+mv-4~;3?+uCU|0?~uyP5Yx6?ls7519@5pWPFfz&rvG`AHvPJ0hhl=<#}HZvBnd3o@# z{~(@BxbSKN!koQOK&6s!_@4>k=r#M&y>w{AzcH1nHy!H9YqF2Rp$A6w3 zXx;kh^p$RH8)mz+bM$m5pmh^@A^Ub^9{Wt@CB)}(lLPDnFoCE03J-SGyQRq+hY4oe zsAL)Fn82TTeg_tct0@;*@JKOPq<;f$y109qFBS+Hhmq0ATQ&bKat^UcJds9i2S>4> zt^g3(k>|Ke7H&n3b;~Z7G#foCxdDA2n40tShz~K;Hi#=tp;6^DCQM}$<@l_?MVhrw zriSjVPx;UN!7wEQ-wHQfA(@fkIIb#!T_X)q_55<;$sM+V2667z@ozPh33DT~U-liV zU*PM9GH5XMZC_z5&Y?W~dZQ@0{j9*coEV>#8M)er`_Zhp$CO0UKnKAJi%ev%*JrWa znZL<)JzRl!4(TscZ*hYY?PGPH0=YYRRl5Ui#0;sL%~e%bK-j=4JEi4-4WoWij7YyI zB)%Ct`N_>>aQ@F?hY@e?lz+oxX|?&Yi6CmkdPmAuBzS%gm%BlLv`q6GBF5YI1;tGxcJQI8;SfoZJR2g za0CNVh76yj1wP(m7kb3AIJKxXbNT0zN!6+UFUVYbuGS zuX)e<87BGBUpAgg`#2M%D@T-T;P-x=P~z?uD7_1z{({79kHSZ6Rcq~s?j7WH(T9(Y zzvhd=Y`}+!1WcTJ*=2)$Qcy~GdnM{eEITo^SfK+5z`~!pm~gn&Lq<^`zT5GrZ!P`3 zxyF~eA{LR$)e!oM)PS)Qmv3{D@l!aergM1@dmARlO?)np8^f{F$QU*fsc#1X&CQ<> zjs)tO3qRx!co*Q0OZ;&0;sszB?8Cr$?qskDG~83Oji}n5s7qsmaZNKyK7x+}_FZ|Y z(#vXO{5mrRq{*bF9Lc9rf$+es-(GESx&rX>S4?`Pr3Qui);{0!mSgeZvHV+$2_4Al zXmVjLI^MU@uFuZWL?IDLK^i@E{R49}b}}t` z;`iI4S8WQ)C#7`+B0q*g2o6!>OHJuShYP_CVC4g^h8*<%U8v4E6|V@;P5dzZP;>CL zNHj-ZVS2etT(5f6Ty22Ay+-8RlODF#x>E5FS!&bhF*@sdGSs;h{5!XWeHKCCeuP;~ zmF{-W57EEa;H*>A`03?l?pc* zIm4?u6&np~M>;M+7Ixay>s6AD{JE1xlcLa@L8n9d9!Pd=$FgG+4wRyLoM}{W|5p16 zZxL~tiYV$`$e>kixS9rjq|63Fq>_iI7)|4;tYUD&p^s9ABR|9TQVaqLKRpb|e&c|f z;SYv?pGN8cp6CfIl&h_oL~Z9Y%#N??rwoohLKLjTh}6*aM=Jbc&L|VaJuZ$Ys)_oS z8D=TjI|2(hZg(RO7R&S?bW@{9*5ey(omkS3e{;^&18hq6Km$(dJhZ-5!_U;;!c9&{ zc*Mt%Q(CjDqM4g)<$0tg?oWdHv!HdmXk!*Z4DC1`53NHJ!xEqfIlWDZ*^o&>fit#$ zeP&vTPRh!)grk~zA>~!ApEdPZ^)fXV4F$)6A!K%^y+5C*v>X46KoTi@a92w zwzl8*1(E7&jHex}5i_~?YayrHoV;@zX}fF~E^axUm6*1Pil-K(%RxcW2nXEBn-Qbt zR4Ux}gzEFiHHw^6-zKAih`Eh5XIL@Ng~HqIKv5AHGjG^LFeX}uDJz_b&xOmGr0t(~ z4g7JB(wo;IIC1#2w5>*QcufZ_w1YwkCC*|x@F%6bGJnQJouu$}ONT*nv@cI2QidgX zon9LGtxB(oayf;sRaS>kQ1dW+eLrfXc5Be_44vaUj^^>uNn8Sf&2q(OpK zeyu31)A}&CslIz(9X5M>LOM=o)=%S>PpFjNmVX4iR2x0b#2QbWN!?(#&G9Ot>C%j< zIR1{-^1k#gD7(gb2*YbHOKez_JtCO{SxmJ`uY*w0_s}Wah)9MZx%MDFWOTI##}-=G zC9i7U;yxzJ*4;$5qP%|UU(Ta^kmmudcghQ!>BC~*A5MThG?YofO4FvQ$VMsrm;(c^ z3AIDuXO?z8<;;U8svZ5|RL$v-gx-06LaIlLco(!j6FtJEH^kRt0&BK!*Fi1&cae^l z8BsG%bfwh6LGL}nCYP2&&-8Bxg)9R8B!`ZjFoMlWgeT_{zgZ0Hxxu4c4)MGpVB3aM zJk7^$*S}o}F*XL{T*l^Am*cHv3K9~kq0kn8W$1oK^YG=d`}NqGf{HITxG}OZK8u^P z$;D)dROA=5gc(pDmznsZ!sQVDVfq(t^Etmwdts0F)_Me+(?q};a`Ou^KLd{CunN{c zEvRpo=gn5f0_&~HfXN?0)q8;Kpcpd-w`Oi<5$+nP&$4inR8A9vJ*AoVbGPGlnJ%CtvgvXK2leTLbqBn5YnqJ1=MR@y#s&FsYcLBnNV7VopR!V}h~^%Ctm7`I z<)(>2Iqs|Z66KBXy}kQoDc3hGp5SmU@=|BJ!OFL5nxv7&Yz;WnrnX7H3#JpFJ2;!g zNyO@o4|f;^;~gLCtGh5qVX#Vhbvt>d<2Y9ok=FifjE_qD_=kan#BNrMa{*f&V3&y@ z5d-Zu*Ay}Mte1!T+QZT?*2)Tu?6*DwhwIAb9st5ZH!%R`h)}&8dd_x9^pt8%B1_2=or(J0&>{{p5m7q3#f z^Xkelso@|P@>I)n=O+^L#5t64)VIszP#F4$ZeGSgN-)^{5_=agwFhMqgi`& z6sf{(4}%%*oCE67lOOx-WoJg-w{}22buLG-ibsey@|y6?3oif0c9(pwU#W30sNKU)qDBE z2;#+lNW(u}3RkKuFGTj~qKK)e!|vLmJ@I=qb-`}CU8rbk(94E{&u+;&myoJI-U+vcv znO+5j1ulEXBy&N`4}$vqF+hd}(Q4mjaU#xFFz}d#$f3(l#DE}^8@5*rJLoPUiVAy! zMEha=2tR0G2lSlDNI4nfP`|uf$T57aC;GdiNSi8ubq{=UhJ19&BN97@7GEx>TQ$&* z4MyLuM}JCL4N|IN&pG=&csYM(#VJPsp-TUJx;lyFd_^+$^6%d9+FU4RZ?uS-%kjDA zlT$8%##;yu*5fAmVV}sU+4s&%w~DtJx68$DKP>E*1;4FibB+8i)Az*=yj(w6#mdHB zp{|I5loGrDHW?*krv#EFrtMdAZG(iaji!Qr^d`leY>O3`79Ly&2foL??=3 z6zII*t{z-}p}u(Bg+&#_9?)RUc6bgao5=y?4CR<-ENA#J?G)oJNsf4Ed`>4B(b;b; zYs}@roBa9R-DI{Kdyn@zS-xoet_>m)T&Z|l8807n9nS?*n{yC>8ZR9_R7BNZ@~Yyt zZ?2v?sPu5e@$m+xNeJm>B@Hb3La!1w#~qA0IX-kD648f@TV8$Qzu}6!woeXrT4odH z|7@w0`H@J=SBape8|A=JI=f&d;J>N{6#>@8_ybvSyEcTbA`qvS_(fJx1#o-;o|O3F zDDt>wBQbJ1Ib|ziiQWIkMb~qJG}XSUuZm~huzy^m@;BlK1=!!6lXr6{J*E$RBMe>W z6{7pr`W7?_!^(1)r%?Vj4Y7P)YHIy0QH z=Z_>+kF)y@OQ$K+nrFV`nddS`?>>F*@0ky)eP|`9^;1F!T@xkD;FZaG6ViR1!Zy6i zFfITr$E=?3$dogk!r!G5lluW0(96GoxhcV1mX*2iSdrWhnHv9Qg)ZA>lWfB1e#g{) z48#B0IzuBP+hd^tFI9*8pBIOgAoMDcH8r~ebDOzW%@6qbF_Ok#b_Ut)oM~dR2C*YT*%MvgQ2O`kuxu4vc_v{V zO+uhJn5)^wFV{n368{^yxOgVe#IAF{)cyc$VpnU*@?q-B%;4&B@fwrk+3A9@>(!U2 z)ivMmF#~US<5Gq+s`mSPa^{>%L-in za8z&<<01<7c2Ad7Lo{#Pcje%Oh8br%#h6wY)f}X39Jmx8_-o9&;4eO9ehwnhZ;m*A z6D%jWh#W0Wt=KTM;2k`!;KuyiGRIlPSlq1HdxIUG&feZUB`5$qJK@1!lI8yvSC)FOf zkFe(RK~;ea5XXrZj&P_&Ancd8bK++o++5H@NE53r&g+d?uR}xCdaJlnwUOU>nIHCycTT zY~OE0|CTGJhV=v7(3-Q&*ZOm>Yp4#sC+QzNV=rjDVki2b!GQ7e56I$UU3%jtJw6#y z=Fya8h_Gn3x7EhbKjn3RM??S5?a4lXQkmMZ@jg&3*2>8oQ1%7R4i(C-NBBqfmy9cw zRJ|VI@D$87W-+4Fr>xH&nkPD=oa@ObGgTC@&B;}Gq^9x}S#H-{FzWO7#Mkt6p2zw- ztpeW^hy_eGu+4mY4Bh2bACGH#UCj3sdaR!{($d$wjSpCF%)Z8JJPW#7mWh#DQ8b~) zXm9J`DM$CpchywNM6|Dq1wTC&73P*xp$l@gLmYdK?x=Go zq8p0f8c#ngO8LlG5{b#K;OOd6*I;|inge}8XW=MZi=|;Ewtf&eXUGi8T&OxE&^AOt+mP#gF6M81T{J z{vdn=vfIF~I$b2SEa5J6HstEpuyEWuK36s)!+F{xndM*;A+K5Vzd3T(#x75;Y5~`B z_U|tg8xy3NJsI*Mc3>kO1o5NRrVlw~O5N=_tJ_Yx* z7kd|!0Om&D(w`O5XCw4Rr6fCG505Hek@H8o+c;BG3NMcr_iSSAE+wCP(}%@ZA`R0K zY4tOQmGV1v6xpJUDP`8#>v@qvp6(<@pgQZ)NSI@W4E_+pdWycy@)2&N! zY|zFkvA+Ot0TJ8xW|U;e+5sEb7}VNEC=|aUMTXSRP8JQaE z1-=~x!PU>wb|7$5ud!O9?mJm@_6eL-gigZ4(_e;~#E&bVr)IRG$J2c**19n<`MF_W zROSY5H)}Wf+5SqZq*&}`D&HuGMy}=Qb;;?h4m}}zN{;t$C;953pqFo9A5ICuDo+f& zm_NP@JA69@X<9F#1@VOmQmv{!%~O!_JT>P#SqnD?Je#aCoAS-I`?8H?`7V$8|GVz%?U?x|ZYdD`97*2cr+c(9dE-!Z3x^C=W z_MXZ-mz_`9NxW`pq);_K#|JaN_rs_CGS%l{mSX4wVEETw%|&CW$tsk*KHdXKGX)mh_Enh^NWajw zq?8_uuAm(gPLjiSvCkebKcP}(H+kuwprd;>!ey_ydqYC0TcRqhZv->ung?6ZVAb_F zvM!ktKw>ZxE@J7Pq2|Vm1o0;8ac*Q(Vq>)qb~wNyzQ=N?90e8y6hw?fl7ywDbEqky zh&;H#rER4wwXe(ijajYQA|9Np2@a?JW&6kwxb)=ryRpO>dSaB?u}NQgm4FfYP(*>_ zx#z34C?A>?N>ktv&GGk@awKI{Y@(Y^*?~sY>mgSecR+3&%sj+Hq^;reHdS>O##n9J z4$=>#T?z#>+JmiBCq9)qCu_CP^lJWGUPq`Cp6iMT34JN+@@?$;*ce5kIXEkn40mX1 zP;#%2z6#bUKuY1XR?xT}<{ql6)0+Nk$^PkvX(?l|(8rL!mv_2u}iD=D9GDBfKi#V%3nS>`65^lGO zoabvXp?W&X-989qJe32zkZQy8pDc#=%Z()~?bw;8KAtr+w3yAS4sIk8{cSu`t1Ox^ zR*~0GlYsckwjy==TT0UG*pdzPi!+x8P24ste%~iMw3%8IkZa1 zE)3CVlfTMPv!2=ostH@iJ{EN1|5R#wPxhz{ay=A2KK>qChLL6f@@(B>17*!hdNDt? zH+5*3xvW`Dk0d%3?xoHrjO8}cU(-s{S+aflsmpD9MK=PTql1W&Ie>0}pz`0ew-3qq zHq+@$fJ(T2BQW{Nd?3?)PQ%A(l*km@Y~qAiapmB*paeQ~W9=%>5U)EKtztcBP#(k+#ak z-fBYlsrox*?O=2qAI|}c!kmuFT=Qn1Ox0tTPMlssY~JrF2RV=&(BfLQ(-)=RCCqnF z7*);_u$tgMl!v0pPBuGlfkS;Q(*vk1FZh%gER353#KE_AY*f^fsj@}3LV0)VA)X78 zno~jdyptS+f>JS)N>3A=0C84?(+CAbN3E)juY{TmFH2d72(maouQ6m9239O2J z^~~z~^2Hz_z3TiihTa)9brA{-m>>H{SCXGlriX^9Xm%eB>F8nj-`u78UB8aP;~gx} z;N~`_ejGQi+pezH+;Dizpb24)$g2K+dvpx#VFI4Uk~BskT1;=tihV6jX>h482L`gE zmhE=N`J^yC$i#DaB)G zM^G#lZ?6<^W}?b-PU?**$UxJ5{SmvRx?y63W>P}nd)wK1{Ef}d6B>Hb}7aAV(6*vB}7)xo;Ja`xiuQ}}WUH9#a?#skm(EUp` z%VwVXb^KNx30iI6+ObM!Ju>O3Ntk#>B9)w6IV<@y0_MTuodEY}Ch^;K_C-%KjFA3z zm*Re08&-RItEtlJg90K3u>zPVqK>?$timM6iDNwiK1qDr6<21$HE9AKGmNISzK?h8 zo(<|f37)f4-;?$zB(jcfdjRGPownqpv+KukdN;UyeNdw36QuC6KaIP7h7l*Du9|LxFp4CTN!fXJ#)Yd2!+8~ zsRguQpF|0T&W00e z(vu$&$ZnR_fSAObjtiERtC}qm1wgQ@pUSOWq4ST`=AL{>6Jq74nUGJuexJdUAkXTm@tON zKQbJIsEkWB%pUpug@!eeot?z=Gu}JIKv$SWWOK5cs0A{xjlWqK>J~001~qzMZ*4SNP>{EU4sz$Vk(2!m-hN z?|Z4|eR~$kN*$x~iu)n+o)PGPZxH17c>WfNUCEle^FY2OEaXUc)^duq>a%~^vIlLy z7-o5U=cm1ZavjL;us|mih^?1!J1+e13}#DmNAUA<5e_Z(dq>`8OE%(+7xRiu62s2e zVezS|lM}DEb7I^4-nPF6BSKa}njpUFS3jW|fx>j-MKDo@gF-icOd8#3>RCrlVRW9;>95V&vcxY2%=^F(2G>?l8 zKI<|a@RJiYC`&(K|CfHLD-a>}Hvl2sjg5)SL{K5x(tbl3h|3Ymo`!G?oM2lq?H@5S z%nVQ@_I!s|T8hQBk(@QJ)e+oiqBlU-DjYq|`lZsrUPsQn7{8n^dt0LPpEc;!#tudG zv}Xj~P&;e=2ne4e7kR^0J5AyV0}WN}I$Bn(d&^_xKXbbluVJp4e9#*gEIB;_JfgUTddk8GP>wXWPz`fj ze_$jVYFdG0aN%0P6WJS+)S;TB$RcT^ftK;?r6Az~BIMXNJ|i{go$!6(T1~K* zY%t#hjM2B5gJqZF|2o`0tp}4TmEYFHYA9uB!Xq;PTJ8FUs3x^u$ggjMneA z`a>BNH2PUzir+KC>b*E*OE*0N zFdD!1GscjWI@f}R`RTxKv$*>KuFGeEvEWx5HO`b#E_Xwf&%5fE?uo5{YCrhq|6C>@Dn*Beb<0r|fP`7`ZID`kGUSPs3wUz-`v(IL^2gWhE2ZmP@ znS}k@`{%BAVn?Z9r%%*wQ|hT+{n8G@bg!Ry{C4PC_Pc$9Vtw|z9ar|>P*c{OsLjS1 zMO6D#ux%oUq!K^jGZ{^X!21RV^=j!v%?!O)6C-2S@cE#*;f(NC&za{hi$TORJVcaU zkH7PUaP8&Q&^*g+W|#V_c$!?s6vH#LA?y zt)|ONL1cxi^ms|m?}`x5>C73Nh@PeMfMK_Pa!hVDNlXQXzUt`>B?#w{8*M2 zmPj+P9~L>sg$K$hqDB_j;r^020PKim0&xj}{#jRMDoaV8mHgyMR+=Zelf2Nu1bcV?$u zl56a-zV%#?E$!|Iqq~l!IftXWh?#l-##l+ER&p<%aBDHq`qIPNqVR$QiH0Bcv}zpq zoJeUQS)5C01->M%W+}PeX02`R3IRTKO9NBL+D){S^%lrxES>KO3>-15I$!y8-PYz> zjz;7hFOf!TEcB5^BAw&XPQLG_o$KbhtN_R82gX7oYr%?Le43o(5W`2pd_g2jSocG> zJKWz=JmK>By|C~Y9Mql}wb=1a`+V1Z4bGF5AEAQDgoH%mn}BNRsQK@UYFBYtLT6O- z`%`v=j&;XLz3V|)8nc~OAIq?3bNv=0l^4-;=53O6pR{%FfBW^SlXnrMtojl_^_{8z z>bra?VgUu_p|zSAp-$J&7$u4bI7OYYArs5bOMJr{gp`tyhA!;%wjAH*C*rdhVGlg= zvCYn)+^5=4uSst38N0R^b-J25Rro!wC3AENPLPs0*`CxMUR)r^hV$T}4RZ zLi!|GOtc2d$F6j4565X)0R!j}QyqCS$HsJPOcP!^?KQ&$MynejOHBGTV4-P_=pVBy zlv}923a$l^q3?&DJd8ldI#%4JGu`IUkpoik69LhO-ikia6eKXafe1uyeUj}$u42^^ zLw-!JDIhzh*!i&o-MKdB`tj3t-45&MDkBQ4GrcKkY~s~{^e(i6fzzO#QWy@~l7>4T ze+cPD19qy@$}~-|op3sWTm8i^I3QyPxE}7Bzf-z-*ohaBAL#e27bPz+IHH6kX=qR1 zs@*N9VC(M4Jk(XwOeU~(gF84->uru+5_~Gxtt;(7JTnLEE{IvlFqGtPw*0w(;3RqQ z-Y%su58ffJSt;Hc2gD92F=+Ik2CS(@NZDG05r$*25;T5B8Rc2*5Ww-JHQ|TF8^6Rr zC40J}XT_|{P;^fZ+^kJiUmT*2)!e|YF_@_Z3pVS$Z4p{I+3? zm{=IEYfn>ojgfL8crO}DD*-KDm;u`r!e+s_7^mDoNF&G6Hik0ZE0d{X<}1q5+v&5*MMV>*wZY12u77Hj76Y`U1S3I?aQ(kEevk6t< zFp{`+A&uK82H6KHCB-(j&_BrEkZ;a@>}XRXgLM)N)ueOccE5hncwr8x#h06AQW8rY zhR3xu1(=8e(HYYW#F9Tf^w3D2XTT1LIKzd16Ybol)g8-nBUd|B0@;9bbUJ3+6xV8$ zm6hOC?xp#qw8RB%WXlwOJjMC%dTqQTd|S^~G+-1rNvw#YLD8is*5#`c~9`oQ<4X9EI!IF2U4-z@!_OMcS-C z<&jc{ZQfd1#ACk3KjM{fwx&MFoD29=B5UalI~1A8FYoNLYO4|U1_)YI+e;c$xA{j3 zGPyAbqGxV!M80%B@vmnT+*)XhKq0#g-nxN+qaQ_dbk}!S1bItcAnE{K-w?mj>_jy zy5mSvV!+2l4VgMSA_qNa{CQrK4oy(s=r?`btBm(VTKdRNDVM*&9VQlDy;Uj>}b>L z9_;qq<<^wqHxD>eM#9hfkWcuXMFJ9ftVFh0l`u&l<(R*uKc^1Uv`Q~$Lkx1@lC632 zi@ij;`;El7anbj488-^_&J}+2{`l3wn|j~Hubc#)8VrwVnd){UwOaSo(~~AI$C4;k zJm8g`Y~(7R(ARk=ent}qSiUy^!}u_qLf zQozRb9E7plCDR6fSnJh)hze#+C>w#+rWbsI1`AAcDfMNOW{SK}OmbIXQy8pZ&z5e` z0APuwvp9On$rTuYQZ>_xh^W0xu9G>aNluOiRTtbjEej0fKn+~CLA<*=$knjvWPjI* z4~~7R0^$Gyej*A^Ujh4?uo~C-xcnN^0T&5zhY~WZG!R&&t(gdm&OCK*(yZn@!wQeK z92=!*=IS41eW)$8_u7;kSVE(RZAyyEY8ffLK#6@-4Tozs?3)a1!yDl&!HOZ{>b80M z$2d~jq}S#tn?oP;BKMy5NhAGs1WxuZsm7r2QrK08P0$z};Cn$n`~M51|JTDd&&kDQ z^weZ)aeg48D#iU**NKaS8DcE^aUTPj*oGU=1}fTcQYGvn-G8CQgZ%j}&*R+J<-Ya9 z`!plhZ$=sf^|Xh1)U!yI-!=yFluK=Zz_ zT$1vXg|{$F)%XbW={#*p%VeJZ`~Xakt-G2%(E2__gb5cc=3}8#5BIVjH;+eGLZ$#) zdW$Bcr1}5^i$*N)L5(GTSJLh~Axsvhgi<2aNyeeQ=`qjh3seSrB>Z`6z8Fq}68WFL z{Lr&lT?kcyh>~DoSnfcgJ#~lZS*EIDV=aCZj$GcV=bw?o3|qHc2c#OK5*ae3EjXWe zI>_rI@r+b8le3O^l+~7k*Wn=X|Cf0V_^y5{E`BuRso+XTnkIuzF5&DfygEHXwHrD? z5X_Shnc#tBRee;Cn`swg!~z3!#j7lY)ixjkZrWg>AN&yhmALsy?EFV8E*1ufg$$d2 zc(%*z(`|Cl_=}Mgu=K>3{OLn#-0g+PH4sK{=beo1SUZJ*+ykDwavqtzYI_&qS0oGR zwZG?f1xa;|=B}D52NGDSG;|^?&SKfvAT^a{)0D=a*-x)22a-LA$qZ48PMz5aZpPQh zk?o04QEJIHpy!hy7yOP#)R~(ylsRjluk66B@H}QZ+}47Yg_)@m@E-gMtn-;_XU8gO z8-~l3$wdFvCN-|I!W@ttELo@cgLxr(alhtawZBCYN*? zw+DWrMgOtOYw1D5w9L0^wPLE>XaHWJ@lS`sk4?g0efLT@XWcVDIlum0^qIK2uObglzDi-Fbn$#N}1C1xez=R1o9ab#<>3=X^g-{dVs z97X2TqIzQrVW5jzDChfinP$+9$Z^2!JQVT1zIRt zG*Fb4{ z>h;xh9kIPAnf?^>{_S}J8}p4ye6&3BM!HYbaz&Lh=UwOYlnjlHM}%li|Jxy z-Od|f%MT>SXx!VYBQ|%qX0Cx_8X;@CPaw;<3&Sbp@X758?{TLpXY3C8_1bV#P^Tpf zd5SMTyi(z3)c4V_6+ENYS_bkXvONoGi4K!insvq4{ZIK0llLh7p67e)Y|mVFq~!0O zN@v6w9)ObQKt#GhoBYfE6xL~Fd;H;J%~31Et)fs=HA>QxfQjy8qOAahY@!4jUUn@D zUlUBXRq8`zwhuG9P$OuMm)>p;xr^2RI{WI;Tn$`W|JCDW>^P5GoVt@_t_>4S91Ll^ zSrcmXi)J6)QSlH_ZJh5#qyFV{PCw|Mzi_>hd*jq@9zwI`+{yjZf3*~VJ*deC>Wpv1 zH7>I5%wpAm$hZ<0%gplA{ucdTC%zW$%j|bqXpqf_iv*lcdj;~oYk}@1Tf1~JCF-E{ zHLVcT-7lpmXLGa}>u_X^%Xq4Om_t{t%OT>{E9=P}Etb-dW&%ozS%I#67EdEr_N4mV zijN)v{r7}(0M9r@uC<$vZ&4G~)uE%_t5|hcQ`!^G%YZw-g+`L2~AaUWqkQDaZe<1$FUiKv&0nR$q4tY!jCmJ)|a0l zr8j;{BKMSlktb!qi=pO^k(T9*p=~{OHT=kb7Tv-e%!L?-I1524K345hk`0NHVw#J( zPNiBcN@Sxqg0r`FDY0k`gK1LV`~I4}l2dX5wh+f1 zDWYQ?BwfiVR25iAd#0c+flG`N^I8e~DHV4ttYlh+sIYMWog`fCsfqr-PZ?QSe-pFS z9ndMH#Fs2~EAyYlYm@uWL1Zve3!I*~X@h1a10lO~WWOQBwIt93!M-6S-*M|zM}{=@ zbG+s-h?^(%9Py@+0O!-!DP+D+Sp5KDQ_uF}^5GhN=6>SsS&kM9FeA!j)(a$`KOc-| z?;HwEavLl;*>EGEqNfGBw#HlZB`(CF#JZ7!8jGRQ8oIt@+`nzNXQoX} zX2xM(zb0a0NnrM0>X#A<#7?@6S57#W5K0pfu@tbVu&R(4Y%yfVjl421e7S6>`c4a? z?g$f{gcmABGE`f>Vbam+?qkyr{)J`T6{9U49Ei-oM4l^7X_Cae5-a@}dr3WAq~z>Jto( z&MpjgH{U8JW(civMB+Vh+xsbw|GF#MH!fA>Q{0I3?CGp+fAyyFdGuJ)k5Y$Tnluf+ zEma0nlfs6NcooF-Wo#s+*>;&gM_l z$a^fCnPXh5-K44W=xT;kx2LD5OP0H&4a*cAb+aM0Qb-|m()i|eIQ%W@t*9#b_7yL--m@9IF zfE$f}z@co^rWk3a_`+md06(Z1bBjW8?*dXT8~jX@oD{iUv%I{mk7tf9q;K zZ?w5PirdY(pN|tFYK=URo@wF4w@~5RJ&Fs}{;iku(2cCGh16d#iN|zz059T1c0OcK zSkuzUQ$;+5o!Ajvh#{XB!)P{0p$IClSEy8ik@f7jF%zXM8>%^mPW3XK#a<^ywk*g; zTYZS_FCL(%y;Dh-?jiheHnBw)YV*1g?T1zfGUF00BV1Qn%JfMm`=8e#U&#HcryW^w zrn-@C;MJ+r7n4850sqso>bh;i{?sP_)7FUpeS(fIR2v&vobo@7#rm&v{AaBH?;qI7 z_b{^l!aMQZ4IwlEU-0u84U-c9dVWKe4q5E|3tgo7mvWqMHm!mD59bt?9&2UC;gK{z z2r|D+Y8&v6wTtxERK3bDJgtU5&ov^?a=YVUU;4=XmPUe%EL!mw6aQQ1g+$)}&zSq4 zH~habqyz)L@3d&>(i9UfFI6&nqtFC`eoiFwJuWJx)sBU*$UAR$ESYQf>gkZ+;HBq( zSsgRlmNe~5&1JuRdF@R6VeMztZUM%adiA@M-fgOGXzx)kh4E0A{am?@HVM#TOVoM6$hz$8|Uc0-tSMp9~k{%p>8w6-3eC-jd9w*M7D zK!p(g`vwEDgQpx;z|tS`3WKj?AWvhsXBnfBIMn@%!yQ~$w_jYb&Zlp2oqM)28J^5$ z72o1D2=5~PjMe$CI2(YHK~`hW1Y%XPMw>oZU$JFxbN{JW>P-DtRE7M;K1(G9X!pkk zX#+I4D&GvOycrA^lSE?t=pV61B2gBJ@;(+e>5tZ{*}4R98@+xUH>5ApThu1 zi-PhsdQ7b#!PgIb1f^BsJFp<4QQd&931_i)|DATR11Vw@@&rfF&pb(A#T_{CRaAbA z;^N^oH~wRmAPtl3>>G%ROgzu#FRz57P_8}?6UYlBCJqJv08Q~1=wwxU6M#x3!oY#a zyfuYgop4mQ*inlCS=T}1ugcAm@7ET&1{n}lZ7hZ$50SJ~D7p$FQ(ILt?q z?Q2Y0(Vb-JWHT!n`3IeZf%^P6x81K7840$ z?J?&ce+-GU4-)3&r^r)KO1szq1|*`kf&X%fLdc8Vz7#QSi+Z#_KYfY(mlnOB-YH1P zKwhXgV9nSgSCkRKe@_XzcR>5oRKeIrZc>j4#>~K>4BJY@GMfoQ3mo$fe zp#vuknIx9f?ARcuvQ7N=)yVIJwSWJCd|Y|K@b6@i9d==VheURCv;QCez=OE^1q#iy zV@A+@N>bY5?2>ys2XS)@P5aWMakMHeqgExGc(0S8U`TAjT97R%&RPC1Lun7i?lSo<*TDK6!fs}$5?)kptoMzZ zV<>37U5!Wb=s)cfd!Y7T`++W|n$Q}lL;q=VQz~_g|91S(1OJ1i{~K6??M1X44PI29 z7gE92H?S-qKk)FP83R4^hLpl-sKRKI6mrvvkbOe`)rYpd4dNE@@p0xm3l9$No0R-3 zT5KV!Q!d^(CNe>)^+-9=p!_Rll?=-V*fI@~MokwC-)-MYNtJRvt3|3kDXEQA&4SI0 zv<};CyzsLxh4z2?g#N3KE|X>}j|n`x99EGIV2BNwU_}b22FBk}?Uk1L!9$ASbokf? zZl7>zmqqYTT=vwEz_Y&l3sCC#!VKnCk8DY3iCkOMNsDz?R`f*CpFz?)*#FEEPcSd3 z0x}edqrJ>MK`vxRI`+QrS_=u6`9E+XAtWY>k^ysbeO9x^>nyqdafA01qa*{lN|mDj z1@n{geLdMwIDpQeMTHH&y=@Hjyh;11A{*y_1GO@L?1Q@#IscHP@-Gwik;U{g7)FDj!ciTN7Wo%<&`%=1 zOaAUF87U^ez9Gl*aV93XTSQ(4jTc?y_b+Y&CGpoQSlj?j5kAfwX9u$~LQxR6eDSkb zB+^x*)M=7{hn@X*5_;x$+384f z)pPwTl8offAV{iv2A$JTh2*A-){dnsVFQKpGeeL#m45LbHpUyg9b&kSI`H>)BJbb0 zLS=<-kpAIAr!NBkaPDT_-$@ihpsBJ&?ho^}4LW&LfIKW)0hPB&Si#}bNP3ybV2pWx z@&1Rk2LEL(nj|?I;)sv0)yEr#^Qfw=ir#B}v}RwoZ&YqIrzGdr<9u1xb^ANutlny9dG? z-m}YrX(|b2tsFI^G-)I-w_MB4T+kTOx6^LMJqj>`DI6#kzF{tv*?M(TnqRoo^p9G8 z{jV1M0MTMp*Y{?%_FNg9xj(`9y8ptbb5*YSB~ny2|MEz*!%LPI-Jy!phV!yVy}82T z;W|+mfIJR*q;lEI^!|oqn}6DWXR7)be0drmh6I=<{ZY);c2)jW(kQ~Qg~?R;zsxB6 zoGyGH@n^uKM}TltZiw*DHx$3;%1*!*daj@s77E4k9cl@hW)km9m+{Z4Rw$keb zB%>RlUD4tcJcGl@n|HPx#ZA$JrfpavODIjepMoTcgXg%PHO*ihP@ry=cGw25#&gCz zt5u*Fu7Bd7l-6-4z?1&0R-%BJ6Sf}P_BI!18Th2<)=B(`$Fu1N^^DD2>g-bB+7_uo zlBZiej*C@Wv4#2WCg;DJ4=)-G_*A&+<$i|r;wqRhVw9elP_fyK$(hAO@8ZY(PnI_r z&9*Y@wk-1vTn)Ltt@AcPTFnklb=5yc0Zn?;kC;#k(8}m6jX)-~X|%sQp7dd>^eUz_ znjB0wYp|pm?evIwl=cE}^ZcEt#V3t1^nhHh9N2Ed@Sy4g*kW7zNlrp5=6}gCu`NwV zs_jtWoVkF2U)ED`dx~|9frB2_lqDDtFI>pEnE!PB7zGB{hv==_ zij>|MR?r2jNnqD$s&z$v>c-1hr-uy$A8stXo6^M`zS#9#^SV@US7^Gw zf$MnoZ`X6~p4sVNt=#MH2~*i?FW}Tf%$G;jx*l)m6k=ZSY~QSToq8tqcBK}X9tfkd z73t3g<%*M86D5jmIZz=Ce5&KtbXFSN4BkHfsbY>1*`-*1=uzJK>n>jGozmH?;5lvg zS&+=0J5mux59XGY;kY}&dye}s-xQixoSfs(w$6CW{8{#%f!GYI)zvNtLwh|E&C3E> z3vr{fnkMk`>?&OW+QmCbJszG8PN;okQx{O@$j*4k`< z31Sq*t$Mogmfw~1VmGXk|@w!r^gBv(Y82z!;CK9(_PmX%tHeQEZYGlIuhhITc_YMO~9)P+NZUZTYBmLM$A7H+cMl@_>y*l2DAa zW`byLe=Y5rv}b|@mDgnXN|ZH#ln-pMpIQT#==&aY$lW_XSEh#$>ZC7?S_SyOL>D@E zht9>lCAwuym}mt8(sPWS9hds(@cWY=i7$70tzVZs8a2j{zP(Qi(1w{TVvmq2*|`^I zuQ$|*qvl2wlm9S>Ehn|(7ByU6v)-NG!>o#>*6j?u!7GJBwac>DHNo3}?P0@tQgp8D zHT&neHY8X-f7Oj>C}xz8lA7C5>ZBhUU(Og^CwLBQUURi<*S8->Z)4T=i)nY^YyT&L zgXc;OtMp+2hCH#C09$ApEO}fO%UXl{Qsst{1D4|N%}dwm?c$z~WS-BEnk$8CqezyE zP@^CWT+|l@L#Ftzi(hh-cA9alVKF05TxyKB&=M-4eeJWO)7+|n@~Jz$%RG$GgNT2sgM!v9RDeb8b1S}axp-2Sw0`N#>M3=2F-T*_Lz@%Kq908nXD3sji zqu6|P&!P0axjqRuhcDB<@PiUp)1J9%h(blN`KDCoGBG8Ipj_A>&5CYX8+dkM_Roo)Hi;Oh4MUlpmL&6|!lYa}YZj@;X%Hg+vLCsgTMNR0WfeHu ziu(5YBDqnQo{g^MdhvsGbic!q?T&G>qYdg~H4uE~<`7T9W8kdH#u3 zFFH5&_C9>mF0M0Q9(%6A6ItQNOWIV5_u)`2(Ts1fq@Z%E$8b_3f5QLsYh)!`Duz_0 zqBoX?jzlv2lMr)bMiUKHgNBk0@*YhtuBgwMS~UVVzRwyfq5HOna4G3WJ9Z<6_mM(8 zo@+t^)6 z8lM2<(gbFO9)R7HE@&2HFi+u=l5(&~Dg_SSO+p(Op2n$~n^ecvx|eU2XW3C`><7_ zWkk=T^8P9~>XwV`FDEJ-DlBvl4{FJ>-|zeA5Z_);MS86qLK=S^&~JHCYa5rPSZ&^0 za^a}A=CJ+}i2H^x$q7o$h5Pg&g6{^r^b7HS-dbyRD$hQM^oUSjiFQg+p&nt~tO6Xw zDl*94SF-Q!iBr+1b1!ffWsEMwyUP5vFXKCG2Pi{3C^w$Kv8Yz_&#pT{8U-O9s{z!E zKM=uNjo_LJ;-v<|OIECvGL9}CqZeDlqpNE=ghQ9n{K$Hp>`?WkYkby;H4Svl=*P8M zvK)rM(9fm&h&=zkw>W~Z8Il|2E^rdILFupCA<*~CzWagiK12#YiQYDUNx}qH-D+O5 zCGBL4s`$A{VeJ08G__49P{)eDl#R z3ZaI=9@wtZP|2c;((^U=HTHBfh-W=Y#PZ;W8qv9in82>!P1q_<0_0U)hsg9Nz4exw zBqxVps_o6SugzZ=fs!QW&pVk~@@Cma?~L=iu8K&X*l7VMHc~aP8#$(Y1c^OiPB$(< zKJ{5ORqWQ8DfVh7Z6gzEK-By z4T#dR!sg2Jx<1FR1gm|S@*F1$MUodu4_P6Q@lNj^cluk?`(&+uUF%>rS_sFMVBFW& zmEM~w7(ae$#@N9Yg&a101-axnbpCYLQveHa{YFx z$)SD=Qh@Zey<{uRK%robzLdj>{q(ba)dc$|X>N9g(W{Q*7ZJ1}MDdAdppDggkiwdK z-E#niLRkt9x=C^T08sBj$(9$pk+iT}B`i~wJV?$ypOH0Q^Q7x*p#C_i`bqmYQ1KgN z{rbC4(&VTVHAx7K3ZaNed9U&N;8}4f?*hpYyxWk7avR-9niQ1=Y9%t&#RpQg;HYLT z)l}@gS9nJ^YSf8vbZ@@9S2&1ub{qFK279&*aH4ys5=5w(n2Ff9>dX+Pe@NYCjTXw| z(kYEcx?q3WCq}3u_izsBqGnk8e)5Q0cu85Oj${atoR$iaPVw(k7k_m%jrAqkh0C;B zyYbrP4#njK*S(4(lHL;6s#6bI0aw}9I1d}r>R#sZ)dFO};=b3&?PE-GK*V?3UpAXk zsrgG4-&GEpNSVM@5SKVWTD<>j>`wBL+3r=_ez_`*$oAlEk$1c+HaD8lc|)P%axUDw4%eR@ zDpL$H%I~SMYXO}LqJoxQb8#y)%xT_PQi)JuSI_8&eM$N^5E0$2NiqgtNK>+iWL?Vq zO3=>f5%A`;_^MJ<*%;PZ`7(^43&9G93M_t%Od>KB>zSJOCEK1#E_+caQIuszT`0u- z-obFFNj&70u`loP)E5ghk4foZ@S`<84n7iy@VKHb2NX*IpH#+fXMS&hn;JM0pMDeK zOB_UQ&vm-Le_+lwGTq%jE4WR-EVP}y4+QkmdSGj5Dt2L@lBpjg-iw~C@R9Yg0Y6?^ zuR7HgdbtRii zoi55H7hM^vS%Wbl)qo;TO-Rqz&-J9CU~I_GuB&P)O`KbpRhYO~#aw!C$ZJ4QvYnr8 zjjx0FT@!&z&3ub6RZ*1yoPgP~mWh#ne)U*h>E*p0EONA1_^!?(uvrP9*7(s*iADW~ z=ordS(Ba+nx8XKZ{uzQ=2d|>v$Gw}t*6#9WQSHP*4(UbsoVq35{b%E^)vrCV>jj3Q z5Z%UtFxkI5EcOL<_*bu_TX;z{kiJNcq(dL1t?J3rw~DQh_I4y)Hm<866 z6W0Dd1OYi2@h*P3CbgOr8>r7>o$es7+)6U#p$#N<7E4_>yC@gsBt(4)6>&}}%h18P zJwH2NB(Mlo!J;TWUvomL0^$1{>f+-ykT8@-gLR~+yMK<16#QtT>-1nh>?y%$&^JqL z9KrqvOP3R$u+CS4z&M1R-R$r8U5}CWGXGM448f48;D3UYhVo3Ya@VrpMd!zzl(L{N zv{55Qq(?%L(MKE#*Z_!=IdQu|SF+(|+C7F@Kk-&mNFZ*~LC@#uu?g3)h=P7WsioL$ zpV?(8V8yJM!f)W)LmQGF*<9RTuAEo_VfH1E0N+yy7Zd-_NQeJdyVk~VP|0d&@vpUu zRM1jVVLF7Dm^s=x&`7QUsQ#+Qc8Ey+yUUj)H(M1~fa6eHKxY#Pi<9enIEzewoFJ{1 zbk}jeX$w`-BRA8-K&`tj;W0a+;)UHr^mI51AcE7AL>l_WgVP^>=`d=LI-{!9^oDHV z#C=IIS`v3$V40v3q>cq%nNv+`cuO6`r9QL2zW98+4#>=78c4jo|3+NkN@U(Pnrzv) z{pq|GWp9fCP5;Xm=x?w|f;^qnNVeCrhRS1ZQUz~09GI^}ymf4cN@ycJY-6rRUaSPT z+Qzt1IZy@pZ(SZIBDSCBj%wNU?g^apqjGqSLNYz5qqG_tqL4*v({(cwX8*IdOP}=0 z(2!F|&kcDN;T-iq1il{R5*Eem6<+Io4sv=}dkS>95(F+@p*P@Ax9_ZAZh6vntv$VQ zXlOEJlz<&mKcJn9TuI(6xSVQz0UmZY)u+3?dn1K=6DKY(8z5xE}YIS@2I;=JgB$I0-fTcF^k6td!u;Y;HF4#OY}Qr-m$bq0L0g}Fa* z@x&DnG|~tJHwl_8jUIw%MtvbWS7OjO^)}|nN;qLZGUrJ%68XI?zu)(}CfSPFE20O8j!^xS`M3sgDqqYFnF zUV7lDm!t{=v>V-wIomQfEV<#y>bpPfJ*R5#`tr!m8P8tS)pk1>i#HDu{vgK=Cz9`K z?o4z=XuE8kXpFi420?H9Y}IPBR+Fn)c&C-&yv3@B<~ysVTZi=h8}I_Re{dtQVy$l2 zGgxcFgUCBNvM5@rRA296g2hqMp#CgA1b;Q|mG#{(T{ft!;3{chL*9Fltxi>U##^<( zV8WcOw*xCDP-UJ~S?N)pt^K#4`oe*RPQzcj<%MMev>v}Ut0W3T@3kyuAa|L{MKMK| zE+Y*oS*?Yb6}PDqBD#Xdmvs3;f+5Kx@~{I?VMA_lTNuLrxwVBvy11V~5(bQYQ=OvD zv-ch#aQC`SSCGY-==)A02>|-e9eVgZtNT{?ru|zUvkkL4VMTJVGwr7w5>y;@HFhX7 zf05@eXYmc(-XpR`i5)B0b0{lDFVdyRpU8od=LQW{NQ9R;XhE$!kP1TG8yvj;Q>X=Q zKUC2D6PiiAeM^3U%FjEiW^8sq0{wvPNvdhL!{DF!#gT{=c~w}=^1*2QM+503QH8Ho z+YRHHbQj-xeU=f;*hxcIpU|}Ud1eN=n+$X2#-0kZ9DwF6*-*$8+%Ku1MwVDb@Zv(g zaVn)>qZC-j$hyKzPk`Kabsy*6L^*kIesutvb-er?v-+{NcQq9~e)6E?p&vcO(zhjsVl4tSz+N^}1nhnOWx1FR ziaCj8>@wk!xVkzcR)IzyILz5NB-<&Q&sMEis*e);HVKr1h-%OVv5NU-R@Iq-EsvOV zPz0vbG8=Ny0r#uMG`(-qN~+JFY-3~0h#BG%M9xc2lYX(Sqe~DZfj%o%FvRQz(TS>l z)h9c$t)tjZHhkY@Olk?<*-frUSik+Sdr`@$)!zLx5P~Ne`36~_UFu_Y2B+W=j0lj# z=aA1ZU;R~(Y3luu8c_jlbiwkAbBa;irOD`3VpNKA6jX{M3RJMg!%%0c_-783Ifd5p zU!kK2Q*_?j^!-i$!_^!6p&Nen+4dMBnIsHUCXUVv@Q@*9e+F5o-Q8{a{O0Kb(@hcz zekYH$1B9mQ5R_dtXSURP0Xy`F+}{Roh&dc_x11|Il;;Ggav2zVSB}=}S+Lb=@dqi` z>s33!6l>@SNFd@TN~sGEkH>qy$R(`F+1sQ26J@pRWi4Bw@V?ATG`^HL}6ii#QJ$n3e+B+J0T6aysnFNZ$QxW_-b zZ9S5H+vDxyZ3Ei&>j?7|q>!P-S1Wx5`-vemz zC1U~wfDw{gdPk`08jmydQruP_+se_hMhm1yzQ&V`lRyNezs7oyH+XbA_vrXjkSB)p z68qPi!2uemc^AZ~QN|~)!3Sd+sZHkE6>8R-G_Z?FslZ*@#c+}};AeU-ho)x-UT=)3 z?L2SqAU``Ffe=y8Ydc@EP+?KopzG}Jaj3hq2kvi*7%cGlGom}CChU8_8$)#{y?6hF z1~y)9_%t>VMNnVyUv{-#j@H4Q9|p;y+#e>r+wUH(Ti3=P?!8xhA1?D;j>T_jkM4$- z+Z3Ib!?zkFiq?FI7>n1Eg-+=rn=Tp7kEjB!b}Sz-Y+R#k+mbXw{nVU|27|;xtf=n@ zYdm*2igm`rI}YkO2CP>FpX3ICdByy3ZEn1Y?)|{o{m-S|BcnncPg{wc1ebyw{1{V} zkfGXQ`K|5!u+?=??+~pfR=H5vAZN7#c~LL$*0bt<+Ls|CUt!VitD35`31xAdRT4{La0pRyM#j4vSpzfJ_Uc&Ngvd6gN6|1XSP*1dZh z2})f|YADz7vy=Rz38%v|fA$eaUSg1VB8f)Ae0!h0ZSxeL{h@)$s?&@URIT_Wc_NHi zz%&roIYmvb_%HLbc@F)(@#gt^a$=3)~O0Ke3*FoN$6dK@DKU9R~80V?hdmH&eF_)R^y!KS6~AnBM$EtZ|bj1L!=|)NB}|6}qB>lbx=b zF|W7qo;|^h=qXm_g==y}y6^9ARKikx4y~N~N*NuE96cRdxAN2&MY_2-L#|z7F3fr6BE3&-JVSV$ ztq!Y#UNO7p!J9oowY{1`}0{giLkz`n1lXdN@!Y56ORTyQQ~t4-;J`X09U9 zVp?QJ=FT7k(2MLRFTYGJ;#1lt@MYQW_m*c4qmpo{aF8YpzxFc1N?m6L@pA>6=`ZLk z%6v`CcvX4m|Cx(Ka7yY-URX0!b{Nf*&4lA}91?{Jz@VObmSCW7g~$@Y3rjxBp2B;V zh{ZZ0Ci(OxOB7%9rus`CZmOg~F_vU+l!2uIro%WQm|=+PNCyU&9|zpDV!;%*yFJ$) zlB{}Wb62ppdauE=^C1;W9N`yd1_P-#OIomqIxIlKU`xoi*>B_X6)m4Y`|G7W^-)`p zv)EZ=(Djn*f<83g=COk$GuWxJa}EdYfvfDmWX!WS8t^ zyPas^I1c^v<;wYOmDBkb?_PSb023L|qG`?eV#vuol>z_<>0qO`f87<&yP5dR;T~A9 zZdq}|VKy?KxC@`D6148c$-L{V15eC^9>!KnW?+mkAsmG0sa&bDgJV2fXBN!Ol_HSx~?jR+*j}wj8t#2+kn1Qmp z!6e^A$64SRuhVyB#Y9YmZ}&oz`Ra$X%tvM~5Kn#&8eU)gyq~r$H{$f`1{5#15Lrx@ zDBdk)px(qkocTI%*a>KFE&k>pDvgy~dMRR}T|n#LVY@>M@9G7GIV0AeoThT_NigY> zXuWcNOZX>|O~UzY({-w6trYXAc8$?+LQClA!UuHc5osL*CL>JXEtQ_<4y5VIjilpt zBk4mxOyk3&jJs3H&sH4%tYW?e>t&E-94eWF>6XtLdY=nm4G@gVWL-^4_28JDIWZhjI($Y zy-jn(eJpBX3WC1FkvS%uX-EPaV~9`3wU6CKVltVcd@t9%{3>3N1w8F-sz1v8GZ?a0 z{}3|0ywkR$`s4_@U%oblVYgkv8yt1em8mZh^1~fqWa&Y?nos!|)aB5CrQFm39BhPl z_fV8c8&&rLb!%v!Er*cUZseHSepv=1#5l7G-n_u@4{x%MD``NnIJza}TlS#bdqa{E z#OOP-Kx3kJgP!hHfa7Zmj9gIDwA+@oJM6W2U7}W9n<#)kCO8D-nfE><`a-$eu@OfS z&BB^JBbn=+YF!s*p-(}Y#aa|@fBM|{@Bf$oB<>Y>88(yQ6j6zM|Hp1aN|ho|T?3*lFkNjhrA&-FK^ zkv{8chlmu`qWY%B=_00n{NR^xe@d%4cX>bl`jS>YSuZ4SdJQAPg>fk6dcbC#LUyV zr_n21*gux)N1YbpZzPA+&m6Fr;D`p^VO+2BlI$*pfb93Rm0K!_a_YBdIm&or97sKO zWd(j;r|j&K`Eu^O$~rR9@xF13OT;-Jk>f{qZVojPb~5@hfTjN($SPKj9=(VIc`(8; zy4b$~afzTWdpsJmWjVv7!#}1K;E$|tSHh+e46R=vj#DF~UygMc*-w@-z$C!%^3@f; z2IzUOKL71_W@uthj-vl4`fKx%rhp|?fz^{c%;9$suVRe##WYfKrK~TT&j>d*6D^tp zwL>7*7dUpd(-7?6ku*CjL3x!ZXYJc3Ys;S0LFYie8!(`CHcHM-Tw)>0^@*trXwvkW ze?`h#7i+*F7hJKP;&R0twSIimNlK9vuxtZ=D5ybe!c@R9G&gwSAjbS=P9u{cVOlQf zaP2uN(~9Wvho-AJJB5fy$WlsJNBrdHxk~b|O-O$}$$h)1z;)m9+9q?lo+dR%jir>0d%8f!wWCTnc#NbqkRIcm z;ioUenXd$l`e@)P=1bz-Ay)-Y1Ms_#t1NrB&b}2)zwqtbu)}US!PUF(g&RGT-jNjMFJ|g4XJf9IKHHfL+(@G zoF8tNEi|N()&P^snafS(jPH9OLZ8x+X>qR9x<4*OI_dKK+A8FQR}8&Vl{`3c%FImL zL|h6_v-L+pyai93cQ>$)(@>qn9RM(_D;#bug@2L;b?~0mmP){7>Wl>ztOKCJL(NBM>Skpgjnlx6)c~Ub?)VgeR&7VB!j~fd=%Ky`lun?S2;ieZ@hIfADIx$TB_^r zR7Z5tF@MLWByuL%batwQ{rg=THP77v+bgd?!R3z{KT4~gtL0K&xjr2S2&ZDXDlRL8 z8(PmKcMe8n``%tt0wu<^xW- zU=a((Isz&8t4~f{r*OMK&+`1#-+x1{7Y+X4jU*$8nyVs)@|bW$%$Vy&l7k2{zNB^A zEl0Ax6I4M5QnezI*(dy!Ad=QQ-YQXgvR_`+Q8Dv$gYb>)7BcM1eS=&5IG-hGLHEhg zhyEE6asVKuHP8o{iFfoK``hA&d{MBk0rCoI^d3@`)Lu18>k5heO)-O?w*cz15#0FkaI~KWv%1oCrxNpN>1;kmum{tNd16a0 zjt5ube_m%th7gcpgib}xdPj=n=~7u;2hq?|1?|Urm;TDIBv41}da!!2tfM65%K>7j zwcQgpz4ozfs@@iUfZ;Dwjtw1Y7ClF9^<@wKsl_kC>NbriRw-rDgV5p6AFXP}=0fdD znLFgWQG@NoN|5f3huY_vg~-avEVS{_F0l8`_RbDzHybN722X0c2Q1snE(_KnAV; z4WneCkQE{YP3YBzJx zW42#4n+e{sayIO=a)un9rySlb)^!6pVWWqK`K9dsy+p4K+|cpH)B5|^9=AUxoZ$OW z+Kh6QH}aI^bbmUlrWE%mk%ZewZ@hC$T9%O<-ZY&$%5OB8Te9UbADXLX(){h}e145P zap8dwAc}AR3W0nK7I=ip(5t5x0(ol}0v-96LeDKqW%qL}epXr&kgKOy@$i42F^a4f zM1Sr5BqTsEAvXw*qZK`Zoj2F!w7BrcZ9Q&ik0%QmvYNPS9L~`9U$^O#UzD=WcLc}* zk>AbWxXBmTnMZvrZ-TZ~_*(U+c=W<-^ZarQVPd5zzUr%=+KQb4n|CmEQoxUM2K+XwB4P_ORdM?cfz-n0~9kQy!vuhje4`KU>fC(kw_bw^@5N zUhADlC2H(q(Ac64hk0>P978C-2Pr%I84IU<$Lat$OfY)Kjm(o^O9C7(#g-i80jQs^ zB4*qsPyRd?9zfDL>Oq2mb8a|5;t#8PKA9pOo+4I*mLupCIF_^;@A}Sz7ikB1%g#?GiEBnv3&^R^5ck8N4WlGtMcjIL<5 zE{m)O!19UMYsGyi#I9&+7F}7@OSK}BiO0oDij-~U#_F>0(`T?f){TynvHGP%o-FTR zpH5p!szvNlFU7U#-BmIe!H&?R`K`iVlzR<0FC-EQ}(5@F1#5ss#$jgdY{rBz|ZFeHFrObZ{w^%Z>ZbO zoR`9hiqw;=5*@M%h$dNw#LB?iD|=3=-xzSod0P2l=eC_LG`_d!u!RQ4{0%W-`}aTV z+V_6sCX><;Eba*Mg|jH2rbcKYy1hdv#B6c+tmmO7u$B+)@zIIGK1QRr*g;Do;YsCJ zl>O|ytx?pS&ogd#5mXNMmVEoVkVs#%4{C@v4lOpX5Iw{BB`0mG)V)K;q>qa`UX!+e z!cw=?2^mOMQ3be{2v#MZKhHdA!`zFE(2~R|YGhKL6!aa?Q=$?N`$b#Edr)q`_y4f> z-rsEh|Nnp0tP#|T3W_SNy{T2JN?W69j}W!D*t4j;N^9?GQDV=a_7+s_y%Rf#JwJKA zp0Drw`#GQg;B(IB_vCn-xZiWn{dT=xFIS@aEicrsI3okc$F_^8>dAJMO?dVX5#}Um zIy#QL`eEfooP&qU*I=cu*d0t?70lrnSoVuFWJ)YQ&{xRPV1N?SP%7%uXWH;&7~W0gBQa?fRHQ zjBdX@nY!Gk%H?g^)9AW}o1cFGL&-(w zF)Xk$74V0rluR!oXSSZ5Lw*&$Pi$WU?(TMX5h*Yb8Y!I=HJ=PN+~7{-#&yQf-mGWg zs;|A%^WDjsc78{)TiO-Wch9otn&{HIGJpxb!Krs>Hh;*_8pdO2sOiXis^&0|Mxrdo zpcA;uW^GfZlu#S}YZ38Gq2IkVYL2OaZbwWwZyM@z$B2Z87hb-wZ^pb5uAi9RQzf7z z2bk7~eYSp5xp}B(@bK`L|E8=fg)|2Wp$-stjnb^Sz%*=(<+O#7VNcz`-6u@Vpmni@ z;1lL^VBLH(Q@1@4mFSY38}nxO@yBJ>S-Aq9ML7gx-~+-q?^1r6I4SCK_?-QY3{(d8 zvSlkyXgDX6mAZBzqBiGTd)^1iMto$XLz0phLu&4Ej_0HHws1Dh{PfJk{`Zv{%up*j zhIeE+xXGSdY5H z?x+pxYVy8|uU+@AKbN~GAcySnc$yAHjnNHMjs_qu{D{)ElD_7mKJWIg>i_O0E(zYc zcVrcOfKYzlNjlWY-I*6a8vhq#Z%jJer0wfQx+kdynTR$nk`}epj;Vb3e9At0uEDxM z-$;2O!G-I!xdpvK;R??QaOOjF<;eta;X`=BkUP*&H{@cLwQHzSm3P+r4R)j`pbqf5 zBP4)o#xqp<)w^4%oC3nxTo+JlQC*tUp6NoHepZ&m;rG*9s_s7u2$bNbfV}LM6c%(_ zt8f7*udn%GQVvfpAeZHxY)ir;U4?I~7JYF8uG zaHMPYPeW?YC2?kgT~>Qd{>BSo`xZ*BoxhU6PB$lx=4v6mo(+>Q;C-YQ+5SwdK6JtQ z#C6dY)({}6<7gbz47J29i07KCKz_|pg#NOM+^~;?+k6Ioe(H^Tzq%s-QS4lqoc7Io z1qX++?+>Y+=7WppGqqM&Io!#OUoXRda5hs>5#a}0vK3J73$9N%_V(BfXgK>cudRZV zI7_j7&8V?fa+M{Jd;+Ie@l!7`g~CqaB=MAFNo&HlnF@pH{EJ2v*>>kE-K$+Y_(F2y zZZY{c8(*gns`K^EqSq#`wMnNNhN4%5QC{tD?+rB(!c@?`Q#yH=>;pBP_XD??hVI*3T0Vcp=XFVod!hoE} z!HW3=GqM!@>5X-1tNOM^A37%hQ$2(Swif7Q+6?`PDO2{G;FTpFz46Y@uj0-Gz| zk&4jw9H3O7*-&PB9f3@D7jM4sM}kFbf?MV_ z6lbx$!M$HGjxN)dhf0W_-6w@tUmS7{=KR|UaWz|#9px{LmR+yY17ybN2UAa>^fJAe z*g4Y)L0k!)#L67;%)bUx5H7=c?^~b$a4nj(nqgveEF=R(iPwL8wnIw1hc<$$S7~d? zOXOW1@KJb+)tkL#T!?qUz|VF^jvjOcLxGDIFAA=a9ZSvooQ9E6G&>dFhXH?w=OF~) zB=~k^a_-*r#6$R#@DwO(c`lJf?F4Ao;>{7jRkKT+{yl;2nzGAI>-o}o?Hxw?x+mv` zo#}kQX|-_zZe<*jHDoV45|Vix9P{N_R0I6eyUgEXB-CwMec7I2%ex#=Z6?Sn5jEY6f zsOUJ*XTM)BYbLWZB+>lRYvwWeKHBtZP@`pA)ChAC7({+O8Q4>JI|g3S4L}L$wHEMd z@729Mq(8SJ5U}O-8S_mXtDVO||J)cM{xUfXq>=smg-T+)EYRLJH&N~^%iB!uc#I=-QwFKXDx zSygDgbGV)a2hg?NF5NQh-*KFMXJ5jvw;BIC*z1;e$<= z(41iNh^SPK#Vnvq&y3)QCZ&I(kDcDK_l)grW{U`f2D zqVM`?j^s+6%hgrSCt2@K6Hm1LHh##`-6qjNjQ4FUOVjFh6Jb#6EWTgwZvMnDRa=FP zC+Ea4bfq8hU=6Mg`rDhAXn`V%5I4%9u}JGYl{ij>MoTBzlFW6-40aTFB+3x*K9d^i7LptP6tp5{Y+VDX%?_1G(euw z_#)ta{_F7bdP~VA{<(9m>RU83feCX($QX&6_uckCntR`s#{Ede>zL;}u8pp+6(^fa zg}wx>@Z?ek4HJD^Z4>ru^8+%_OHWyj3X=mfiG78SEmT=emz09c>tB)i4rXJvAIgoQ zk1$E&;zpb{MnTuX!I=5}1stn5hW+4@9Nrqgb+<;(Mxs;Ri_J~nyNmER=}p9ybnab>2>1KnLDhlikhpW zLU})|wlCMdn_NHaav!eyW@hRuB>$6%>p*qw+DJR=tF<_P%s`onm!Fv;21>y_5D?YBEI%PZ@Guhx*j9_ z3PRd&#$M^XtSo*O>tHT<8kg?RPp(!l%v&P7W0ge16(o*uKC8I7fmiHZ^Rch}`eglc zD~EUlUNQi?5sXKGnFu0!IfBIA$$F+c2y(CgX?rmS7!K+#<~e1=<=$6+LH%U-Ywbu) zj;F-B%n|Uwf1dDGH|%y?VD*arCGWK_LKIrYU-xUaIUG~NbLROe32ZNfrs)3?O|>DG z3jNV@%pPJFmXtZ21Ev>0lHej0xf(zLn|WaCYt1I4Z{UHXb>}iVKLn0f4^3wTroc{h zopqRbY}9bgE2vYT906wh&n1buvc&(pneRTgA@6*)QG;MHpet zZ@j{$QU8ji^dc$Dnk%b#?8`e7w-dr$iLXWzMb>u83j>W9>U*hs;;vu724R_*h`dD9 zvRisL6z05hok9crMK z?u+n&w@zO!|U&)KMsKYhFAjxti+1q;Yb z&#rf}h&a>YGfo2XJ;kYEl*@Uja^=+_dUb-?kBslObL!wIKHzhG_DskxXVNXA{X|#s z6-0lCWGlr5KD;1SrR(PF0l?jC{hY}SjWxi7y&ql$+$uC5=9jPhMBkE`T;A3nTnh26 zC{B&16>Y}j*Z0wiW$;|fh_0Il zbiT0X6&q^Qfq4xk1X@{^%;NF7v(uN4Kyc3xnpvJMmCX{#r`WlAcxy-V+5W_2)rc;_ zlv6bPg)*fr;i`u_nE=&>vtSrGsk)BtJ#rXffR*Hdona7p&CJ(Ep_*kp^z{NHS2M|p zP=?eO*!9EZH*6R}(ViR3f)_#qsc1L*L|O~fp-wYzKM??}H*5PjFThr9xd~*f>#_jN zi_gMjNj=43=t`G>wj+DBpoReu3vS@+a{WB zA?RYfAXG4fWd370Rxc$pm?ZJz8`R_G@AI)RyCAU0UTfjRJ~=@o=?oAdko!^ArQun; zWXYnJ+DAx4HzCI&>gZY<;@opuo0RSV6TPX%KW{~d%2fR=6O|OOU@9OlOcpnxU(8xk z`)AW6Xg!R;!zCj8%d9aJj_tgaQiAvCf2`urT@bOhcX+AvY^;=%6|)9hsHYo4cAjog z>bodf_VT-X@x2;4q0RA3E|qgIWU$>4411e}OX%a0=)0)2d(C_^vxwhWOKYT_$zn50 zpW0z`M@hQt|3De+Md}-POR*TRO85_!=Afr@soRd+pHMR3oUHje1ic)~!PMI>b_%g} z9!RgZ);Dq##~dXzA=FO*y<2Vj!I`aJhq+eHSP?6klOpj{Wgv3mK`vO6vq|s--y1=Y zU~hzH%UkIcPDMEt7FTIH3J(KR2-SYnb1#I1o1A~@x}OF1WNYMiJtuS9^&-B?xqaXv zeW(CcyUur4Yj(_{S`tQe)gR8X$@XNYc;xO(KfaG#H`%vw$xA$>V1=@!?#_qrXN@c` zSIc(qa|C{_XS%{!yxx*sj?KB2Hn};huUI1l_j1YJGI_|{2*>Tq#<}Piad$8!Z&uyU z^ZY7u*f>KFzF%3~Z>SqH`tAe>)-~7GQ;IrTbYb`l)puba-76Dy@dQ{hyb-8tnS3Nj z&yCTW0C&R8KxDCxQ)9ljrF>@d9|MF@gmdg31O+|pWE!UGHeLh0-{A_HOMiz--=K$7 zHik{876g_O^8rQ&N$Y`&&P-1MGi!pR^?$V?q((h{mKn$tf2A}=h%taPNsqp^h4}oB zYVJf$>{U-BiXK@h7=zLIsnF1UKu#S#A+T%~%8r|{L7=#+a7#x^;M+{HqJuG&jG`A$ znl@va>rt&&K&F1wNj!8;O2_&)P7D!O;#N8>-ppKo8p!>ee(KZ3<16qIXry_~i-Un& zd0v@(NZES_p5dsT8@o>ok9G%S!rsA5&8NF1`pzZW*G3+Hom&o!U8PXVwt_Wc0NMP|l z>(Fe9eLdH7gLYh0BxB@;?#L{gMg=*jTv?`dGX0hjYY~rgtN3nK}D(m2o(?E|5og0uwQ0$`uf>J zfvM;RCBTmDK)RB%d8E&=V0{Qg^T}J^-HLBnDdCw?WASu8WRA1D+%+W?!=4KnZ&UZq zd-)e?NjOZrh@1lM_zsSjt_89$d%$Z+%1j;EblIJi#!mTXUW89ySy9Bnzi1gnuBQ8Z z6Zq2Fitw&k8$K~D6o6v_LA@5n!F{vK0DxHI5?1ugPgJB z^%6z%tvD$AJ+;ncKCFO%ogI1Kjnbo`TcT)j!Tga3-4&FK{a}*L5Nao3&JTu`qWRLE zaI~u5{Du>kr_&!OML{Wr1C>K)E+Pz}Zt!K#ZULqf*D%p_1E^#7o*2Kq>1Lb8=R6v0 zW@-G3!ie5h*F`*Vvtl-?{3lln(8%TWyip&31E^E68pc8#-Y>9gTG3AYMCaAdPEx>- z`C09^>Gr;jj>oZb4?`HkR6v(~D4=s?7HJvKkq5@Dx+Wki@+32m;eGrDL|ZUKz%eE7 z(N(5{8N;+FfPS5s9I#>zE^xuNHvmS>Q@i|^T{C5)cMP=5%0tj*rqq2z+v37sXw3Yw zq3M+m(X8o?Hz6I{+yr(YwSH)Dl7TYEp6&B6gG}li9II{5E`w}`wNoz>fiI8~&SSYm$GG?zasq48L%q`8wQhF-nEAcI0Xdy1mnJE`6k;}^e-TCrp4x&kI7`P* zkOhD5PA2^Dc26sEUx!XWw6^P5z!+OjFC?*nLSJNa=ZfS-w&bKObSckFJ`&I^^9Q`so>m$LsIl zrk_S~@Ua7wG9Hh7fT{L&lyERBvi7j52uuWxLYrt%Gv)$*B=Cp$V6l~+8Q6r z|9zC$mluidIFMFrWa6jm*5cw4aC2buak5c5+jH22my+R5iRokPj8#F;`*Mfr)eTl= zwAtOiKh12G+?eraRDFejXDI^avWA_3$R8zEKygsky+tOOn`Om4Qr`1NB`)IJ@n&=- zHdv?h%jk9>cJXI?KvpYJ;eLn!~R`l2-B#$bHzp zq_@u&lG|M&JLZXF*4OCDea8N2mOFAG9rmJu7Ka)7TlY`2*-g)t6?db7T^l8%lbJ)Y(5~~+d z8Aa&Mp})wBkgF_(HX_AQ#2U_V>iNS_Lq#?Y3dUr7uT~?Jt@tZ^>5$Es>N5% zJXjNxHvQg*EM0dh|ND!RybDz#rryKemgp??-x1lBZb|70TETx$87h&uIlZN=m*|7Y zrK{&H$0*q@wBJqBd9Cbu`FU5l|AVQeX8IFteDoGM3J~9GB-Hr6^L+Jnj|6!J`~d!N z9yFY075k#9tl}Y#VzAT*OqcJq;3JW#<}KA4XauRbJzC4_1{)i|U4SBA@Ve`WV-mnk zfFZG^_`RGP)OjEJRzT*gcd66qX6WpIA@I8OAZ_V_*zv9}OK;{q#MlaKo6rI_MX$+r z4bz>Pzi{>;&kT(%nsE~o^CN_MyEdc!&3LATz{7{%Hvl}YMT_25mD+6|>3@LCap zX6XC^{n;QjBmOx~fjWA@<8{~L;1yb~&*FY@X82rC@gH#*4wp?vT>da|Se+RSkVD=| z|4B*Q`HRj}t|8(y!QgD@DbCr9Ir+{SdgsG)(q`4UFg`e-9>X zsTw@POOPd1j=hnMx&UgJL3JTK1W?jenZJ(Zt&MGqOC%rfCjMD%l-|0E{GQj3UtReK zSZx47uTtWRW2bU|G>FsiW)3B2g>Fx3TkGjNg|k!=1^0SFtX%;u5zJ7m#cOL@$c}?9 zN_75n*sSfh2EOZ%gP-R99li6}Icr@(Y(POeFBgp2($+7<{$##oh1N6FIimG~{CqQ| zC5A!vQqz9Ag=~n+P(#NgsN?VZbvoLPA(C>h-Erf?#b^-z#(~$-d$V^B53PYMzf{I_ ztrj_#jS@9wJA@Q~Xy)K421SGs$hhx{c8!x$-cjWlv3eFS4qmg2qCCtCevh=)Qh$qj zD3#DL5AVLT?`Z1|I|!YnG)`QXc>rr5oNKwxIsA2Z0Cu@^x}|k&y$i7aeL@Rc*O*Vo zUfYuLawDjaO)F6a;c6zV1q%`Jx#(KDQU6Um%ln#8<8)kIGoeSax4sSNvpZnUWy;+n z=JQ>Y%Z>Dz>Coi6BD-P*7jFsTH>%6e4)mtdBU4WX{b&gcgO2XMh5hP@BrmOF%G~^o z+4pc;s3qn=Th~7?)pMWl9V0$=a_Z?Tc)>Mq0Go|&pT-hUF3X)>p$K*Iui}zYvF6;N zYKyzY-@d?Pd_DHBZ#&k=@=TxKv$9NjtHV#o#TanlM0%CVf{PM_@OMkHTgpu8OhdU{ zhM$Lpl0Lgg8mwxS5Kf>P#Kc9D7SLNdThlXx40@unWXg@ZEy&0btql?-iw-&|nfdQX zzlcYg`D}tXl&y%MzXYCqw6+YDrkj?9O|Dib1Z?jaZ3jG{zT3_EepBnher3NE9k-Zjw$rid`8f4zYK4_ z5!qFK#l_}Nm=Kp7uej0@DSxqdfQ5DMbZvKQB(Tz_Bv%ce2LC3Yx%SJ5VUd6mg)DqcW!Py)IFr93^g{~O4*GpB zeYIAbp*bv3Qr1;yNI(!N5yL1E5m~7M9fHV2G^uL-ah9%=IoG#`Ul7!k8HdawpMKNT z%`0jk@@^i>flt3h2Xx5BA6$cit^C4TE69&$Iudl_S6vs=;(fCexp#Yw0>$JH&wnNG zyiq}%n7K~HA6|>yQp?^A%63o%-kePbRuERxxh!n;mA?$|mL7QXSNSn9dXwTlX7MLi zB{%3VP{aYP^ceWU^KqK+m6+GVd}C?kY^#LQ1zDoUirAI5by7S#3^vS0uyosD7uW9~g!{iwk~4?R1Xk_#16L z?TqzDI67f{TGFaq&owmk?$yJ+WTg2FKr6Y-L2x)ekHifXZeIVXDyh*@43=oZ@AnQj ztX|agBUJj8*rb73^2xMO_=+6^Y`g5c3sf_}w%1{jU$MHZ(tTpHwUXXntj-imTDndO zot%HKqle*zd=drg#-v^t^P~cDn*#|XNZn}Re>}RVbS&svvmvzA*@kKs!%dM3-MLXX zc(_Sq6K!O_`kU!w#G7L#^hhQ*;G_O(V`O&>8{t0CRKM+vpN+s$DWZ7%xL)rlR&I7Yw^QDz^ zlDCvpz#vl)Ai`UK^RyY#cdS!QqMYU`r-9fp-odCrCahMe8 zf2BGWa$Eh=0l@fO7Cf9RvxKE2`S_8hxmP5{Pv|L_H1X-flK^X8WzF+;fyQ=qF6c*B zaao24QStdCGrh*&bmvWF|3X@M;U8JUJ~~r`I7x+45jOD)m~&95sj2=x<%K!&Ddcgi z$=`AV)<0m?W_RiIopY82zkBiVkRSPkLX3n0BIEH7%DsoTg7P6)pGt{xCEU45Fhvu2 zpG6>OYt-iRUn*RbPHwIl$)s^Btp!rSe>joOO8}4Km4KL3a112`3H^Ox+Ij$ak^$e= z)C9+_eo&HQ6((QmzX4)i4b@IvoC<~gag%*@DifYxetVxV#}}I-nGH#()Rz=Cej48N z5T9nK$bp|OJQ?r4zp{XcsBKyI@L2K_j(q0s8B5fT4XS*jX^#~~92UrU~kx_e3j7FsDe(DwDkwc`3xAr*t{>FBWXVhU)% zo_7n;BW!#0$B#_=$BZ~yMk~Mp0+hz6P;6D6#EzA+l+7ZQyu}%{YS{0-2fgZhOEjIB zSkE8kTTc|CgCu;5W-cm5=yS(2&W?Nu7)ZIi{?OkO_-y*6V}OBxX+g+igO&^a_RfMm z>=~)itm@uDD9u}#ph3h6HOJq;%Be+^+r1uzp+6BAC6{wc%Y*KdJ&_%A<|Dm>xCqjQ zzYPv$RvroP2E7L-J-NRDYVUIZm0pf6lB8c7-VH;0+60WZY6B73y0Hp)Gqg;SEj=fP zpo)EyRLaCkc|K`n9Uq|Cqov+$eymfwP7pTWm(@-?QvGZ!Sq)lt;P+VV%Lw!dqod2x zw8`piVaZejAL(jCz$qWkp%|amE3SWHxr&Rw@E&SHSENQm^A=<~_Y1*tcWqDPuVePP zUz(geuUYUHdo+aayzdYBgmOO5&-d zP-G+PI*R9aizhiBZE!?-bhP3n>r)Q8vf%enhcEgkRSk-!cx%QS{NvJzk1TE8q(X4|;%!WBJXL>HARzWPr2T9DuiW0C`B@rH z9v&sR9+4S*Xga2#ik@so_pv{8=zJ3^k+?yu5oOi}Mh_z+=-Pv_Hn&sdA7j)kNZ30g zdcQ~f+&{2c$ga-4f5B7_FEGB$e4Xxat}HnUFQk%#3ZyxzC3domq!P(?!{*wRx0S2K zCgBa!B4&crRbg%gSEF0Qp@lW`12V}n+G`PSnR@lkTu5V6qnCuop4tiCj^n%?fhS3g z$TWU;`F1k$-D^I4{^fM>msLL_D!XF-np(Q9X)1y)(t2=t=XHvU>9DHukJfex18e8|p}FXOvkxOI$WxDt0fIwj9vS;i75 z3U`akxgzC=(U!g5U(e6HMmP^HKQa1&a_+~y%>=jr$A29DSnhBC6WseL2p<=qv+8WZ z5k^C*rw8wT9I7n~dkksl9{6sr4JLvK-gIvZ6X;1^enRIUd71R+&XmE zm+8%^09ONcB{vkg8_mB=lVH+u&)28JRaq?k(tB3Q(p0Mabni=y1LRYe=tly3QXzVS zu%SzWw~~6@-J-ifC{ib>FJ(+?;P0VL1sOi2{x0RZ;(Wm88w`<8;Y!A% zcDIoLx7KR~sk?e`XOKDDfkA&VN!eeTqQ!HZd1qgSqang2Ody4Oer~}7_l){ngx^!7 z^6L44<}ssmaQo;psDCo9ryNbXW8;Gjd?urWtvc?v_)%Z1c-xE%Z%f=L1kiYvewky| zm7k(Uo=}nxb<7>IzBt=gathpTg%oz>Q$AUZ#-rCC4E?U1p*b}hM!d)_M6WpSN!pw3 zPu5BLlFbrKH#755>hqtz08+-8&@!ge1c^VxkPl9c1ll4KeXT`YkLZqyhv2o?I5Kt9 zE_{jgH*o%pw(%6y36r%qF3g_Ee)6#LUa+|_&}&7&EjAsUGQb5wW+H|Z98HRYKrJt1 z3DAD`@;2c}5_2!4vg!Hbuv3|6TxBGMWKvDqlsKQEu+OQlF|W8Q0{!hovQ2kL6d4l1 z`?oOensvPqsz#&Q)hUPfG@K$P{e;iw9s=4A{ElG*E}Rgn?MvRP-l1oWP!bAWAhDP< z6cvsR?!Wi2;HG-$=Oo_Ym5eW08R*)qa=Op3fAac@5RC-V1Z z1TmvxN4oM&;|Nv(nEH%Dlg2xss52)kM~oFktuN4P7w_OBM@2rfS03HDcZzXnS1t<` z7gXjzO?J`L+ zbnvkKYc{&}a$O3=g>pCFg!)EHP_DM^gtXP4;l^jkTy?87l?V7ydzH;G5%;P7KxiN3 zNE3*W+D?yK7%}Qo_NnAeO&b&|B^u1BqI)2V&0%5k98Zy|R zXdN_^oTX6*y48ZGv&K9e#C0x5Q5O#)6(NmhKk=xY+-BXfy8%vAn zhrIUB{&PtLt12QXfiEH!6`KA)O)GonHW#m6U~`bvr!u$inh$jED9LYDTHE7qk;>u% z)bdZH8S0vdCm7IebtCiPldQeJNOmwT$)dSl6DNB5do@c`QZemiGBJSk=m%EMTn9xV z3$e9*Ygy@Kz-k9w>E{ds%PJ|9p|1p%t_VC@MXf_cqoX0Wf5;C9JX_|u)Y3-XW$ZQdzEBM)}RxZ@3P`izVhLxw#TjybVsK}#TKPKfsY*H++mL$L=Gn*yq z0Q)P}>rm2b`;aYFjLzbX36mt3#s|&N#AEyb!Kn4~67fqN!k$-tleOp>2oaz;j7|NT zG5cn8Fje>}15VcTkh{8N1liXg`gJ0BQXQ$N8e?|+n$VI1^@SHyEuQJDQ=bQ`Y0EB2 zL(S~+KV7<{2V^Y$`a@4rn1=eE5A;LcEhpv3L@FqAjM)1M+7C~Nqr;us^}0XsQ+<{M zhGLmA9B6~cY(qmVLbInhS@lJE+~D{R8-kJ^FXbLwa{KO4uz^#kai;JRjX-a)@RHBo z9}cnaSEr(O=N5=P`3i*j!i)>#9oc=0i^StHOF+vxCXSo?&Q#lwNl_F z=!4Ya%$oZnpP&!}T`yOJc0R?IzF_2yLCj6a!iF1b`?IB_sBj5%{2WSg97W5Q=B>#i z-@W(M#k<~AkRn-fR6rVR0o3$K}|ZDi%&U5Q2_yqQg z)w_nxuvM`TQw+|Sax>eqdqFF$9RXL>^swE|TL#1nnCdf(@5o9(joSlp zoAU{12X{MIN;3=1tz7~&1g$L|_e)I4j9caqrlBaqpP6WpNLkm_Rv`dq=S~+dpeEOe z5>DsW%0p8;maVYXERu@&>7Kcv5HZeW@Af}DR4MS@W84wCGBIfz*%7YOlfog1ffkg2 zF)$`8WQRO<{Olj=W6C=)nE7;fF?J<^^4o_A$l4yWd_d&|KesL*Tq?FXh|TwffCOU& zuPGG^AJW%jWqpos?L32T<(z?p8F`T<`KQvC!w10JQ{m3hbPqyrjsW5FShry0?C;hK zE4>2s%b-!FM_FRa^46E zej4p0{Nvd`cl)E$Xw6r{yVL5=qCwbd@J~M1#2^90*?vz@z3<*d7Ko;(1^G(2+)iu? zYMC()V+R{Q(TdPDrCF_D9GoN zNevj_=?@p84=yjn9SeYtej{b$cf;%KjW=s>y0`et#jE#{e-Td30rm$|$z zgnfD4xboZ}Xd>s1uEETZ;W;9-Y3KgP&rrl-TT+VCWjQElEu4RcEZq)!`CK%6o95uj zyw$V2E8I+_kh(h?JDkGYQ^vv6UN#jE;RPzId9xc?UOYjcZWg~(86>D#3gCH;xHWj^ zDn3+OHuVD8*&9Yt7r@SXK+e>=eo!HT))xLaOtK{Ghdsw%RN#gO+!{AuoKuO{pr0V+ zk)S@Y-YF{VgiP-!)AL(RUX?rBB&_rWCVg6h5dD%P?2NfLlP2*gCB1aa?Qg#2KTxbL zHXO29IZ6Hh#IE8X#*N2lBxt8($vUDWpWtN>oYB;ew$ zw5?$SNAJMA-mGAg0!CP36cWX%mmRNRBZCDtbA76Qnpw`*j)-za)M)Erph_gJrKatT zn0#-nIJ^_ZvFF{TqdQX$zOOP?W{s8oNW%t*VJC%IUOP0$%(C|iy<7Hr$gCGzaE4>1 zO0kexTJa|_IApEm&uX|F#8<>Z00c^DI1l0p6-agF_`4wN09;l{mfDmJnQGUFk!r!1 z&WncYpIsgY)Nj;HN9ul@#g=|@k~{9j%t4q14$NAA zv|4?#yp^t&=fR*OYWI&X#SvdS@h;vp{chjaIwf1`y{G^(x$3dZRaKCIUTl)h4OZlo z_Funa00IR5%o*7+b1}6Z`zrgjaorTCBQQv(5E=rDvXtJSt9F0n6)@X{ah~8T2*<#3 zx)%+Xod>t1bgI#hyU1QFU)vcmpM|40Do467K{V#}g!R=O$29T19S+XFnW{l^T~l!G z_;-?5VK)x5{W7Z_v^w=X^8Z_&F#5CM>z)LnD{O@{0w2vvF2l@U4)ubF$LI-%eWtNb zBQJw*6zTaAiUwXo8}_p$D~o^QC^1dJL%wzEK_7G@P|KQbtqYO&P_oA!se%meXjE%Vg02k8M3EVQX%PR-0zSy!X2cVupRK4gqd zi(UP=R{~yD3}ad{Pu@)Coy1*L9;S%OKRAr8A#d7!9 zz&(4+EjaGTRjdBG9CFFQBoa?EvVjumh_|tAVq)>8oS%yVXmR`34$Rg{ZhjA0a%|=xE3{`5S`na>t$4Uh45{ysOlk}96-6df&o}+E zKY8`eiKwo*@;tjGUhKLIIvjoWIWviK?m*7I=}yZ!l8L`Hp5=2sGSgW%zovzetG+In zO>zuW2I{ivU1kuEX;<-0Joxxcfy!z*C;JoM^5^Q0B4w@c1@6pfDJTp5nVM;U-}rW5 zS7$_O&hJiRphO*^v@ck>&_)-P{lR)cjHsM1vYAHAe2-A}(+R@>rNn5EM0T-d`8?TF zex5tNZOL$;7U81YmyVy_sdn7ePjlj_ku!Od6H!4)aA16DwDT|y5(}e~=c$v{NK2>U zUhBy6UygdJA-q)`0HNsFFefGD4YCfJvn8C$ptm1AtoK-+9yNab4P~|_Ee6>`l3{CI zKAVGugiSTtKbhky#1TtYn7#Z)Z%-Nc(VaFV>s2-BQU;*zFP0}r5!>zYx-!=|g%tNu z-PiWsjz4ipI`#^d6-hm+&s)OL7N*dB*<>qoehYjlrPD7jhr7F5%)j)QTCxmVh-`^rxNb2f!MlKb~d&pMVMp6x9{B@=+>iW&wPJbLO83N4w3K$yd z7ENR@?yHq6hmeSV4-6mzmJ9(qx6(2>sfMc?h2b!99eT~rcpAyJqAqE#e!pu?x#^Ty z6BslASq@)a2Xsu%?Gf?j@sjquKTW z7dyX?GnGoQ=F6|sr-#0k8;!M`sE>n@L>*c;sZ!oVxZ!8HXUitX%#u-~?GNx=(?^{< zIVx|2TB9;#U6{+@7d|y*wu+aD0-_$&Yi#DJr!yx4Xc~Yn3-Pw-_mg2lmmO3~goBu) z{5uOAqe^eQr-WEjGziPP74WN~qGBt^kq0zj!}VrYz0M1TEuRf#T?q}gi4#x(gzY-S zT>T(#ks|o%TEqUBlrFk$VDb@^hein@7MFGli1Dc6F-`?#DG1jyiOk7F?U7#-mo3c3 zyoZj~jf+%`X~iCic$#8X+p^e%$n?(2NoQsdjf?S}xdhWtgdcmJ0 zPE&lV&OHrRKHkF~Z5qCA^RxAbC$$k(@=A-$u(6rv6T;y4U_R;;6opR9p8TTSu!vm) zn*tw!5=ltu8Rb8H2N8WVc>|>-6tb_CQIxNfzc=JDx|fLNnw@wvVS|q@3r~eh=VFl9 zP8!G#nRV+vEo?D>Lv-GUK?i29Xrm(Iy4c>$g}&cwCf*XFo*&5lN#n@_-Jdh3?#vx0 z_2rdePqK9dV>k=92Fm4r)`A&EUH5pbVM9cSVV1NC4v+Zt@!ZMg`hX!?Sc4_kcDk*G zTMzdsZ^N4%O#|cjqitg9Z{PBZU=NjOk~qtXUb$#9s@i2}!XadjCc)u#JLs;SCYiW* zVh##gS|Y?4khAwu!tuDFKX_?2YPOa^Q`<0PHAXP2A&|DxuR0c4TX0vO>)mNt19Pa` zzx3SCWe+M^B2-SWQ5f|3CvsYFGG)3^Y`ZR=LKKP3d0)FUMA>RA_MADq8bdNveE&rR zN3w2@Uje@#^x$Sc9ft`Imd-@cWQcul`3Z=o>5(w!2NR3S{$tJ1 z3xnZX!7KaA%J1GH+f$C!m$o;1%spWlh`OEVWmjI~U-cjm>yC5E_aAvQ8zR^NCZ}?c zgkz`$jgH}TS>i11hf?8Q{|t$8^fgi4VgO^Y6s6*t5@(r{@}6z>9kS8`pLpmtTLz%k zaP&q~Z!9>yQPoSeVfa`ky*x>T^OvKd_nPW>8JvKt>^Iqt;}wzUf{&z*gSA3rZ>SX1 zG{4Li+WZcjdRy$|q-?Qc`+?TN14bor!QNL6Q&6D{LE3(s6wZZ}J)n8Vr8!pDUgN-~ zky4SajjU4EEcS(bn$y zmmNgVoWp79Y>mx?&v<&B2+SZTs*!j-tI)3_n{?q?tvcQM*GK+n7x|0enOu>XtKBHq zxAn{N1!R&kK2oLgQKN%6>;m%OTV0mM^&NU?(z$qcQp)?kO#NsXpuJ?5v% zJu7GU6z>|A5nNc$3eUhJLk)doWk}Mv8@^cm?9qK(i0?suYj~jG)4H{Mp9l;0RHoB?2^y)P3Q!B&Cn=WLnwqSWl;?| z3F3)me(SW_xiA~{0j_97fXUm?HJkp=5@*3*57geW0VDKoQVorQI*y2`e>!=6c}7`_ z49{TPZbx)+-w)zX1c({oTX4ecUs^!fqNLQgMGCVrp9MaRkjjxL93-X~8mZj3_kv3u zPRMo)E4Ric?d_fKmHXkJEe0|hm6c%1*L`Wxs$Qm~spNCmGYpVKw{7S9DbB{1)kAG@ zWaZPFm8@qMVo{y#65)@+$y(I^Q}y-_7&qA{^-1E2z`6P@mH}4il;`>Mb4B%oQsz5B z$BQIB2sDd#7Tfx^8r4$@?_QPQEqNasKd6Mo>6%L2^HMlvB#>Z{3MVlsYLU1a4gy*B z^n+?|{q&ydz-kxBK7_t9Unm4T5Sv}*!j6i@Qr9jS7R_%`FLLX}1(Hiyi-e%a4AA9Yp=Neoi;^$!fwqWRr(^ z1xEZ8_QLFX&6DZ1C9vzWs*(u==&|u>)BuJUCcnEVNqlp_e%BKH+l7}<^73JS1RW-v zsW-c~HGC@I=*;Ve#OOGG?kJ-q6v;5~tz5l1<@Be}ZN;aDxE&q6{;PxKP zN5n0M*x7#Bg0IF{BQf(O$KAu96Yjrsu~hSqaFdI6F_(j%g`8_L87CW{<2*w@7U_chp`k%qAO=bZBI>?G&m+5f4rtup$GD}A6A z_iR4#?BB9oSEY}`aP5Vvhn|63Ie9;dpJIDOBO|`%^<95AtR+5|1|K($|GCJ=4 zp9o~E={8}*XSF2ob5?u+GnYtSbMuI}7Wm&*cI+yz`uA!68TS6as?qr$z}ojWU3WglxjDp{YlQCA-rAKd*y%+8 zoDL|2jx?DyKWOQaVLY3YTVV&-^AW{MDhzHdcjvtM@0SsFajai=xS0MSIY!)9K$hGu z*cGM3nlK4o`6H)IKGG~o3ee#YAiUi^cK9)?N_)HfPuo!6MbZY&SjC#nktg5g z-Z^9_NGTxwNyVPxp87WMoLafcT_tz;uT-cEqqf%JytQO)(9RLTBDW1aIbT9Tg)OuW4;;q%H& zBz2)l9!4DXBRTq^o_-HE#lXsbh4Ii!Bc%60&P>Yu;x~Qrxr6}e*q!y$X(ry00K_g~ zwr?8B&&S)b+UlwH197L>jP2-X;P;|`k0$K4zpqe|X-cilQTV69iRhj0koibj{PwVl zT^h-Q`XiJ)7Ky5KlSerYVpd4IkeM>d%n`Ye(zRex5r<8Q>-&2zaohec0Qf)$zmJWf z#ymp5Xo-amJC$iWbXuOBe)-#u)cbmG7MSRHolib)vWN%TJplMFBr~n zUM>!`J80I!z=Z2zn39QM&k?h|okRAr92UnAhRa^8nAu0pCDv#9h1=$OkD2u{yvoFW zW&p%$DINzd$4UCx)`k7V<=CXF8`KkdzU#53@R7maTzx2~!i;cH`2>HdOsaHirM9x+`Hjmbw{pPC=E^`4LF3v^KgdZiN^C%hfBI$G>RO*4h zx(GSpD2m5@sOgx*$mTlCHI^djvghTvqtDZx{u$K`Z?7 z%!RFG*;ZDG`Kt=8Ho2k+c+9%1%xkRb8rs%qw(SPY{+z+#K<%+{v)qi?jswyBTto{` z4-FiD?G0?7@SxgLin_K&G+eU=&b_0+%YF77S*)Ppg8>xpILP3oz8J_gZDz>DKVcXNSp0tY6}J$GCN;#f8;hZVoZ@ zMUWeaA)ezxq#zHmJePGh#+u{fsO+`_Fkblcy&QtbN5bVqq%hYk?}jTDM^)z(>JE=t zRbmT=7M_#YHwIz6vtr(S2o=3E$e&AvAqIym7RPgNeSRy-kZ;>q|c46=CVK?X70SB4-ABp608#9%cOgARrg42SqShcz;gU{Jz9g!nwSk*-MNTwEn) zI}C`pE><2fl;U!k7_>0_;r6+m(mXq8VR*){ih&b{&z%d~%qk)VN(^(R&9ZK@o^rEo zkNL`Vv&xBq8N(U|C#+zy0x0tu+gXuszMAcFs3HS2>zFTo?ktLZ!1c4gSlPsYF5mPO z``KmI!}T)EV{pU1+iTj%HnDHnr`%^A4+dAPcH?V2W?U~rIxFxq=Qi8TfRfMieZ}T| z=}I*QV+`0BLNZ9?T__n$a=mPa)gPu`*_L!w7K2{C&fVqu%h8B!% zs70h87rEvlo)ujSE4RuAIIM3Rbw|h1dvhyJ-qnt&_DW2xthCn0@Q5K=o-c~=bv4#| z(v@4zL;^F-WjJ#0228A}#!Oo|Ce~IXCmgqi9~Mpd;jbxzueJyYx66DjvDPuZp$5~f z6_{-)x3*I@G>4)|Kc?F%5Myv)F7z*`a?7v+Gpk~3-r9F>eTnrvgSX)8yK$4Z1jif` zaNXRD_O0vTWX08%TD#h5eHE~#0=TvgFz>fQ6ILoQ*eEpHW5{HMLFV~#^W3e?z{lEw z_HuIoJa!nxFohuygQ2yRc4ZX>>mzmVra_|xy7`B{k+xe z3;Ti9aqM4)Uq9;vR+{#4+aGMR`*5{cw#Bqz*RUOIF-+t!G0n8XB)*T6`E&VgX5V={ zxsU8qhD8jy*mvANR#?5zYxnb~O@DA%wq=!h@HjS^^M&iKOZ6#(v5&2`*L|Zo2HXes zIoHMIx0!Q=$Cc|~|L|Dw9AWUb-7L>zzyNNyY=*bF#KAE<;P)imSjAF=-dE04jshN?r}_;1Dnb(MMOkI zL`38g-~{R%zQ@ogo|l7ouFI;>iWIr6;du-~PE}ehaa~+E!Aa-Cn6D{@zp?D4%id7vw|hHzG%K1;o>~=)n174VFc0j~nhyld8aNP_f7>Y3H zVxYzCu+oSD2Di(hYYdgrc&Hfoa0ni&br{BQJKSDPksYF#eX`znE(}XJB$4aqI#`*- z% zEywlFnB~SyI~Yc-EVBc(^m6I2iNo<2>aYTe{brqarg1#4xh#W9F3Y}R-*4b}KNz6#m@;f* z^%u`O_B+EVi)ka@%kz!loOMji`nXQ^IV!u<9lk=`v&98ugh+rZ(U3{zT5?K!v@{;+C^b8j)^V%ynQ%fVpB3hvB<0TDwh_FX3K8Xuha zogoz4Tvu!dKxqtk7*??w&21KD;Knv{pVGK~21Z=gVwPhV#GkqUTrc~Pzay;bV*ha{ zBdfkRNjr}Thn#ZR^WnO<{q!X9>?eNZa8V9FWT?k|=ed(Ptd?y_hiI9{l)+W5d5!1D zg$~1ArgX2!I}!6EJh}%j{_Iga{rU;?nLk$V&fyZV@dIok9zie=M%4V_dDlL}nLnn< zL>#eL6ftW%=I7K)mmJq^_AAb9nGY%aVES%u%j}rhmiZ85wiSOjw{@A>=LYx7Jj^k( zcJLN|NDR7sc-!)c0BSh_P_QPdb|2CGc}7) z#QxiK!OZVJEAk^=^ImhI%)bFw1^!)%1`(e3A`~_Kve-T)V@Uc>;N;7XW6u*m#LmYb z!oF8`Vqnr-oV-URgFP7B^$YB?_V>rw{oM07ITE*u0Q!o`oWz>yhp;4=H>lDs+_2>!U+XqfhEN@lMn0;p$!Ofrg zyTW#*zGr}Up+mzMnDFj{j~oZSx!0ZmJsoT@z_PYu)_b9UlUd2b3M7VZONAjkG~5P5 zBL*+KhJe=wPCt0JMpkjLdM;fR#G!(BuCRws@-E}|9^wT2m(CPDv|7A90KI{+S$o?)&FyKlpb7`F3P9kA0@WVdLjE`bs z#)qK!W1L8&LJaeG=hEA17mAv{m8ZA$&bODbt<*(=cV+^U({q>) zM67LDfypJ=*5#9KuYJ6@Um^JCrZ6=*iRrn3`L~|?mD!J}H(r$VI?RLHiiZ*M&0>0T z0#kD#M9n{NRw$WT=AGgD)9d24A_xb(n4TEJ#H`t`bO3ruwq>N&W9?TgV)knqN$Fi~Uc09nbu){~JI2gMW{o{{7?V81k9F@2TJ4)T$SkS|$-eY`!1; z&-?@(y;JaB@v5g(yW&0shK`{3#iwv$EPm?R=nP5oiPJCny=9)^a;eboAf+({LtEV`JRwk$Un~@Vvz`?A* zEW@FP$qTq@cAJZShGv{Fp6kywpV6yNOrm7iYgK!7-_~l)2R6E~)|#s;$f}wP4UQHv z@8g{ur(4V6j3%w1&*O`rz( zw^F(a$eg=Y0%3h!CRXuq*xzgYcG$sry6!OBxw*+6+E|@$SMw}q)#h5js)*wIQq_8k zV)OYrhfy-fxxEGWX#45MFRij4A8fU&nb_y7fNC)N&iSG^&(klN2j?JT7{>}H_8Ehy z3y}`tc+N4n;rq-vbQW$m9r6+TjE_uw8-qH&pZm1bFwjia=FIxjxGe@yoMY>5a~wXi z&i=}3HCAzPLVIp|v392M&W4Yu|hiyB4FDefCV&z|S-_&5I) zfB3t%!sqV0AD{i~7x2rUx(}au z;LG@D|KWe*@1EX|9`Axb#up`yz}QjjdE&3}$N&1*@cDc1#b+PYquznf=EAT-m1V=sIMfAQ~s17G^&efaDHpT*}t^C>*|na|@_fBXNz zpMCd59G*&8L-n&pEP%ksLA>%0{}cbs^x>C2^GST>QxD>E4}KP(`_%oo|NbxFxBk_C z$N%}sP8=Nc;+j89e-#{|W#1uYDe$`{bw1G5Z`oZ_bZTJ@}jWcYpjn{Cv*< z#)FsQq>N#tfj?*V+_mp_XK@4X+N`||JNKYjHPJab?e^Oy8@O+?Nq>BZQN zZ{X!`{yCm~bO#2;{1^W3Kr)KR-+vEJKG%V%`SZ<(nm}~A0|PI99Z&w( ze}hMU_!9cZ=C6W(4`K)ny@jI>|4%&eXa5~fKeY$b=ELPu*CTQTB_oJ=yU_pSpWx9y z`Y(9qu^s3ip4W6GmylgS<*+7NkLBiAzt>j#PQq~!zKngoJgHR^Gb5fhAzP$6eh}?(}}|YSsBIc zTvrEdNKGiud6yXeoUtu?FRd_))lcb{_y>*w5$_y08L%fO=TJPh-|COdbr=kQtofbH zatwr4SK5=!vkiRQ)M)QBCpG6BUR*z`%ouQS&MUsoz;C;G54SsD`id1`44JrJ4A>T% zlPry46)VEHkF09q&_)iUO#h+gS5`ss!R1)lMZ7~L_c4uO6DM3}KeA6amli9qE*7`P zfQ$QmM~gkdJtv3fT`oCXl;=E;M>+^*$eV66u^k+WXr8wEiIr{)ws<@_x7w+;rQUzB z(%ZKxy6kT+mhP5#Q4;X?@51Xp`w{-}dq2jb&%J?NM+Y%s{%}RZUQ7>m;n;!Q*!A{a z?CThVKeq~%75VU(KZ;5dB-jGsKS4;|AE6g92Jy7g<&T3?F1@B~J> zkKypa6X+TaAg{R{jm0_U-;|5kcp`|Ay-(ri5B&h&f8tFX9Eu>XVKr8-U5&QJ3KYSM z$-d(_wEqZ>bj={AWew_z-N?Iuc@)l)2x0R04!r!x_waWQy@a<;df}>FiIuBXV`Xy< z%G^QB4Rx4p9mKvvBjz8z6{smIK;gnt;j2mlp~)^BfAvxP-Pga1M_=5Bu2~22%IneC z(u$VmdeoH{AlH1j8Rt}-7aXM53g<8`wx%c zXRjW|K+uD-6>G3=%}TV@mB8sAL|?~I96EFogL6p~G_;_pWck}lau`pb8?XQP+xX!_ zPvW`#LzrqGiJtG_GEQ+U5on^H$^0AYu_# z{<0dF!}umKJJ644=}MGVl%Xg;XVH)0iKzqV-th=tefSqR*)t5UzYuk|eF)7B=HH{d zEBDJDA9)%3o_H8?O%62lMy-<^7nP@ga&FcxqOo zZrj~hRc|ih-h-0<Gn#@*A^qr3+{}6 zp!56@l$eY8nhx_BmUl~JRhP?&+&~Nkvq97zGuLX>MF>_DSa(uaE@ z(7GcdtG75AJnxq1j>HiybYpT=m9;JgUA(Je)80Xpj?81atsK)U%dKIJ)m@X;d|jck zeACWqBy!F5pjkfGw5#sO81m;rm};xACb8!nUwOWWwGCG+acaBgVoz3|YYoY)>YlPD zz<0&sI62dgX9wScr>qD!Z@C`r&MG)K5jcY%-U*K(5dWw$+&D01-{Fr{OwH!sUj~B= zbqag@e+sutcYk>M048t2^)87{F3if!kyU|_>)D262rcNmbc>W6b% zae0OsoV1()3Iigpi`(Wz=$s>rZQwdt>BhEk+iY|CZi##k+s8@eImZ_7#>n-hho*90 zxeb10K*r#R^N;c8bkM}gD~4p;9=~#**(cl{!$HpJMWv<<3vqd#I31>44CA<;=>Uj- zrx{vtUpXAL(mcm~<1kTc`=;$|FL4rl&V}|$pBJe{bMB;LojZSlhqT~$>YOq zvW@9>GPvV5_nC8t>$}#hhkecSf%9{5yIcp`pNWAQ+sNg37eyXB;&Efp#|kmFiPeM` zN#WMA@XSgg(DxdifAkUj^yhEl&}ahrjqTX7!ikZ-X*im1!p++^U_-4F{?Tq6J9Gpm zPWGAOm4~9rGL#f~&Kg=Pa<&A5W1Tqh;t&3R_TBzhEB@QGze3U^4K-;bMd3+cj4%ndUT8ipiRg|(fnc*<(T1Y>cd#vLTtHB6#S1( zZiNsGxG_@oI$r$wOL*t>2m+Z)anqgKaL1OLux8~_ELu>BiVBihJ~(iYNcP#wg7yNf*7mWfwy0J32z)~$Ec+OH{5j(w%xiOYbCG63m0IX6Z7KC5QTlT1Fc72#{d5B zE7;#=Le9#~*mC<;Y+1hsE0-;nyyl^zJRd2l0d&^ap}ut(PHikQ3UiP&r-fk%e&-PS z&%BH$fBF*k)&>z=@5g~gk< zV&2+yC|$M^1@jjpw|oJz%PNsoT8Yfk`N%3NK)h9jplb|vy9c452j0;>j5|%RWM&~g zIYD&#k3P7;;qz!e@gB|`u9bC|oL9+su>Kb0@MX&{k=zRQ>__$918At}g3GUmE;buE zH%~!s$ylxOjbUt97S4&8NX#ondf7r`&s&I$gkMeN^ZFyV8qj^Vx_*xjl+toBT1>TtT@He zYAh#S#v!#5w4KD0-sP3U2eT~H6Wd1Qw_&8!^eg2eiPgR;7xq+(+@pcc6Se~5IhNfj zpRv6*#f>nbV^k^UNNxh0i6;1Cbc!2ca?>Ep!%oG$(I2CqGJY7XzDQ`X{?fjabp5nhLOnpIOG=l3)5#nf6AC;U_O(r+-8X= zxiuGrq;Y+{IQ`0x@$74-&=kx@#l~&8=eE^Ympy_b2bvHlzYh;ScpL6qHxJoKW*B^f zXghrr4gMmO&MQD}nl<|7CW=`g1ovP&+Rq=u;XVs;E0$oHDD&$!Y{Z83^H7xJLF4Z8 z=(JuPa*K-z-&h^?{p|aA{=fi&h3l~8fd_H_?KfaqNjB2cQjwaTg`C1-6y~NPK|PM4 zrYame+W~LJe3Z!K9~YyY)k2>^!QpJh;a~g&FYa!}P{Ia<$>iThHN@?Pt-5VywLPF?{yn ztynWJ7rB|~l2-;Y^9oQ%AJuRN4C_lury3cCTijDZ$x8lljt!zB*{XsEJ-E} znHXoPUdTIwzS@J>@yv60?qmRkTOPrKkKB!0Z&-wR1)0)6q|Gw3MR_krVWtJT@piP- z)}y}H2Tf)f7Usvwk|R6^&xDYF9Ah1)vHPb#!m~$QNLYCnwmozo?z(ve78Xf=ki1ee zvXEO`io)y!n7sYyYPg8=qC5mLD=|OUDtgOoNT(12?qQ77?!;5ydjWXW~0x{o}!p|J+^)Sg2_O*eeBv%g-* zt$|Z$JaZAaH z44m7Ai!HM76R518ZgPuOPo`lf;=97`ZW>v1lTf0?5Xr7z23Q7KRHf9*LRk8|!Qp{< z$N}?+Qz3WsvLL6072{^PXgMRw2X{pz`QsN|)hCN^l2#;=xI>~=(xY1<)1XVCdB6^% z-J_63y$jMYP?icGi5n#ypR&s#i7|rtnIvEHpj{!*+;K4&EJ;T1{0t>F7$eFv_7*L~ zm^Q7&=+dYOFs7GZ8HZR#mWPC!-x!VqMk0)a8woXMl1VvtSx5rBp5&v}>sJUjx+xwS zx`+!6XB2DI9gsoLspyfYh>%+xqxhY~6)lu_4bv}64u7Xr5lIX_tK5B(Wg}@4 zA$;g+NOG+*C2W1f^m*_arq3?Oa?`z%mRAHlQApyEpdkrIvWbKX2@{fFlqKs-!i#mJ zTOb3s^BNLG`belz4zw&{y;zRP;NK*bD6;{{D?+r9v|(LH7SZa9 zv8yNpUQ6PQZNlqVA08}oNn$vkQu(A+82#f}M%J5FO^jj2-IT)P;{7tcdMX*uRsWW(YfgSPNS zEMJg|Y-yltKG#O^DTF-OqNM39>BwDq8}7aDc5GO^81sv>ksj}Zqq`PIwx2`CRUx-z zk?$J7@c9?<-S5ALHq#1hdh}u3vt==gVkb>x3ZzW2sYppOBi7%JGsn)Lx-Sl8i;Iz& zY(dP7ERZZ*d`>v(Uc!%myc-wXMOeP=0X%f?ji`vfG%r!y%?U_IPDYl#8)uFjM@_#K znI#3t&6dT+Eb`*vXm|s^`1wv8>xxIwru*>O&uqowB(03elkZnUYm7y#ERIU!`cQT1 zEG~AbVa>=vQBgW#ud|0XI97%CUVa(dkMto_z6Fo}?iX=aMZC<%A9a0JNj+6MD^l_c zk*XcRNJ}-&H;-b>Qi=7;(!eDi=lH1+f_I=A=iYlAPrXu)@q*j&rLTMj8!FO}@*z!~ ziD(d$oR6$H51f7VIA7g~PFE6^-cX4Ine%40s0zV9+Jcs2uj0Rdd<;XGoAJ9#U)Adn@*YEqqs6e$*m|pgpfRA_Fi;v z{{c?d48uW%-jIp(Ww&GH!;fO2DExX+#HV|_RoWQDq~s!A^euz41?>&}@NzovkHMqM zLqevEQ8{rRd2U0tM)cO6N8|NIZVjG6(=5p?sHEIlE6m9mNXW=WVn!C?lYVuHE#i74 zw+7GeM$NAXx#hJO6w9Z={mn>f8&-%N5<(<~7|i^afe7Jd8FZnqG7Y1-2?&Y)!vOP) zk41OBj0=4VSv6FYtPn;XYYfISVin6RPizbvqO)=!A!#*|7cYOC6k>`*lrzDEp@Jl| z-jJ`v#^OCBkO;aU^6#O-Bn%ZN!Il*-=~EUug`i?gt^Tr?=a7(?h~=wRqHIwm)O0r^sX@yr5-cQ8mZpbeFwq^6L;}eMo+oL=Jn34OE5DQE znLOT59<$(xkA99e-!K5gjs;H(lgNAd--aSOAeQ;_u8S5_gStVZCY5M6!tGV*3g+IKM*@rBC(~vmpQP4r2n9F#q+%vevh2j$1~ zC<~H*B&(PPzq8F5hm7g44G5Nr@+PrIGD#siMK-L9(l_Kgf8+I)A{!E8lo9h{IxH7u z$~;(S-p9NzjeW;!*f-dxG9=B2B_7+C@?iayvP&6Am@;4Xy*Xf_Mp4X+kP^FL{PZ52 z?RFqoauZgqT#gmlCS^%?Ij9YBNGMu|hyLo{@z=k(0n6l}zEb@nyjz$L9+v}-@p0H} zHaW&&cR1nlFt$W^As;EH({97KUCxm`o)Qzgxws`wy8|x9s9+L26Owbv?qm+w$C;NL z<967cZg_kFe3IMnM?t8S*hx9d)?@REB6JsIRjmA-L*~qPn&foyHQBtlorM%S=#5Cb_Agjz{6@wW8!y zBE{Z=y2FRj;1$J{a+(eyF9sVf;=<80Xwzq4!F`{>I+<{%b~V%@alv}5SiKU9O#|pX zvj^`qdX$$qGq;%!W1TIie(xY^{IMv${XyJVmWfQ$)Op2~EXTqdHsHo&Cq_@djd$zD zG31(gdKz7Gl-tX9(a9z?ZxbV6}ST_uppXFxxS@ww?YA3F`76|WN z>7S6J96l*iAgqI4Jw)mo$tT=iN}Z(-24qpFlw;!RYX{a{%H{V-IU?y_?qK-rFZ6dq_+VqYr(ET3p;r4m7T&Dt=jRG18#EZQSGABJRbpoNt!BNqLoDa!pli!v1A$(~|X>K-As z2$EI~`JH5#J=u&gS$vbsVxGP8(iK-kl3ni;AM(MtTkd$HzpdTD?8#zeZqt22Oyck^SqD5PlP1leI$iQiqV>fgb9fb5S`6d%<{K|7ApLm{R5Yy-VOkW`} zGr}%{bRQ(~#yGhVVu|1)lcW|ct#~boD-u>r*C^MKK;(VwJFEu-{If3n&bl(KNS^Gk zY|{wgI2n{V+h3l6FsV2J-m8#{(xz+=%8TFGm*$9xo)yK+2q}|%Z)+Fq9yQVn3z43l zikU?&jirf!K^_FQ+ZDs_fUT<<$6kLDKl%3m!@vIh-{GJC`QP#FpS+B>57(h{JTP%# z2)3#>@zVGI1^@bkm$ALtKJokI5RysLj;7sD;>qtmjkixUqARRRA{6kz)q58EUile* z{LO#CH~#Ve!$1Gq_weIiyo(*ByE7^sv<`y*6 z4;DuYTwkQc%mgxs+bzBZo zOV?myiO90!7|yhep+CBPViZwaJ%oIsmyE$-BiRuCNn^6WXo;Qs%RyZ-j7b&9FCqCK z{wXvz2q#gZ@XVG%*1X?fFqQ7StuB*C5wDdKf1CHKC zbYDD)=2OyE#}A?L^hvbUH)3dT922VXHAVn_*9gWsYteaL+Tp|jDc4~%o;!=q=5~xq zKe|@kh*m)ZB}t0KlF{ZtV#^RRs(X-qx=RV5o>tqB`1VmH9+eX7>JvuHs9SOI?~8X3VOw%vic)?EGt$ycR8@Ew7v?vAI7{-fU%6chxH)Q76ErrWI4yvty88s z8LS^I=}3ez&C7y>4v7~MPZ7c?LP(InB3Th3oe2INvBOkn8qdj=R@GVtPig(P6(HQ)=!klrC>cs zG%C+mFWG35uGFTtVgY8B6 zv+T?(k`~*IL>VosB7K5jS=fFNnNaquJKODY{g&m4+%vhHM5KO{GcC|q&dCJaED?1} zoHZDqWgMet-@^8D<1kii!mjYlkNw>t+Si7POWzs2>CSya(p|}Rg z*SW)kk@^GJ^NXM0zyJGbyt3yw&eXJ_yT2Fh&Go1|e+nm$9mA1R4d_#+AuH2}jy+G~ z?VX}f1+q}EYz-EtOGmmaLJEo1yYI(%erF8^tcA!b$VA*o6^=glEqvpfKgae1XK7vZ-YARD#B?Oan&nx#qKaK}_=6!F8uH_f9v_ChLBwfQ*KM%y zX%QU7V0#S?UnRH1kgFTL7x&|Zm#Z*Tau*)CYXvH0qBdMQQB8)NQmeesX!>w$$9eRq za#6Xc07aq@Ot%0Dc}8Gw-G}F%Ig0MgP1t(t8mufzLd*<#QTa5+^x@>*i)eQwBB!(v z^Gj2wt#iolLa=uqUU>Epnv9FFYU4U=T9gU%OnIr)Fz9+veY_UU{d&ab7Gn9LEW}K6 z+qH!kP4B&g!_}h*<*mf(O{-9usF|Jsnt)2BfwQ|FZ5Pj=YSe<=vvIeGUo`c{> zHO?M5fcL6B&@a9nzqM^XBs-bErjw%zTJ1PS2HJ7%NC$d#1=xI3AreIKn(mPc+8WVt z@&Ml6-vj5oZTQkXi;xqmhi-|`Zi!KR z2q7oESitB z%oLe-f}#UC(Ei##PryvIp7_vLF1kmaN?Dp;m|A3W&?haZQfn`%rgiC#v7uiJIf5(Ai^y z&yb7+S!$o3=M2rpNt!L44_#IU5eFyce zPWbexNKZ9^!Sh4I2XOSKKf%#AUcs3?yU^6s4^Kj+;=(;G+`wyeNZ4h2v9E=t#jF+0ydoQByji2Mlv(Mnv!To4z=zz^JLGt+R zaQ4=s?c`oucyAXj9I1vYZ2{tBf%oC^Nv{h9;qE++`uASJiI<z6_*iMXlmDD_} z1f6Hhs(?WoCY9#sMl@G2U_4z8Q|bpNNG7e4Cy6%(XwNy-1>=|t_B1Oxmt}{^o=-+J z*DdOf?MG*AmExATV#NxSm6t&sVfmw&9cZz|-6XktBI9$d%?=Y)ml8u!Tvv#aTXTWm z4fLMCJI6XPW+_EkSsu!>Ewb2|hTOVh@U2t;L+9Sc+b_R_mv+~n!=HwVn{LNlcW=eb z8`dd1y)7)sK%#magDn?v=G;ZJ_Vl7&Ccq0VJ{Ss?VfAJi&|;@4NiYai*Fn5{q!B}w zA|$BCFm~hxJoR=pdd%~&=GNPA%Plu!T#i37XIyH2$++Qn39Ni z!}UL4l6*fk;>RqnLvB+LpiK5~ROzB}L2#)t*_{`h**^VZt7u}6pZmdLk zhUNViIG2ZM@=p&&!P~u)H8{ zhM0FeDSbh2NAt1MXlQXllUaz$HRVXVZssyCn)bhr6E$`qZz-1Fv=pUN`qEtjm9$vL z1vH#Hg|ov}6mGl+E7LX864c(-qd0c(D30`*k-c^s9$A|y3(T3wdO5H0V63l076(;m zvL|8r9V?J&jgbZA#3h%3ue(Z=^#j;Z<3+;Shw$i)*@zdVb*8?kQv2X?44`)R5hbt6 zjq*&S$HOvZUOo&ppTmh=yKtmG8LJ+73^x>7B`>wo1Ez#jS}lv=kgXQG_Sa)HZV{Hv z&p~0jIcf%dg@}?{QCy0k+kr9BeOmi{@XES^d--V139#grAVub;@F+bS$k?DY!jP1U z&J z9T@KJgj17+guDtA@NIX{3ydDe=~s53=|T;9yL;eP#lu>$PR6OrO=2O0{2q9Fj-mR^ z=WuHGF4Ueqhwhd(j0{TorOfY_eRL4xLw%BOI|kbt(A_=?RYC^L+y#8Hwa3SSe;9*j zci{Z?mvHjEgJ`Z5S@!n9J}UVu@*9C;SlWd0>uyDVrwtmj9sM;880s3FncQM$5*@1l z*vmM*{WYA~FL_+Nh`x?aj1CRMA?@X~eYm|GN_&aCd)m<7)&*xk>YJDbt6BR&KlIh3 zY1d9%Ja`;kebSHY-ih`SNPBt52Vm=!ekAQNCVFV>^3BLfHXxr0&Vm{_VtYjH z42~^eUX00Q9QPo;YfK@(7-XIECEe_l*i%;-0j)+M+-N1HkwJo_TWrs`vST0vtJBhn z7FcxYQ(|j)9>JXpbr`GKh3&8H#QrmF81hXdd7Xos zICQ!~I5ZrCL7Ne5_| z6Vxym_=Z;M81Tc-PCaV`hGsNl&!Z|dAQEA&ij8ztenTloJZ02>9 zUKXQ_8<*%uV6YvXqdvH&Eo%_&(J_qn55ui9Aw4e_F`~RqPi%#u(pnJ{n}dQh`99i- z-cc9El?c*PKo+$2@e%a)d!(G{$jym?(J%wCr9cHuj0x6)Jf!OYXP@+Cy93j9`zWG_ zV)jt!pfM&Pv$9wg>H4se>g>kQ#l1NF`pY_FOE|OtFxr|s zFg7N7VN4R@vP%_fvD^hqkyp78Sw#g%h&My0;U+F481KA*j^lFgYcHaTOzRjT7hO(37Z}JZXn~@VRKs>VVhjgxBpwUrN7}ajLhuYA8VLvYHd>+T%cn6J5 zy|7O=-p&S$p%t=YxN0Y=cD#hs?;SvMeLHO9UIevqux5p2nNu+z898aNXzdtpJB!Bm zUc`xA$I#V1!axKwAc&xM3?pY=!|At~$9^=`G+}te3BQs@3DV0JA$R^VX|F}dE-pk; zO1!LVLyDXneGTY3{VvYGy8{< zgDd>-j<%ui=u0^K>Pt9#=os3iAKC1FsA7^4pIe5^iY3Tfz;Z1>WVOl23+)DRX z=|`=H-@xg2_Mo|`8xe!C*??|@@tvbcX&69iog6j&NaJy_59t?r<>*63Rj+bnp6fwu zuWiEZ@WZpE&h1ln_e*XZMCSP(WS{C(To#!g(`P=6%N04eb0K#;RNDOtz?fHqMak%$ zm!<@P*ZBO18Feb@(n^bQxOx_5DCE{9MUCQ0z-D5*Gmgc_eAGX6p!*$N6_;g%-SK9l zlt%GOMbvU@4xm+!CN37fwrVuhHKKjg51%m+$rcs#qv!CZvRmR+u-poXf)jM2bKn2s zsh3WoMLQ3x?|ukR+_xSJC0&CkG0L+g6Q(XE4v86gC@3vLVL|{y^;Kw=$!AQTkMb3( zuzqa`lBa!X5|VMh9Vd66MMFyqItE?vCoICI&;15IcS{8_VvS0E{77t z3ZmZ=!iX;fdq5OWxrRzG<$c{)bzN1;p16p1bsiROz7sd)&C&%=rG|U33!Ufo;b^A@MH_F&{B#TA zr}SG5V7TEV&Kx_Ab7P69yyFq9FVG=oR_v!5KKm&8Prr@B?HZ)7ycv~wX-J#Omozwp zu9{OgvgZQY^b2tN6B|$xtDn}YECQ9v3tylQr(QjX_FxXmSItLBLCQ2%Vo^+osO44^ zABN}}^8Xl<2Yt1T7#nlQn#vFV_#kYZjTq<~hRZ$<_xLEB{B(JgO`xFBy-xtmYJ7@7 z7S{o{6Pkp4Bqp1n4LD#MV*E%+OBIi_6*r@B;bP>KRv@!%0kVoqk-=cTL~tCV7k1$M zJFm;Q)d;&^?$N~~v1BRomaIkbvehVFvK;yI7bB;99x@6Fkd%@Dqc))A>2doI40zz_ zYlk;=IWn`85o^^e#ty%Msw{)%4^#&9#4!2isc_lIm3z3|j z0<%mELHh_ivbbk^xus7Awed(OUx?Jmz)wQaqk$nl192(wFu1xfI66VMne&k`e>sX* zEJseUv{&&wWLH)qi7xjt_l7(J7^!&=XJ2{-C#w3TzlWeUXCSd?5%Q&6#mm>AXz>cA zT-l}N$jHx=z8bIeBfrB2H+K}3HG_T730L++|`$^RH$fPL~5_d1* zZZaRo@Fx`Viq6r3L)#CcaWDq?Yi`Ddn^(v}eu{;;N(X}|7bUm+2EKUjVw8yDrH?Ve zXfjQ`^Vb9v!Y&~haWQ(R$87M&WFh~iyYT4Ec`(blkKV2pF<4~r_z)hrYb_Qh^`q(3 zQS3g{0y|&k%(C9JObf_CnO&=c^FPPu%N3EaNzaZ2@zZu6{_KJqzkkk!f39=mg-$Py z4f|1V52DKz#E2x~mb8@JB01oH>LIf6crYr;T1XR%_;?+(vmp}{(CSBzgaoT7Xby~v z;_YxuCzB9-J}-txq@TfpSW)csvksub>vVFD1qQuG7B^1VY*db>g7mMTAA>_9qO2QX zwipmIr#-lJuvjc|PXHd*I7UXM%j;SqEQgHZ5@e+sl|@nG#deI-B5KC|DDod|MrT_q zn#U}#=2W0EMXiw6QzM9Ry8{ka09w5naZ`;!mxU}W^hUYI2vuMN!$UrJr+vF0g45}g z{JhE-lMt_&!K!RB)X->+(ibg~>lnsHrH@Rqg7^qzA>eV3!{*XJV~t0=PBkkFLIr9q z)OuOiSfzZj;IX-+-~TEM1yMwCoj@gHjlOIfmfo}!+1ZIODArPb`0d^3KlLh({P^$j z`hWft-ulrGaNyNfaQ5IKG}qLkucI9!ef=00UB*por5P15HB=fC(%1h6Zusn1am&MZ zV*Y}xiMU>prz!>6n?8pdKKCcs`rChk%@5s=CEQ7C0wG5OT8|$=NF@Ggx)o-B`To4lLSoFIL?9o7nW_zrfbdJcPwd3Sm_;qEa%9&eP{) zj2wkeDY6EJggoTk{uONg&97q1y*D90Cvn2^*Z_TOF^ca0V{H13uPWub`L2y9;g;!h zg6J`xevC96#K~88p?6&58xnocnuCm$_hI$tzJ@z~`**SK?)$KG^ENEI>od6N^M8UZ zpZ@~Z+&CWzrtn-4a@3*e@Ika(Y=dJ?R>YGK3L@kk#o*!RQFW#X10%A4RvTbQE0#XXC25Zb?#KM14>sjdSxb?(?qO_@w%qz#e_p6n3o*8^m6hJ% zgU;oL*6mZQw&(l7KYY0`BtvSZUaJ>{K>Ztqr>il z$7zGz>5^wMAc~bt*wTMyTyuU1a@DERtI>GI7slY?u2?F?`XKEw0%SxORs@ZW|%EH=w|CDs6YcttSox80cDGBy4FnxzWH|89nwBV#E9}^m{VLhHB1(| zs!1DrXCO*`2{6rDiFu{DNFJ`k#e;irbWjv~>3Wy%fBa4QX3&k^Q^%FqGM%P$6t7*2 z3cW0qrWH#N9=97F=^k3Wj3?%~$xx@)OP`7nCD#e3OUA@$S06#?KOVS6ky2|-h$VS6 z>+Yd~R-==?XqH~$mi{aYwds6RLLPX19yq;{hdCCp(&uO2?X~hR7I790G(HzRl2Ld; z8buUQTouSO26YPZ@BTfk*>WQav*Hvtt`87^cccZqXLsW4%iqP0fBzFa{ngLnM_>PQ zyz;#t;NbQ>Xlm?&%|Um(fbzmonV%=_{`d%wslMhD=x%96pN)UZd=^`PvM0WV<;zQu z9A~(^f~}25($c#yZ^I^(=Y=h934c!uM(uV?gaQ5J5t8w?yAFLPccZpnWW*}Syqvt| zQ7pgv0W4pfg_uj>Z{YSPHXqdzj3lE9jMivZlUK@Dr^ z7TouHe~o|mhd;p=Ze56Ua7*4G#?Sv8-~Y$I#Ml1jhj@N}BL=UaulQX~44ycNW=9b5 z74wmsm5JEdF1#YpX`$8WX0fx7T%eATg}(;cm=q+Wq#`GCMl~S}QzaJ6FGYEl9m8EU zI8`eT$}GY0Zr6Yxw;Xa@v*WfS4*XNS2OX|C46XtWEp?)_J=^8OpI>m}?xPN@-e<=n z#~t{GIyYXH-_MT)FzmgW%Ja2?Pn3TTJPKhSCY$DFwXA|cPgjH>JYFBXY}hH`Mwq*N#*&jJIFUaF{13l;QQJ+z`w`va1f z`(sQnp9Bo0Sh@ZNtXpEl$eFkBga7vo4tIML()IFiqvz-|ci`=8vGZzx5>}%8Y4=?0M*n%@vHzmDoCj{3ER>+0%%$+CIdLxhBp=ab1EW zQ_E;rN#dpzm&6^M79-NOZ#M#G`@&?(9EeeHlZtqG2vW^JQjFv~Cnf&Vi*_6EZcBKF z#^Ea)Qx#AJrp$M@0!O>TzePan6{G0p9Ar{Nwz2a0E3^aT9C~OJA3z0--i+Av5>&3+ zhKGOSxAA9RSdDCxUWpZ=b9STo!1MUoxBngg{H>R8w8snAtj)D$a`L*++Ry^K--^uq z45TDmX74gH0gZ+hIJ32U;I(R~<$B&TYqvDD8JT%G$jP+AH`<4Wx^6{DnoUi4jZ+qc zo$k;zJG$f;7R_7R{0^u5Fr7^_SY$ad1vo-Mldg}a!v}_CRerPL}r-Wm>Oxps|UVgsi z4zjICm{0sZOEA6zcL3uo>y?J5h2xZ%$jcv~6>6ABn|<$4$ymog`-}(1g$Ie$smZO7 zw5igE=z6V_elLA$_IZWLE$R01jTU0GNc)sM_fbUgX#nRWtLQ-UH)F{oe}X%{`Zw72 znTN4>j)KnZ^^92704O^hMJL*ZCMbY{G)-8X)BC zgsry)y*&|E6b%w8*B~Q1Th=+#?6xQ4tU4wI*0Ni$;@&54<7fT|xBkKZ!KQn*pfqL3Kv%(DJ5?D1&zuAOU?~g z_WS>d$N%A5c=*r%26sGiJIYGap`SqyVSo;mE*U0i+c1d~oeBmg z;~#$$PyGGA{oJ$2>|* zu7ZPYD1WC8rSCQ0el81vmyEXI982(!E2Yb3)_y?b7A+6@HvhbR*`2#3UF5`K(}`D zua10Y*+Zka9Js}_DLw-^MWt9!mJYKhS}{3mWRkxR_upKKbXN@yzwtc&=SQ#NWQT2b z#0P^?dTr?J7=|~Lh?I0IVq+(5H#|#3h^pD$C(4RkIM+OQpE*Mm&-5h3h;q`^(gto| zHyh=Q7UpFZ6@ITkf6b2Hkz-4W2Jv%Tpc5u}QcBD!Y?k}KkgLPjOAYu-IX;)G$IU5P z%(H5cYLvzG3`){<2ZaO*%HmwUi=sGJa*Mr&1Q1CsrbAc2DM7aoIj`geDv5Ko+zRKV zh?178)h5xl~N@lENwXFt2c-uOq0SwPvDl`K`F~fqQZ9as&ELyo((# zJ&h-S^xydJZ+#Qr`j7v_xBlz9`2PPqh38(`i9^j=q_4akcRzRsHZ9IUyvT&@HZ4L7 zpc_I^A-6Qp&dG8soIb}PA{3BGdRmio_77<@#;)OJ88kbcLw4c3*cT`AnvUF(b4q+f zUM#B>4hgf*ise#E|KO*jp~zXodg4-U!nn%8jO^ikL z`7hzdZFgb*s^!Qj$U>}HH|d3*%rzbxoc+z{y>JLM@BSPIpML?>RV^5CeBAMznaF&f zQjGM<)hJzaGv?i}9u-T9U>4KdXdfH{ zy%3z8 zX!9sB&tki6iW?{MV|+6bfaU=Qti6;`_bW=6!T? zW9CW*5U6>ZX(**R=zQp=FLStj1c^GxHCq-O<^ zO%d5s4*aCcAb(^1d7kOB4m>*L=$Ocx@}Rd0+lS?1-6<=kp|qitlfOs$BJ03w+N4g* zgV)mfE>cIzg6$Zq2Qn;S%dN?zCF{>NVtev_wj;|lAoo({EbDcQIV~-tm?-lB?HBF1eSuD`G zLt>_AN~;nyxHm(K-^|y`{RaHL98Bx?<@oIa1MbPx;l^YgDq=NAmv)-f&TiKmWUvg+ z0UCt_qP{p6L%}6;FsG1WbAF5>c`>OO z^7>_f7I!``JTjm0g&O1;93L6PfINrY-CgMH>XOeM^bHJQWZWH&HK!6K*e~~RhcUTj z`ql~~97~SW+&?FT?+7dRGv&pFiR7i$%6Jqm z<6IE#_e_U{4*wQK6j6LakTF4Hh=V0-9&(p$!u(qw#L9b~z}owGJdQQ@K91G*JdEX= zH=%4%CDPIp5o4Gbu!0_V`>WCT-b*;O^F1^*_P{k8MP)XiuBD5C!4eCz)hN1`%sZ}8 z*atc=*iwV8i>J_h>HumFzJqf+Uc`ylpT$wp36JjHhx*2$NkhlPIC4$Kk`Vm1QMktB zQ2v$)M4g6&%s3dQB~>Osiz$6P;`2+9lAVS4M7hs6nOK|yLLT@$BN&kdcEqw*8=ne` z=$6)5zKGPM zwd)m}e1q-va~yg3dDJzHz&<&w1?R?zuOAnj^VpA!vB@x*bh6G2!0(iP)Yp!ImW$}T za5CJF4s4fx^b$@g{peYoc;_87^#(y+D1xh3s!tX{of?i5GlmKh(OZ#*{_+$!6JsXM zUOyn2WEyoL{X#GD4!5CrPb129)+6s|JCa&Ppz--X6o-tvNoG{{Ah}@($xVaEsOmxK zt_EdC%EZnOBdKdilW$*%_mhgwj+qh86A z_gj0$p>vYR3MphD(=I&Fg4ka9{Sqr5b3l!CmJ+N{_Vy91Jf1S{?BG1>nURU}sY}HdengY;|<1CmaZV zT+*%Mwe`TW)xh!Yuyqm%n6tgW>+(Cv7Y3|n@OY+oTJ9Z_e9!j*hdaafki;TL;+&B4 zr{!LGCY5p=k!xO;vb-Yop$i_HL|5nkZDv;X@bt3lSybTh*jg}WGx=f(%}ya4fux&BmTM4h(9bc;O{V1=uPTBrjCNj-h1!ew#WOGBrQ>$Q&s&WfZn+D0KlCUb``qX8`7e9{pZmB!+R<@jCu&ceL+{wtbKO$hAss^)>uN$z%_%e;+l33eU&X1n zp2Lxseu@J>|33Eq?>DjId;f&DzW*)ke(qVEK70mUeB1s@K@dKd6JDpx0m|PZAWaf1 z@doJTwDHPSK*)oj&j#l>=Uo1vg4RNk%{s9=p1<^^EmqQ&v5YBA7JlKzl9y&|5t4P-oIn#(@){}u6^j}wSQo_b=AT8 z`=uWZV6>|NJr_@)@#rp8vmcRQd+C3rAAJvd{`Wue&VPRc+kfya?D@qnaQ5&i^xHW1 zO(b)j!>`xEmTpDok}TA1DaFOxE6}z&7o)ie@H3{;9OwOO0ZX4<34kBhZBqj3d#pyd z;$sx6s*LkJ$drRtRS_8MUc~l{BdvA-8P$D=?-+wCR_;kK!e`PcmRk(6pH|a{yko71 z>l{N!qlPUr7QPrQjH7O3o$FRC+Cs7hw5M7SluufVF-}=h^RN=&Kd4bD1Q%U76I(_U zYq80&1hiOZDnY8sEbB@6K_Qd+fCC+2k|fmxWW|Qv|032vmoDH)l8~&*v;b26_fazd z>}wB`9JH>YyQ0)lAx%isC{{)j*Alb}BKu6&H|Yb4(#1~8v`My+1S8SH`_d(^Bqh85N*=NX;OE}f!9&){Jf4KwTy9&&H=^ z%}43b^NE)9sRk7m$7}FdwjSS@7lZ#@YQldkG~uyaJu2h0GA4(~tY1B-z(Cv_%N24! z`r6#uF9aXsJIGD6?3tE{75S-1Bydub>*wyu6@owDSI82DteTefl{^&dMY)bOQ>=z2 zPR$bjfG7{az%25*mS81B=C&rBc1T{s;c?>%WNyRwg1?vjZ>x&yVnfcj_?W3-9(hB_`U8U7u|{ z*EXa4BC*j_bdzR6Q{scX=H5TpZ$&OXE?A{6N_$P47waYcL+Kk=low;Z@pDp{r-0&$ z>+{0xmId&fpRXvQ`1OTiT{-cRVP^0-)FCFV0(m#wkF{U;OWgH`e~Me~S&!T_)BCP= zp|K0-t~rmU`mv9!sRpn|M!3K+V{SL_g;7or*`c` z?a7m9Z*0McEIxxOJ#;Zv7|muF46`sb`h*aUTPX`Qf&-Y&1ik(WU#5O6$ebP!8H(~4 zZe z19pYLnoMrdg^_ND32np5XF#vPaA6`wv*O^Ay0fDj#=Q!8mC!yacZ4vO8H@h16u1&h z3i(C%L(0sS9*e=^M2uy}DP&egb+6nr0=-jo9eG&2CY^i&Ni9Q)by)-?z2X8I%rmA# zdM&&(h$Aygf`?XGB#;=-sxl=^^jIe1Uf^S3CRzVEF`o_6M^}X zPC;DQx=R^Pq);TiNC@#e?=4CI%96rva3o~-ERj^B+Zzcg5=U89DU+0opB#)xJdsFY z?5K#I#{6l;MK?&ric0<_F;yyQ=SVqekwnWbrb{A=_c4t|sXGZP5<(=lR%M0D%`!&_ zFW%4cMqDVFR-V+0Wh$5SNlLx{wqy<)sXp74wQYN$b^Iw2NtHwb|HyK_a@e< zAU=F8$t99fB%XMXkj#~Gu?;DA5?~jkT_Xe`iDKqM`E!6EiN^Am%k`NeTRv-SOUj=F zBJ0n;DPoeGY!6zou}x(D#5}o=?MKp4nK(a*f$-`TV5f#hvZh6NQ=acx<24R%R5iwt#=ROtiKdX z@BV%K-CutOHy6jjI+b#-wjyiA1Ng!p|1Q3G|579moWY?de}JD~^vaw+U4x5Sq_is+ zn3Uth&$EPb&jh)p!~mRjfC!>C`nCUz|8I8!#`WFUoiJjcN1ZdFdSCuIQijMi^np zl|i{jZVH9ipIPRKQQ%N%B^>tvNrRDuy0uJB(9l$TL+87Q^Ncs_F# zyztwb(fs_^@$$d?BX&IZ8fq@KV$2bqqdo++0s6QsB$wZS@>@TL4Zr`7xN&6`lIFa1 z`79v1zN7sRs(1}PqSb=)v8#3kw}ZUN0K~C_Q{wd>^XT|v=5-*C20uoy!~MYgz(rz!%?3=L1RK-m{Uwrru^jwl*-2ngCQC#H{EadpskT`DW}YOuNOnnk zV_PY3UpcTs%05rhqFiq)3I~WMF-40tT3NAPJkQ^G-wh&r%AfUNJ4fU{8SFnKw&;3D zIc${nxJ_irbSNvf&pnbq<#HY4`X5z3&5)T^qctKeJpp>P2QC>9W+tli(CQPAB@e7t zJ&3`67shQf-7^K|@Z4Q77%#!+fj1xvMWYeiQF+?+>n|Hpwla$vU(VO#$4g`I(`6=n zslb4RaT>(wR4~Y3rR8Gvx~{}kgo;*oy0AGvcNg>o=S(=!GKUr{I=%E=WoNvppn+PW zhmkLK;8F6L>n?NLO^;)*R?FQMS?H;t5oK5UqmgYHwt%Fi&0IioOPTK^FP&k!ysjN+ z1r!`TihTzzptdIg#j7^r?g!Q&UnWKNTM{}S>ACF7a;T-9V=|Uu&4x|5dwn|m0~hhy z3kT6N=9+r@c?RK?Nmi#}TltjTC+7lMMEMj(ObvrH{IqcZmEAgZ&}+kQ*WGl@oD@h%!*bR`tarmQz10khPK16;YZ(p z6~~5raL%#MM-j!Z4T3`$seKds{{A=cKfnJVzV~}i;Aj8+BC2cpXBEryL*Q7*O)#wK zh^@R06@>=G$lOB&9b<5hGE7AH?<hZuY|?GxOU^FQ$TH-3UI{=fgmNHrCg!)Y-sM}nEhMSAgxUmRL>x&d}rRBx~w64iV+v+^Dugpcq zvTXF1CM(uR(}Cw{&sNGtj#M%0&vT}WbC!g3~>6l*QYgTK*=O}T>lN{mh+w44cM_>DRx51!|B zB(XH2x6-{ab;7-o@w+r$KeQenbh4f#k>yM>D+HHX`aT2K(?yYiQye@D5=Z zPWbgIglI`c%ODaP@3n>93Tp<#mOvzGSjR|5;!myjF+&1~7DOqgur&}Z zr1nW#=lY>oQ$^16LE+0*qkJd$H_0d-OlNY3<#n`}A|Xb%Mc&VJW&+DgLWtxI({C(FaO;U~|rJS2!nicwBVtgW%|H7wtx;?D{! zE6FC}P)9iK6=hFSRFRdOkEG4AGkwaP^F!8ZOvXoJTauU~ zcz-0_$bC#JlK$mDInf1_P^qCem{C-c2b0#0uGVf04vo)UvAaeHI>zC&jl)J#E-oI4eEufRU2ovHuqr`| zKP_Q!c>}hj>QHW-2m~)De{Be@$zYIPodE`JT0l!dCzU;p{<8tehX7nIH~c~FZbx!r znjwV&Q#E?T$N;N?3oe;-CX9M!i6Go=QF;R^$wv>vbS?<;9H~@#FfglH<$;GL-m}@Q z^nC>35e1vpIV87?9N4c11V!GpCvc%{5VqtBlrLI>6}eUzL=>~>zABvs$z_#TuzE2{ z)MMyAdqXwQz;eUooD1_w>o>o&nGYdaQOLxo;X^nTl-)X|U1i>+ zn~Kvx3$BTCGlG_RA{(biT23cv=%Ae@FO^2l>!shTWijWJelLpL?7O`zJOb>$axViD zbGOCm#`S>ozwu%8_6}j(KZksxh~n1`@@)B?Ll|v5g|>@T=xnG(XH7i@2ZkY!+ZBN? zIW(4RB&8VN-|aQz_aQJrYF`mTQkY;rI*;r|!j zVZ(!uV&$#3WB%G3P&j`+G7F24lAVqCJu0eUCs;t ztb`(Sf4~d(KpTep24HuH4sfNUy^1b~39!a<&gKsx_(ZSt$hbV~DLY`3>lv7S>Kj6? zZj3b@!s*?o(LdynHK1H;%tYFX`>^&mzm9Fc`-j-@(BoKj+g(_2VNcC=(sabL7aLII3M3s` z7}2V$Y9O2rgO4*-6=O(|NSX-|u`qTN-Tg?S%oZ#cyC6xQOF`m=YY|#((PfeP8Pn#$ zJQ=K=2gy4UIV8bI+K~L=eY~GmQ#o?5u8c{=0Pd6*zf&$Gk>;YzF+1r@YLGcuMgMXp8qSZy_0 z+Pg6%{ds1{V$$Q4=UEnA6Tf`22*KOmhvEJqjOk2>&&WWW^r-39ufI&NSa~Kj)+T8& zKTd-L{S2;4zZOtKuhUDvB%?46+ZZjJCQi))bOjq9cglEbM2tx<3j1{Ol)kOiBR19y zb;u68jjmm@9e{aV5Dte^=3E{0F$T$R`n=Q{En?$n0qKI%!I(#L8SF!FIo<=2IBwREMNMoLQu8WMnJV({y@=)kH|$d`Xw)L- z7{nN5uJbyjt>-2~zt1Oa=0Qkpfz?Waf4Z{Ly2}WYNh8X-9b?iLxrUq_!bBSTVgM$X zEn4WOlZ)C2y}<;FAt2p;3^q~dX5Z~eZrL$T^37<0Nw1rJ3;HOch+=k7$H;igT?geU zn^X+9%fUqKlNRL)oSWux#@dR4iYP z+|p8{W@jR9V)w@nj7LO1fk8NJKA8t6e!40M$sAmV{-!!~4Y=el);|VGOSfU+E%##S zhK(p)vKZMUvog|Qjk740)bGDbQG)T0z&NBgWk`rm3Fz{d zd#nQsUcG>%<`Kopiq>vT>kH7fGFJ)uu8;y(0gRPJGHd?p7g2bi8F5`UWe3TDvJ}O| zkz{00`as0}P>E+|(qX7DQE`PN3C7(W&BI}GtJdA4xFx=irRl%}6~Lx~a7--5;QHA) z;Enolyrzf+8i|tD(eQ$fR!o;#>d>W;?s2yl$-+v`ciN!rHuzFa*pi5@i@$8JO(-T3 zODrSnL93jZuHHxXg9Sl9AtvI{=1-$n9ZXBuZR2G<1&nE$R$9vIp@euac z+Tn{YLt%CTQmr$ITo&a9Gt3q1u(But`rb1*fBpihy2htne9?_3VDCn4bqfZ@=J3Yr z;~?b4a7PEayN2MiBp|maSEl9gGjTnFiY2YHqJ-9Ds1!mWA>J%qb{s>4E_l7NXrDMc zEkd;Na$;n}0bfA+taT<5C~R?+m=p&M>=+$!!R@|GY?8}?1GVHeCVkuEBas#Zt9ANz z)Tp&cPDzwG#SNR>=ak8I){jNVr4S`9w+@CF3*zFhhoO=RtPg|zqGWs3h)+opS;o%Y zQc`0@j3o`(>2jyH9|MCP#Rc&4P>Y-`(yki#+;)r%(K3o9nFT_0V{~G4OjZNx1SBMC zF|8-5^bfPygji870^V^94!FV1G-j7q0Dhkn!_udMh?DlxOTV6`f2ho|c(EeR?3Zpo zgh5vTzFEHM;!BQzYZxQW00QQC#2O6~3&bd*h~i^GWr86#4QWLg?+1X#cr$uytI%5C z19?p6j8MRdz-SZtdfo6&C`KAnEDUjpFikP6fABa@F(!n92uhkGL*sBxyfo2p=f?TB zV8McH#L8lG+LwGX*V>2sG1NOYDF+$rrW%4iq_glMIi|XHmQcr~!<>?#7-f*GLTDI+ zjcphoi;zFlK=fh1y#=lBy@E4uJdMN8{SXJY??rvnFlnH0GzG$(iS6-A$bY};Ts#lcvlzf5v5%fkL1c#$XhTEsj@(vZiZLJ z{Gl2Q4vEeZc@d;ER(O88Tp2H?W`6X#Al&`E7#|#jODPu@lgY?jb2IXab7g+gOz{HOeCAwUhrUc76=^$Zp>B0Jv)S{bQeAlQ#q!4tsv!|LB603G=8ES7W zMc1NCWkPoF-E(6nu6S3Vk zC0-XvM_RrO=O-xf$rOt@t@{18jS!3sDnD49%wH5TEN0XVz1^dTz0T8z5quD6Ua`Pp zka5PPTAwRNUO1iB=%nhQl|$9NhijZqV-s0;N~X-va{}tHMOM>xJ3?^CK$;@WxaEmKBWYDACR_@YZwEKgcjvb z;x0q}X8J^AB4M6Nb>wgUHc_={!D|?to?xG#`{Sn&m-N;srbNI2=imjr_wsXi`oI1I z|MTUJC*jYaCJo3JoXls3c6y-THu zfhK-AHr~7tg>k*8J9ZFz_f?}!7H)h)80oiRgb?t#;23B@SZb zJK%;7@raKz!8(IAmEK@PN?H>1Di4PH24QzjnBmU|xhl|)fgvYcehaLzR>V(B6sw@o z>XDj}3ZpK7vB6>3Y>rvxB?t^*c+?KNCk7@<9Fk~~cpZQ$tJmX^`B^Kn)X8xr&(D_pbiwByl)mT}@>vadl${I*&h181hX)=-G19}7oPosb?2nRLbhY}>LM&|Y_0jC)H-{Zwe_&!f zl(OoLl5Q#tYQ8{Iew+fLBib4<&{mJ`&Pj{T5G_@i*JbLaEK(-NQ=BN*#9vneRZJ%0 z(({p?sjyoDABJmAps%|J_Gw>mg%I?P!qs~Qt*!2fII=RI#3zX!m@MDs3Ym{gaY(FK ziX_I4WF`IG7;ddY`^6qv$4yfb%FNq`?&=y04U9~q_sTf$_rmL@b<_k@u`tJ55M$9z zlIvx_mw4_GjGQ`%?jidmgA_@LUJ^|3(jv0CCdZd#Ii+1cT9cs6=b}H!oDv4ftpvoT zn3cJG`f|yfHQa@vs#EB)hm^H}0x46tA5Hvyl|W*Pu5xibV=#_+5TKRT#14Pm3+d9B zjm~A+iggix_gV~LQtVd%mO(oV;~orgm&BsvaGb387#JL0mt%T^ZM=K^?8zHxtH=jwS!yOD2f-70l$pThN zT6$RpwvK#2{6x$jnZ82Yg#WyzV0~C;)`{g{eHb&0vAGziopN9x_lR30uV>sUryQ&| zcQjljb-O7yOn@=A7%kOk-9|ExBoJK<`8ienm?o{gC|}00qRbg6o(F&9&X2Oj4_i%f z0Fi^gPj>GlVaPV(#7NSOR(Sj*2{+ZFri?>!lKHc|QdW5-xm6;8hXBmC{x{|mcBfphvrS(r%a69ES|nXKLI2XX3L18VJ= z$Shlib;VR`W>vu`t{bSKvse+EnT`Zemb#i6VRME_)R_2OVf{#i%Xp(lHb0ju-N_qr1-ymp%oFi3v!FnLaPIRu4;F9+C|j z*m}D#I6O4#7%L%f7y3nM8Am+gl9G`z)hqsM3@&dB43@4Aib5Qa;|dly^85yZkyuzp z7)6d#$HG#>kerP8gm`G3HgvYOfSeBJ$(axejKX2-N6&~Bn$&#c#>xU{2Dd~#iL{g? zn7mH3H#Eqc>Yrs^4tN|rXs2a&VlFa8@w84yq^S+CSmTkA9wTdnb~H6O;d0GJmU4~T zEn{CNdi^R`GqVtHwJ0zD<_0c4WMLHWE3TV9si$9*n9DDKW`f)k%j5AW z!P`I5U-@0>qjJtK&%$Uc`p&$Aea~!1uPkgtEtkbgI+6=ZkyD=eQL9(FD{8b8o)}D* zp(XtCY%w4H7J-b!MiaEWe&Uy)=wt5DAz7PD@eC~xcl&~}cl8i^jJDC%eyp$j34oxEBGm4NqF9(sKGSIdU4d?67(e0eVYL>F~!86c~ z-UB<(JQjcwp@TBbD?~;?%G@o91?rdt7)mywqR;}fq9l7UCVF)1k-g{`5xKBUFR3X1 z4*6x=Z+{2pk2j#de-csn5im@F4qAhLLWYDLF1rgJr^s^(87ku<$$|beIQiNE42?S8 zf4RePFeGixwdkeb4%FPxxXgNt;D(_@uZgV$2{nOg|VBIi^3lt7bMD@-N93x#{EsEoj{GrSYth5ir7iI613Jfu$V4`%$^ zuC^F;q@<|ynJ%WT9I_?(UB`%Y1 zE=<4Hf)IT0I>r%w^6q#Eaeyc}ZybHr3Od91|Y> z#LS=QoUVY|DF)7tUD3vq3{T95zE|1mreqJ z#xZ5c;W>V>Y)WJ(B6Obbs;uEi7&Jciq2%N4tbT+@h9qO>a0XsbuPH`zW8Sh;XmJJJ zBf~!kky>Vv8*pzQV0IQk*_s9~ceEjb;UyqBUW4hhKlFa1H61FXKOqW?9M&EQy=j%TEVUZ{5A!M0h*L>Ul4t}9^$?3BBt~6;}KCmelgE!#+6gnE#TTKLb8L6;U8w`MA(?ejOkbD=dX1qf5%8>nLvr zUZTXw2e_U4Q+-}v3oD^Iuo)_NC+7co)@M`rbb0w*HLW%wWKfW%*-`?WROO~<@r|Jb zC#Cu8hc8NgOHbpo!Cmv!JDrSXNsT({Fh5Lwgcn|6NA2|ARFbU&dDaj;RJ|XEJig^t zWz-J77tSqLB>X;8eyOxYaQAd5FWF)v&>w&Jdp3`NS|W-fu-d4Ws9js_%lVwJUBupu zKKlEWKeLO1qhOUF`P%z>1_oNcM!tYNa#i2^f>K}eF;#+Kt2^7}M?NgE8;YOpjO+oZ zj;YQmqwH-XgLg~jDUU(5B_LZJr*dr+_V?kRE7%8>SnGt|2>U^%9hTxLhJxRMW!Rb4 z|AclFo<-Z2&@GF({Qg}g>ZxZ9;i)!=Z&-m#?$(NdIl`dsnR0zMVVjAoD2}`Q7uj10iaVPDKNT1aD&SK4UCXhV(&jwzW05|)vl3jHY;PdHlo{y=hSJ=t+*H2be2m#z zgKr=1FPd$9_x!111k%oO-Mh2~{~|s1qT((TzQ9?e;-s|PLPyJ9=Y`2$OCtMPyyCpZ z#5x99&~algY9vEtGSuRa4-YvKjC4?ZN&j{AjZ;l%wZ_tT3i&B+iXog>q4O54ikZ-k9qWNL96JAy0iy9t8%*S=K#i(E z-Q$V-&%&IgCmOoIHx?juYMBer_<}kcmAYEdHwk3rPb<6cjxxD{#?Ym}O=*3pzCLuQ zS_Aw#PVSYpN{h0m$REI)Flu{G?)WEM^rD;k!O0{LRr>9gJ=EKwE0a*gB+7OQQy=%3j4L7!mS2+SQm3sDoX*gN|=drV3KD&y(( zl1MgUloB@_Q=UtCV#|n9 zAx=LbF*o8dg*08c<2jEFPw{6*T_>);qTqI~g5!q_m7gWdLyRf%=n9^^BKFe-j-P>^0&{Ycczd~3nW$93QFD$i#<3~KXFj>E~_zar#VP_ zM;q+F9u-{-I^P&j1fKApCU|%4R&ko2%&8O^mAVRUefJ}#*jW?mJ`h_ub4hRZpyJW8 zEQRzJ_6SD44i$Rs9GM7Ru=P*IuLThnGlMdR*0QdwMC{9JOGQE-AJ(J2!%g`v{Bb#7 zU+6pT*S!s7@AJEl2<|V$BGLPO(yjB8Xwl9asIuUPg09@C`~Q4=#m?~wK)%nhlYi)W z_g?gii{qO|NBafEiWpHwHC_T+^xCiA*FD^;-}>KfocqOSbDP%V z|1tBSbQ*KDWio@*4a84BH8nPF{TfX=JU^0h0F$vSewBjgB$qPOH^FDZtgQ$6DhwUf`dZjq41pUJGv{`(=>MeVL|zq$R|?6RMo=KSda%UCP` z{!I0ZgwkDw?e;ep(Rz5Xr(=KL9(|;s*(X2sSj&n^IFrvO=2{D|cxZ&tjGiwBroy`1 zWQL(Z5`Dft(O;HIr2MX%!;7QAnn}j^2sS#(e~N#0!n*;i ztbn}(q0Tpw718ib4br?AZmFFc6*fut$nT!`b=P31_2XrKspehbgE8Tgq0c5pIL>YR z=6RX?;$#00(H2T#`|wR&dRkWHobL(Ag8^{-7ukcGktUzMutUn|L1t~ZgQQ6Z5*gI| z@`o7m>9SKMca)bSmg1YWy|aI!gZAYyZZZ3~1t3?iSQvacGUL!@7srDWAY?0&=`f?#YIR}3_l4KNiYm? z*ko!KPgeHeTfP04+$p~+A2}`oNrW_-PqW~IIzlBqW?A{UluOBbADf&44xC`KV*yQf zvXC)y{Kr4*@mFwmxxL(8opS+HjK)^~NbD-*hZScvJi3CDL?80XT8XjZ;TQ}KSyRj1 z2sI6GlC(qn?v!yEE&rK6C3BwRdihRot!7j?{|R4p#%?TVKIS@33GgA2sZ~y(i7`wP zM*JK|ET2in^>e@py-#u_YPJh*Nxt}BM*|gsFvQL3$5BN?FS*d&!nly{CW%O!{1zsu zg&G%V4JIi(e{2nm&Pl2-vJNK}t4B7uhSWut(&HjbJm{9Na+iwL4ms!jWwpEchzp{a zEr>>>Ui;_fNX>U$0Vy43X(yN~DDP$?yyq(ii(8r6b-?|#>N^jv`Wt!Tq_4etHp6qM zZkdRg%D`$(Xk~{Bu^=MI7GrZ2ROz3p`BNyS?}p?)Otz`Q6C*)h=%?0{Y}E>qE#bpp z5j8)juI?WUhs7-^&414kGL4ReM8l09If7Uj@PvTEAWg1wqP5jS4~50%``ViCRBQL3 zHrXC~yRg9)E#MDO@NA~S5JK1?bMgtHJrfkEvI&J}-fekD9B2#bk@Zm6?Q}c)o{Pue zPJ5Muk|@i@NX=mwp8^a+C4Zf&yD?0SwN?SH6U=hj&22~7l%ms)(v2lJdH~aHp$m*W zG~U0&0qBYRZkWFm1BsSdHkeDXpdm72!xt)PkF&)I+~etzCxh7xt@eNu8RHLnr5_1J&}@6LprP|OKP9761Xe@#3$W>cpoTd>zl4m^UUJK zcn^~HgO$>rz-bC?M4D3_(+m#^Bm5Sc2R6^x#Az`dO@(9u1C|jF?!aT>+tW+^QtE` z9(Qy^M}y}ktxj^A?*U3gB=+4c&uAbr~BQOEn~Yxm3Y5lEKk^mC$=06XH8el zh2P5K@^O$EF}t&_#S6RJ>l60Re<@4XBPRNyoCu>sq}#Ggof-&9JMeM2PBLk%sLTe- zOry%*R(%W*#p!Aqsd}d04luLa2`nGajA{eqxOpad_vk&9kV&42QhkfugIvjZgob)5bPHu}P8l_qgS4bp9rXmyntRS>Yz@d5% z!uC~`&!5?>dcx0thAh1ed7OUL0od$(zB-(g8)-O}MA`IX))ui945w4PtIu%H~Y+CWFfLzL|94)W2z}&ubLuw4~m>P%4 zFBR5?dv%+5VTQWjesnGc<>wLB+L40l)xb%QINF;{uRmwey_^;eZjb5{5_7^;47gMS zRD$E)Q~2Hxo(glGB{jo;UnHP&H(cCY1}HhoxiJ5w)hLb+p=UNAdb_6Za&TTAlB0&o zNzWj6E5A~u3d4blmTzp**ClpFcXH zYLorABTC0hGRMF&uLwlsD8)B@MmY^Jq1Bs3mc2OXa3-rfD1OoTJhQwMy#+8xFL$3A zNGY^FvC{XMN87a?8gH{bc5(J`uF#DE?W73llWi~=)5(xD3l~=^aIbGfY2Z1kYNp0~ zE~0=ve;+ztz(^_)zkUk>1~;WfBv|Z0cQzyJx;jI=*%MN|0k@5A%nR;Pxd@I&3RY{0 z9^-OuioT6m!r}Y#3bTIqMGbnD*K;eJR_^Cd$h8g9nA1O!hxb$OO0j(G$>wx7BKd|Q zd;_r(+J1DPJVng9zd$M|>8TyPwkg7_zVV#(fHvL*KN_hgTZIjdQDzrUX8j{MJk(l< z15{&9ZAm~plye;`q!rwYjKLtuFV#+cGqyNw4M+&ekGNTNCmO8fpqLa-@Q+Pv>gw6;N3G<02_XFIH% z=Xy8Xt z?+XmB$=nWz8xyB{j7szfw#*+SF`8TM6GVgTP}!snv^aj3{%}qz7O~Lg3IeGNTHr&FIdvMhGG}nz{Bd`4+Lw0h!V|#x?Y%y`J?tW>&oEhBHglNqbPRz zgGbRD_9Qp7-J}QA^LXZc=Vg6K!yG7t!9oEXAv;fZ^Nn9qSB?m5QdTs566dLCHSXQU zoT`$w)7y6K)W*Z(6#(^%Z+V{Gjt#|&;!Si-4l$Tgy+uOmh?6B5*W&Od9AQ*sDVglK zUX6vqZP7hk8kQ)wawNN=%Q^zz^f|`9Ju0x3lbkqo70GyWo$&~_SiG}QHqhYch+lB+ zh<~h&)z?kbPkxJ5DJwL_Z&hFp@ncjRYWkUc!W?M0TM2z(LfG&1Xi@tQgiOLiXphNd zxDBO=0mRgkIbHmWP++L2G}5)W>u~d4??c~?ssayg7E$1T4~BH$B~RzZM_==3v*`!Z zkEdFW_Ms*3k8g8w$ZMz51d9*X+Itd;@P{!O)sfg26@J|K#{e~=CE)5oySa{(ohk!5X!nz0Nd7BMA(HMQnv&#X#fgV(==B-0J=nl(-gZ-U4 zV?D7NEr52U=TCif`ewF4I+BGPd;5dKb_*?{K8;myZX7-+nY+*mSpvJZt^(TGJUxQg~@*-eN_3wr#D zIDH~!y&bXdI~vh_$s0jNZzK}UUnS~nRB}eI6$b}1ZsoLUC=b*|3+%+?0YN--c(Q>l z$rBj8f(vosg%{gri`d&m;^_9df8o#dvx4|Ea7s?7U|A?=?NboC_NB06gh>=@w%(uY z&6eX&d;0pip~1Amco7=DmqKJ`E|HTQeJ>Q_d-ExS<@POv#2emdl7FmZ#(w^BK5434 za_h&N;AEGT0uZ+rk3?fzRGecRw;4Md)T!$x`#riCT35{u(lGO)o@4s`rr3gf-?*0=B-7VG+Jor>GDLBp5Jb|SFW{r*y{+J6vSa2ICE}<3RhLhLA zxYd4Dbo8ck42Qt&DfApbH+C;aFShUt*2wbXl@+9WrFS{INpA$5`ulWJK#Exm@;RAS zDmce5ouc>Qn{F-rk27D`dN!|!(vzZV!2jS)F-#orAo87urV@XR)x5IQm_yLdVPepC z4a}k6rJEEtsK{@mv(>*fc-w1u%%ADk1n`43b)1cb;;OjojNlMsy+P~=R~({O{X1!y zyItr34=`%B!WLN7;i+%Q5+YurC@(L?%tA!WveREN%05M0FswPHXjeNEO_f*RC(hwT zvEv+%*|V@11zp62(_69@hZR3$U$q;Cc%y7F?*&|1z+&>=FQ4_uw%; zVGQSdBQ`DFJtA6OdEaks>Xc@=ymt+H1F^{8&rRw#xYJiO2`sgVaPPN@wGO87k%b_o zCL`L0P$_1nx>Nm4zZYWfTQXH8v9%vE_e^U@Qy{vcO`A($GVo<3+VCM}L0cvH>M-Pd zfzsi2!;@}4ViwY;Vn==S9m-JHSU+YSy0DJ$Cw*l0Ov^Go>=}BiLF(GrO0EuwaXpuJ zsL_`jCe9wX$oWyNx6)H}TR7{8*9`n>lpJ7P=%Q#TF?X;*^Y2iA&5$!!SfGKbda$KG z<{R5C|5ZlKKF0@B`6WwRuwENpf^)tcE;jv#J8h+*Y9?vT&xQt%mTu80DIeXSk&T4x zi?;cMFp;u=gS&=?Oce*_yi=x+!Ux3vm`|sKUE&gMpf4a=pGtWzw(y6&>E~b(RiQPI zC}`0#?{T+83fL6e$9RMV+7MayFq{=90Nd}OCF|&psMC&7C}L8rvZN4cHw*wQ2Kj_* zoEK#i`7sLA@Iue1dSxF8$En&BSdK{g?SN1&=mx>u*KHru@n^-Qg!HY(G5%}v`EB8S z)knEwe5qYAMdC2F??TnPoPjrJ0KH2cY@4Vskc)1uJ>!9;+E4S^JNTz)c$p!|ED(ghQ?idw>A+p(_ zV!+faWWO!u$HiSwF%JOH%k~e_zN$!v-02(@y!^)vEyB}r_`B%O+1w5a&)5Bf8byXV z;1Npwh*DcETgeWuxkp1`Y1w=CvqF;l?+LQOQ|34}+6XC3hg8p8Pe#)_w_TK8S79Nx zaR!m9x!&+bFr8^%tW@nxeS=@V$Xs)#F`4_OZo*axEYTfO$HhqS% z2Exf}`B~0O;oQIe1TAFlEIq7@F9qbi|GJ{dR?K?ikDl;}K+ZQD?PqMkw{b5k5_{R- z8Ctd!{Jqxv{g&KH8#7}CAOfCl^tdgm2AzxHKb#$52#sn3Y%Qdo5@6y58*)NQD*>?f ziA}zF9mvd~Z}9lWmLf5LJ|e^;J2Bbp$#3beadI67Px+>$hvZV#2u(p)d^xL8*?%6) z7YAuHb=PA#0~`^Qk|6VjUvbe|bzI+HP@KtrczSU@u59`GhoQnOITn$AffQAdU#095 zMvg>eOeYx$FIsH{&%b|OGD%X>PI<2=rcblvhZQdrIbLpbx~WAp_y6Rw2n_KFbBb z7R3`^d_dc3PE|*o0BXaGXOqp`_K;+sUh_M`ty zBhmTp=y5N-xx;N6j6Y!~44ME%=n#>UW#jtP6LO~t6=Q$j#k+Lt-~SzX?u^#@HpkVgOP}iU6S18 zN|ywKduBM@q9!t6IK(~bV-P<*x+2V9HT0rm5m*mNF%qOzCK4OUSO-oZv4}&My+LDB zI&Scly4bOY9S*LA$zeQ=z|R2gKnbv(!{FRk?7TWQEV5w|KLBa`-g@#259!4Mt*F6@ zt7b@fgZ!bTYl1;y2uUHFNQV6_&mxz}0yl#I98pu_Tp$t6=OcM-$2R_>%)+rgFzm@DJIy5nhBNS|Ea9R7YE#fxV!&!4xx zrQ-pzHh=yV4mW_&PGHYz=$TzDd@s@3_`f1Z%;Z{3u%bX(Ac&R-G!cTx#-4T@(a#ZX zU)N8hsugr38%b2+$}Gi0DmH9ykh{#LRK>8`K)|&xN;K3818srHQ10h45oMvLILujR@PDgUzU4 zI^%p+%*(Q1dSFrPazHNp59zeE1^Vb#8rzGZ!=txBy|)15a3Vicv)5Dh78}J(Hnb{0 z5vdFuaa+zC{>$TA*3d{W=XUM1c=&MYIgSQ2TTJ-?Qqq_`Q{H zYz6YOi?dNvQ;rxs+a>gvq>o`ttRVP^c409p4P&_ACbe7BrI_3>j~QfDxhTbG6e>nD}p7 zt%H2)yHBew<78|cpwM-}$SU+_^MW+{%Cb|HCg)S8Zt!;`#T{)>mjA}i=wBxAwa#te$6OSpw;)J9$@7zaF^&U8}=eV9?8=iV2tL-d1y^x!VEQHO5KI;3; zGdQ%`G4I!Pi>NeI&=nt-RU!Q8r8+HJ7{6swAKij$BPiTlD zSX+mzl0DS^Cy1v}_LqBs&)qFf-W*`bTl4E9kIk9DSE>NF)zDwD@ms9jwOAR{vvksz zce!%E<8pUSHYod=E?y*8%`zfynO8ps7b;w?JOswA#kw%PzU1!2J2tR%o85o;3ExXa z@w6p)IT66f7t_2YXoFG42Pt@73+&(c*Fs(98G!ZRXcUohbP+E=P5%6F){ou&;GZSt zPqu7>ez|G(ijK$I3W}$Nohn2J!#b=;E|OgGIky82gL2OqM0g>qS>mJ#Vv%UEH=kP7(5plO80mj}$rEOa5 z1(L>!%1`1#CPa|tz52WH773Iz#&;>}(dh%)`a3)3+uJ)VG`o}$u0PO2&c2e6l7$SP zq#?x-mRLjW)vyvZ@i)wf1L`&%!#G-ezRb=8zKV-rIjJ)~sir0uq8tg2Mu+`jE3Jv| zxj2ldRB+2Ur&@AQ`wLHIt6E!AL`*Bpitlo@k4>s=OzL+D0WC+s(p9 zf^5k(D%#}1+cOrqe7xz4{WzN0ur zO}1z=5g7az2F1CM>RFF{+6Vk)GZ+~I$riXj^{nVo0yG9X{FfdBCF7kgGypeS8^fO^ zT#+4>zW4Uouz-~XXW2oSSL&~MVQ?GQVOky1{ZdW7$aG9?00ZQ zsx9J8a}0A-)L+m|;4SOUdp0B=8C#fnVF1F@$gO-D#5aBRxUPFPQ$KIw`|+ML_-2K) zkTHqEUUIF3dTUC1(F&?Azuf~Kb9GdVu>_XkogdHz0Crbf8$>S23-d69k z&WSKqf5yuw9T_@!-wIw8x9ohI!|rQ|EmzpIDu?vqSg~U87Z&i8?}&a&70; z)iJFMNr~252%9%rNnwKZiDDLZg7)ATFxm*bc?+78-&?y^z_9nPJJGFTda7r0ns?nJ z1~#Mp+m-QKz0XdA`}7$#(L@pt_le-~^nL2Gq4tmeNH{y(2}+G@p!DLZM=@|mF^R+~ z8B9i=*yrBrapc#yg0+d+{s9iY0e`u4+YzcIZYWIG{IT2-3NAqsWEvjHXOHx(GRI5t%066lw6`a_m9(14fhJP~m0Q^iI64$Cg6iWwQ|PMf_Fkuz=Nkuro?BRe@9Za3d>q_r~<+?WDJONJ<(duR-d_K?EB8;`N5x!xUW7cyV&n zMz!V&Zs<*dOquduL8Qb0p?KD`lU1D2Kb7t~RQA3pCk(u2JwRr*7 zRy5PH-;5fy>YL0N`6Csa)hzR(8SA5hODA1aRb*wM(xrFlA$$`=pMITqjm+%%UUDjS zwP25^G9BaqJuE=~lhQ@mlGEeE-6IzDBeVBX2Bi0)?SPw#co^4^arQ2*w!5yM6Yew8 z`vL0YkO!{G_{VG7=<*PzR(Jj$!Kk{+hp{{y&6B*};ly&()iL!3(#}{IeT9P3pJNB< z$q)Tdq#kf6?l`yK0`8E7s@A{xcD;~_c??@xPg-yDAhkBqDoMP}NeOaJ|K$>Sb{Kn4 zUmu{ZV>sv_!7hkIbU!HUF`(XqQ2G@yWR2anvbr~HMZhh-1!snfK|=yn2gsAtL&*+Uy5Nt1^F1KYQ5n#%^B}c2c%q@kNj|UbO>>lskLl6VQxWi1| zW@XE?o{B)+-y%e6NqHO!FkN^<2F1Xi4Xr42iULM4RZIb8u&}}+b4%oH!)c%L^o5)W z7k06rLmyFFuE{6m)^GMG2zSVr`yadFOYeoDzHfS$%Mk+GC3B(ULk^EP|Ftm77v{k%+ip zS7aE!JTVrDn9FzyotOU z>C3xPg_^64S7bBOPjW*Feqqd7)L(L6c>O+q#2M0gP?K`Rgehvqc%8RP4*N1c?A(y^ zm}fZhzEkw9IDe=13z8>!5b!cAxgO)7==vlQx=C3zIC{pp^H{n*0P4!pgb+ZeJ z=_CJihur`L>_VX6KXyB;X7pSgNmfB4^$J6lT7tIa%P!G8V{3oUDewo$$cvI2czuHp zer7TwXsnf-2DLn6h6|%19?lyqZh}fW^P==2JN+)t-7xeiuLEQ|OAshBH*thcjUoMZ z<)2dcHx&14{t3yKY_zUf-Cq=b=$w*wyf3F2i#0dSgvTq-TwG#!Sa>G>h{)>!a39}p z|8c(G4|TI9Bq(g?ps=wSWExSF0Xt0S0GlGC zvK6efpc!V6ZJvFd;65?K(e!HCTDc#2bBB4&Ksxbe9Jyi}B~v-121M;Y(zC$3TGZm}SpfH1yr82wh4z9Ho0lmM7sY^ul0dA>k`9}{|OyqlI>P*Ffp zJlLQK(K~h@G=VN8ujm8m=juG$WOrBHN@Yy{`fuaRS~)KT<@M4|hwn$5Ey@2kL;stmup5orYQ&thQE#4F zcO5CgL6{cGcg&u+Pv#Sp4AJ{&ZTMXGI%haDJY)6hx!-v^w7p41_-Pe zzzhwoWA;Q zFMQFd*xrU+wbg%z|CYEU@$KqkX{r9F6N8KxZ7s(ZTt7b9gh}!zA958$9_7c5ODPVD zY3okq-s?B`AP6`vLyUBZds*iDAj=VABws6~WMl-NFunC9B;Sii+~@stN9nKXVMDEh zS@Zvx^tKju8(!1$x8s1#z7I?ACrTeQe=JflL_Se&wV2k!nV_CT>}s7`IRCXrJ*Qgc zrDE8fx(h>?VRdP%;sF^$dqh~hDbC>IMqE_l3bSF%Q0MhEu*9*0Age5C!UUZ+!0Kk}%91!k4AIDEf5HlQ6(Q zX7V~Uq_}$@s(eXs%xdt+bN-UO?tkAH417D-*yQYb^8I_p`Nr|O`SA_=^?su(_U&mZ zaFg83m!LV>&<3N;uu9>7HxOez^$c8m{0J}QcTGV7LapV8I+||DHDBQbed0QzO(G0u z(`pbs1McD2Om4WkS_UCiYt`m&S7Z$kvre(X{~blz=o1p47m0Hx553<6gW5tvg3fWN zP5ziZfg<+@1H2kGgvj=>0V*>ho*w_n(DF~MyRNPhH9@kL0?t|-HO23in7V5*j+fIn z6kv;;1i!irc~a!By5B8!C!H-9Fr+yQAX;N-%E7Z8+Y*cu^uO))%gp2HA^RSBO2GP} z+Gy+cezW6?tQJbwee>0I`S8q-?-BHZPQ6cTbZ+~6Y|k%FTl){rxx&N`axxp%Q|vq}M2i|G)+g#BKoQ0{y0HsEd<9*!VE zXf#kMo-%=tK+}dN++=G>cgNJc2eo1RVF-HZxgiT-#uJB-k^%JorpC0BT$>K{TXrzv z@rkw3Qmv)dAgw3N4f)#bVpk3J#W`mp#VmdLOY zOC+1Zke!dLQOp%G<6gWeWB-l5_}z_eRqt3z3X@t)2=mj+|2P_c4xtW|hZU7WImbBr z8@{ajgLW2e6~TA|o2@27>tw+KniywIw>_Y@v6bxOw0x54}1PWjrz!uC$g+F3df$P2Q5TSxc_87%$yUt98eJdWJDd6qL6R(B}cKu-{rjeb9o0dB`U1s0LhY}k&( z)w7x~s3rYwEAKc$q`$UQ39rAM(uY1#X zuD>F!xw*hY5F6zg*2Z{?CU729Rs5aa{|phA!90sIQDY))Pc8k2XcQpP38Sq`4~ss# zip$O>O>B;R%Z(ODfzUXA7w;|#+_M%}+=k9)8c&UyQ<4`=JQq3o()*_ZOt0gM^nO#P zqN4WmUO#v!KIavw_C8_BXa z2dwoX%YBvG@7U9K*#Wb$O?@zK(EFdUG>%Z0N=pcXjP11m>aj~bC_ea4Go8+NP++v2 zImi7mca2H(FhJaFe8_isPVzb%VVXc+wAlOk$fU%_ga&W+^GCzgh>1V40GpDO$|Cpa zS}Qfte0Bj$eWPQx6gBVJ#;Xh^x3zT?H84c>x5pahyO+5_XfZrtwVV7o&ASwLRlI zMt#e6Kr3;S z*yKY@f3Jqa_HNd<8rk^5bE9Jo0j3OSkp#N>S8=y~HZ}DO`sC!;9$CYmDd zyDKk@+63aMe6{}o$eyNNMAMxe_|HaSdAT+PBf#xQp9pU4Zscjndi?CB6#do!BqGAn z?M@`mBd1XKl?ElYjXJ6rS6XKZU*zfzqZp~HcF4^*FGfGt#9n{Wr*Ou8jPX1LG>xJe z8EL@lJNp;FlFwS?p|3XWFUKuJ%F2qdISpab7Y{#lHFJ9(H{kr7=&6{`m~;aCVE zN{B#HL7I$Sy}KSmKMR1)p@quoCbkAl`;&>1OScsX*3v-PJpwF?+VM0z(R!QM7+H%p zN7^46j-ANS;zb$lS_lvV*`P}cLh#ymqd6J`U7iuP7qRpoT1|-7L8}FqAL`_M@k$%E zBPQR^O0XzCFbY!1Y0o{Z0byEO9J2}`>?{w`YM`(S#y z(M~Sf+#Mun8UV*B@!;EWdF*j2u@LO2aLHz&{K6OG#l7y1rm*3I$=M9d-wrpbZQ?;* zzF;=G$e*FJ>h-BG>BcGk$wA?LWYb|J$r6wjMI8tG(ir{5L}H{wEd%m>sl9~X7Knps zi6TS@QsXk@#ZfZdo%a)3V8{?tyr1ljzYu-6$sXv6@B=SyDnD2xvN>xwvR*G_Vt9+C z6pw7Vz(O=zkp)%E0I46^$wmnrjMAk8Cj@nA7Ks~4AOWG#KT$Lve`ssOi8V5n5}+Ca z)n;=XQh^BnObsG4(SF8@m4L+Pf+9N_M^2&;8PE)hBoaJ{qP!3+>9`?dv+|O`OcY5^ za>010M!(L{!^FBq>|=)<;%r5|gZBRH-m81jN9paBd2sv?-~BCrR(L;Xbg; zG#H2Vl50XPe?i7cS;o;(#DQ#(uiJ!R#!w5IniH=59e4Zlelio^r^EWiEiJR>ZZC5! zBJD}1tybz6(bqkrjXtZlr|G{3f&Z`quD>^H@aHM)XSTasgm~^$?J>{w(OvLTQw+g; zDy&?rzOE;@)xaHNf)dhF(>&SSh*nm??V+!PBNzf!!{-8jNBMItV1u1LEt$yQqMQrg%mbO`clB;W__*}>^F z^y-YgVb_YP9}4iJWVSE5lLMo{U$S`x4}pin7^wQmoY7!QjVk6bYPr@nCLmNS_3!}> zRVfLe5hV-2n+Mqz_}G4Ig%wYMW11ePuJ2*vR~hx%Pnd`#a(%n9hT8EPt>X{J!9~G+ zg8$Emt=;^HQ$|3a|L;Q_(k+C5v zsXX4MtU(+0gj``HI$kv?%&@~-LqUO-gYvLXz8!)6kElRQ_d?;7mx4HKSMM60mYPW* ziZ`%rP-2&CKs^w&>D0T_Iszh^?|1ZR{}ICZXL}uo-klE|@56B^C*; zo$7DB4j7AbO!>~T&<`_=N7I%D)ec`B`~W+cAZdj6JyT_JQU^Av5mhntp^V-GW_7>d zFVk;DhZdoNUJ7Ou>SS0k6Dh9~L?lp7PZH16y|1kc5zpPkqkMLS-J9|hw&Iida=^Y& z_G9!g>ZgIL&H|3HW`2&LgRMcZI6lQ0!n$1=Qol_`0i|WdboepDMg3c4V&~C0OWH{~ z2b?%BD_$btzuC`~My6P#$+BUze4izaLzy(rT>RB1C@SGt^;czM5un~nf~ZUWkl`7Z|;#Q*&vhO0&>CYez5sk(eY z&3*fT#QUUvG^$t?mD<21&z5>$A-*#+IaH@-G)2jT5Y!bSn(}V03DP}+Y5OeRC$VMvda@u?9Jo) zfY-q!{4&s_aeMV-xoJ8}ghpJ{25O<5J)cMahI}wy&72{M`nj5Kbaa1O%`dPOfXz9k zDlbhQnjqF$2pqT3b=&*h^i85LvP|BI- zHb=Lq$b+Xoc#!?SnfJfHR`@8}-_D;6aA%qGJ&_VtkG|RcV$F6f{f^6Hmj@Zlb4AX- zion$!(Ac@?{jeHKVkZY%Qu^M@q%k$)?*Kt~kFekTfN#q&BjYsd{pSguy8@X%`8$ zox5yXxHJQEl*DoYcyP3*55oXL2ek{u+lcY-Uz+hsS>BUB5C8Q~pFAx2&50%@Kum*@r7>Vk-O?4w zJoaZzpMI!Yx?HOefvOdF{YUQrO9(MKs@|?R|2Fcm!tU-Va6ny+_4<$&8Xj6WYHE4w zlRS{A@t7IqXOetT?(@Nv9(rxAI`t@Ls;WJxFP&A8Fh)>#dL`TX^WJk@xMk!d29f2< zM}*((Poe-@jj0Ms%ATv&&>Du76}lBg;cAcrUA_Zt>vIIEcQZTQKl+cnWaX4+Js!3E z(f3J9a#phco=rOaI_4j@B0idi{ht~BH;Z7#$OLq5VK_+RIx~lPEhg6n06Cn%P4B0X>$W;F~|csQ`KYd zSv2>WR6cwyzRoTX^Y(-)ka#;y)*{%0_nP?Q;HL%Oef%B$BrD1^D;jpK>Lq+6>ph0+ z;qZYdI)HQR{3x*>o;eE~ka>FME&v>w6;d>{D4@i*l9Bn4pCsUdS$XYspAPK-t?L83 zX2+7Wq^x9iK#yaNEYN2~Q-_8`x+oV|a&X(;vpnjhomkn$M8hQt_y2wu*mHsq!Z-QFTa-6}4G-$$X4WmZVprnrMg@f@$C`iV;{Auml{#w33_5%1pV~JMkZdd)e$`kZw<`*j^{H7{Cu5xCqn2(6BD3@WIv(`_3e zgLOpLPLK-nV0uXi1=Pv(5m$Z#<=Fh9M*CPKX0jXRA%MS)kLvzwI*P|FOVtuwBttyQjOQOW{0rdblSy)&-=H8&lsSQzJAQ3bI zKg!VU40xkSLbZ2QATa0sGk#1<9O&jP1O8LS5xgQFO!Qj;o_M)L{YIhQ2wcT5pgwmS@1 zW4IDO6eS@gp&pWW|3AFFV|1PS7d6_(wr$(CZS5G1)!4S#G&UPHHg?q5ZtOHR-`(>+ z=f2~PaX;TL<&pW=7zTX;HB72WxBM99D+{fXTqNDa-azU&^Qk^IG6yi$5z z6hEy9r60C0>X+)v@hXCIeCO~8Ra#aZFXp^79<|NGUZMz}lAD@v`R~zwEYYRu*6F7e z7y!hA>_gCV3e&1G2+Yp%B>7=Ae?d&Z_~&N$_Z+w^^zUH(|MU<2Frb-9s2|d;D^fo$ z3^|%|cI!OQEK(BevOU|$Cj>^)tX02sL`wiKcxo4|84M=8=-W(sx$ZKY=fo@>HLCo07r#&-Mf!7G~G)A1^vv*!V-$4EacGX zK`1v{&0@e-!55xyiy|(#0UAd(uyuqpwC)eokjnTmT32RCPAyFQ)OIX0ON+LoPs182 zfE0W0QBYI_4L*Pr+W{U)<2IdTFQYUjq2=%y4*sVxb~8qFOg*+Z@S^|G7<+ZKot4Ip zS6?V}$Hq#Li=w2MoSd4N(&ajd!LA|=d#wdIhR1Y<kYeu1F0{vymyD6UN3@9J z3g8_A5NwJh@dj?sKqF}nNvJo1V{-4uaqrK5$K}0VN|#sGNI5)#tv?)@)ma`=PO|;o zRW99~uQ`r8)9}g#t;G-lwG(&oZse@?W8;g@Zb6 z*6@q9hOxi;IVkX%wuUjh0tdw}k(zgIw{w=e4q4(C+{{MMv6_LtF_I{ncuA?+QG>SJ zrUTDuI{KMm8oN(xWc5g@%u=hi&c2&UXM#=$)PM-mQo(r}R(>*8n!C{v8bI{^DYxm| zs$}_y{O9lOG}UQI#{UCDOpFW;tV?v20VouP>jJKUb$ z+SJ*lVhpRk=*QcR1-18jq^WKBM}aDS2_UUu?uM?AX1dPa!oUF#uC8^p$B>1 zudyGG$I4jqe@P8KpVoVgN&NsAMkTu)gk%>xcAuvdlbj{ zd{eBk(%_c}^V=gSAF&^gPf7&DDt&tG1Xay;gKqd*rq+@~XZ514i(1>4L3*ytS`49y9r(k!{s@~suZm~ZNFpg6DD+&81I-h*^)@E3vl^fZc*5bC5bBv} z;^6Llgpy;a8D_McFV+bV8#*ZOeMO#60X=clhRYGV6MizGfmB-(~AgBPVhARnutEre*^k~ueCrY zv>+mbM%6 z`W=j~RbpZnK=@n@@BBuI+5%+vUyk|B{Xw|-{-mT4cW81<-5n|X^sIe(e5!41vM&It z1dGMQZ!x~>)X)LX;c-5Kh+l{$b6cR>(`h`!J;xgCoxIq!?D0mtXa=Zu-pxJs1l$~Y zJ|1Z5G#C>dzyih=?fWwaAxAXtclLsccr+HE*ZL(#WSjcE4YwUsV+s7>riAVYa;xI| zyRU_O{{RAFn1458Ri%$h@4rgwGsk@9_VBpxL8mm5#ujA0FU_hry&hO@2dK(cMvII6DdyV@nhQP)Z0 zZ9!-ox_L@MkEpGMDl>dVdOx=uX31=TeRw+txeL3Um>o9jFyQIvRoko}7wtMwtFHT< z+!l9%^;Ea58Y4QLFkuHbKQZwxq+aMh!X4jlQC2l#oZqnDag6--eztmyv3W5u>C;8o zRLQ$WCNypVXZSeWp%a-7OuLVL#pFU@+A^;e%>ojJJ>2>=J?YQiXSJ^adcGnz*XTrg z-5i*_Coe2JZQyAv4_rn~?IoczmJ`bl|I!Srv(ik?7}DnfE%Dz-SQ0kKc%TP*gtw7J zk>eqd5G?dIULyG(Y)|dvuCtGkpExJ*;t9crtn!{wM(Xei-cOG-|QG3D3-9&0tWr6i`O z#U%^iGjZk2?US54h}?D5?yMXt6%KbIAPYTPwwif;zD`D%wncwaixIL>tlLi|1uVq8 zPkx!dlR{xVFt)aUaxKhV@gy4T`eP+@O_l5Gi>(w!?=+S70eim6gIr=wg)_OV1`qJo zVT(>IA&#i(!7W=gz)?RinV2Q8k`(+olf4tvIxX2@GQ(Gi1gu&Pq6eCs_(#M6KNy4FecVm}C`k&a0!OpiH=)rA4&c^pZ)hbKCGu3g`<0;{<1rqkmQbRpeE*Q+t`LpDdB4;7%G{F-3P9N3K(oSSX?&sLqP^{6p$=^;WxF`r6;4 zijhOP=n7^tO(rUOD}9K8;b53zcQ4Lo8t9ormS(2|RB+N}oHmv5MXag8JB$xolbDbr z^)^$P_;56-Hb*HpECFlwIxRHV_cL?lysj|P5rsRQ1Y~@Is;%vKDglq5Dhc`a9NsV- z3^l`(HOOx-)Y*@l;P?PYzZQ)Wn&{~h4;>{patW80h$UJ0_yNEBm^d!bms}%EjQp@` z09`0IGRx0_Un@fwlY{y(@$21p;WTJ`W^T_!DIMxc_go1h3UrsHY6%PL(nh}RHdQfOkg;UYX1$IB^Vjw+kJemB z_e_3jrk~!9Xy}_@`ET7grWVX6`EMpO{bI$6$L^(}s~N%bZTL=OC;Ay(aplz)B0fEi zSj}W2jl@mR%7ykiA@k9aI_-r7E8!J(wB|uouIx1c-Oxr)ZaqAJ%I0zI%3_3~D+b{m zV!7j2FkF}VgK$imhVoJr!Q%|L()ALZZgZl8(npqctr&4PGqs*C^IuGNj~s2K{#5us zR)fkfU%ba#yk9dLv%Z5-!4Wrzu4hlJ3 zGSg7fymeg0RO@XJv7%TB_?q#X1b3YvbT&-?$6{q9{FO-#@TiG!BYcW+HSZiGlCe!z zUJ3S!Pbg*0h4xkJrCM3_Ifm)V-sVf1SKhEg+ z^7&dVsD4t(wGnYgZjO299jUS9A%DzT)TYn(N3}vSaLW4PI~^<}rUgw-O6;Q!^NDif z0QEEHoOj!m2(h;h-;6+h5U(R^4Ir6}@-FXpI;QR*WqC#(WRByfvUrXGTo^N|!)i|_ zn1MI@@;{LxDNSIf*d^qHh2Kq>GLtgZBO&RdP2vy=3)*pun1spZRtpn>q_G@%QXznd zR7!kSVSZ~oQEe$}8F9JazCW@ogS^i;Yybo}&XQmDKZgDxFhS8`Kjd$q7>e>4-u`1R zIo68SK0BC^VjAW*6siSRu86ga&CpL!gw`F8b(_Gqm8(i~MK-+_S(*fc@pPk-krW+^ zi>^nTKYwHlsDqH?5-jw~0d>3u#?AyMp^yod&2XJb^K?P9@ve+4Ox>nQ+pG4owV**( zXjw@;PjAj44r3m)V-lW=d~DGWv?CN_ek)j)Fr8D_Ee~a_{iOTED+9~NI=vqGP6O$n zq&zi3;;5lKkctcjH=OmVqBvj_3!Op?}|B zX%zX+*Zzf>u-~m%6_SH8Y?yJeECf5Xcx1#%*DIqAIGNDV4Uxc)jKyH{o z{%Cuu128^{R93s>gQnuh(Ta<w)jFm=rA0+ss*O0 z#@aAfT?ZAujdLV@X!n)Jk4A-m2gV(gNNkHO+emvWAt}t)>aMew@S@XJ;Ev3DZlBsn znxVkVB;?aZkxB50ZKVfT{RO;E9R&St;?^q8(ENJCfgr%&Jq@Uwnv>8vl+ z|Nb0n6FWjj8>C5o{$gi$Y{ycHmCqwNKf4jD^bL7;t}SYCz=@CW=nN0MQJ03Hx!+1< z$`pq7FdMd_L{dgunst6Ap0&&tikbBbmkc{UmN*ZN1P#j8|K1(BO>xu9hxz=2QR%C+VSd&7 zw7f0l3*Gny$W`6t!o5Q?&s|5M1mYfik=bgae#@S(#5M9+t6Iw|36s5yN?&NVT!r zrl%iI9|I!H$IU@|2yUwcRJf6MFX ztvbJZd}iP5XXO1-JZaiC%GQ!t0>;Bd}DB!f5(1VdbX}xBvA3 z@%D6q_-tj#P_cQr#tBgOAN61oJI|ItG!L87T1h_Hjwjd;M`rc}JPcGM~npE8tTRj2Be>hY=C5r;AYZz%L+b%Kzkx z-9+9=9|xS}3+vg(d){`fVZ8B#(Ho3Wo5kmJ^T`9z^c}Dep4&X5-jB}txRK0=tLbmT z5z6D=PgZfj;+Y6OCJ!%<#y;-}JtjQ%`vIH{3aFg@sla&NfA#>C^8|zM*ZD6;g+KU& zjCj{FNV5|-p~a)WvKIVlTi5FU-72iZlxa;wQ0|vYmK%N zu&fhpGHk9xXRcp+wy&s-hK2U|)}P`Ww{5F#LBpI-hkB!0u7*55K7TZChAY1wGGCS; zw$aFDu4*lHB%< z3JnUxyXHSHZ0=Yl2quIatX)nR1rXw^Db`KctnzQ2_&_H_@iqzi6B2pe%C~-mhmBYW z9t3Cn4;v!59E0-zw#C0BKn)jDMJuM%Mbfvc>xXy9P5z{qm+lvJUuQ46>(XmaFJjiv zuA=g%_kqWbP2^tB8>1Z;-l4o^>8NV-2ipUkpQ z-2AVlA0NtWx5{4$h#qIZT~3Qt`#yt9Y~vsI<~nXf1guzy4u1O}%Iok!U;Hw8kL-gp zG4)F5|9G0iW6|~5mtHEs5tjPDf53z@Z90%cbat<6uN5(h+v+~svf}(D{`QA zf8Qg%;;s#^+t14#%KM#|a6MmfE($YI`vIf;@w((W(S-5vG3;?gX)?xUro=@Cr#xk? z@pkmF#hiO%{I30h9pJeH_aj%%8IF4k#C2^)d^8i5!4vhi1l(IN$a0zfGRaUFhkTe8 zeLdQ)-EAw_Z~ar-6AkSEi09P~zW2gpBXuAY@`aGfLiD=961QZb`j0)k<;WefQihHJ zjB|n>2rmB|j!%5Zoq>nlZ;p?;bNasb!=O?ntWuI;9(+;K_{gcCMQWlxZvO>{!2`!F zm%MKLybR$q7>s_W0sqeHYT=;(LdIT$k0+zY@u9EdIhq5W&epiqFZbpcAn{gN2n;$SZ>*sV}T(8O_@Jdn}!QM-%gJ%o6x>Y--n zxkBI$tgPk^50n3?#A&VPdq89@A|?t4LO`xzmR$MK2|Ti;3(vKD2m82vG;G{oPw*l3hcME$OjgcQ z6o7e9AvAoCfxdd%mDG8=>lrG$&GxIWr*;OL=$ z;O6VC*O|IQ|A4SBLf*J$zd($DjyXNcbZBW=cM$VOC*%t98}s{K%4wa~WLwx`YZ;zT zt{>XRO-|8~!7cRTv4?Qj*DRuET}2LJ(ux zYC$E^wlE2%P*v_#2=WTYv>v7()w?KMuPF4oGZq$r*W{|Gu$-h7{5?5?1zU=TVH^%X z*Hp)uW$`eXkMc)7iWp2zHK9%t(|{Hzw&NdFA4^|COn0IiC43?3SJ*u`_y!XpW*S)f z^bptTt6ap|t_{j~9TC#xN?fOom?VHW$rH4)%h50ZxXhSBqn|BQzoNYg7Hp16IIykv zyDB23CGxDgqob;(t%?^!EgfT4%hJ}$@~4y(8nZs)BL9`Hh2(VBO*3pWTlWwsjz7Vr zQ8>^}-T*{tnN$+n-AW6sBL~qX%~hqma+^ouDa6Kyv;^YI>$pu$eLwo-8YG8gUcZkn zMkpxU(i2hl$wu86>BK*$;SA$MCCxzR!>pNp-H402 zB=x@*!&^e@dKvYUqR+~_7I&*!r$NnE->^`6I-Vzgw^sa5H*;C}E40@*@63Kcz+G#Q z1&Qzp*i!Amp~0hZDhBx)&T=zNr^kv_njJ*itZ3=`P9(v&{%+oJ4XR6f+)N9Zr@hK! z;Hj9LT2P{z$ytdl&`%e5o?Mz^3gU=wsQ&(AbV$PM1bYJcY0HazC37;vHGY-c4hAq^ zd-Idhh+f;(Vgl(u=K|LMzKA(8WqlO2t1JRvE%jY10hkmv<;nNVP{QX$UPpcjf+Q;p z)~_i^C*MWmWg=PCDsH%>42}BJ&!i|EwGj##ErA&~L=x7og@s10l(772e(GeK>~v&^ z+g@>I`VE*-(NCg|mKcd6N~Y-8;|!gO;XsB4%(U7fe?^a}k=V!0@3gK$r4#@RG1(W1 z6m-i;Nr9u_pS75r)Bu*P1k!Os!~gWS(SN?J^+-{a1c|qK0;&7&wvZhdX|l+uGT%{t zIW+lF5w*`6$y*YzYx~oDPxEJqVI1vBXw{`ZDdn-%dT1aN8{7;FgX=IU0jx82s&i?n zp)O*L>MD6Ou@}Bjs;LQT@#W>N!o~iIW#cda3Nkv%{gfeAXW#V1Esi97#S#r!GhWXA z_*N53JH?dlKl+K~l3rafZMoKVvTtZvI0>Et(dDh~_eC^Nq)KhgM|w|&3f!1x=R&tR z%R!rhH%v-#(kMK&FaM9wW|wh(oM@7fz@NNa94w_63`S{8T~FccfF%G>%D5OzEh=G5tRNl(!hAu5iJnpm%LJq={DfC%2%Dy znKk{SI@1E&)G%BJq?&EBCUn(IxBji;N7MJnx zHS$mDqx80wU{qI!a{dOs>Mg) z;*1DOsb`JZO-o9uSQ2I^O~l@>b?FOP#?!wIQ&{L{6Q`wD;w(pTK}Cq*eZ2)6#g*Fw zBhf7LO|^n^`goE(<#|6ilxBuGCmtw>_NN~PYRVFUG>qccPCA}(PAlb^l}utWFOA*Pl=3>+MW0Hf7>VZeiL0q^X>LrT zSfSpyHlx=aBzQ9S!daPM6Fmj2pL0pMxH#CBE7<=$U7-E=4gW7)k|_lVVspDhA%UHj z-bII$JgPF^rcR|fO2f6}*IbQg>B>{}a1`-qc7-1O_TJb401YOn9^pWzP%Sr#K5IUJ z91VtEh5{cA=4Xgk3a6ZeF$Ii15tIU!C_n>=$9{g0VQq*Mp(GDRsIZgJTXdAI*iL37 z4w;~W)b+Z2HXrp)?n&cL_Yex=%l1u90^>^6)CD}Llvq)az)R@^+MJbat2znRUEgjA zCL(&-m(YGj@>%^@(RF4)uQf&L)g%=9gD$%84CQej)V^2YlvnB zbqC%>4GoS$|49bbvKFHv0pF#HoWkqu2}k3~x{`|!Hw&G>kI*CRY^nv^zI#T6p)FXz zH>o#}JqZKDs)F=3z!2?0c33(alsVC6!3kZ$4id8u+NkN}KvDvORG>nSg`(^iWzIH> z8u%G3@JGzaCpeNmB{B6!XzSaYDF==*mn{l>+cu719*QonbmrW?BnFmq56AQ|df8-H zKh0#838w@Kp@%U8-tbwO9|Y@_uCrHRB(6QnX(LO+|7>cY^(ChL3t~9q(7Z!XX=$-W zU2M&*2t;WcZLuOFUOinA#L4|bi?DR6p)wTb{7Pu@7fup$a}h9Konm7Luwf>c2x1%h zqzRp3pHN_MjXAPHyN-LhHRur8*wph?!?y5T`gdW+C$Ufohg;{s^NgUoW`g&M>5r?D zCHV0bpeSF7xQ7TRjuJcB;emqpPx^(9g;3PowQ`i>$`1?-+s zZ~0mIu!6+CgZaRD1yWAtpi?S*VNbS$k{BRDK#q^`qO3jPA-^K&FJMJTnD&*EQcG@i zd_ERv8E3(%S3L%)DkAOBaTo_2wZgB7AjPUc7bg_6-AUb5Gzn-{wv#Y^ zIYSZmVn9uCtsEu8v31?|JD83kmU&?opW31$ob{bd)fTALVud|w+Jf9>TREIR?#p&x zdQZiSJTA^sYKPY^y`))=RK&ighGbJ9-p&nO(3;fgCZ#4rC{9P@-YY>^4gLbISVujf zTq75Fu!Ohr!sE(%XAJt~p@n^>azSHLTZn}8nVym5-TFf702u;v_aLg^b2Q7IXwGHA zNBCzQh;kikoPUQ=iF`hAE-0-!M|!SkoZf%^0yDrr8`hjj&eQ6F?0z8_yn9D@!KkakUL(Riwy&~qoQHaFH5c{| zsgUzYgTd(hOia&=jy(p#o5&X|_Ufp`6a2(V3SvS)L>}OkU#dQm!S{|Za5_~>%1(+R z*zl^t{swCk0oH!zTU@rB?~!0!-T_bk)3q$R4T^=2BQz)ywsf5gBjzPPhYLBKCoeZD zHI`#JSP3+J#F&L_$daqMliUgMu5hg?OsEIpX-5dB><$gxWrk^pUw(Zv19?F44hK#O zB{Pn(Cqp`f(27u9rL&}#GkeL-MGW6Gxe#hG(k5j!fRu$ild!I z?|mJ7r9b|d%)&1W{vZ9ep0+O?%UAcOp8G3CyWBl~uopzO(o>#J&;El0Fzr674~veM zFW-=NT=UQmm8!8ovN{sAdso=fW`)@9@{bbJ{}9vJUk~9DkDM9XIIl&y0%V~<*)&L0 zJBpS4Wd`GugTv=Vz(~}qq7yuxYCeua3llbp;O9U|$KI%d$W_?o2=T^V319_^2_fW_ zi)E3+Sh#;?W1mYBu&<#pk*^Nn^Z5L$L4hUSBfqQ#+2H&;(TJ>OWUs0RT#mRysQS;O z@83e^aqaw;%V(pLvU1{Mmtmy%vs4ZvqtmB~BPF)aZN!zOk)@hsM`-B9oAEM~z{xc3 z10&ugmF2|HWt>%v&@xKNtw+?Pl(B4Glkx0oVEn!Mb7@G*HqY26R+~x4zz?~5sXvUAYxTcByIS{=>O2MRDaW2J|%u* z28RI$+!cl3f7re&%NqnpXU=#bi82s<&A%CO@4Ol6SXSqc4E&n8Aoq_y9aQ)s9{!hC zUQ}H%R>}>bgtwpeQQCeSb#O(7EWMkI8h&+(bKM>}N5_d?QDN&r_--wmp$e{sSHPNP zcD$pZL#9|>bt)p?f<0HlA3fX;?bA;+9H!CaLATmnm8|OWE1kJDTWZqR07n6cW1p1NRHI8i93Swt#W+v#ajLY$5Dz;3>N|*fhiu$>3UQz7 zN}k&Yb0eRUXp@z5jhg_8N+cN6lIM%p!8cCRd6#hcZS$4-b;8JxFyMaa@BF46wc`7; z{#*l1p4IcL)ipXI^glR#)1%l|yTskGd<$%LFV`Ofn|`Onmq*+Z2AhxgzNbAPUxa5q zSZOKu)ne6!srJC*Jdu+exWc`fo!{e}j>Qk@g~KKF(-J3jRlvBT>fkGf_Z{w}ddUGjCTM*q@z>9rn-A}@Em*r^a zh#3!W;oSEb`trL~75`Iv9ibMK@2e{-g%d0vSo$sPV3?Uw+3R<7xxP)Rzq;I-xm5(t zfSyYxuh+tPL-n62zjE0RMpI&rYl?D%URTFzi`K|j(gDYIpxRMM ze5&&xPkS11lWs(*F#O4@D;IyF$c!rdfxCz+cNZouAt&|&?9zeC+;VvlpL*Jb(Tol^ z_H?YOz<4|Yn+!Fk6s91xm~8vJKE^Rdm?gsA8jtt_X@ydqWq9oc$IE_y=5cs#=}^V|N69I!4aPBSG+u>uv*lAmOZnuwOt3J5leyII(1p%~BOK@aDA0PM?i#AQhYm#Kd#Z1_qf0PI-Q&^Aa=7V<*`nhy_uW}P#1 zCJ+wF7HwP#QA3rPz@Ule{=CZbs!hATYY1}VSH$Ia=$e6OH2xoYs?vqs-&L#>WE!kd zoRRW73}cl6#891ZRufto2zuqHfgL@toG-tD@;!b?%!Ef^SifUBF4{ihW1A1u1?uan8k+5Q#8VGn`+l(G=`2kcp8U=1j?rVM zh7ogwwc_Z@_<3$c<1~Jp4BH@!z6%DTz2Ff(JV|jrR|ZKbbYZv2?fm%wVCo%R?gH6|rMG#x{%*ejF+-BWCxg zRyfpv`JITl+x=71IR=JZ8*zZsrcEkEluu>$gg%DFyxtK*h0Fp%QC9&fWA(-n@eMh{ zLF}Ln)|(p1*_q^8y~ou7JoA(L8-s) zxN3V@G!?D6dmFtwE)j#3p6KA2AwT%y0GZCr82ShN;}s*UtPH8?#eKV}e(>?^kgG^Q z6M3Kw?Jnkd9!jv&;O^+0IAoytQ`Q0HXz3nWO5(75iLzM3D8103DMPy;4Ao)5_;NhA z|1Ctk&63$0VphG0e|jU>aY^CNpXkGtmD5X`$hJ06blm#LA&-;)FpdZPRsx;UulMDm z4IA;8^ji784!o&y_tqvt?|-qPHjT3~Gn7(SRG!c~UVN@Vn0lE~fz;}W%I0#^yDB%B z33GNxj#WjKvR@t6A4B@D+MCfn=UtdtbY~zFTZa2g*Qg9#gp}M-j`@rzCXQ$T z0Nmz8Z+eBvF87DjLZ9Dqj}P|!nQ(l&DCC*EGKYkbG@xnTT>ICNb=LS54ZO(j5Jda4K3WBmbEzo!$WO}5bQ5M)M@ zC&2O>+3$`8Hu??I3O|53h%3tE%pYA$@-_n4802_u_=FzX-?h z+nwK`#~CplQ>SDB!qQP5wq)p&tv8mMf{0TiIw7N}5_d~}bsJIBaKcWxx%~K`cpQ$# zL80B8ee3D{X-A&!|Vu#ZMV-+j6B>V(}1c&Z=k))7laDHJ@GS=ABah^WN&JuO>@X z%rbUr<#BZ-mSptQ;G^DbzdYEbJn-DL($b8wQF5 zIy1JfV{(Z$i=yzt0!42}e^73Ung|Iu2Arf6W#|F%oZP>I0L?Z14ZQA=+d_^qu4+~( zi3a+CjTGbv(I~HGAxEcnX%bEM@%}twe9Lgy#!qf7I|uk&rf;1bIKYeM6*vH!>0}JC z51uX3wac7I%Sk~YE5V7Rwk^D#-sio&--b$Bf0MS3z<}S^n21a1vEzNqo2?8RL z>81YKGz*lTQbG4dkiW&}nl*i-6sud;JngW>a0(ZKf*JSh)ed56eyJ_y4rF9sQ^%+f5>HqE!RUl;466kN(9T*>Jmf5-Jp&_Q*gcnpzToc(T>6vU(jJ;yR{^?>K zGJoo9@apm^+m!x(vFX0lX<BO^O7ZK&fc>x5{t*2-W` zgTqDcW1kHnx|TV`>&p-ZYnq8~3}zdr9Tjztwq7*7f|xmf%Z&tJ#nylbH_0^ekVowmHV82ntUG|ZiQj6T83Mx`w1cUv37GO&;pYy6;M!Q zejPQZUN|M9^(43MwTWj=Yl+gb!zwX!>U6npc?C=`5>o`mrk;LaeLP0k*0E?WXK4!k zT=~nygK)II9!M9f(Oecz`Wv={AedH3RSLr8s)PD$BJ8ia9)B&pgKa(r1aRKmH!<(r zcrc}eR^xsg4G+(BJ}933-h_R4^|{hE(uK48Ks8)mi#|xIqZs&)Bu<0+_vditvxwEU z^xDJ^9NvrDv-O!b_4CbrLET5t8#S}F35qkXq9GVI8jc4=;_30h#VKF7)0Xvw2rY4X&YPyeT114vb-M+RkcAl!FgBVrP`Vk%u~5Fw(C?+vC3VHV|r4NFNAi9k3w z$?d+I$_~jp#$|H4BUWs#Z8}EnC}mxYHSBRdP>?C)$&{q{A9jOoS#Hdl^}>n6^E>IApiNvz#xf-D_lNvbK0Z z&f|IIS8pnS^2yt96AYpwk*769nvEH{lM9K-DKGI;&XJi$Ah}+iB zHjZ;NbsgxQ)woAaU_w{?B8|}GLiwWEYip35WRqQV&3-#~iQ2D9Jg3aUU5*$QSp>c1 zR#ivvdcaeI!r$D0pb)|STzHsiM+DVHTnL-+Adj^mZ2X|2H<3Ims3L_*a2Z zB2?&(WN{;R!9w%^RW zq7ZGIwLtLOnqjCi%d!93jyYWUybs`WI&*5__1E+J9;2d~ zT*jI>tjkOvExkecPTusJ*D|;pNp>sRG(Wh^IUf2xp^Pn_nD;KqY-WUsBYucmFXc(R z2yUF{A*|eCd3@|#NL>qm@iRMKM3PG(G;M$GZ_LDBO8F?Y6NLuf%b+Fjp~7;UD{`8N z+7#P{eUg~$u%K>7=2ih^nS!v!PhSPFM0t)v zEPWwD{wP}6TZ>1CD?xquN6DwgJ#G_O_5L<`C*Y50P^ou=*J7j-gQEqYr54M>?q=IB zw_DKu!l>qHdeiJwNnE(%0hIfnIH?_Yov{4 z@=>a)aAYAkVe(;GAFhl(>?k{Zn~MTkGpMFoS_@uHPr3j}5{2!!_%v;sh9SgZd}Sp# znB1Ta!3%Z98bzHp%zzmV$&I|W5_*)-ObtK*B-X!PmBV(YH*^&7-euXLgCwAIhp_XW z;UaEOx*K5I3TKr{c>J2Ih}JTF0a*zh=&>5Kq!$P-*jtAzGYbxN1>G}8>*L%t4?%F8 z2FX05r-x1rEgt_jm;5Yhhk2y21{wCG~CZjTxDt{%Ay+-z?4O$7ve;@pX- z+RMgFAUnYqRet;2c{dg?AngCA>T#~;?Q`xrx96k^XuuaepZf>irk5Me;so;c(0&Px zJm0V~co^znmSf-0T}j;oLGMkECvMr`g=w_A@!w;UfFA9sZ)ZvzM{YG^+(?1R2sp@h zGehND#L7Qkgu7)J6CKG<62rFdssX04(Op5WupNnNR0DfELA~avYc@1uyTf#0Vr-N7 zhm!(|p(~v*z%g-o{7&^7?P*efsjLJ&;i_4g`)jsftjgq?S2`ic3hvh3bx=6gW#wNv2dbkH@}`@)*E zJ!P79G#IR8XR~eigKcYLfj;zs(Hu{n6DV6>K&X}Dze^bYR$SFKr2bOM_bw}8M|~{q z$mdS@vL)d1(|Om6p}_5^kJFMtUjMiE3y@DxkX&W52mHbBo>ZWY=?y&Ka`(4Vqt-=l z%G9E1=boW}=aSg?D3_>P4JGCvYgj!*%#ve&9KITAjTh{uUnd-s2a9UpKXFfrLO&%0 z3w+4MJ~@&@FB?p{fH35SjfL#@%Nf-2b53##Caekiv~4vrg_j1RR#i54Lj;grSSz$hz024ffL7#>EpplytW^lr{jT3 zW=;PF;aCZ)G$Ujgujq|f0`}PgVZ#JkERsr(0u(7hM=CjR@Oy8tYraZn^HHEHISaQY zR%?2+i;H?77=EI3mS&@_zA|r9xbForX)&u>v=O#<8iN)i3gEjzO^?RB$;_|*G$lj^ zY&Y#lGtB-;GLx*6vQ2ygrCwoO7PAa^>3`W0(B@+zg^PnN{h>B)RF5G4t0AdSUBr*+ z3P$cI*+oSl05*M`S+r&YVxlb?76XnFgN{()9>E+ly{OX;NiNzYDJLr;yC050r<(}B z#eN{2F{{qx&sT;_Kp?>txIVf6Wp}My7@VF9)K_{f9nGXT^ANmB+A}pVpX!zP z+kP*dMqfxR1_nu!c37K*un&G+OH1l_P0fPZ9^%D$1PzB)BB+?hzJHnt5u@rk>Y)9Y z&6H-*N~DO=1=W^2UwOEKUH>5a90Nh4+>RSxXbvo?6C?fs?tqi+?`%NTuDwTEsw%sb zC{p4Qk*LWXn2Hp!H!5jp;@_c)*ZIu$%UEaGUtDP&;I z+&`21_hN#jn}b=KBH$d5wOtw&|HXg<3Nif|!KulT%XQYQ(c^SSRBtT)$b6-tlmVnz zjDS$cL2H)Ws$~k9EwvN%MD=b6dhX4@EQbzsk999Pw9-I6(v$u3a9eV$f6IyEMzn||L8`blX z7fxYnQ<6#@qokTa5{9V8Q&fB(DVRfDVADV%RYj3ZQVJ)9s!l^ORf7^Gmy&-?diB6# zH%(TmDz=L(P8ccI|DAb|hH%L{M2*brdWUSJ`!{WToF{7I(UzLx^)eZnp;fY{B-ujS zds3W02TjD{m|`z-{O6tu$a@d)5F*|lELg+T597j`(d^R}@H>Fupd&q5#cZTKneeyR*Wm{{ z6L~QVQc^kEup8RqR~>Xi151>T2N*pPYG~m$%BQ`d2SN zp8ButeNd+p-j?6coZ=0%_j|d1T0e^u#q~&&wD|3ap$YtTo!D#xInYV`)nzUu*Gi-& zpk#abBC27jhQd#B>~j#Ci8^S47s2TDb}A-MJR)^jbsMYMBh$G z9BW)ehpme+F?Quj8mUceK}|@`UD>Y_+)aMlx|RFxb6^Q*hk)SUV{)7tj77_P*8;w! z;~obqTQfZt%z;HKsXE(yF@#;?F6(#Z=8oyI4})0qkc#hx`p#4C>D}b3p_# zNBMdRa5H&Pm!jcqaQ_xk|AXZ5!uL=#X^;T5=;8H&7)TzIuX0N`sh@tcoh5xWk|hl@ z)sIE$;ZUM-UQe+})lw|RL?}_|v?jTV31u`&Mis46stid6s-OReYQM_`0lsjPua78P zHd~`C3zZ{pxS#Hp9|<=VxRYl zuSdOme?S6Fc)&ysix&{Qgjlryt@`{63#1j-kvB!a`5wAMPg)E+?bfaceLy3%z=;Jr z*%ZL8MG9FuK!8Wa8b1k`T_JWLbS|@_B33SULL_~EC6o~%paM-c+iE^P)>h-1OA`KT zZu?h*oP{MtlJZ!Z{h*9Xrf(HvU?iL8aonXP8tj_y>-}-CS%xui&R818{QN7VcJ? zSZvQuJK!#JPO(FRxc#LK_3!yWdG$4;Q5SSg7>kBI+3^wl7HsFWra{RF!(doC450l(qc7=B97_z5eE=#+FcdZjUGO9KX}s zt;~z+g-H(j$abb>A70&+cDTb|(1xmLA82Ad%t@O)Xo+>N*MfVpZI+c+v?-4Y{B^Jd zVV5Kr!4gqG2=(N5X%<%Df@>YNd003bNm$I{FZwfP?^662I~BDT4vJi6I_^r%m~8@& z>dEv;^=M^SrZimjE3#`YG=V?DZdsRwJU?y?Kee+3mMxi+T+0y0Qrmq8buf$ZLaU+mKHonY_g0gr~4lBg5a}7}~SAuptJ3B8w=%dd5w#9s* zZduxwzIWrT=fk~wqB$q0lDMO@!%h`EzN4!D0#9@fFQS=m!pRWEBwGlAv8nbCmtq{> zmn><;H?}VRfjRTm-+$j=W5NsjGvrTBde;EF$0?PN5JuYHZe6W;YiN@Klza>6oRTk5+|L!w-Q{a`R8wb!=ytud zXT*cU=!#aaQ%&$S z2r>tXP_3ixM8^ZZpp;Y0Py&%z6~UK2s#3N5sYkKP?{OEk^Ej7z$L0F+{;8Gp2hi2; z6ZEUlh(ve8b8h|S42n#F=k;|OzDe zaL6l2{n%xD!J0!P(|>&+-a^jkeJmBIiYaD*x%KkrmN+%dsyE3+#+>8rebm<^?`ovO zcv^7MHc-&=y{X6>*4fSRh7pU&aG?usz{QF^k<7r++XIuV`0w%bv-S~me#x)!c|Ks( zlVI^VNG1VH4R@1ZCW{OxpKvL(_N7qy@jSIA*>f4`ObEYMZ320zA;H0){7^x34e`rz zzOBEUYLWmv+ijGi^^S=Ih>8vrG8?~vahTWJi_3;9PYReDH=?H@F^$-oo^+16Zv#O9 z%aFuy_69`gt$K_!LDc~Xs(Gn+v#ncjNvETQ`n%cl5%*8fCeQ$iqL`>d&YCEv07=o8 zDc*6!-v9G4GQ<`5lLeJwx*7?9dEHBY9B)Z~4^yO~5;v2n2pa`Q<&3r~j!lXy+}{fL zs|h#mPs(}$G2*l(z0J%4TtQF1IRU$ZLi!6~?^WQJ2rOPA3KP7}A7pIyMfjxw7;i4; z)5S}$CBszQ#?u?QFDk`#uGJmJweNEu%eDSy=gJ~u6W?TSJ`BOF^90v>Om?Rf(C?x7 zzMl|sF0Ur)k5-GQFV6;#r@Z%Ssh3N#)tx*yb*1e4U7A_Eb1og*d10Z^#B8@fOJkZs zsNYmW%3_AaI1iuk#R6`Wbx#I}a#m)KNnYws7m%z+5J3xW{T_b6N38~Hd#HIQtj!58 zPf94{2y;5-9EtTCzdCOJN5(s@@Y)|H6hxGgTrHX?e_0cBwcFS95FPLjBpAoX-1-*$ zK;{MwtG%(jttJ{AKBe5AcJJwXNl`nOatw!TPzPMh{P1;3_EvH7OiWcDJ@^eY6>`fk zvA{jE1a)1R#+j+8?(f{r3ZHLKrmV#p73csdRNZ_vKbvX`A9gV@b`kpH1Q&0EE_D?8 zS^^SARgBYxB+Zq{9?PlJA=S%4I^D*MAmdcKpx4eZ*s|_(++3XSmiHsbbca(-f3`pQ z4#!?ae&fwEnlMt@R4>KHmhAAC@$F!N$xheE!Kczwv&KHNaav0>z9BQ>v!d`!V)H1s zp)4NPeB1omNKU~!)GI;z?D11G8@ zJ8*YM%wVu6d#V#uz~X(TwbjTg2Gp=i<)P^EHV#KEWt3@VLj2tb5_Y9f!38E?vOuyn zoB?*F8#9jrZB%8xxW}1h9?Jfb3aw!#-&x`u2|7t!H@YvUPAzjberx!RAOm=q-Z`#^PKTRrtfW zZw%?Wk~lY%`22o$4CJu(b4-PUbJq=QMt=jkm_5JE7p3(A(){r6TOh`c4d?AhU1JRA zI27t4++{MJ6WfaTwi(5M_4$`rc8F@!IT`67>M_xj>L<}THjruKdqz~cV>w*b2NU)r z7$DIm!@HWWXkg^PEGfPKNE<()5W$0!253!f(JXFC96{L{2rLtp1|HHH#^cQr36wW< zJ+MCoEov){PC?VVAMpQ0yRg8Uur`}PKvT49;%lOnjG$ZgPMv?;VZ2tH8&+;e%HVxdpIiYt;}26kBY zvV#O*aZ`P30!_0u-eG({Si#6kRnkrUin^|n6fsIPnSRaa(PTQhTLrOZJl#MrJVua2 zXt(vUY{7V#$$^mk5J$L0@h>S6WCxcmTTlNiWLoKMJ#Z#f|U_boWpw`GI63 zk~n#w3*vx(Gvaa+qJF(KceO}!YMHP67B>_karc-#z372zQkDSO-qCEzuaw_E#657T z9+FZZm486mAi1T%(UbnjC3P7J6BB-Y!?mUr@p;(~=B}jt^S9kA%@R2I6tz*oK(%54 zpG|v_@W}_it7ZX^gAupLF+k&Lq?%Nw?k?frgOk+lVbQ^dk3;3WY$$J0 z#S{4Pg$)xvEzN6F21iq-hMND{iAC;AN*h@<8M` z7^8DLEbJ*PvYMfpj(s_up8MC8tvwMP{YW#W{#s&0i;&h$1QB%#z|n~I!O3yh5TU%W zWytsUk!p;R$741S#zGR57QESEF!r63-^b!IvNBDOO@Xr+5;%u@p|R$|p3xLHe_gRK9%9kws$2EwU$@nxnb3_2-i; z(Fciyn_tg%wIZS4xTW-3Ubh1&1ELu@OHo{7FYvk3DNy5!eyhVctYZa8dz!9wP-ArB zrrBSgid~eArb2Qco#5J`AKy;YN&fOg! zHQ?P}g4GIfrNTm79*7#le+~6fGUL!&&frq{gqE@U?=FP(a^j(u*Z{FrqUs!E%weCDMf4t{$hjNn*|;V@AtJ;a zuq~!(Cf|En(7(+5nQT2HEt^2@$|$c!3FsF+gWMWVYK2O~33i38PEqt`NTMG$EE|XR zE&Qx^{E4GKvMv81jK2rrklq@mAqX82IzOPf>A<7gO`|F*CNrRSPx_n-H;0#bh2M}t z=B9voIUe;oUU2uN5xnG)1*pY<9ZT|tbM>k9&u++)@==%{CTN+2W+TRz;mm)sgB&Rt zK2s+)L8y0yXhV-;BB9k1nA69v42Ph$JYb>Zknp+$*Z0nZ^*yBJq;ER)eDX6KNHCPG z{>ijyL;G=v_g=S8*F!UKWuwgcMg+}dKaL^yfxF)WE*c*j9zvrUUJ`zC2k)}rvFk^E zlB?pjy|x*z7>+=3x*Jm1ypFN-6+Q$FPX=0fo8l)hz3SjZ@>P zC~m0mP-)BA2XophG_=|QqJzI~AvY20TRti9)U%YS6~jN=C<)AZ@JoDk?NV80>iCL3 z*YxHzXD3^tQSr=1hH9So*33&Md%_C@pU3KZ9+B%enWK$=%wqp|5$-8|oqg+L8}MQ|e&T;0$w* z!)sYBx0ix#@eD`n}q(4L%bv%U?WNeo>?m z;vb5WlM42mVtCbUh-0TgSYrY;fY0wjeV}1dQ`9+Y{^((0DPlc3hUGO8OdaPb<>kX+ ztHrM^f)L*PnrT0x&#}LzW~$KFy!%=?<9qNir}8jO>){oNKEX5v3=O@{{9T-h{oMh_mXlmMR?Tp=-qx2QQdxWB3n_L!2ORkX$WF!3Rm0ayaZNZ$ zcer?gsf^j#U+kGl_N*P58R{UW~$bSx4W=fl7=RiW^; zimgq?Ip;?I-`n`|Jd)zgwnW?lxs10vn*8b(wT^Y9fG0MKa~B%E@@P_)W9o%m4-)7# zOF8=w#q3sW7*DAP!b3=cN)?x2d9Va`b%WkZp5+t$PO5PJn|>2^TJ~a50TcWi;5tcY zl{IJOnpns;Zpzn|ss|OzuzQ7(`vC7mqr6bbDB*&AvB=Ss8m#gQZS;>g`40WgXcNT! zokUj4XNa{jx1r$Yf8EE|Rt{+8|-vRoe;do3EZKtB45#-%B1}iif(540UOv2%c~XtpkJNJdaQqmq;l`T^zI!U6Y8d zE8Bz;$X67BVYeBkjCim3s~~ccFv?<>(cdPQ)r??wAxlk#=B&me<+y<#|LR$0o15U( zgE*EMYGbdbgvL+11|;t8-zhYLZ|RDC0m6-P?R}FNWmdHYc&^f0{Qt&!QG_N1rp-~T4H*kSFKh>}z?VUF_!Rbg#8tVIITLJ1ykgtdM%u_vx?8ji2$Qbdj7 zD1@^%bT%Vwh4h8!=jqRor)s)`$sY+^@?L+4qVgW`Z}L4*f66nVQuHxWKBJE+@x0F{ zJaGd%pJTBNs$FbAxapiZToVv5{)X34Q$D_`so48zTg_Tx)hX$iDA+pkznniUTm!f$9dwDE)y?F7);T>dk8MCxQS z)+MXfBB`I85IU-&|7NBBTDXLVt`{6F0=LUubn`cd@zkb#_JW6y{HRJ^_Ii+fLHkb2 z&ZIsu1OfXFPY#EFl92)y;0QGE;U2iceH974as2@wGnr!h`5mLPAYSZ+UoW+Ups47M z%K$xb<23(fmz;b2g(vw@x_FP>w^tYCkV^qgB;UZA$>h4sUglp%uJG5iA$F1k#qf+s zp%~}wr6LEinIGQlmBz3mV%;90?^u7QIpzn&d@S$y&=<%w+1Tfj5MaQ=eJ7GwSoT8Kfg~4|M#2RCZ&hR$;bsmC7qb_y zk$}fxz?8EK!_YdB^^l(&7jql#uq}Ws$qK9PtyWNZ$Wls(6eMcO=@YD%@^Pn2jub?n zhmN*FY%@|drn+YGLl?;A3PK9N5y@!+AvxQsf$<=WAKL|(m4_p*7-N)>+H+B*dHMRqbHvqH(E(I2?KKu%%VG| zpm*UaBFySKO9jbLCVY?|$W@Gx&I%~J2tA56eVbknv?OY@qfcy~q4|1{qDs(Geryfc zN1<+?3!NpeaWjJEfV-fnT*3iN!C!$Fvkvof7yrAkP?%J8@W5o%0u!>loWFO=Su#*Ybg-WOkgq0A_{{0ou!C zkQFX1BL#Z6d7k4GC|7g&Vv0?&4D*K)1*JZ92qDM>9FOozNY;OZ#wf>Xd{ZWN}QfStWZHl6b$7Sv^t$8uV%qeC>gJ^gi zAz9ORT!U(7QbXlF1#~0hS_vy)nVntw+_WPAimDrd2dX3l-t&M24-*0js4t^Pm~p)u zzEn2JuPG319>pnp&= z^rQm=pt2Gma`Pfx9EssE1%fb~t&B7TnucTzI*Jz{A2^%~)kuX$sV_qvXK2k|mF5i! z1+G6Qin?t$?{bQZO{Ade@qm1xSKd{)MjCaC%8|c}Ap^)@OT6pzjV{p2&HBg2C_&w! z_(!@npudR15YxEUv78BPtup>fnlb?V;Rk!CkXd*;Db^EbrhLjP5&o-S_%^B%_qq6e z^)v^Hw^hpEp&ObJg0DfQd?(lk5J-K-4ns=hf1nOM*1kZEp>u4P%YP)ag7{l^>!=;2 z>v_?IGQD2_aSJMYf`|T=2g_x+uh=K$=dP^}jB^ubwsd?3o(mosSG8T&4_>tFsDF^? zC(%c=-LQ{iTPCwDD7YsK&V7envB~;-s)B`#rn` z&Ca;zU+yr^$DGCD@`D2jewqc~PX(Qo3H=~X3+DhXARFNT~*rAR%fS^dDNc3nnjT-WOu{-oO_}QwMsF}14 zfQvWE(fWF+K^!QvfYdg(ARh`f?Ew{ccv;e`&mZZWXE?_u8-(xc^w_67Pm6HDKY zvxlLf*jux92^!Sk)1!Z!Zlyc~Ecj&rW<6m>5d-n2#Jjc0b#M;z=1QVWr;02OCab;CKByoxT6Jt8fuZ?jaByLIae&M>_x&PW zra~S(?=J4bYU`$d+k@bAf*$S{+qm`&AF&ohThz4@ zo1Alpqi?0icDR=ghw^yhRV@VROkX!n{JIYh&DnL2xYs(Mh$NxMEkSqR0MY0mTPh8BUxn8PMLp~h>96BC(dX(hVe&G|EMzrAzm3@Z zxw4BbYFCgh3(QLnXLyfpY-`?1k*cU(1}A5DJ4Ob3WXyrbgAyv#d1jilBrikY>!9h| zD80)R4(=ld0z{|b#k4VD##nrDqiZJUwErU*kl15NOPymm$Ex7^mZ1x3G@^w5`pRMP zvjqbY@qxK0IhF!PLaQZ&inv zN64osQKQpT$0>7EisMl;o`RE;NWh>>VM;e{e2S07$$m!IB=IV@6eRS4M~j0GmC|up z0IA>lPz&ciXFHJT=NcP*IU{zGn@O&u#iTNg(bkEa%%>a?Jh+-lVx7T_xCg8*<5_O{ ze8x=>p2NjF4cyT)=;akEf1Z%q?g(kZ4FZbZobR~MjSr{U~Y}v?i5XFD0NSVC~oH*UjaIk@XfMr``|@B?vV!EI}qTZ zv6MSW9zL^~)_2Ba<=Q4jF0Sl0-PnNqku-*jOHv!=Yeo&Dx6y6P*r%>4yO#vmG%3+X zP#8Y0YJKuk5^{#%BXQEBs4AeM znZCxmP|8C+H!tw#`HIOH@lMN$>qp8Y(K}kB>^NxI^Q`z?2qEU%?M9{W#Y*lG?S?PpU-$Wsf=e*@Km9 z5@B}@vU8DYl{c8?=AIn*SKi}We4C2qNCPMCuKGVVEdkYp2-kM7VNv<>fOaSLlA4OtoCab#E|iPwOy-GXX=(15(I z4hcw`J;yPdKz<{-u}djY-$V2W77ozjDoY=j7YW@b+};LT{&xgqh*F8JZkm9$4no9C ztb6FocS;#rcKA`}T>I*@!jSVov&_qU>_tTiAd!NxQB+P)BDy;l|rce(q2= z&TncJCqgSzF^01sYRv@;yP<5zY;TKNliqRORQtYZZ7AF&Yl#)gr-Rf#Tu$28xk##U zuSKrp-9&Y!FL;sQMSTn4JpPEmjFc()gDRfV*BJ4m--rBnb5VWpoda%(*9D3J@*{gWxi!N=;&i_5!231^X_?~n%9PI22M=jBft^i?-GJ1b z1eu0bQlA<`pUO8rTL{x92zGLcIJ=GG7Mu?%7W1Svy{r?xc^vPb*wm{>rak)z1a`-$ zL+Na~z9V$935*6_e-(Le8&%%Vxj$@}liB9-S!2EU1>`mJT(C$EnGgl!O5&abcVqjM zkuR|>-*+4=ZkqNIes*`Uj(*`tgx$1<}Zsd`9{nB6i z#!PS<{IkMML0OS{!W%840#&0BL?eP&TeWK>0*(|s>Ie+OW~AB|intpLYAg&|0*`Ov z6a?vIy{T3(GF4iR@S1{-@tha3>Q~dD3R!-l>k$gttQ=K+pJ&~1=BZD>Dk(7n!2If` z()4_(>p}+fL?kRd19N75P|QzB&~7YGAui^CQUs2Dm|dMnWZM_`u3XD#s+f8QbZTpy zxDN)3z*d>dZZ9bIFL6-!prOZ&-+=$Q3wbMzrdHr^d+igop_LAy^<+oV66=L`i_)L7SA?0FpWF0u?!q%AwJB==oyJ zl&ZO9=ChWFP*vuoUu|Hi!SU>@u`4Yosx|1VS20{o;ISqvg7O&-7PLVi-A5fZ0<$Uc@0q zZ;2dhWc{O30-(_T21@QOY}Y#YwNQ>Y(Qws3mhpYVj^uWRf_oVnbwJ(c&ip5!pFuCq zWdZ_?$iAvzf4aTQaB)ptJvowNEb{U#pCBY1GN! z%EnadxyN8$O=W=7G%Om2AW|8%%ziD$QZ+bJB&zYw`;|>LV*9|o;>bvdOn@4_tG&4h zRjnv3Q}VFf>+Ci)oGL)zFyEMY)K%VK;<6%zDelk#TBO7nU63Z^4#k<7MftiImgqZ+@($>ECo*GG)xm2Px!kWm;H(9;#WhUb!BQI9ya!-{Jj47p*k<0 z4F&VeS(hlZP{gDA&e>qgcyY6P7@i&w(n|VE`JVSrCqMmk-`4 z?_r3J1}VBm#Z`Tp6JVh14}l;%A!h%0Gw9AyLx?)!GId+&)YFq@F#S_5`big{QXsYkoiGctAZeig~i6I@i|_>UIRQzph*R(_Gh zJCnYS7GTEREn&s+gbwg~ZrEXFInOvlv!r7;~a1 z+qP!*y$ol^JSYquilwDV1a0xhlb$fv=AvImkT9C4*X?=%S!vbY7h1+KA1exJ$tA25tDRF64;TV2reTUFN1_1WxCl=1nJl z#Ed_?Z1c=eJZ+e@_Qn;uO+*jd#bTn@!wQDr65Y{HvGulu+*M^{5|yZTYrx=6^*oQQ z<{e-9y~iIGSlrZ71M{{u=s?eyTg@7!i_xs5gD%JI>JEnV#R^1{F5oDCALEu$>c6a!SdtR!p!VHJG#W_l}6o*c(G*CG>V@P4+?Ri8E!2lF>d@e5?9o=c2!CIqm##NPi| z_AbGaUOEEwZcF!40_e!3(OJs*MC>MjT!t#s_WXK^JD4aI*nnrJ_8Pi!7{r7qZu;=8 zRwZR*`{#cc2%GO+L}FiUP!&a9gi0YRVInqCL1+!76*1{1$V z_AytP4K=f@V+Au;rv4IanDw9U`S;cT`@7x#FIVBe%i-^9`2Ron|I+iQ71(lxT51Al z%mEN?=t1DtJpF!u)3wA_v>a`8xGZvn3^EL&2tHA^&S8le!iq;GO=f~LU{;w?zJ{31 zE@`eq#fySWTDn25allJj^CP!O%u0W7&6qIZHm;@OWy0Q@3Bv!J73Q`2e0g}F^KM&3Dc*iNqlmJc z4|P@NqDJj*H6c5zW2Hc&K5(^g1jH4whWy@fxA-b_@BqBd&AgSp=*-8O;{dULEAFts zp5Z(ribPW2I(=)G;3`q5SEe6{mjs+??eY1UC zEOx!elPtc-HN#Dr+uMm5mFMGhWaP}cZsKy5eACTi;TWrQg52A*3mg<_Ez*_p;7jJt zmLiPnFej?5NZO=?l3PdFOoO-m5y)r_ujEN?-Y;INZ=KtEZMjsTjb2&ceV&wdbs}-J zr_AY6Ef+Qo+inijup*$Eun7nHM^q7=b9Kt93SBQxo%cxkqkL7n=`T`Nu$dtnXC9R+ zeFqv=Wc{U{3s5z|T*;!ND=DE_@PU(b*}_Ix4Ycz;V!VTa_qS?j$%#Nl$y!24CGMz6 z*${<*PIz|D=5p~>P;x!S-Z-08jK9~~bSI|h%**Na-9pOLcAEJ0MduXZWq0ac7qOB$ zrpQ2N9W3anLLPZRt?e*yv63HTdl0C7j zsghRJrhN0vu=9CGO2yx}@}`h~p8CxeCk*l@eMZ3(V?jI58WS`dZ=7k-+2F&I1bwN73+ECTb47aI&DL0 zU9TrT(&HSO9YE7CFo=Pu@Oqr;>W~Y8DAGy3S34G$>YHnsRiMhFzZA;Pp9I161o?^H z+%9XhUkj|b%n2B`n)BXF@T%)=jw<)ZLc1P zUA?9Ve)2s&Vc9)pA+3?Df17CRH8 z25cQ)m6-*-?g?~4N=W>X+~vsX)c(xixXP5c`J}`hIY7)6g*3fxoVbj^7|=nMivP1v zkJ(_OL-F4NY=$zd6g}Sj5BM79$Lq6kDje#af5#oihDKY{EEE7CH8-!~8+wy19q5Yra9(~l6>)O{ z^S%*{EXer!<}#Lj+JnD8xHHr5; zDx)UN9TRhroL?jQ!@OboF!NP`GL=&gCal-UWghRu@4gw+?VtkR`A_IMn z1l6}&-)Z8R{CRcyxMR}h`fQ;?fMR69VPmylSWx|%{s%$%s>y+!os4!ca%GMi8(aP4 znlALM?X7F)<5+&Q@0r5I=+A-99#U`P*4MF0Q1MK$Gxro22no_!fl+2SgpKd+8q|&0 zVE|Hd1;ASpIV>fZJ^yAtCRbA!+575p7TCI7dX1);#keQ$7nuQ%$i%@eZJGs#YAd*G zZ|_nmm{5&~mTb8YGnMgLZ{w=X{y!b2|9Ja~jS=s~&?Q8FCG6rq+wgX7?$7Tg5nKrr zZK=%&BfI=TZB4QiqifkeA-MhhT9_*?r|)R0Gi*>(@=0^lh!58l3YG0HI(;klN0(L} zJx$;LsHV;LQU))GYFruK{gj4n-H-INy@BjQ_uSIU*OT%SiZD?gQX_5I*G5aOB%*6V zF%;G)ET45OX2{0m0)U$S7Qb80zSW8kX-e7*<#-BiulWlU@yNkXJkDd{djNfz4BZJS zUXBUIo6!rr7EQ^7XCgN@2Ck1Tuw-58-;`mT4V^Ayv0XYtZ7I6Zs$!1o4;d0OaFm)+ zD$twYvk|?Ji^P#j36nAUVq8kJ@J&x6a-)N$muJYqV33$LbEE{ui%*BJ{G92mlyB2J>TM3!dh>)YE!Lz9pn2)q(!h}pfhpGjumF_Xt}|+=2r!$ z<|!<&)&Ym6%*%cK_xX3yLsm$3h7??WT?@_#@nPILhV%V5%H?4FJKvP1=!hU2#$GP6 z`KEfT-r5~|R{MG`N|HGxIZZF}+TpSQqj`I&&`W3#o)-<3n{mZ*V#(VM8SdnDwW;=} zPsW$%E!B!@oc-TFT63}byFB=azCjX$+1BR^x>6b!P53;wew{8dh-oI>H+O2drs*l@ z+v<6v^Um9HIwihwv|YcG$~sbnf2#+_afy#oxFYDPsimmh7hwkagEdl-F)K^%r{9(0 zgi$m*>6gO15B2juGJ48ir|rJ+iTtS<*o2e}t@r@CDXOY^+dQF3G)K7one_5K_heF= zNm>H9v0cAK2oYOP6yp@8C?(4C<&al^is4+oq#~hmpVj@;ioU{IMR%V`$ob?}ZIps! zT~GebVl7eBHt&|(R&p>aVRJZ%WLZw|{YN0G+xVOz&YT41p>kcb z>FO%{om_B7#JNPb?Cim<;UK@luY#epqUk2k+XL~Gh_vRCyId$x@ukt{7hobk%-6hP z{zLGVzi(O#f)oR&Q`uy#b#Z2|d4Fh0IW$na-{asNZ4)_v91Q7)W1csC5+5dY^Ak)DX>N5 z7$_SU*bP*mW>;5N$0%8Tx&`Zpw21o?M?*pCy1E7F=I_q3pVf@r_1x4NVvm{U{cV#g zZ)5i~Wy4ROAImh(w8P&8o_r7+eMMozA(;*~=1c%GAo6CeTJ7t)oX*-GS72me+;a1g z<%%`o${R0s{RsP7vRm?Li#5@_)p404lixg2nSAv8anPlKxpuvamhvoKWfUm zp8V~8!5rBMx?I0CI)0_a$$g)#A<4bTRs4V_(LcQ>W2_Zdac&!j>%V{g>CP?-BRp?@ z?Cy`J$G9kMd(rVp8s7V};U0X;cH)`8!JGd~McGvxQ(3rG{X1{T8MB zhOo7MSmI`fn5yxT@o6!5eM(#Wi~fC~8NNIFbKLV1 zel(RSJt!;BXXpo!`Pc!#p=FTIpszrJ7&HhX5)blnPl;hs$ zUSHdPln19;5e5v|g)oS#=P_+2e&-ik_ClO*Iy5os>FEO!Z9N*iw{s zcjs}gx*`d#1>h2lA@qcxoKsM5ekW!G!j#4Ew?WyIweDXIc=Puk&}_5_M3m+x**hCQ zVm@MebK`(;m5UXP$lBbL%B!>cpGx){Ee2@pFLK)$lo}TUj@$FX{HI}U{F7)SMbZbQ<09ipFNM>D?#T0Hu0ji znlT#NegdnH;@vmdYI|$vXfNkC!sD#jR!kY6F>om)dYyZogoAtwBHx)klTbcx46x-| z*)1NGfUZw$j#7HIhkBM}re-B~f3CNEju@a=L2vj|+|Mq~?aLX6`AjKs+aFlW`O@=r z4)g0{S1uEp=l(G`_vbIkUhrjIXI-z#P1`ylbL8Tm46~<;pwqK*>+AiV ziJpp%@510id zVor{iTA_~EimZ%mtgZiUo?4ZYeYU-S$X}hDsB*~EZ6>S}CUE-rqa&@lQ^ux7KYnhT z++X+afit(z>A)(k`gVRQhk5g}P?chv=O7T9+_B`o)ofq=lmv3h8$5KfPq^{XFM%;H zAX7D$AclpNiFy2+C9D;N$vZu#x-OOEvPQ$vvkPzRf5@}d{uf~iaQk7 zKyi2XLU4x=EQI{>e&6@IGk50Re==t#XU?3n_S*Yd`&rLgyG9AhuUbmhMK$-QNp2p# zLmBtWq6Z}MFp_ft3nAb@wi#Y5khBf@uO%Jy6Iv9wl1w0B7I)*UW_tHcsA0xx?f!U2 zMrB1ny*m!)!^6hyZXFiK<1XYOr+m0{B4-%yBV~{qY)jN9>RyK91^9JlhbPsL;K;HS`O{o6ExTb-r3~4e0mo=(;&u8r+=Dxc!sz7{ysN z>04U#;WN~^bL){B8HIr$hD}kg4;5Ri45ItxNl#w)-ZUSf``vT9aV#L0IxjTKI27a) zt4=1)7ybPbP|F5GQvT<(;D*{LQt0?%_Al?XYR~f&_lxp=Cl17lVTsonoo4KhOts9- zM%A?obT7|c zMaD#Z_YJl7TH+M_p))?`5qE@F1cZeDy3=~Q=P~}jpq2TGz%INlI!M>zm)ft;zpNWO zs{V+l;d42A51F`6ademVbGnAf!GpE}RptiafWS;_K3SY#d91E?hDLzaS|;#QRvPFRIPw##Nphe0q&mPvB-i)1_&;{QTSH zYuIr5faiV7*zxI?gr;q>^_wX?=at}w?NVDn7XFi|+bVzhKhC~b`8VOXja6)oPSHAt zb)(x$qaKwEE>gTlmPb=>i+5UbV2B)40EXAD<47kmRaD!G(Ot$_iT=W!>gxy!@zftF z9xvg4Z|HNnn^aNujx$wy5^{o-ecRP^SFIV@eCO?c(Fcnx9m> zUOAw`pWvZy2m^jpr||6&V*(rE&>z;4F>YpdC%7l<7o&}jieohA79LG$;VM>c%I@zxx0EySi{=`yH+2db3>QF9V=Z7y@EIibhaT3PM6G!w&N9Hc>d1h*iMzr z>%5!MRRBjX!#kiTVkl7L!s_So&KWepA8z5}5~FInIy)?)9}}z_E-?GBRQAK)Qkh|2 zC(R)kEtK_I8BNvtEo2;TO8img_`4u`U6ACW9;aA|S2|*^XQH2PB-nn)0lP%<%Ns{T1y3~Ke4Ih7^Jm-22JKJ(PTSg@gnoAXeC_5j#{XEZv$Reutpc@a? zV4!muv%;&5+!Ss91yL9YUX;V;#>PaBzCfU7AJo=5F)$YSeFOQ>R1uyzG0=%J^sy=m zW?Pv(^es7h?|phtW(X;c)y_fDxcmGS;dp2Nw0RNC5B9)AA+k~|GZ9#R4tvGgse8t& zQ*8sX7?@Y~dn7riS2v9uTZf%U0CmfO$gUipAyGAKIa9aVF26l5Ko@-e#0hrUpZyjc zBK5j}VA~1pt*((lx?2^r=$MEp>niRFG`6vEt#4pMO|Rrdqv`jz$LFFhgHCiTmmTN+qCGo& z1{7su)_$rb38jFcLCi7E)js<(-v|ruGOfpO(LFoiMZiI{_<-pMaJI8B>)l@S!XKAyYOi*CnibeNY^`^S z8tY0fqUJGj+*N^GTCLwKRbMu6`b8)jY9zE6XI!KY^{H5f7&Eta54pR9)6HZuO-Gjx zJYeld76JDaFXZe4P&QGQefmb=2GHOJC8o2zchpG_mPy-2qn=>W`!u;Xie)gXMx&YB zc{RMUD(u6|r4zTcA2A*x3M^?9-lxx}TPp-Fg8U4NDhRVL9Vu8xDh_1;_?jcn%^>C# zR?SX^jdEo?XjFxu)fcLy{+Tjt^Ik zBDDKLDG_C`qz_3d8+eB#Z;wn<2z)3LydV-;7UeqX5n62gjv$3T=@RF3xl*Ww#(-lw zBw@77cu`$j$S0ytO+WLqc6h=4qpBIHtK)I$P};0}5@~2p(P^P{uR27bus_?ou3o5k zNIW2@tkXQB>}K~Mv9NJsTM2Hkp?VZn?pk_AbTPjVtv-qzv*PPeHI0)X)<*v%C+LnI zrmXY$roW?E$0e}Kikr2pX?6svL+7+*)O~T_u6GGnEQ3LWORgj}PL6zq2mCtZcr~4@ z+}96+E+#xvV_hc*%hSf6hN3ynnzL~h&sh?DnbRGJMomvIWt%TAlh~@p`O&^~sA*{- z*jK$`{4n=8DBMc%sz6QE+qhM8K}lrH2)-=eBb|LL{ch!cLteSVm8mY7Wzah);PbCUtITplQO!pq zq2N*#mwyUIW{;+J1Q29nNyu#eDG(jZE9G%paID@Wli4M;7&N8HZuT`<&NG|0hc}8`RS~X8M zyL$4YK`KB$#RWN-x#*&j!e?Y8Nhwp*e+Z!p5suyhm>skVYRrpoCO`TdNOWpZe#}~u zhcH3(@82EjB7t)Qdul$X!T;`E(eN(YUCExRKKEl2aM*suL}Y=)B85}h znyK%L9;M>kcmHxo>lI?ga&1t+qC4_{LMzZK&@Xm9W{6(`!Z5?%^p56dmE?@$v0;eI z*RP2JG?XPlr0a;+oVI6lTFqg>Bg8eoM!AvtnNjPU5a~l$ff(Len~hEsQY8``MED}; ztXU2@jVSbP)he2EK7s=fd>24(ef>=He=Kd%gktX9F7IQY;~?WxewfFUeycv0z$CV{~B=X>Zij|RADH^f#mw4VX=7UqRdnjnanE? zUC8~xw-bgkaswz|hs#-ThvYIwK#=n=GYh-fDzl>kx35IEP*YkV@a%Xi%UqE}o?1J0 zd0i!RDAmJHyDE(yNPIYp{Ln^PykvUyGLqD2m^n|eV@PCtauTZqhs!J8^fAN58!&Oy z520F4Vs<+OKs2IWJ`$}Lhra4Zo*a7^;~+vr)Uw+$>9MVbL<=E}F(x(%j}-DZbp_Yb zzWe~1=`k{m=9)UXYcQ;D6YjdiLa}FBtYp3ar?)n z?}>VJw8TFd{or1h7tUR_-Nbfvdvp&!qSPn$7*j2~Z54~c{d@Ih%&9p1Z3{72&k|a_ zCwP0ILK2&Ew#hiM`mjU6NL^812iTA)^a`B9HciKYEzF!vysn=9)O!^oa`5+qgb4n^ z4cEb@1)*Ie%g8%Fi*{$W5;AWqnd!9J*^DcG#Z{0@rLa)Y*}e{yUq{l%O_p(CcYf@$ zO#2>^xMd5<*XeVQ2TnZt%|-Naa%Ips-k2P)q{VA}fh)i0x!8jj>Xs%PED(E3xF2Yi zt1i#+9U_}qHic(x)BXOI{%TUH&os^EF;q0M@W%QcZZOw(OLPQT=k%o*SQkLn5?FO! zoj9(D;DXcyNMrHCd%wsbVDxA>L(jj|2%eHY28Fi?j3luG(Ts0tcF_!^vI$Gp2VPz| zuI%33A(b<6hwivAQD=4?oPP~)fezw^K^Cz>c;wAW? z{)_$B#6o_h4YHMrXH|~$Sl6z<&*G$(09!`8Nt(YM%-^bZeBb0f@K`ji@V1FzS!=Kx zMu%rYt1_fCLuszTq>Kmg2j>36zXK06sb;8Q-h@=^SQ^4vH1(vT|3 z^07z$7yS0-K`*UpI(i~GG?6z99B@mmIO|ojC(9KZ=!2m zzQ%i6l>t7bvy4<7P28G*RdaE@$OO*MN1pYTRqd9k^UV?q7Xj&P%Ggrb6!a7*pZ0|f zxSn%E)IMQ+JT%D#lkmGzz@G>amIRvVl`4j^V9^Rj9E|uVh%vmG$<2BZI#L)j@tpCG z>406`*Sh_i-so~gh0s&{w*my*Jh;U|3xtIq`{#sg%ht>9vm*$B;a>Jv1hN@CaKC`G zYx#SGa!Qg$PL0;=yH*g~s=AZUb%Nbhk&-$?-!R(w!6cQ+vJjp$aC;C-Wi+CcspRA} zp!6>+e8%JkqV1a>)1c`ZXkROtK~-ehuCR8vW0Q-g+=0Wv{`qR1#GZdHNQMDKPIM(mwWRxQ>I@X`=sO=SZW&d^-E4p%^pMHgh{-E;AeoX>d_?5 zS@_cz*u>>x5CsSWvNS9Fg1=c6tmMj?$JH@;84X&{&&whOvf_Pb!S@UEcn4P_H0z zha^ArW%3|^gNf8F6c)V6=dp$X+Ose>^|P@6j#Z8tDi}*@)|(yo_}(g# zkg}(s%s)Ty$^~yr)73$T_Wl1>N!1UV^WG|%K~`!EGYq5rtoIT3gf%)mIIH4ve7hz! zmRDar+^0eHSM9o9gPS>HF6Vp=K7JvAv1-dL?SsP)Hj((>ASOoh8T$qt3afUk2jbu3 z;tt?NGDQTpNE%nFwPuh|X6iT5QAv-uq(Z_MqWR#p9?RoW=1H+G7wz!GXDKT&Ge8CH zp--*4WQ!dKn1OLjn~l*Th_<%7oml@~ML*v0OQ_++q;vH&7ZJmu=qPLF9jQCY^tdHw zZ34=9Qf$3yJ15pxVY=s0lim{);5D9q5d3jdDd^j3(A;S+6sYgIDL(2^yDDfSZ5)T$ z_2=rEl{lK<6(g6n$l>iiO{s}u)=%v$%@m>PlC#h^k5dOpNnrz6FY^n=v^^mAufhly zB=XnW*b3AjH)xKwY=OuufLEU+p={l|cJ^jn9KL?6Hqmx27+)ZX4wGCBD@vy~HU8yjEJk07OMW%rm8=#J4Y2mUg@D zrX80gnr$QyP+2|h;^f?t<+((>TDIwX|FjaC=Fqlg>P<-p^?Qk+rBj1?%k0r-DVm-KDb)G-GaF-uFGV|)&x<)^4(bETLlsFvXY0+*UI-(bBskM4~^ zrY{2{OMPE$-V}4KI5DyDDz0Y8B<;Y><92G^#6Bmbng-<;=_FJ2zccP7Q7s>$62yksKM z|L2b{@wY^6YJ~}o@tZUuV$$*1dbL@&<0Z$c|5-M|>V5|4o-wB}*yVM`ZpQudqz%K} z0PIOTmn>`ql?8nRKsi`?kA4$SQ}*w{NMRH0DQn7mT*SVvJr!8q@tGXvBI|6ImykDj z(`!>wY-yRsw4$Hcggz?zXC@YYf6!YaG|%(pEtTxZc<9!vD1GC*<}03;_S;m&QeCoL zG3hOh0!ItbuKm<;7+|5wYeHIXfB#QYJBp#I^Hiq_a;;O9=Fk!VOBpMgwjS6m`?_AJ z>3qkRwpZ10_*8?fg28`vD!{82-MrPTPtaCc5+n2-C5{3_)7a^It`VFxqSe7-N9m_C zgRi>IAp0oehzb4H4Ywed2;4xbR5{=Weu(6lR#tE#u}-Rof+x>XOUt%L7F~D#75p_| z2e_m9=|e8f%aG|*iaw&96$iQL$jO8gdI14!<4;~f-o?siJ-uj7PYK@f^FajT6sxC_ zP486imGd;67{~?QS1jV5mYvVKz980lij}JQF-CVTj_1N!{C`#tLtCA`)izlHUvN2( z%(F)v;z^W}0v#6dHa6!;KD3GeDO9v}&98`^@iMOaHBCY4#-pfopxh=CL$t*uZxq?& zR^ob|Lob-e<`NWMga@~NJ5op(ug+szu$2oE1@knraW3r?ZWlsb`1t^2uXvJJekw?P z30_gx#@f;@B-$}gsNOpj!k5M3ldakcG<+m8MBXnHH~PS_eYWq_*_a%lgJ~mu{#RyT z)LhM8#{(AFV~&7@5wmGjLs*-Sk4T8^wpEyvf-Lhg>2SJ6;r>4Gc8I?C*H6Gr+NFBw zL?NlBG}he`l}ZOqtFRE@$CcW+w(*;d!8gb!j4@j>R*=I7#cAgeWvwrEejM|EnX(Ss zBrJqf%OZ#H10KPxA(dJY7D`FB1AIfj#oKVFBd{F?K9{XiE5pIueYFAN&(`X1$~uYE zRI`uLo7XcaJs`lun!a;G`nktk6`u%FS>x+%=oH-OOv!RB>wW5*+2HN{?zMu+{;{?3tJcMR#$Tp_o~UbAhx!YqN@QFO#((iz5J|V{ zg*S!DqdL=@U~SU@l9lI~&x?##I=}M;^;@eR0-NNng_7ASi_4fk@5Ke$tav5<_Oi2z zt{|EUvkH58B|fg|8=D$6WFY6~NC_)bp=;%@+xf%P^J6GJ?Hw&7i^9W|)7MnF$@IyK zC2hGyM_KNr%k%9C^C33!a5T}7{p0QdMI zn}NiJu)8{^A$k6(X_v&1>&3-7=oAj(eW-waaRX;qac%nHG7AI6k|$ZJo~xQ>l1d!k z;MWzuMyeRORcQa4-2BZq(NaQTW+dF!7kVaDCE*-b#c7%9iOHJEnnZMyH3_bWo}m1M zzK_cHIvK$FCj3s?*p?BJIH(fby0-SXuxxz+(8Tfyovh5jyCqHg452@-wl zn(pebINf60K)QE$?;%AR{IG==Kz}AW;5QEleQS@M!GAWHec}#VIzLcWpD&^w2{-PG z&n`AP{UTBtZA@;8EIjr@QN5x*Q0m(p4E~yO9ZgL17{hlPhzqw(Zo z6T5UYa;n9iQ`nssz}w|lNN7X=sy^T9mt5{CoE=Xa3P@{VoZ{KDHD~^1w@$G;>mBYw zW!&Q|ydPc6NTHBUp_X%!g;-j#qiwPRld!Rx%TP{_0@Y^Apuc~;gH7Tac=-@g=HoLA zH^tLR3D&!F>f-e|CUR^?sGFGr*%B5coQZ>5*Ew^3FwTzdS~N{g?`0IMH%+;HBRO)v z`|_4!GcV~ak*URJWO%Ax-}VCAPWPR{v_83Z=LwS(;wtD0Dot=`nd`aY#Sc$CXKPI? zQmB#tHQ`8kUdP|pN8?QY*`$wKq4~*!E#G=- zuz1f8+?TYBcG>$cJxe;{)~u=ib}_n783JD`wW z`QA-rKn$<*Ph;ahxrF*aMMm3FOfh-grSsW95s!@?5%@IjH9UxZQAk}*L!^&y6o?`i zua=u`+mSX{cKJyQ`J}*m<|@Q5xA!<^W6%@~p=}v$K7Xk(F-kMR7yPlyv@1@(do;3o z=RrvL2hw_}*^$v({n?GUvsxiE|4QJ*bOhUaY4+fk%T7Z@x~@!`dpbyK-Ht*1PF{gU zQ*XQlHgf*@*leIRMa%!|pHzXv=pT+kq%Gub&s^{1~xe!A?*LAmskC*Ew6(1uYmhQMP1lsL2JDBYkx*oVgVusCFL>J6pFZ?OHlNq-jLvJa;Qx0;dTn%91aLFV zWS+*Fn>@7`fKL3bu1kq|eE;L))4H{gq(rOsvVJRovJ=3uX)a%ut<}UhIR`@MfpUn=z~c?)AU|h*JL`zV>~q0f&IW2mVk$G! z-;vj}-ir_05Ruz$e%vTiT@VjH1b}#0h|vtVIz6Coyz0&!&|ACp?0y z+uO~KizkchWlwS<@X0h>wKXwukQlCVT?^*Cu-WwAis_|EK~<7|P3Zf%MzY zGc3U_-+MsH-3$Vro>ZA-Y@zZ=mBX)MaVKZ$voz;L#t0`)^Q#?zX9%dGV_)XyS9+?L zoJC@MB?$7H-|i!JWKh0}))SdsQ*Grwxk&o9H|`ZVn~g7V`EMpkos{+BZ7IYu<^r^R z*Nt=WUkc+oeua^p-rYed_$Q3|5cYfN*tv&`-IazTH zX}#U;iXD5SSB_{{M@kPv-j?^72B8a+>~wA_p{0l%Q(rO6g}f2ZjZpjTJegu{I!76^ zCH=)!?J^F2$FCbyWEkoY6*yRU#dcOAL-{QKxjN*`X0aDL7BmLaZ4H|%^FpP?I#@;v zy__$d_2$uN=s3=^2^d2F>pSDJQ{UDxX6VHVg&ZRIRZjw=uA63>ZE>u#{o?lU3Yc^} z(Q3Wf!ch)~txQur3$OaDm_T7qb7zd9ujFEV3l3}YnhrzpGM7<~?N+*Qb?Zpb>*K{g zKd7+BYlX)3l_H?e%jbU>pf=@2Zf6D#w9c8qk8vYf!1AzTlLYvY(!$n<>e?6rRg5u= zbW7M$iB{|PLr0FNuK-wUnvxF_}tN?yhRZ60od!t!_ zOCn>2zk)qT;vJ6U&`O25ln-&&&?AvQ*X)RIhvf7`$~g0zPg-?xYb|D+MPTQF50ChB zOs{rS-S4O`(iHW&?&>0k(lwwxLF~m*TU#O#Ha!%e-=X^C2F5G7xXW!`q!gs)9=}4f z``2s?QLph<;j&aKt1_LH$%PAR{h{D%zpAVRTfJb1OUc4if`qpjGqQJ?Eb)~X-sbI=WJbe{jgY|nA3GG_~>sR>n&UK`p~^@<+KdvsO> zw-I>heiJSk7Mc`S^{2=f3GT^!FSytrr)l_iXhZZ5l=HNBrtN!D(^^+bRdsQ%NtO$^ z&{9}pGx-#fWT+g)L;7`pQo-$Xx$ippjd?M42J@%c4Oyukjd{_FH#g|S$jZYDbSFMs zup`tddETR#>P_d2oLlWBY?hNlAuqaZvEk(HH3cPoYW>%`vOpqAqhBZgw9SLNtNop* z$(N^1IsHWi)|@~KoUDL=1m?5!p^%XbF=aDw>FyfEYFpNtJo0sjyw(ZvX!t)J*|f*H zH-eprKHqka$xzF2bv@({-Mh&{r_31^**Wi&vYR@VD6frX#RY^ytE8${v=_C8YfaL# zYLUL4&XybtLt92AM{tVIdBVd*(wwAXS?RoYLSM|BYX5N=9b`;Ou-PO9|2uB4I>=ck z8cAleGSIk3{r3^Ea6bJ5b19MoI?w4Fa%ANi#_##dI!G5M!_&#+8T$|5>Sdv#!&3LF zh*-rcm*H<0e^*dXz1#k1fCt_kB6gK`ED6LyE!W#a+UA8?t|vq%B;a@3Ip1o969O}h zc{+9j>l8pJDcSDDV$?E^eGl+BrOCZNwKY&O@mHT{JJM{a8!MU z%C)5{@?NR1Oq83?U-gtpQTklo%6Wn`+Ya% z?fEx%Puu-h4p$k4zJ^Rvl8PBHvP8#?e!+j=#AoYpX?rDkTARx^!>>1i0rkV+>STg>d6wm*YeWpigS+qW^=!h3&s5O&vFp(i5Aq**sWCY| zL#0-)wcx>a{0Hzl9D$LnbsvEz@%(8I=`d0>$}HU0(zajYIP`&*fG52ZR$knu{;xt zdJUgio07J$;OxOCoL>d7Sj=+QRb_Usia6C#EV_un*y};lWuG#`o}c5ya;Zj+=asf$JqZ}~)A)?GAHE*7s!e347Jz?YZ6dW|=^F(nSoeMv?pd;pV> z)>_+6THx`1)H9z`S5;1xZ5((^_EIiPQ2w0X^V+4sK@CsY_FJK~pxpG4_KN_ew&b!e{^*vmNwIciEbt(iGhfw8OFe+C@M7h8RtM& zC!7*6tj(qe$XSk1k+}plpUjuu?0Y|>B#4iCX9jPi+?wnXqW~_CeC3i}&X(}&liTG? zSM-&CQTW23U13F+=?D+rQ4N_Yxe0ZFP)C!(!G;Tn7qC=yU3pJs+@;fm%9}LmA44TM z%5dcJl2cHBU|GeyUr3L1#i>t(#i-f%YT9T8d$AzrN-Bk6hKysH!%^`=pT1NYe9rK4 zEQ2*Q4%MGqwmjlwxHmmaFyw0OMbc&C&hpMIWo(cSW>&GJSz5KW5W65Qir8Z z;bhf|zzz$I)WykYS(gp{=6u>d&gOiCaK|4;QAbkj3g)@>SOVOaSRviwgk^DC4$vW$ z=r5Gtq98~$nZBN&r+!bnFu6gh4Shd)c0P<8^;zD zv#fg?Djb@rH}_W~+MCiQo6PI!G3MrX7HhhXO6raELlJA0hdK)UOH_>4P4U07_*-w3 z13VT7CMTJ?zGRB)OhC4~v$DkO6o?hzpQd5`kT%nfe!@*>4?T+8RgNq!= zPSKk8vqZgM&nhq9hphIgl%T*t& z%RGb+q(jH}NwQZzM~sx0lvU;B6?|W9VqTnf@znP0OVrR%)mIgBHDjiK0rXG{lnJPG zPsfT}3vBw8S=OpOnk}3Htg`^**ADJ~ay<-SA4@E?_C!yT@zF#+3x)=DdLr|-^}?=X z^KR1`qY0LR`qr5HCFfbcvAmAP_}ZtO93cF=wpxQDTYe+g9VI1=v8c4VG>`>uoyGXC zGTvCWwjClr10FN&OarG#*3v3XvoZAnM-588ofoEUHpN_v(*QWQ?ff}>-8te2G88bD zByUhE6jEo-W3j$E%FQ;!cYRb)4jGDAN|*oCA;eGU22z`lzj6_h6rp1Hi${1M+Kui? zv(#dOA>6O;=2XJ?d0JoGHP@LfIWr0?gArRXnNW9i;*ZkLL|R#2ezwE*7|8$Vbe^b( zG8S|M+Fp6}23-+0z{_#z@cBUQV1jb92{p5gcpy zMSBJ+ax=|w?Rp!&zghL4J>%z0BlO6lFV}J8sPw8Roa*AEu5a*V3cqCKRY*^Cq$x=3 zFI_QB*xTd^xRahCwrw+=A5R{p)a`@}JyKJesD3Twh?tqZ`VT$rSoWp)-%NWuc`AiR z9d-%ZW&AfGaTBIb`-v~)Ew?HaVSi~eEbH07F1^)slny4$C{A=1MS60u9+;xi_dJbE zXgg*XbH>J0Co!AKfwct&q@V;dKA|V}$SGRkLu{doggY?{U zz8iie;&tim8@w71YdJucr^YP~G?FaTn%}%H{-ySx_oGoD{huXY5H_~I8Ya#hIjl8k z6s^ndSpz>Mq>~@|^8KfO;?$tWT4j9iQwT2@X-&1p8|6JEk?Fqv`4C3g&xv_|n9HC` zHCMhqP$>J#(bdlAB;D2KNY-?n<3BInOZpKlj*1(4n*7w<(C690x(D-!MM^xYg$yRm zxEF&I_^x5kVGJkIkyoS-rU)7c0aac_^-nrOif5QrYTkErXyBfCr0r4Pf$%^b$OXY-z25WO z>O)@ja}5*yXN0$05(c;BOlwO~ELs;`6BknMtK)oov&zP#-U?`y?b3+pK$d8rnSfs^ zIdRecI=ZnS=!!`ZjQDUgZ~He1hZ8mpn>fFM#-8s#yMdiUCu-bQ@RvjL>@gePXJG=S z8$&B6z(XcdPHEn7oC*Ua={xH9N>MH#v$hM(o?&yUb~1mX$o)G`-q!>%BmYSJ*H7-H zn_VRVMk+Y(6#ekgS<7uSsP|{1S&Rz~W7n-w=DfVxFp3PUx>HQo&kV=wpCcl$nMd8^ zZ?o)cJsp#)ck}(P`M^Goq`N&64k*0GXnW+0D6D$pkstNIxv@8JBhoW(hf!D#{$ooG zNzzRw`Gtz!cI}fw9M}r??)u_iYWjxZ>GPzJO4vbN8MiBp*M}op?uT0vgYYi8WygYg z03yo&$&qFH71g5&m4B~`e_qgPurs@w05Sl94|4W$%>dDmnL~**?|W*&E8~ZqAOply zrJYGWf_A=l6e}rGZ zwM^grL`PrQy69o=UFpRv9W{r`7UBi~a_2G*1_0GOyZPwiE@e5tCwOhAD zIJ8>AJRAD9TTT{T(+=-Us(tfEp@)2jBnA-Qw9Z%%Fvi5}&@i68-%a82CE5(eQS{mU z;Pm(9JON^B9ptG5LQ%4$sNb|ff_3N}%fE9!GL22c2A9OH2_tqOc*c$rJGyqm>Gyi5s9QT==`6vx3Pt!xtw-LN6z?cDo(J=sp@g0hUs$M&iC>w-SYK zXW5Yp&H_C-3(B`Z^8c^T{pR_DPqA_{*OgtopURtc^qQ>}o3%8(;XD1vgxSS0OGG<= zg%`NBW&!i!dK&$qJsJKzfr|FqJ0V8X$rK`md$6HS)H~T)N>d0%EAiob$xs0OiX`(Y zPlPj*!mv3*vMr6$DevbhrSQOS+0o4_pD!tK<3}%u%rc|~Enktt0^w)|<%(tIiKddR z?y3n80vaK522{ovrLWRHF?j^6k&l->+Ns1Ak6A9?w=JzW2Ys@S08+Okj(~v*&4qge zXz_FhSdjPm3HZG4ee&~AFUSJ!9Pqa|Qm;z6$#c9$;FY7f7oLyAe_$-EE=wAZuU@A{ z!E&mH03J*QIFs*t8s)66&e! zEU$JWRO?q1msn{NZOLfbfI<4cFE;sEA)yJRhOBWzBldLecM*^Y~Sk zvb^IR+8#`c)bGndRu5q|R= z*N~!(v((N+@B!=Ci&Wkio35t3k66wKr&PCLA6Qf|MU-sP#I5ckx7I(CO6WC}t0+{r zKM_GSTm%WuZM)B!cObLZ#e_K$?j1M`rNV|6sT(-0{I6iG?kU*`N4AC6@@LLd9G%M{ zbX~6)^Be4pJ^Aw=W+-he`TgTFce!>leEWg&%W^?3|7b!#{Av_Pw=d3bz_I2UV$;Qs zsegeEsEU@`M}K#l?6H;N*5g)D2|d^Mb6EarfX}8hvih{-ylkP|_qu%8!Q;&pVq|W@ zVl7`b#3mAbLWJzUFxzYS?U4zxAxipf>_ocox3-bxW=|!<3PmO_^`!74GvPJFKnK8BmtX zckXKnX83~1j}WTbB0E=ZE0CqhV~fenTR0hVe$*Q-qMEj{uwMKqeC=bNHE?>gBHF&- zQ5o-vTHJ`+kj2~C>PwSF&oy7`YevPSq*B=0Fd;ZPGPO#-f6w*>!|wuXx2m;4d1 zTX_$suOYkr58Qd1uIF$(I9cw-`6}BziD$I*_5{)WtAx8lpFPS~T!5LLLAItE)7_Ud zj67pKop+S^x*2yacYUK{rM;l)*E3SYrcYU`Y3uUv;bSc=gno_K$wWPufQH0CXB#{A zHEVS|MGBQ_YbrnAzx2JNGG71L4{p`}%+}p9g`nF51aFxM{C4ttF-H$H^@I>|tRLId z);SN3alY^Tof`ic@7gphH~Yy`NzQmW<+;JJvWmjyf-s)}U29%!ZuOaymO^ip10$=` zb;0!*%tnV{Ommyu=krQBYh|4nJfH@bYIZ2lzvw2pW*^~oaj^= z#@fM#G|Y@xze-00Vl~wSQWnwo3Xhv3?OP$`ZX8;vN^ay@lA_(N4;iw=Dj(?X7aC>6 zY>1hHlu+bL*Uk#La&oMxGTU;Sd8JGAUrU^1oGvA~=tloKDkzwdTr9d-n4zR^0#-a$ zRsZ}(W6Ku{*HPuHGY2CvkEdN14vZU~BHH!&lnQ60t%x%w#y z%A6w5l>K1KHW0#lcs`T~ApMk#YabIV(f?+k)TepY`Z(XQR+qM4{K`wAYMLD!;TA)N zC}qsf8Mf*#T(7v1!aJJn%2=23efybm+l(4#(>C(mW;SlYRij0AWL%{|#>N|7ukp9X zH{?y8I8SItXA-84kfQ4~>sI|jaO(#lipZbdf?pmVzb|+GRvr&eb^V7#fqdc!zF#hL z==rBR__{{3-9XVeF}gJW)_E*-_PVx#m1khSMqZ{QA#ZOHa9XbGTPW^ZetqWZvZ$W^ zg2R=ymsH`u$cgEw!2^87R8?WNeoXM_0Q&u==UGqG{pV_VZeIEWx^S%^hp&lK=+Guq z^88LrTn&0rsi2gjQ2eE@JLn<*cD7Y2WNwR`{!PT28BG~goWD&B@+_o`#JB|S;H7Xp zZb04wHGL6ThrR2`Kmh@F9t~Qedbk+%602O&?U3Cb!TdE-*wrUCt8Eisa;_z^%=u=% zNiipf&e7E-@Nn^7(x<<*XR4Qa*GHJtL{5IR7C9)NGNh8nXI*(s>_D> zmb%*i#xwNuHWk(;#Vn*SK8;Gx9y6h6xZrWWoNoCkmWi)W`}EN?Oygi(OER`XX}Xy# zmp-$5jk3@tAvV4eoWGFFE#1*$9AxN~A=4N`wwWOYL2qwbdX4+9Nlvkq+SjC}PURFU z3T863`w!^R4HFM;4&Nx;9AD10gjqyyB*@HZeV{wvE9=SF`;O~x&Xk8+Q%?bxPbsHb zph0y#S)9WTG@AUB`sPdp!1kY*y*L=XA~PO|_Yhzc>-FcQ>s`VN?~BKR=qcZC9~q;n z+yVxu#wznWs?xRJu46q)2okasLX86aC?l?B7S=vV9VsuzP!%>*Ms^s9ZfXUHtMCEU zSEib4^RpKufsU%zf|hfs(H7l+jq^8wASvK--n@5x(shhNt2f5G=_kr;(g1wMI2CzU zsLan@X2nm53T98)DO;mnUro%WcRHU4KIT z!(kM&X}S#e2ugOcDqLw4?$yDfX*m0is>GWti`^x)_!$#Oy2Dv;MwVrhpIi(}F$A3N zHJDE|U+ismaM$i~Rx(A#_(O&7V%Z z0jJYg7eb^qZoD1=({6DhjVy0BP2YruiuYF^l)evSM=Z8kJ|l0U{#7H)MZ8Zr5jB)7 zVK7HQT-ln4tvR0C*c&N^0fZKD2_=rK$_5Jo+fUv$+OBo2_v3m=8r$zznxnNGat9Uk zY@o9b9J=a?Rcod;od-cL==Rqh>5dlcjh&q_6NF;}VBjGO&WZlcBTEAhKf;xm*91at zVWZlKK>u6e+EldHn>C}R?-${YQl0ueH#Up5aXycddHR6a zgSI+%&ejXwNp?c&+kUbSv$he|TQxu0X3F(C!mfUj)gPrX6FvNj$?EK-f3kafpmm8u z>_L)iN8f!?#=BHISduxm{-SEFC33g=s55&2oOT_2+R~`}?f%gf%9Bk}%xD1ULb?=0 zc&QcsQwJ?s05xg5Pm*g+v75WyNb(XoNuhd|*WT|qu;xYf93K>pSA2x$#!?Z-_3Y;M zV+WTd1`0`fw#1lMv+0qBs+Fm#KR_JfIvjS=W)NcG9aVH*E#(VFng;+Vr`A^f*}BlJ zy8kw-0NLG2o0tgt<*#sW<~jrQ;)|1Bk@>2_mPq)VP?=xl?AZu$=l4=i{=)i-;}Z!- zC%3KA(3bVx4`s%W4D5&XUa4TIF}aJW^FSGbb?P1Zte4JxfY*%S9BFNQJu)x zAQLK*AP|us^9=ijW3v+<3GP!y_CQ~hh5O^ITll4aPXh79`1BqfqTfhP?kUmX{Mbd2 z|A2%4HRmD7S@;eO;A82t<0yDDdkbED*d-&=Y7O1$_<0_KhMWNCm_rJd{q*SW|1BdVJ!b@QlcE5BQy&GA%o_h%J`s z;cd`$`yDe0-?+TT(e`vmXyH_^?uBDMqo@Q{r#3;q(yM)XR>iSAY|F@emFPDDSWrGiE-$eJGB z-kR_V72CTV7kGQ1IfW$riM1o62+QkK2sg5yKfbZtkK?(iDkmx_#=v_!*@~#5>JE(u z(g!@(fBM!TVt%}k3@>2fI~yv_Y!9Xx)~qqPGT9m_&1V~qpdq3mA*qF!QrCb@i~h zWZKu7dzV;~E}onjyrt$2SaG-wCsWj-L5L&6o**otf!RB$oi_;F2wG`vfLY2cr^g_A za+Th#BlQPT~mx!di?ai&JRv5Nw%M1A~R? z(EbLI$0xj_t4aMjA0?dZF|Sr0J4afls#{T|$(Pa$Da+yNxBFr!BtCsJ-B5fs=~o@F zLP0Jvld81ne2h0{Wbm1^Rv=FDX(Br3d{dU@oY3GDG1lZF+>{HC23)hoSey?!R81~* zX}rypfM#inbSwojj5jxW_klGv8dVuE)|Tk;_fwCvJWXD^7dh;p)b zUNkcA%ve0JX9$UR8Po60B%;~WF%6cwv0A+o+H2sQgb{$b_yi@DhAKlFi8l1dRIejK zT6m|nF$j8GU{SNp;n~y2n`p(Rw#3oIfL8h4eeqLUahDZXwwfVCS;?^qY0+Fk5U&}O zsCT%C+B^F*O!pL~hY?=}>SWYS^!X7x;BE$9+5=&pl8pODB*Jx?Sc22!`=umWs*h7f zK9%hmsY?{1%o(A&Bf4rw9L-Q4<2Z(MWV zb;WoCAeAbG``S#+Ml_KYN%5D3&n?rpyNur$=#R+}UpVFq+akJZwxC8A`~=n3=U3%I z7VIy!#>_9u)B3BI7KconvlwvVudnz*XN;_F%oQOKICpgK9T@ zxpLVpVPI80uo0w*WCboD4=iVWtpt_H01bCu3?ex1Yq>RslEVC&c$`bLy#6R8eiuQn z3)UG9s8n%dQQ(W*D=}=DaXvu_f5peyU-vKEMAht7k%I`1w}SwA_Jj;D9L3%ke9?Qr^vErbhM zZCfFZ?%@yJrr9JBaO&*1qy+G4Ky4^K9(HNMfsTIo_e3nB5}4}?rY^Dy37CPdO4YYw zB2XuFrHK9D2-5zihoBf%2hHhJxncHnGb3aCH5{ozlo{gr!0Vw%rwt#HjFfk0o~l_z zP3*$yn5&2lhR_An3R=@>TBj&#$ID*nGGDBZg3-n)*L-ag5dKEQ*vz}#6t!39M%HSp z-0(zEUox}yHT1{l8EFM;??Y$I)fV=B0}$K9zwsnVt^an_j;Wx{;v)!`ixBf znzi%8I5sK_q|IYGcC{aMt_t6Cl+yW2N1jLrhnF%M1PTNV2Pj)^RJDW9#IwA&I{ z+cRh0!Bq{{yRrlX_9F0wwj#?qhVY-W_YVBMcTDL>9auh?<^<^ zTt+ueFZG8DhT+O;2C_17Iv&QaBxuYN)jz80YlrbJNNHZ8-aB?TnV2pfep9Rc)ciRu zM=5Pt8iz>vru~2htnU%_4^n|;=xxrinlJ1QH*Mx7Www4zj)*sjh=^cdVJ^xojfkjO zmv&8OjDx-AxSMb%1z#T!b*jhAGo6sJ!BFhino)>w;IH)#9P0i9IfW{_$?y z&$^)aoAS!ySCTtqdUWWG2mvXeSCpeQ<61J9&5#w;4*G7+wF(UOl!0elUjB02Ye5E^ zi6ADsFS;eT*@}K(_24OqJ4EXG8YY(5RSv5O+Jy$)?E+?@Fx_higKZUdQBd&jOiC~x zGcrL+w>PquZ0)dMOYO6aaIc#zty1;uF8G|sJW|I+w)H(=*><%pKq13pa(vMNVbE>X zzyF=06NE;f@4+u;6ZzeRWEC5VaU}B#v*zxnLR(8~^jbbzt$w@3{S3@`Sc0jN;q8sv z(IDFb%#0vOCDpX=&3;aSZ>pt$gePBAS!we6r08iDsK$kKGI$4e%BA}zbjH1a?dP;= ztLN)ELoKCbYt0Ubl@*6Bgs+C40W!HdEx}gVFnG|PVJL5~V~elR5_P#vlZ7$qZHclp zTWKm~2vlXUIkX*Z-W6bJHhNVXp=XM_Og>=Nw>3yrRUCGj$)_KhK@>xaEG_D_1;vyz z0+YRdi3vU+p&EqVCmbv-YXU3=&7aZ=nmyYW%*yPTGE}6zI*2c|K&Caj&xOS?kG}S$ zP$$b`_sH2BGjQ%j@U02034C~gIguB$bawOnc!xg)rasLqkfL4&R4HHF1|5X2wcGlw zy>=(t?=Bk6>Qk4V=q_tMpN&k_tn8`ht%8-0>MJ@ulQ2+^>P%^1A)hddKUT(8TDFyq zIQ^~yhw*u^3kL)tDb*HfTO>{Mk$}Z_1U1`}d(BSjuMO%Qs)nD-<1@Kqd8u=Lk0B{2 z^A207_i zGNHNmf?y#GPax_lm-5+lssFA9GiZgLbadM*$E0*+myMw^S*xKhwHE+3r^y+b5s7vx z5Opi-!8cVI5L0rm^roe;+tsjZ!z^&EqVg>x*!WOynMXO~$zolx9U!ccM z&-}O|SZ6?<(7ptYP$xQ{!O0S1&w~{U@PHmxzLyvzERk@8(CnHDa`5Eb>Y_Cw60NP= zplzforp0-fGu=qA+u4bv0LccmVzsJ4csP#8UMnGs|8Qdhts2Z{a0#0P zw2AHtnrK!ndb;_X=~sW11_;-m(ew%)hzq|#S{KN*?@1uT?&?TNob4Y-NA7PM_|pjt zT9*NPU3ksf&yNOd8HA{BFz4vR0Lw^2i@tO(x-{R4k*`f&(ctZYWgX%v$6de7j*@}S zpX$?|ckIh18~XEeMz(pn=J^WI(s^;aq}H17pSkq=y^O7&fK4kXIcUYMaBlDYlAwI0 zI)pnTv2Qw4u1f#z|TKO}*?O%f$mH2Xp^J5D5GqlUbEZik1 zyc|Z~^%sbJAeFqb(UZi=$+Q_uzP>k6FJzQU z5v;b{zo)EMh^&b|AkzC`E^W7J8O@1{tx(;Gq&PqgL)^5EjTM~5`?Rn?3vSx~cP8l$cqvuBo~vqCjzHmPbU=D|FrSEC;+=$-_Lnc@!bdF3#fdDT|ya4vlz zv&wT>#hZ)2yp|c;|-K36PNP+d%lmB|eYv9daHkLI2#kthtCkpRic`n$x%^~oy zYt#Nid>*U`7Y3HXY*aa#U)3B@nGtvG7rTdEeQ&hO_-U zt*KiJ0{~7^3d7X9etF{e+*{Dn7OkCOo}*U^6^q17$t5v$B5O=WMx9%I=4Vs%fq&VO#q!eTag^&fM zk0|I7^?N|KeAUVUItjam}1_jBo%RO>;?Hp4Z!-faIk9YcGh6Qw%yvaK4O(!KwbIAhr z(2ub*t%PY=L~n|{DPN9h48xd0rgK9Nce+rKG>yT`a!Sx+H*vUrVj^}fu_Q) z3j)=|=~XL@A1Z!F@Ak5*<}xJ2m4^HRSKN!zJ4B7C4KGcG5$mU}15spJRSAm30V#*g zKMBK$0L!jQwQ4fiQp;tEmyd=uvY!FuPsFWluV9woP!B#Cow1_&8WMCp(=kSco@xlG zflzvy=AO7D>zzX&5F_UW9-otH%kU)$hlKKIbV%Te$^ zYi0Vanm?~MgYe}W`(M4Ju#@wu(*og+Xk^2SuL5J?%OVPH@ zPtZxAhyzujy?eb^wJqw3+n^@e^-)tu)srS6`h!tlz% z($c8X8mOLbi{|Ta#TIq3_k_?)!3^Ds*0y*Yx5L7kOOUXhJyu^|b5&OBuMezZ0gv)Z zp{|tjNNUi556g^*Fw<{v-LINoN88ym73|8%`U^wr ziNqey-0y-v$lS~yi_~v_l^HWNXCjMq>JtVKwHUZcn_|d)j3g^9enJdbO~lkjyncw1 zz*-Z;FWmRd(wo1O)B%5>bVd@eAtj@83`sF$9;fM!2wrwDL->HR$FqL|?x)!8t=W z2KoUff0-iM>;;+@7tvTrKG$1M^k7|Hm?tmq-VfXDLcsE03s$RAWOgCgMG61Yl^55P zW779}r)l6$L6Fs%X%5fKOLpOGgqJRTt}SLfHB#zB_M#FWV2RFF)OCD4t;|`Qtm7*o zl=89+GpOIHt3W0$hO6f6=Q5W^tck0}B&$LQnk)HM*9u^NnRB5gA|wX`B3%9Y^ttY=7AEnY)~So`VE-_!h;da>Pj9Ps-a^ zkc;KLTBk+9EP7pLo>@#wTF_{Wf`UboJHv}FxsyoC^Iaol;%Auf>|g3vD*nh_+S&ykj+#SC1ivL(D86OFjiUnGge@aWTfvpE$*% zz2LFHOo{}m6>F3{kroqxI>L=F+SrG)y*#dB>?qfb zO`JNR=t~UBNy+75_Ik5mwP9B4EQ>%WWm=7z!4Q0;u(TyHGe7nN+`wREKKOkb0}0X@ zGC$0H24(t=QI(lW0bvTZvCig@Ceay!TH%`PvsV&q*%7sb$k4nJH18e_g^l$YUc6 z!F21KL6Mrt?WsI`gx9<_NmO0DeZGgijzRUOtOS|cKx^zB1i3Vs7u*J7IrWu3V*;y* znVYKvOD5&&ow!>j*Kv>_dq-WIr=g)I130~bc~1k4DP;uR8&ldyE~)K!XVysp& zNgnJESg5jeroB(@TwgqCAdjTrND^=QD|XuH||6NRqhbk<@sV z?tr)79HY#13}x6Q#qAm$inBWo6WcBzq%RD{YYIGyq58dcHS<( zY~^z;{#^N$MVEOHyW`%zMMtTv!ogtLui<>-g#;%ce_FtnzW{HJ1*ly=F(&VYoY@d~ zu=E97E%|_}yHJLx3bI}kJ?@^La4+K4hASgq`t1-5N#%dmFb66qplWv|T^S5{iPFC% za;ECLVxHMr9Fwl6-e90E%e^Iq1u?%9X_4%f9OL#?AKJDg*SDME^T=98V1|a13wl^# z6`XCUaOOn?Zlc~dw70cYL|20ZqC?jgtaS;Xx&5g{Hk6=uGxx!ZR`#|(E#au_Q{Jqw znvi%&tfD~Qf2h7p;MVLJ=manpu|HKYyi>kJpqC9GZZ!mMy^6MbY!popVUzzPvoH7s zEN`2;M`14JF7Qy9O`h3!2~tTaRba|%Nbnq_FLnZTly;MsqV#je;Dz+9eBN6ZC}q`;(8hWv z1nxjLi9-Qy5gFP@vQ~-(jUNUn3L~CQ&&9voBKW56#wOQ3y7!%gnqpM>2-f(Q`2f2p zU;(TkaxR2#=*XXf<)X7*9Wo%ef<~VERsxK zu;$v*vut_u(JUQ90sOIPigrI=8u2o}Wv+|GwJu=oo3pfb0{)N<&!wJuN*SvB3Eb|z zwNQP6tqC_3@GJX1XT`CVJ(uLV3_|b$&(^akG4xoh1};*`9c39TevlP%i{;BOXK#RBpv>Q)jofz% zuh&Uw0KakPNFb*47_(E2A{P_H=$koH=^%|^=-Z9)c0ZwJuf$?W{o;x^@C2a~DdXo5 zLLy9#^r3>5$|&2Jt{E}h)#+rpB59O23-uLoqd8wEZU)wme=t|()Z}*+575=)C948# zcsQ+P8TdMM69z-xbp{T#fPka)G-DfG_EQ1jBdKa~N_-A=(dRRG1I}4yTwT&*Dltg& zR@joNwJmURU;cr?~pKcZp|5^@GY4h8qmX*?2o2 zJmaw4Y_9Ut+oHz#+*Y=2wB+)`WL2#vB#LKZW=d|Z8WVq{SJhyPC0nM_dQ&%?W4{!QB-EyaK@r6s;xI58HrEVr8{U&ioN5y-4?DLQpiGFJg-=DfIkT9(ZS&{M6Vn>tf4#ON}Iy@&WeVdZU$U>@sfhF6%4 z!uV51=!*TXhr$Im8P$b7EC{+Laq1Jhaok$9n6DwutWCCQbLdVXoCQgcn?LZMqf|H6 zPN>r1?W-kZCyPoyTEnId%m}$@)@f0B%8Ap*Hej6|u|1vdP#?4ZmM-6Hf3F&6{8)+% zE+y<2*YhOpDg_}>GX$^Qyz}oZVx{jdc>{LH^d3%av^u6ayviP!cP zFY~z&YP9|Uev2*Ghp0MqGNe0Tj^^U!nVOswwehCY52A=K;VRkit8d(z;oNAubQz%2 zRQ|eHm|Pe8Wb8Hu4)zV#^j5V_0ca8U1b5y-ub@mPxq|VTZ_rwJ?qe*ned7o)pG}8& zvZWaGW#48KYiXqiS)~7@7Bt)(44pmR?+5x=(ZytH>z!K|!gqC$jnOfYlv~teLN&>N zyxl$^0q5$&%lCfT$cEi9bE8rMM6>_|j_|`_-o7Pqch#u=AymEG=K+4z>)d#f-q>hG^443JN$=4CTJHCuDm z8ra&B#f_DSeuv$`<2x(Zm8>s&etV|nyKLw4>&$Rt&;Q)x_8|M=Ripm<>|H&$4TZW1 zvO=ZDdtNY+ws2rQEt4o5=X=DrLfQ z3^QxCkeer`ifE!Ey*L};2!>i_;77I(^f*K>>{bQk!@1lIyPIlEkV*YtHhMU=XcUSJ ze`-9Cm`v?Hvl=7!Efw#z*Gw7Ovf+XW&kHvEBwH^b?jx82lA_|PU!=GFv}tvTo_Q(4 z`e8d(CToxG4(y_~*P_*A*(Q-RTv2trj$d;aTnfbegHt=W9#UNe%rRII=WgSm{D7@l z`)jc@c=TF4@EuR+3y@bDir- zON?Y;+x!XQY!6dKi@#%b#gIa6v$wBAk}`X%1}N%ak{3dnvnuaj-LCPa*{rk!HTw2M@c|JA=LY?At(=JM%Z(zM~2k=n=>^ zFeKM;ToYaNPqMPo-VrOF!1{uyFpy=2T{*zTmTMwR@o<`f(JW*a_M*%oA5IObMwvBo zWF@ax$l+}nfJCIS<4XxSi3b#Oe~@ECO?g26PeUeQB&-&Z>Po$ULv;K zbNHz&#|!ZNr@jc^wo=a;pEbAFZs5K!yv5C>q36xsW`I`R=cvWYylMLLLO-YHJgbS9;gjOeTXy#LzY20*xcOY~ z1ebb~`eI;)Dr!5_a7yTq`T>;Gcg0<_&?tHt-u^Y%gZXZ@uj-f<5?QrIbDy10Jtv-THs_TR2r=}p7rA?!5INcMMmEBk^B)x<>HH%$T|>KE-mg{t7H!TXR_pf$ zpqPE5;pBt;g%idq`^Bz?CkL*vBrmGssW-&xY<0(yHF-{UFAnx$ZlAud>sjc#lD$8Kwby{{ zPy}~~qs_bN6JEF0eoBkn8}wL!l!mI_+kgY!x9n7K*;=?$7Uiuv!8Nn%<( zNp*7;X|tNuB|O=M9q_?qvJFEM=-zvrjQ@7H7CLVpDRh{^Su!G;Ym1pii8J=~Ni9M{ zCkx6_)#L3jqN#UGzaC(>@*L!puznrQ1|wEIFA2{t&7!s)1z%?HI92<8q3|vFUFtP; zdU22UySgwKS=Ixjs`6t$OJ*?_nb107W}Y0n*Ytoq7}0fj?z#oU@_W{`am z+~(S8hEN5b@(Y|T)-)NBnBi2C_oVyzXI<}vYJmh5L3vTTZc-e3F_Khi=dqZRt99Y^ z#F*ogoU*D1;e82G_U9y9ueGan`!ArIIn_1b&v2GpnB8C^(b2|uKiqSt@1?E7u4ym% zTS9c)@Z$3_=kA|QTQbaps9c$ zTlM7dr|l!m7%@>eYTj#5=C3|0LZ!A*3WWY9TbcY!T6~e(+|B*Xasy9-7iUj;pV-XT zG$xIT_;10826$a;gYGF>uqrKjS~NY)9jX|W*cTuKEWm~MH$#!jRotcW_$bM_ugmo` z#3Tab?Bvqt$5nI;d_zJF=LaSNUP&5y*xvO+>_9(7$b5IO55!(g;@Wm1w*zjij~Y=c z>u(GHB*x0l&itmq&eg*sAtih4^!2-AGjnABgx+7ORLoqYR_jK4-{-}b@zMXq)JCs- zoHBFYa7uT~N3r6YzgbM$#mN&BKUiprut|;c*E=p4a)HB(=cG`P$x@>6_Ebc5J!U%A zA$&YXQl}NPb3|_BS)I|))9Q8JN9TEQ#k_C1-{aY=gR=D)zw?1gucavjz3Q0@kSPZQ zD<6W=O@e~`NP&H7)IIN!*pXUO3##QOVi+$=%)h39-QAclZQ(O1;H`-PUdQ4AC1r9v zB*U0nq_*4LOgX(f;o7iGnFq+pt8KF{oqt@w8lym-?lFcNm$TW!d(1l5$*HMjeQ^2{+>~VLCKG#jmh#2sj;EgIv+oq4k*t;&{_kNEr@$N5txwz}cISAFBm71og zj}5&5s%8EZ_plTovgW2%GrsmX%G1rtpL;B&#`BpI-+3j8fQ^$5mowTh__dM>OM})U ze@(h&5nm3~UP&GP8VB;w7_O<68L8Dz0v>l+b=>|M%H((!1}nZ(%uWp&Jz#gFf`>;2jE3#q4{VAYET67^e|wh|O`G9?;}~LZ-g^5lqHKE#bt)?elfB zfW;xnd6F}e-|O{ux&K}P<~G#BoPZYD^LYf=2lEJ{3W7k#A+DL#9kMwyVhd38(FD_wp>ACCnVi-@4LU+ zm>tqHQs;ibi9?ccHk_`obE}@||1(KXpp!6m@o=t&pwEoM)XgkTO+zBQB(}p(_?xBZ zflHt#SD63T(8F2UH^i9E$6X>ZT=kO+yz*~z4NPqdf^M%gozC3|oK_yY>5=REIdEqLmXK+cx=}5*U0m8Pc!L#Gl!47ohyx95uZK-608J1K5f&8f1>Xiz1yXj z-HMts@z1s3%n4xf>*(@7m}J(Q#R3gI10hW5S)Q`MT0{tz(?+SzP|bl>EY<}gKqFEn zE2w+jc0c@W-jg5WkYTeB2`o9~cB{5W3WdA9z_6)7?M)vS>hR4o#_zJq6b6$0mdMN9uav=LW zZpgjlo$}0Q$F<*CHA9yA!gOx&yO~Ft-u$o>^hTbZbcrObr#Wag8m}afn&R87=!P3I z(-kKljOt2WuwzNL3;uCO6XC(|1k z<=!}+A8K-OK?ENq$H0xIlP*4UfGk4FTvD<$rH!q;wqWW>DqJ(5L19<87yKTKTh233U$R%$j_fD}c^&5XXs$!)sD z%-9U5Bj2xb#q&%-i;3=`oxZmfi81>x1kaH~cs17QcQz_2zwQY_$kViAhIc7#w?fWs zEF=T89@&^w;*r>I$c&UL1hFHE-S&)~HEb2J!23ezk*CM=h@@9a9M5F>!=CzJyu^%F z0FlwzH4yEM4GmK93a8kn%%FZ*iR71~ED!xu+DE`OsxM@v3Lg!a%24tP5bz)yAgA5R zu5^w6NC}lTWEJap^sO4RalgrVx+OA#9s%5P^=2j0JQ+n|<1#D$(lH zKK-BMcbm=MQtLk-kRI7@?v6h;*57!I&c6=YpUYt$%zr5l=JI7|HhQ}$He}6C%pwx? z+$H{A=S=_el14!#so6P|K+GerqzYmK;KAA0$*l|P@nj1UuC(_ynlvaX7*~4qH|iAW zS*J<;IUOuYxOQA4K2DDr4P(v<-@5o6A9QY1J*>0->R-Km@^E|UKVo=)Wq8cHdP^pJ zfA_-HdK<`xM`zylm-idhsqhc`NU!$0EYyuve{$hp0}iLl@vizk7Nlhf{o^Zz)v5{z$bUVNxreX%k1U4Z z6=>eS^0@73{B^Mk`)2XpnfR#lAkXJw?*ZEB182R0y>uD0GaN`ad`KkkgemcX<5&Ky z79>2I;?E0px{#4Nx#WiI+}~(5@qtcXkAax0mXIuu$J$LdAWf= zP>t~kt^!oh>By+^mKSJdj&JV+EnCh^2 zQFWokzy}v#(bJQV0FzG5zgzjf&tTDiYp&<%$8F$Gm06k+AW^b1IcW8@H`CuLi;7u1?E(XBxXS%_B`hP{BA@j`l zsc#QP(E*=q8NG{Ebq4KTV?intUzYYq%@h+(DZ*W1N|KoW+kH=hef=H-1;K%jg@Cxb zoeXTo^-F^+lSeXZ#3a;j;+?|(EFRHJ`@b)yEWgJ%_Z#-B$mntyNjdFV{uy#&HH+2o zt$1@8TryG`{J+c!$npK}TTIy3C+N{?UpFoV_2u7~DMSxie~bV5t-=pPOZh4uEzRZM zB{223p57vkE8H_si%DeBtQ**9oId2EJCHh(N`gtUYtQ(GB1_(kjNso<@%$ZCWWEe_ zLca+|21LhB?XcdQEsbsAU>TJf7?(PmD3LkE8D$4fxY&J@wMR@~-mO1bKyb*|KGtbhy+r72w*xQ$lq@a(E!M!FqXaC{?-}Tfvi3v&rveh4SruNzz}G zk7P2YW0ldG{vF`KztV(gLAA<(lRI4D!5xchT$2?aBU~wCoTA0c)bH?;$n*Xql2hI0 z-vOpDqKuK4Wgu0SEKanzv;x)AWB^igJGrfHIvO=-aVY(r4}~J}9~GCW4s|DX4<(gj zl%Xf24rgz_ou*c!7Nek)sYZ6XV4j80{T&T<5wfG;?>)sxn?mfJjAFqtx_yjV^3i%f zZLr|n?9@*Oy#Xn}znf2y_21{quQ2KH8`R*aJihb&m0&gPulCh|Aab3UkUBB2 z9454{2%mTPJB6?*iN6~1HL}BZd8Q9KlM1E2ovV0)co7oT8z$L=gCs*0@&2< z2mc={bU3v-<)a$Jhr7%jxY@bCPl&a8?R>s_s-L9idH3w&rZ*%S z!2Ca#Y%;sCJD!?eYkY=7rjnm0xy_M(yrz5oBmuw3QN_owm1Wl2lV$>~xr4%ESVoh% z1p8;QcfhH6oBv1>*|GMwB;Ny3z`1Emt!wV~25Dlq<1d8HZ*IJPrH$;{ky2wT#Vbml zT#^9iBC$?>_{JVXFDO)J%9^hl%CuD1yUdf!{trbhO3O4VbPCrWyb*SOr^)R6%Gxu$ z$p6yD&t@j?;+vEW|Zx3{{x30KZYn?neNyU&XW%+(M<%)VMCZ+fWU7s}#Mw80mDr@on1On>+ zVc%=J-YrYi{CGMM>z^6e-3_t$opNQ@j}=0^MK(A3a0gBE*@+QsLbC5wYi*+Qt+y-v zb=zblLbnA$!68pVtqxCK$x zoZl5b^4^v7#A|&?-jm-wU;pk$WZrOpz9S3e$p6!Ft4Az=5-GGWwVcwHh$UeJ1xv>! zvKdpaw#a|{OffMr*Sbbd4i1isu;0s5@mb@Ng1Q@bUtgc(kqgDj-X9(EcSikpCO(Irnc}!S4@95}N-93+Z2F(%Xt8ru+Mp-+x)x|JM=zd-~kC(vw4|N0lBSe`F*S#VbXP0{<6(h8=?d literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0009.png b/docs/MIPS/img/mips2-image-0009.png new file mode 100644 index 0000000000000000000000000000000000000000..023e78817f7e877b66678c341b90842e7048a8bd GIT binary patch literal 161418 zcmdqIWmH>T+b&w5K%qzj#X^AMTHIZNYjAgWcb5_fQXGm)aW7sd?i7bYai_(#p-|ZA z`@Y|^zdgno=f^kBpMB;SN!CoV)|zXsHE+4D>yA-Vk;TRYVm^EJ3|n3ftp4oT^QULe zkRx88A-=Jyty@8SAbF_ENDGGaFx^Zc=imZ|L+TF z*rnX^*|VpQ@?Z%~U*qF7R6{Kr3iR_0?6%Z`@TmBhwUgu1oR0Rdx^3>x8hi)UBpxDb z^Llm7%Ys5`$EVI%lBf|01_wctdkn7aZ{9HUZ}{X32^=iO90*@@Px+Cj(S$<(tGR=k zFWCV9)%Mu29{+0_u&4I_>kgB=+GDUY5{EUG2x&13;G>Zr5sx52qeglttg$iM&b?L{`#W9BOMhLl|Nt%+9LlA?35DnaPuP0Y9$hycXHF`eP}db ztT!gg$piNh=zD%f1{lMGe7|{X9vxyY#H=X(XAQvruvEeVn5nX~2(s~HAU4_h0` zqey24gTdF^*Vj$`V;vYyDMJC&^-q*5bl_{poiQQP>eh(H)S&Yg6U4dy)rvr|y<-0t zb`)qa#x|x-+#@m8g{V?#i<*uM25_F{y|vRre}ATSg*V9SQ5ETo4*i}yRGXf>{1q>U zuW(;)NJ&LiL{wH*My9=}Y@5x!P8#+H_leXgB{|9y&XO-UXe^oxXIp#$2GT|zedT{z zf=Zd;6{Q|9+RU^qnZ;!g`lapp;yFJbH2aQ%VQqzNmFKoc#=f{Bfw))155~G8C$7>&h*K zup%qhW%m;L?2p&>iml%&BJShgTgjwe@&4Naj>$Lg-cn8=KVwx4gN@mF;=fnjAwj8n z)y(P&2EIrt0XVgo9WMLt-BK;&*|TVbXR|MK*(h0~lDaj4JZKpW(PfQFU;*^uzeBZn z1kB)ruKpg$xHN}vKR+^?uV^!9(UxRRwOFgzk5QK8wnZw8#-cYy2Y7whN(*ErJ7zC! zBD_nxX)GW158QK+nVZES*3*TV1G&=kV*A=;$6n%%rYOR`M4e1?*P+pZG+k4N3FEkS z`lzXSQGYf_Yo4iRJNETTM4S#D&u}CHqXzCp25_VY!>+1^*<81Nxyge`5LJdOHQOmyLm;RByhwKg2 zWIqKfUB%u2$s)Lkb<|Oa_PkWVq6z)qd?whL#v8YzJc;v6u;Uva;AWtq=QKgs1(LLo zrxPu4n18MP>w2AD$JAFKi@vQ_DB5sRH;x~q$s@VrI1!593!Afn?mWNEQZWq92Fwy9 zqVcl?_VX2@zUSQ@=9xr%Z=WBrDGP@<9*A57p$~eq;<9i1H{xm)Dhi-CH3QMu82AZO z&Z?%H+C3rL4E{7465F{NdXY~`vw4XDG7+cZ3-97 zy&Y^ik8BD4aFKF22GCgZ3v3!vqo)S>*A+w#Kh7M2D*Dqe_1i{VQYJD37(i$1i6K!+ zPG!BrTDj~k^+3M`?fjbe6V^Fx_%I2Z8-9l%T^@U^>g~u;XxhCji6$;sz0P=<11 zd#_~|xfUzcrp5uEI#1LFjcL;zU!CFNELhBs5>LF;Ssc{_KFs!^_Pf(|j#qyxelo*< z$LlIiR%2BL@MXsQ$Xb4`%1rM`w2_p_!AbblXTW@8jsXVjHyMwrI2~(&THOAcaqT3r z^qZwpB@cLjtRZz7Ez}1}bK+sS(Xn*Ail1`M22@lB6-m0$SJ#+FH!ivm-uuzc$33+3 zjHK*V#GyW69{BWBR|+=yX!qV$q!lFcnDq|Y1~Rr>*B7@RXQe2U8Y_%jO2M2av^&a#0CZUgcI~xwE9_zeJ9Ds0+Lr zrM`jlE8JS7GFC6k2Dk=+_1uv9CUGP;5Kyov-#{TuEDO_ndkLrdH2mvc@-45Yw@=S( zB`@88p8 zBKVkoOb^KbKgRuIG#Jo5JZB3mD1|()n)*Z4adek@0J+D>vPN-RGC4|xRcW6-lYLnB zRTU=qt>e)r>s(1Afi`N}m$2dnA5=}8bHmH?hH6y2A6`R(G1=#%eKN#6WdHIWh~XxN z0fC@B><~HlJ(Uhy572eEK%DA&%VTdDN?C~5_Mz>@K$@Rz^s-!TZ~1ZN>ITi6%1KHi zvFkfSl$2$;RcU)lX6Jdi~^D$si4kq$D(!HULadLPq%Y%2TAmN15VFmCS2TbwZwX)-tI*%P{o|k{Oxf z5KBqjqF*+tQsn3?h$qZk8zMGpITST4vpP>NSjXw+?2zWwS54KDXdq1Bel%bIlRqnR z2m8KsR8wMM&h3PShD$p?7Mz{J0pC{Q{*XIQ&3<81rOBY76pf^E0ZS8ZVn~Hw4LYc< zC-g?!n7=(cYZF3Vt37PE^#%>)$IE={0-TE$4U^q91F=Qf97Ns~M&3)z_@0PkiHcA} zoZc5VFt}Z-tqdUQ5OW7Yu)3IEwfvS%K_b?(7Exu3_5YAsbqA zEy76wRV=(rJE1BaCHYPXJev_+5MozeLa79>*=BCZ&iZH<9eU~~S^10B?+{oX`6VBn zRor~>%A_3kI(}GbhBEY%pXi)Iyiex|o+a;Aq7O&%(=l2@&Nbb z#BMS;nibr(soyHUr7*-IjofqKH|^xb%_Q#V{EXI!-Q*wku`hQm0{m2 zz%n$&fSrWF&5UQ%-eTNlKE9ITSE=3PWfR(@HQL1%l-OfLjZ(@D*o51}`8e}CahK{& ztZ#qn-TY2z9V{%sC#V0u4%NSPZS>DlsF7F`7KhmIzWA z&KR;;xk-q%|N3`sOn+arHJG_BAl@G z@Jo&|ryRjF%)BTNd*w6J`eh4>4dZfS zA3c@Fm1S;Ve2)5K@So8`^G1K;=)C+y(&~5jps!{2~V0yOlPBFYs4m^KQGxJ(p5IdYgucEyCSK;uKKbCzU}B5uN#! zv4J1;MYed_ErjOhU96SgpGn&Z#X_6>D7bxggY@9q8xil3q_%+p?~45A0|OeLk+EJt zO&ZyuC(4|6F@10bLTr2|7rlHrPhrA%=V+=KOP}XVj7RtD>8@;xj$G_IBOEg|E%rSs zcU;s(#S?8+54qxB2!y`0?&F`D3+TDZJ7#zLK3%PPD`iFhlR%BV;c7vn&xcErzpR&j z1WQoHqZR+`*tAsCS+zfVch2ezJ=%?hX*IddRzm3W$<|p4`&$V>97E$cs8SX)SK>6C zt?zo>r1+UmV0~w}Uq_MMRP+gI*ZH<%<6jsx2kP%?Jf`z79L4p2HFEn{LrU)L9%1a* zUrd-_n=%vd4)xP|Xg9vHqxn}ycGKmxlBsN}_$B9Jp~6LFn0AbFp||(9tqr<%*Dq^T&GJf9{pfDhaVq|MC<5AAIo%BEl|AavM2@eI&_bDaQcm(ojhqfl5B+kEVNX!GUq|F=$o!?CY}x*kKXTkM#T`Zkx`}qP0gI|%@DTQ3b4Ud!v!`;KD zXR3lqkBjc;xCs?=;I}Z+!yYgj=T8B2-(|hB>dL+KCN>%+cUt8+)S3jGb?7CEF;KpF zgY%>qSjE+)`46fAd6!jm1K%zJ_|%+AX|32zFOMT_q|a^L2>&d@H`RrHTfa^m9C+0O zDjOa+Dy6YFs5s#{)L6%W{cJZinM1^F-!M=-=uge)dS87UZhCg7Z2fQ+$kH;PAJ0*y zUMNXP_J#Eak_z76y$qSgjWbvRYXjR%P0ob@X2UF$efX{H>Cs2DJ!W~{*d_Y0AA zeh@5#dX956GP3*yil{IsV!>^!^1zJOOy2a;AYXX~?SZU_MHi9M__1L2pM7|hn=WO| zZT8~_L*VOFSLT!DBaTel9qmJ3Jo4v94deTcEI&*+cYYN^;8fF*nKnVG{B^kGF;}h( zXh)noZR(}}1isL`l`Ybl@-BMd&MC1Qk4m@~NbR)Un+KdYBTJ=r&_;fMx8cm3)$r5v zxjjWf@53v6@(;=A7rR-GXYWYZ&m_5j9w!V@XdC_PBOfk2IDK*bxnwx+1y@mx4Fli| zH=f;eOS&O<`;SL|+D+DG6T%Os1m;H`N%({0oTi0}F}lfPz!4T4x-_?UVf?1@t-9e7 zE`6sf9EX&*NAWA#TwK{onV$TZ6ziN+><}W!JAR{XQkXG4_Iax)l*E)b^Lz#3=A1BO zTDUYRt39ms_@ze;C0Kwg#y-HJo~~w2cJ5^{9z7#|vSjBtfX?>}s+JC&t#ttiJ!q`x z=^_7w#hsk*9DsboppPlMi#{^Z;TO~|HlW;vO}OI(h|I{}&ENmKnblfki~LR(VCm_- zQ%ceFekpxFgBsz!nDm4Ao2Yq%HK)g(pxr)8-Pfb@1`4=&1uil96IQy3-YE`Mt z>&2@lFlF9s-xp83P~zp1zfE3bB}uhEQh8k{OOY}ow(+qjg;pz82oR?x1(IJcCV z0=YaFr){;$-z(;j1`uGOVT2V;1`qlh_Up{IUE&ZQofNQGQ5@g>2iX8;u};3(nzf*A ziOO`P;ygj*N~1Je){z+KhZvFKF)7vW?7CnWYU zLos8RTqD-rw2Vaz@EQc8IF)B-99Mn)w!EL{Zef~XJT$T@tReGJO|OJyp%qH@SaDL# zf^_2}yLoPL^eL4WNF)?^^fBslV-BAD15r)JD?EBihVPMGxJoZ3mUOHIqQevT_2plp zr!`8_)vlf#P@i9jSP9^#julIj1Y86G2|)APCe4w>VKRmjrNet#8s(ul)Gui!%bt(M zAP&I872D4(h7 z#HovE(J~)mKzX;u&ZH>c&hIeOT2A(1f2K!~`@wMFU@e~4;Ag+=8EO4?7zt#|f$<)w zvyNoZMV9*Un0grP$(_JMMowAiFm;b!iNpW;B7TUet5FiNCPb8wstRIB-3*`u)jZH- zrtzeDadN@Su3QH`$_S<^ffxelFzC8SNFU5O9%7NceOi|HVcL#-kBD{^@E%g?_kD@| zpEHb4Db@@gFHZV??S^r)lW}m4HhiJ;l3Tvl(JVO}X z#%{ciMy}0`TER2}YcQEYDSw*DBA4UO0g{q*Xfh+B>}tQ`Ez!(+khINjy;dRj%VMQG4Qo!*)){EskN~mmth(w7+3uUFrRu$NQqhChx$Nwetjej@b?ccS+eM5|_KFT9g zahMkF2~l6ydurbKdRYSgup{*{W&T8YM$`A^|8W$$F|QE>o(8D=G?y1}O3D)5p} zv?%Gj;{q+px^k9st`l+~!r(2WvTi|5L>68RDget(4=kf8m{yA08s9P07>{CzX(E#C zni47LApv7X>n@D!)58W`;YSQ^QLtAv}BVBDV`HT=vYi8;U=%~p-R&_=N!rM@8!>VK{Z z$$BWsB;?6msOQUayGcTN`dm1yZPj!f?sszGh9SgFxRD`C+iOC8ew9bg^`QJBCL{)% zNt4Mo+8DK|oPJ%W#~Ae!MA9`5BU%becgtl!dSxf>%WF3C2{8*Wi}4BxOe#h_P>;<9 zRAHXM-Jg~fPkf3WlV42-QTy+(E?1XY|2WkaheoB$URs2i_hEZAuzj&gx( zKG4q3lJNC9TL!MjNlXAF{ATukM2ATr#>0$#M?Vsf?fm0H)wZu)!D*s9U-K3|tGJ8b zKJSWWcc9V+sB#`M8{OH(2honmi5ALCT?#hIrM4^3;akIYUTqilgWW(!u|pSsLT#G> z7{m6y3*1|oA>d^b?fAQc%>s#E=C_BLvhk8QOuo=qjYtM+6lvp4e43v+z58W;KAc5y zHF_gBMthvvudVOEB-yf^8*eUo+OaxW#(JD2&>V481xzN+Dx2eOv^JFE`-&1WbW%s@ zlIS;5r~_HOZI4RTg9V7Jnslua7K-O?i5=xN^#V^jkO5tupsTZPnJD}1oG#X%iC)sA z<5Ih8{z*O?FSyJC3PyY=GE-E*X}W0R2@}LuQ-z__&hy`Txn>k;x{y$Uit2mRW33Mc01MQGGf@(75`xG>r7Wck~t}xY9cbZalOKOo%HD&Qqg(~ zplRA~Det5dXb$_gr>#R+Zex{9L~m}R=6JWFVO1i*HCv&N?F+A*2(xz;AJt8<(DD~| z-rM@z)SkSvl2%_T1Jgvb8MlBf?&ju_FD%T@PD4H7L2A4Zsq=;qZb8|f^&dM4izW!G z{-F}2?d`Qjq9XQ^Cr_QQ68q`H?Bm$Vq=QMnO=(>OIjIo4Dzeyn2yJTOqiZU-o73cc zc^&gTL;3xV$(ntKxfX}ltcMNf=Gt3Grh{E~<%QplA$*zo!bg6|ivvjiQouaZc7*Pa zF9t68P|*>9+Wwp85H4!6&##OK`*9WN!tRiV#Mg|dPy5Ck+s%!)3{~>Kk&>6=;tz_Z zho|iKl_~r0A{8P`^xI4`<%U%=Z~U*t9Q&rxaLO(2*k{zb38K`?;zmXcIS@ITRY(^3 z!(2H>eJnm5(LzC}mho-s2wy)n7O@8t+8hP#AGa3UVDyRdN-1-mZ>GLp^l_{uF>Dd)luyLBS7CC2SK{;E1{?;h8CNbJyilpe$cZ2B&qnsF z6YYxrT$LJNdg&*ft#?wud{l?b%CrWr{l*d|UOZ~C9))+U1KVO+#!_@frvhLHE)3&; zjylW=%WiJvm)to)CoVSn4AGnb`Ul@-8m@5jod9(aO4Ub>iol0m3QD(%sh`Y=SDami zTtiR=vr0tptAP4z^)?f-0WeZ0;a52-?Qfd>u-i2`@Udpbj=jT~)v=KP!AP7jXbk|0 zUowryBBO#DJ{MB|{+N2FS8iH1XKw06@7(1P;C%( zrE00zULnmdi=Y1PYRSUef+(&9sG)vT*&o}1b7)Nl$B#?ZYU3-1isMoN~oEe;6M4FgA%(tRV7vCQaMkEhxl>`J5hq zMXRNp`U_(Li%sFrkDv=Z=c=B3CC7;9&nVhF9z&X>h)Wd)OPs|DHmN!x1Ji&mX`f_C zNaL;2^y3rKa?W$38zqzO?jkYC#(%0Y@8MEYDMSHL?AuMf-JHe{`;PD#8J%1x1|hOj zZV!XU@PsASv8IG6+s@J5zy^N~+3KoFM5wDWDJxdD6E~W-X!U;eGn?HSgf3(#VEvYX z&6s&3{)Xl}V|kAX0QfjbTZdx+6xb$%d{b!vGF`4EqmrGwprCWBUc(kVGRU$vR~O`V z^@z|CYqrV}5Z79w^v)*-G&u8A71_x}NBJ=QiYSD4v;n*5+Se;Qq;L5iZB;ce9vUZ} z_h1oO9ys`e7W~ou4>#i()Tf3MO`dbd9!jq0eAFPa>R9oNPECTDbukgMY;|1mtq&vN zifOj3uTc4x9kc62q_4|PAO-{}zL?B zC4wrt8s9J+js$j06?H%rrs%D^?Tm3|bjJztCQPiHzyC$s99oXL)}aoY{cc9Ua>{|H zgLjB!@h{rub-kU2LbOZ~$NNly$xui@|5hg@$f*tpuuJ15O?4N)ANUm`CY9}ubC~1H zLe&t@C;j{3>I46;N3FW@0GaE%~5Wd6Yskf~)Gjh7b_``Z-GON8f?&yIVvzHEKm`6@>8 zs9)_;oF#$oj#dR#$A|2T+%<}$b}9@EPQ`>gJ$!kG0xZ!^3RXZR;v=(8{njIIJQ3MQ z%_S1cu`?h=y@+dkvQ_1dB5_kcwl;A3yqoHjmDRX`Jxhh&QJ zfcw-3Ri+TY;m^|jLQc6f-%cUCNiJw>-b*v_qaZ&(V ztqHhD+k}zrcU_VIj&2d_FP+qODEg*+$aDy-+e zf%r}BR9(2^E(+Bd-pO`q%rBF3nB?6FfMEweiUhE@Q7FlZE9B`JXEGKWDEX0aBT3(d zjH*ae^K!aKZJPybX-@AlyxGsy@R#lrJWUX9W%jS`B8$E#GCbx8E7>JhJXokRcb?&A?x38@s%~HW}FCWh9k0fof1WAUp4i zYPbs&;HG_lww?Ei*mofdn~p_*EK2osXantZ*DR5I6q8^R9z=c02z!McX_WZ33LnbH zeLc^FF9$^nLQ8Y z!;#+RnNj=QKV(T~N2hQR&$nGKq|g^7j&e&{{Y%9#yJ#?NL4M=&O^;Yfp+Z#qflc4kYd5TFT>C2`C}X%3%=7rFOqynIlThx^^UqglJ@aQ zM2~LZQ;&V17^K4C#453|g13H$V9X-grHbFoPJ}4OCFSKX@Uy9w6TMPhiPRr%F98)L z$;?>=KGKqs)*z-`l6QHI4u*}Z!|E+9l^DpTS;VE!w26dOwDSqP}W%x+XkGWRd>QAPQ4F;SNHtVl9n6;k+3+ zWtb8ix_V`9(*v9blQ$$gd;t%0eFW(C0;Xz|Z#&7r0%-~H>_^z}b2%1m z>5&vyM&?1|w)XE^5sf&$d?B-=>$@EJxP~#kY-4Y!_4E+a4{>*2|3OK#IBJ_Zz@7cVIN0{^&Bcw8hVB8+Bx zm>6}rkW0c0vghAPq#CsegT-^0FE(;J#y@V)v(8ehJWD!h%H@;xip1fFUterMKz<&`PE5P1 zd?G3*FWCfNJ>j#MvD2X$GDu{*#xkSiIP?_82}o8tPU7?n5z?71R2DQ~$?{XGmWElR zI(@FUi5L^tU+ze^*p;&-+{bsvl{M(fKCeR7;4DY{mOnIW>}S+uDXjH z#`BPro2Q|0(gt#QS|FZ)DHyy5XB$Mj2y2ZFjV4DxPrPM{&hGeG+8p|>uvBk5v1Y8Z zvr;Y~EWqF3g5x<^8bNZH3?IbJsZj_yE%heIrCYL_Dl=Y}Mzy|(h3>tLYojbtfEA>T zF`=r)biQyri6Q^6E&cz2%Kl_I%hXi98)vlOWxM}+qkqbgeS5e#$=Au{xjyt4_bNfc ztU-U0jpFm~ISKvgP3xx)d;V%HAAU#fG+S`MFVe|{q|E@fa;qtnjDB>>bhTrWnAH}> zSsqG`igrRK>5-%1TCVsc;9nYs51>6Jvprfv3zV(XW!MmhcSp zT85-yXxeYbV1M0FgQ%ne&(mbe6w+s)&~|a4J^vWn>oYM|9?Tjr$(pAL z&4-g1@x7C+^CjYhF?5Ubxgd|^H)h)Dh+x_>VmWSw_cUmMzZ@bAi7fV$CdzTx4`0L1 zZRkj+w$^eJ7n`{qjccT?vZca;{|>}L#NqFUB@P&jt~-sFH}i$hFWX+exwKW| zrNlg+|9X!G((IVA1@SOse2>e~n|N(E;7z*b+~lm^q|jtEc`Ep@LPIp!>Mo@w%QxWd zC1n|Hn0aftAEPti79uja1m&(cIbm;;>#M2PIU{u&lmQp(i@v>N3>gQedJS#u*S5_r zV&e+6OKc2cC+hR-`!7_Got($(%o-P89+z85cU01ouTR>ie*Gwcec|(R=6%I+iNY`4 z!1f$&TLi9lma%B2AdMclThVu+IAhx`ge=YbuGdMC|3facU*hPrw&-HF=(zf8Pqsq5 zf-}>&miQO1jmzLGo5Cue31KcVd7_y^>~6N!&c6DmDS1W2g}y{(bn=@ zEDKQya27r9_qcmrP89^Wzt8L4SGRY35iCzer|lD_D*e`-c2a!s-WklW#aRRNx?JA+ ziYFLo&%c_ z0jD;Qk8O-rOq*zKR_d>brmMbA@Vc^x@MHi#O5f=)wi3o)IY3ldiK;o5bMz5b(8|D? z+FMlVvAF5G3d}fa`)Ck2gO)G(;*`p6B9XN61YXl?S96naFb&q$EF;7XKl^<(BeRM=wP&dM|{+MiU!Ov}F0c${FS zC=73U9;pV5Ld%sP?@hhY_@Q$r3iC87$F;DA`IMI^{*$Nb;Z->FtG)4eKtBvR`b6KL!^@v6dUnVSxK<)$>iDT4RX~ufol4TScG`3SNmW4x$5$fhJkLFC7HjDNwhuek znZ9eOCBoV;&U#fpdX~zA@E~OmGaDm%tchG-Lpn$)_y@<^p125H<M4c)~ZK%jTujf!%`!0__ogod?*y%R;uvun4 z$zuQMxL=d-%K!nR%iqV_<8J))V}%E-LeR$ulm?a`$MstjS@0=buY8TX@1&j~xCb4Y{_T1bXtx;ZkHHQSkVu zHGNv$W}1UtJq>N?K4h0Z%lk)cqKfvMGmHI44!O>pP(dz3=;fYA53%YBsw$o}eklkx zbX?D!rwBP*Ya@*?K8ROEXZa>JZ!sp~@d4RzDoCl3GzH0H*X~MVi3A}Z&dHXsbY&Q- zrmX$7KxAG&+R>1e$v;)*0)`1wLOM+UTG`#PueP3_Z9_w5P4Lxea3x%SdvkZhHXbxI zs(5ePbEB+XlQHY`&bD>h3X-n2X^VC|P4 z$qHtTaXO#2?(H1C6sY|>v$r(IZLgw!otCVpXN-n*lMxkPw5!uk#x+J9cE59T*jl|I zR(h#e(@58QU`QiqG`$n4C1rqvnS%+H9{w|viJ$(Ejw|HH(n{o)DlPTr8+DScD+?M- zQpwk}X`KxNtqbF`%W%Q)>5ERcm7FeQAlrLAm!9o_7GxmPF0*N(XiQOyS>n#L2B

eDN$P~+5{!G`7o=!8`Q9|panV}>p!=8|lKC!w#bZZsz7p$r;wOFlf91U}L`RoQ5u z#Fhmq!7s-GUVJ$HIN*X)Mybn-3xt?EH$nWQpCgm)vLu>{qUDiTe%HaLA1l*`66gia zx*VRX@Q#OHiogDH%eQTu{H7$bjh|ZEFi!GH!;Rm9%o1PPeQ*_6e$otYNa7M5xhaX3 zd$?VHWpzFH0^fNk6Ht`#iurQM@-y9f5??-He5${(3Ns>nZ4|jXO-)I%4WuG;klLfT zOxgeJK7D5I3KAvSQ@wY<_|zokvMh0JQi7LOvFnwE)xp9fzb=$|&+lIUFbPcc(3YU* z#1w-FTx-z%8L4#_s)Q`+Ve`3F{z0f4Ntii#_S%n&{+n88>}qRx8BQ+Mj43_H@pi8~ zT#pTivE7FDT>+hmM}}`S3d0YtBbSugd}e}=@V*8pW`B_t-<7+iA(L(UOy8~cbm+~7 znaFH_mB30BWK}*YT##VCh{v045i4Yd{iR|*!5M<4rK29LNu9?Wv1oXByE({LadZ{I9wDk zlhAw@b2s?2&vm&Lmr4e%Ao)jQ645I*M)>H_+ufw@&`@8)wT_3KNsmxfdrS_QuT#&w zKwwmP4DWYEnp<^>U94;--j>P}zXIXa8YO~1dX#$dr^wAsM^H>>@q_|cL}=37E(zJvVX;KoJ^n5 zo_tr>AljVSZo*19TeNC+&AxT-UM4hoN{b`nUoST)Fg+i*)n_oACQR&Z(URJF)qE3C zImW<@&`n8dCQIr^0cmsVn=6=WTRo&Kb@;#tc|$FXd2(I}2v2d*SwAQnmOy(%Xh9gV z5z1f&#Vn3{CGP!q`ct*PBQb%^n5_C2E|Lf05|TZJ7Mz;Y6vHLi5 zm~r(5^_1@!&6sV#1tPc4oXTdEDf||{o6_~S$kdAOFU!}^CWo@VLKfB`Yr%d~N}f%T zxk;4md+vHs2NzOs89H&L_C^~rJC2b{{T0)f8l7X7h8WIx=2!T=Crzi1?9Nq{n{MzF zlnY`VnGL?H8bH|txL?a~g%>OHlUENDn@=@4CJrmPum$AEp3`nu?JVj!j0?0)e)d8R`hzd zu(4|B(#JK2#DZ;5|3Ag2m7nd-jYlI}MpeI=yHUqF;ZymyIOloGo0Bd}m;R&kL`>8D zrc?KSg!TW!acY)M-hOr(*Uk|Q($}L>=w5C2pyuY*{9C1&m77b576H-wTb||L;C|qZ z@07xB6$3q727y5Ao=Y}k>bFT1z1=bRUm`{=!M)+AX*^&%dcMmq5yc+#EuVZl-+rw|O6sQ#JWP3d;F_%?@E>cgJZu(^Zx;eNpG1 zgHd+AWEWJ+ORv5KefP)w^>xf0Xp6ZBF8e*WP1ILsns_E1X%8#%{;YpKKTt>aTa_bv zd$v}j4bLob`(~xUKkRAt>@&v;;SMsP1jE7Hd%*NQBeaMBSm*>}IyVJ~gW2T&U#BY~ zB-M!>{wtOf&Gi14iRo%l@ry;~qr7RqqM7>*i=Wd&3_YO}%(O7Z87G$Tn81sZzL2`71jWPvi*HKVDr!nstS&R^rscN2 zMIHJCZx6zq=u9W-+CLdg-51=8IsSUsjV%_)fu@*%M(qcfCO%X71IXuQeMX1`zApM( z>h&WnHzK6-Bm-(p?(vE@a0WepQY1DyVf_&P9#YRGsV(IsUsipR4do$8Kko3JW1k}Eh3uIM9Dbjr+xFlx@o>l73F&@SQV;~UP&0{J zyLiTe{8xU>nScBQ^~T1R&RE=d1b6gfZj39);Xs;A%_^@!<}6}{1@KLxEW?o zrOU8|R49h?O?OZD!rZxDev7ScE0X?=FU8K2akSVv3rg-Iopu~BQTa!EUH;!8C6A={ zcGt(76kd_exe1cBx+2V*(y=_tZwEedd7SdwM_;VU?GoXONZ_4*Twhx-Z6Oc3#Y+B5 zS6&srSSa*yZd--5Cuc43jeutV=ba7dJxghEQEmzzq#y-*Go1BUl9!XA($D@{-XY8J z&3ujrR_E2=&?P0(`1jDp?97O&`XA{SuC>wRB8pN~)v99{&A)%i5CkN#8Ja|EMK50$ zSC`@Phd#xVN9NU#7MpdI9zG0Zz%8;V%u^4XVlJ)*A1)}0Oz!t>4a>+sG#`iie1W4n zsECBh?U4}qp&FEiL`fJK6zi>Z*v>amuY-Q0Xy*pQC#K@Rd_lPyigEfmja;`turgms zik1M!CS{FWK9qKl+-%uk_uu7%2RVf5#`ZdGbhH0FK}eJ8$$@-l;SwfKQ6i5O4@^YC zs0KE1L(xH>i`E=&rVAuIJdbaAWgZ%lqyOM1BG1$GzH{371AO`8T16l`3 zbB}&WCeF4fKI2~)%C6H1>stCk`cylRq-%w6><~fA5|#I&{?GH5BmMBjB%WALW*W_m z6h+FV4n%!@;B~qo>)LCu!@)7_UNMbx088FEKs3h<`F8**NAR>wCbtKf3hGLA1>Q_Z zI{CpZ`49>O)1H}IT=JZmh4p{B1|`9jM%*1(o6}^zH?dB8Rfx%!lr``~;@r>)W(jVQ)YNTx8V#J_DQG zDwOJeUjD>q)%_#2YZs$a;|&MLPLUsI!Kg+9TV7ljT4%Lz$GiB~wbA^BCLCBsg55C2 zmo1wmA>7Bgp|Zhc4L*Zs#EV_jqWYerOqq;Z7wt8=&4H*bdDuM_BoWgN=f89t4)DUM zsQ}wPgaPTniN89!qos9H(6{1S7fp2!CgJet?uI{^6jUcyeQWZG0YtydzBVNtZWI#H z!3Q=v*hD%KcF=LQr+c|xK+{9^V1r#A(XMjFKAXhO!i|r6Q;`KVJJX-7d;$gS$XoJW zzFTf)?^GZN_n08dxipFrd)Ji^yyK&PnMz+WY}4R1=wAKZ9qp0cW_#^4g2U_1*bpZ{ z+_Iix@tI@b^h6f^*Afs{{(v_ z&4%iK@%ENcar|qSc7y=I-Jt^ncPD7@1b26WdvFi#5P~~28r5fe^&dx_O3?|n{ZD7gPVkt3hJG*X`FDqB}qM%Qf>x=>d)Ql%YIHC z*YFv+me3pQj={gipxw#yL5H(0`7?nUgh-+C$Th^?>En3tU39)rMvtpPpO-=TKs2vH z9Z5ablfrp#OQKBm&%w86x=^~4<`Bb8bbt{w$yr_#!ws!gh%k75(d2jQL2F9KGn_mr ze}szpoyqi25^dBQ8$uouCl)Fe!hPGvt#m&}4rXB@Z$5yK6q?asMK7tIw@~(yt%?|*rbiO>L#i35E+!yjt+_qfWPu7 zd$1$nes!4m&Bon(!Pw4Ls|kr+&jz>O!;F90+w)54cI{LSnzR>VoTkYQY%pjzK%nd> zv~uD6(qALrSN-h0xmm7m~gMm(l7U$Mj{ZP6Vqk zPh?yYpo8h~kFhU_0=%EYO}=>Tftu8`p0i?GN-nWWX0qsDC}L9Vch;iL9h-!6p|8nvVo1oE8TVoV*>uQ|e_} z)i^Th_I(q*{A|1BNDg5wQJpm71IV-u;mQeu0e*!#nvd%&yc*lYj9O6A$9eFtkq(TL z_Agk%mAbJHfO(NPZ;#qT!4dHU-vNGbCj$@mJec;kXRpG=!}hUO*Cmlk7t`87UwVQ> zepucT{w-hO_%oL6$U#geu3kFeMwm2^has$BX%>9bo{q6KmEChTJnArN82U5NZ;%Bv zAMiBWXA>I`9kP==ZToxC8=u%WGuFwn0-TCjCKQEHYgxoL7aCvE*Ifqwhi7INlz=8iFZg>rvYb@JqkO6HH)R`s9EsC7v1#sX zq(Xj#syq5tj_J{O!5zz7glbqFvUdAAHWg!exj5&8;@xxgeX&xOD)&Oz)UVSf*K=+9 zSYkvZzgttAn3llZ)dj=jfPT$gClVgA!h+q(k0LY;CU*~gdLGg4?$h|zc;kaR^$3m( z(pt}q)#wh-_h@B$HE^YkWCQz8f1}wYt^uYoqN=LUd7zVX(8#5R^qhmsxT!}p4xxWo z-MWiZf^Ug{1=rsDIcaZ%LRlRoHHHIB%c-a*|F;TWxr3y-{w|CCe^h*~0h)rArjw%4 zP}|nrzoAG2#5&bq%HuGd5M6DSVjaE*8fR{bq(c`9vMEb$En+WkU8gpi-@(LhzCA;@ zmfYvxEUAf3x}Ef@X=Qhzq9R;Nnkp?2G23@Natt?qPi#aDLHUbHwRWGt=l3BYF_5*e z6|4X)Fnb?$8M_C3=l!&>yN7EgN7r_i?D*HR z{Jo#0X-6z-o>!j69a#fFj}ItTDxXrvkoYz03yC>Cs#wvZEo53@bgR~$MqT##O(s!e zHv&g2Ip=&+F6N?oRCkpydM`VkOBsMW`ZU;8u(KO@?p1BNg5i8BNQhv&Zgi7?DZ*d4 zGj1jaeoXc=9+x*Ld$PuPRiN4-_LkhOjnt^W)P@wE#ak*( zF|$mMcQ_T6k5uM zt)69sBsZb>7ZQ0roPzKX)cI4@p!OO*Hrv#@s{1_;l7~aVQFjZ7Y?BidCqTSiS*3~3 z3a@@WPgDJ^ ztU(*UD|M3nm$0iwK#|{CMnbWRgXL%Vc0CgAs4o#G|=bCYNt4L>#&yVdnNDexb2eT#2dY6ZVSD> z0T0it=W$N0l!0?`Lc`$&IaZ-sj$$Grs$C6ht#=rh(@sM`KL3?&LP)FtyM&GfM zdA#t%SzjzEa!-Xg{>4?!#s%0rb?C^U(;|^{K22>VaHkGbm(}v4ZGk-VvG` zsZ8vo7$}h+GOf* zRdK6UZRxxfFA~NBh+KFyzH6yeI@wwzxIcG|agqEII)Lh-yMHDn>TY2Xu_z(%8mls$ zB&vCyM(nY4n^(5;8~>u&hK99X^5ax-*0nPGC)TYjs`E5E=3a9>{yb|M;#g*YVf8*9 zItSTWr@hBvZAvd_M?d8oBn?WdIwY@UEpZ;E%e>H;Lg)yy^G0`8>ky^2;J>92;sIQ? z4&LP>eME{dxJy7{z91X+eAX&f8@V7h`nJ|Bqk`fy;1hUDMM5sI-j@|Dyp>LFpJN?Q zRIFWq$#?S%r-FArn7LP5kgqq#WdnuyIRDkauB<a^ z*Zrn^8KAs>_SS8c|MM-)i#HLf7F*UYc!|||F;s*v(f5X{vq#wR@Aqv)RGD@-jBx3Mw@FxUDw?nQDqn?{kzZvc*y4Jtzp^($ zVUk?LK$Gn5`yWTi_nvc_vKgF&Dm1VVrleFDRmyT!Q8KL;XC7ApWT4nh>3&NSh3JKc zS)i06#f%!RoH){vm;`c=P&q9SOei7emSi*oda-!vwTZIPAsGRG3!rS{iyj2zS?$bR zXIDQMNo$nieL&t1<>SS`UsUJ{Qn`VQ3Jd30(@`xQ>3$d|+N*~KkYRRG(>)W8J=lFX z+kE^GZ*Uh=iNTOD>f9e>DYn(I?={9dqVtO`bSmlV-#?c(Mm_KSVCL6o>=d-0mawL( z7?j}k8(Ks#P2VL-zdw&(%1YcT-Sv7qQn&AEIM)}R^83W(osgAU-{qq@R^r4xAbn1; z2&YTNfBGk^d`TZNKtpO4AOA_qcP7b@lZs`L)AA?;0DFOJ`T5NHs?CiN-!ZpvSA_Lc z+$Ncrp`iB_h!L~d%lbK=@gc45*@YkD`o8PD1Nj^I*$oDR+ln`~nY`IAG@v(k>}8sb zABh`n1~#)7FsK}nICt9U{R)RXL)pVy9Qf-hcR$y(tS(hQ$U@J?3e%T5p5LLw)K53a zof@qYM|+wYm9oQhX%J9}%S?;SH@)p(>Q`HMuQnD1c9- zFMN|VXHao$&GoI3M@inZju_gsl&9F}&5D(JW` z@qQx0Ie)?QJXnwQYb+EHY^+ceY5D-_ubgED9yd}>GY%8TY2(nVU`jZ{2d!G%^^xlN z`@OdYD!}Ng=6RHKDp($QkopVvz2X*f--p-mn5&j5ZT#dn87ru5N?Bo5D&*(&JI-yo zt)o@R3yEeM3)6>3{n^^AJ%XZkfn>1?LcR9lsFxCUE=gs1DWA-l*oW8na%Aos6&gE( z%{cq1GBn*ZJ-V_dkW5GtnLEs%2cF%DUUIr6kw04v>i3Ho z{LP%W&3w`}t&warHdl_Qrk}+vsjJ2M)-N;a&E?!+@@S(`!Tne+$XOyX#6>C3>O;aE zbEGKqav@)Q0-vz9OECg|%2uZdvix9pFQ^fhpP6V&wTMZZns}1!^p-~mk1-r(!$wX| zKTr}A?_x-6I^(y0-QVxu2^7>NChm7{$ zA~LRbz|T^9hcvb}hzGWCDHZ^EMv`ypWEn-TYfMXj9PO+V$1hwAv`m(YFa&SUMfqE- zTd|svB@w?I<9NOGi@yJ8KI#`j2T+%rOP`E5roO#E7O!uxRb(y5Gcv%GBRc3Wz&2Mt z%grCoM5riSMI4&Nw&$v<$gomugE87<-ADonpR-tZ2BuZqbqmQ(Jo4+_RunJhPyiJG z{RMMYL*g((A{^UgtVHJ>ER?nA^CIPoC-au(_pQvtZ~5)(EcBGy;%WJ-#2%zg89T|+ zFV=n;(0e%P%IZFjuohj?GEDlKqID-;xiK~BX>+WFO*%D8sGs}@U$ls=?!x~=m<@9?CtQXwye;5%h;z&fQCo=Am!(VK~%+eKp#I}35 z--#$dwD4D_`e(aUx;467jUoNX0x$Q``PmzzWQDPTWed39U;s>JARfpejawANpYupF z8aqHu(S>oFb=+Yd=*cV0F<=e)lE!GgxUl^^;ipYsu?={%Zt~mKN z4z2QFX3V&hDvMCv$y&{zP|c|EaBVG&zd4FX3NnuXsr1(WVB70(LvSO2=8=f1)X;2L z?Y~Vt=3bc44Stips7~uT`9f@dL%(PD!tI%ClF0jDA0VSrQQhzzi%x7mn+ z(^=*1v{bc+bxyP``}RajJueB>ZC5ST%6#qorhuSqQ~M)B+eQt!cIj?9ApOoej-ISBMX z22g-VDDG@E2)w_`FuHej`Sf|w^B#O9|IIa&WLhSlc?K77eHpMo5>SpU+#A(P{+-_L zU@AW4>H*H?BimQCR|zy(+WOcqmfpV2_L#|xR~WEsmL-j)8Y#e!fNhrTufH3P=A%tH zjDy&`YB)uF$#nA?c(FU~OHv_w$K)Jc`m#QI_ip9=C;+r1> zHq*ih>hDSZ;Ho$_R(t$qxrn@pNwm(Hi3jY}Wzo_rSWpD-j69@Q5pvHYeSP@ZoP#x~ zztVxJQU1<;cG2f&lQ1!+hb}%0C4kji$~_`xHA8)rBf-JpBRt=e&KSS;GMN zM9H(u-$1^^et#bQfstd_&h2d5LewCQnaTYTsv`c4ES}9+3nw%F+jG|I*@2-*hqLrc z^1?@Ws^;fK5?A=E5T8IUe=OZopD3fuOLLGk119FaY+Na8Un|N;GV^1?+oyMyD|r#Y7l6Z_I8bR*TK$6U|cCe9MbK;#X<4q2E)7T^uq+_3QH_7Uzr za@=L}JX$?kI&*TM&E_|v_4oE`f*~H32`m)FK@a;nP@SA?ZAM=Ed{BK`Xm2E{Y z_nWkU@Ae5*%e9Q{XQjTszW1I`Rvx1@c(0<$rCs-hQVwiC0Sb+0hR~;4B@m+4obmtY z=%Em9UAKdj%@$sg~u%!lHah z5lqf1U(Yw&B+!?0ucMg*LB{a2Uu*`PzarO^SPnc2apW19R+C(t^-sgh9_D`c^kR@V z=lHhbRS6%;Q$ki6N_5^o!G|uk!Y!v)az2>NT;xhW8vYa&F{A8LZ z1X!Qx&feY|f*bdP{MA>r4RYgtHYWHczSAy5z;cPdUWROMT7p7*Km3or{$C+!9GAu~ zq$^>j$asd! zE$!fV>AagzVUy*wzek#!?R1)ve<~Gvg=>XGN^4>ezciyV;Is5yGSOfF8A7=Y#`^z+ za}Pwtf6?eia7x}i6p2<6#Sc)?fpxEGIaS-7ei7fVj1<~r>Yo9v<~bDVsZJEL@A+v8 zf~>DS%HcTO6bzptf>ZcS@Ln3diRA?yM1oxbCMzWm1j<~F8e&{Xw*;ZX_G*ExFVwfp zSuqB_&<}nS8!^`5NZxw;hVjJD^P(lb)sFl#a8{b2q~MXQrFE1sXzo zxPv`74k{jtSJJBu1*uMAb@pVi!2FSV%oQ$~83T^+vK52H!cF6iIya@x;`heyVBN1J>PM*o3{74!nl5pU;ROWQCspZgkKq#y&deJPQ zt1WX^X_A@1TF4^sW1-U0mm&?M&VUqQXpQr%r?EovBZma(WWrCvuKs)usukWJOAvGt#$R6iiBb593EVR|JfHXS}#&!W-%dy+bSHQ z?BVoKTq?zh*!S3AL(XQhT}{HRvGS7(LurW^bu*4zEhTBYf|7DNM_w|huNokG3%wE@%H zey3pDz=YCOB^uuz;%8XMDL65OKgXFbz+EyUb%o5wt+9UuH9OB3o}ro${U!Y50upWk zTljLleu)l`+X&IW5@aM^BH}=r{56>`IeFxBzuEs!Ofn?mBYRA}mgb z4GQ-}GL&gXOUdKgBrgQ9fK|^R3eJ(+DDpI8AIN#~zN3w-pEvg*t!S3Ksk!)GJMt2n zInIX73IT}uS}aMnyPPhtanLW!o4B(tRQSBBylB^Lu*>g`)BEz6z6mAkmm9|lQ`|qj z_12~0`E^m-x*^ogKl!S(B+?N%DZfh}8t`4T)Ujmo&5JU;!WpXry> z*0;1*3n+}KQv|ViXkT}w>!-?0k=xYkDXch;V(is7C5AgpAf|_9>CRo%mk25CTPp4s z&qSoBb0U#R<^5SPGokNY-nL>6Tw7a2Pw%nB1iQJYa}|JDuNmR*S1tEs`ZtI84>v5BuY3)V zhWFs#;n@GAmd`|*ycERi41%g(K*<>Q@s_$u`O2hi3qZOPReDIkYX%r<#$~d|_&~H$ z=~*fEf0m2sKQOCn=>H+C!|u|sIgCxS(;XN(6i*ZTni6y_D@n<$*AgV1$iVOqfpqif zGn}KNBdurS}< zzX0|BS~rP+b;!ubVzUF$jwfeYrl^?hC3sA_h@GcAtQY(bQdF;C5)fbJ1+zvZvxV0S z67c${v@ZWWv0YTO;(Z*({_lJc;NN*=wnu~6Icld1M@!A||NN;vJv~!(jwTs=Ug}z6 zFs_3k9o`lv{20Bqz%5$bOFT$`#&JaPdKI4lX(GO93-22LapXEp1?4AN0wvcAFr)fA zQ)_NXF?%*6VxaUgZoDs}}76+$)EKf|J; z!~^+U9B+3%yp~ewWDl&J`bWRX_q4Ev`pFO+TZ0M@d+8lRcQo#HBY1kE)XTV-+ z)(|<&HDTKsCvupqyAS)=jc~a2^cU8J6*L#!VD>XU1fBnu@fe|=wH-U2B~nq?lK^>iq^vOp##!!aLDxDE%=AYi^{;M? zrLQuG;5o>_o0E_M@C_m>Z7JN-BDK*b(APmD>DYtN@nR6|=;n}X?_3Za!OxP6J{YM_a^D{(pq&>2davcRZjrG~Lzd17Hn!fE`TPi7>=2H4 z@L5ZsIr1Qwd?K-h z?0amNPc7K8v$FcZx6qr;R3lB<7wvZ38*z+XS&s7v%== z?OjA7LvE(?eOTJ=)+uZ>*+_15)mY)ndSm^_L^3Y*N5VP5R;x(3XK#)nzBV#kkLCfe zhI8H`jl8e5+=geM7zXGkRu& z&af|MH+Lhm^)GKjEA)EzWv*&$D}^b58Pqx-V6xp(Rqj1f_YMOek3hD4_afOwGh;3+ zId^&_6O;Ei2DYyKTfs2ni#tlT>qxfyhit#|T1rkLraEm@UOviU;T6j|3PFn$qStdnf(^%k!C3Iay~UP7 z)5-k%N_?U4R4?S+_B)wwzuC?uPeB&G*z6EcOXA3%?x%5bYlveyB#f|u%;^bVMw^Ze z!MMLQ6P#y;!##cy4oxLxj&2Tvp%R7}Fei)Obp$(}O!|PKTRsUbwI5F#bfq?!cfqgS z1+XV(EnXk#z&##lYatW?-092Wi$fFha0kF?_-IfEML8wI?eE&xVJlIWe*P8JbT4D> zobCFN7RIJ-4}FK5%`Z1dPC+uXpQe025TsM$W0HP5#m6Gp~{ zn%#bTgU9YNR5f%_QXzsn&sNB{^iXCp+fBs#W|f7vwo3vn|oIo^{SrETaj{eFH~ppDB8NPiqRA&@beuW8jxM8{nl*MT z6HgzueTy+;E*_N1cC0NH^YHl=SOV%?Tv2*+mxT>`QL)Ef5UOu;g!7?XQyp4_yy52a zb}rzBunXEJ=T4xb$)NDP*9{s;C)Te}C95X9OVRqm^=ZGaQmPKM%mU+x>%s`a_Dz8S z<-+~H@tQHKp3!Af8}MkL^%hU7+_Dz8Wysv^*_eUp9WcXTX#h$4S#0PuQ4=1m|A-vl zZggX7Zkm*I^wc%!Y*e*fhqw5Bu>?QzSrB5z{*O6GMrKecE5@k(wN1%zjXHXbT zRPD5k2a43dtQB?hG_h;8B^X4Q`MdF}lB&hp+jTD&(RLu=>1D|)zDRn?ZMa&o+vIrn zmQ3Qi!^o*WG|N<}=!&0Us+rt2Pa6~Q;H_~U&*xj~0#Uq*Mo9OsLfSu~5KI?aV!UxZ_-yP&M`7i_HL4Pz#O58u4gmqWe(Es*l~M3yCI6OR zPRI{>SHT4tNN(TC{Om#Gc)pok4R+u~s)xdfY2($6Fff~p&q?rN>b!05@WD9obKL1=fgVjST;Do)an2o&=YVc_%Qs1Mc~p4XtO@y zZmme8TE_=Z_c~?vme$-v%NwPDUJrYNtL~;;zS<5baSv%bfw{JEHWL|~_6ma z6S56#d)r#|W8j^Qknj6$HoiT4Q;(-cp}y7ei2UY7zCoy$%MZ!N@Ahd08R4()h{$8S zB;Pya3AKB889cvGUoL^18kQhW`@lb&= zI@;Esr@ylA0DX@h@l&P7Cu(%@-@z;kkG8-z`TUA*rN}o z-wRser>VBy=k$hn0%X?+DWs$7;>fc57jQ?bp__S#HOs-PL9J4YM*WxjORl$X|GXgK z|DQ0XH!1@>6orVyW4QR>yb;1Rzw{ai)20nkDuhk4Ab%)To$EA zZ_D|P?3-i8x@oGF7)MXl%lJcD6$X04T4U6*T|^tZ_ zS38V;haYw67zl=FP#pcuDWG~mVdphU{?h+vp!v1CP&|iJ$ z@UkUBf^~{U)*dscemLuHjT+)|5f$rqPrMrYKt3bHvX*(>n` z)2#)IPvNRU*hsG-@nQ)MlXPLV%UX{%Re{et^g?#zT1Le)g98!7b_j-S9qzX}9{a;z zTxbjM)nal8okn-;-jQ?W2^$pg3tA{vNwWn#R`k_;*=4hP!Tl^$KX4k!>N{qjST57`j z5;J%BbgN16$=1716uh=~g&TIgyC{P~r6`#pFXpV#=CtiUK3B$(ZD(ZQC6)J9=kpV? zP(BPf6;yJ5TVzwCbj$iiqEk;YYBt`}!q5O(XM3>kSxbc(jz91=@iQ=dP?_SEJ=#DL z6iy3z(3v3bJB#QkXj2@bdu4Zhsy$a&uf2TUd5g`s?+bn5-f;(@);PAk{)Wv4<6&xq z$s9a&qAk67n=hRGhm7uFk1tMvdbRC;3ow6NC>V)vb4y$Tz~VU|c6Z$=E#5552vVQe zm(UMgnfm9t*TLOAr6fope>^&_EV*Ik!iDhFb=_Nxy?`pJM2Y(GcyrxcPV0|V{9FM%-3=rG9Vv2y=j&~_qYV22hbJHoL;5r4r%jME9I-%NVpbLPpl zVGa6bo*ey~PnD6bp+4z)I_)WZBN;0mhm?r%!zOwX6u+I)Bl4ML@rLKPOfzXQSO5`Q zhZ6bq3GAp7lVcUmdGZb1HZV1^8Pz=PZjo~y6k9CIbFdd7eMXc8OO7?IkS%WJ$4>iMhPa~RIXI`?OzSYY_oLAm8 z*HL|j#0@X8jo&x(d^7j6U`oV3ZhUbtcr_g~?Z)RP=^N{u#QwQ0QU#}9d>=(nPm;#J z`*YGMsKV#XvmG4rn`7p3`#itI*iU+qgrdA1cBTprf=n1&el@MGq_X~2sj$wxF8?#G zPui^^CXO!=-*Ta}nez)o!kCxgc}-iFQeQ5=al?vPt$0}{t>!i#hk&kQ6@y-H^~K5C zlUZNH^UBW+Ya-IHtzMi+QB9~LF<(A!QHCeW+uWwgS)1f326t3?@V)OWL2?1>Nx7l%x_gx? zpI$EXTdhx}Ol)5+dysw-w}Qv|WoCi2YSKoApP-SK`0|&^k8G29M=gtGU(t|R%C=A&I~iH$|kOJs30F89aYf4l{= zlrGbk_4bNP3-mecKYM3EV(RwF@2?bF4^@Y0jz3WMZ!A+sTZ5Q{8WZMz?l@9`qRn}) z0_W5x?5$!I7ihCc(y{6b;)Y|~+B7%gl;3**w0&7J<=d(!s|77bih}x9%Q<0Lp4#x9 z^Kp-`Glq2~EYGt*nVBTO<2RRm>jG8mW8%`5_4u~NGi!V4_i2goC)a78pS{?>Mkt^y ze$LL`nbe{K`OXCJTgsrmYKY3FAfyqj{U4L@zU*mcbmr{o;}m|3xs0YP%Y_Q5aHw?+ z7jNA0oIZOGx=1v#t*Vgh4wJOuQSx8+DInNDCrr?~Nnx}&;LD!b*3Pmoi6YBDHt-#? zvic8-kBX}W4#birV_}!1v1FM8Jv0Sx=lVLH`GJ)B*`A78BG*5~0S3Pc2K#P`ZpG8> zqT`MRFJv)doK6poCeD`cPh&U1qi(%yO)5^#FUF(+YbCqomdLCvgi`UoR2C6Hm-Lb< zd_wE{7p5ibl2`4+&UP@y3Em>2?klkeigfA&n>_lbi9jJW1nW3$v`wjTJ z&CU0Y%W?#DRMUsag!g40O8e@Xf_rRfqi8k%N6tIV@DTMDRR z5Og(3k=tu%2AIYFbW3|n8vZMv&VM2sMSMNrV|!jbnc9?B#JAo5NtmDFrDJ+RX)B1h zhURTP`@(8)BdD6cIn%C~!3kMrqtnllk}UbiX=R5UbEAh<+08w!^MoHhCWY^oMvMzJ}sCc{4SaVXbQdd|7^9e_anUo+RM3n z|21bH7WtYt6*f~-FSTKOX5oKOZYJM^{q4*Gn+&@Z@&rvQPPXk z&Wkk}tU&ngRf|P8lj+M&K91E+`*%S}KV{8Dv9ibHo^hF$UU{UYPPsMj`_;+RD}fux zeX$fLxN#a8f5K<6zzgXjLNY-l6M$d4+L!SV5V3uWGlVSAgkM%R#MrD<>iZ$cPA5Rl z|9Hc3&vu1m{yKC!eJ2LgeSu9sXE*)rbsFxZg6w;PFe4q-izPc2dcoV)sl<%`&^UHl6ZPrxYjLacLX)uxW%Z+drH5t)|z zU!47?ng0i8|6qANi4v%^!ObBGT9b8+O!T&C+jv1fU1aW>Yb-IF;mREhpFee!tGhU- zzw24Ou}!G9iw4VsMu6U2TbChzj@0CTfULXIVl>XAFfQ#M?uzSkLX>EsNw7%bOLE&O z07zBAS3GwjmU}(DN6$29Gf6J|T?xx>iV#ihTSz*TpwV6sPsdI|1_(b8~==R+)ORfokQCub?8{B3q)Pqo8UKE72FB*JFn}eCW zxXzF0K0_PvB}`68gtc^SdZ%2U7Q%UQ8AKG!eT~I z8{GS+KjrdDUSMMNok7$E%A`59k=LC2owYNQRlV4WfW@OaPXgw0)I%0a16lQB^$HXb zowU{HtT<^X`HVlyB%3`!pZ%$2D9ZbD=J9DNyQgeD?RqXL{Yv;jzYD-dF#8%g8~Vo7 zpd5AOGm)Xf`7~-LsZc0KXkl*pIWkJj#s;ksUC29(l!ND?l;mc?$6f0xNJ$0(eeNOu zO`HH%Q||V!jbg@*RR@pv3p5HS6kqlvPGduI)NA&B12*=N!f>qqu^n*xMp0nRr24W` zy^iZolVyc%7-=)^CUdQ{-mgh0s4!yuo;_&^?eKthEfv*pzA(MeazFaS;O(u6yx&&! zUrlr}{a)$v{?|;Qvy72$hoHds7`V8(jOKW#(swYTzzCAQdpAkL;|JEb%=}C~0~OA4 zqF}L(P$Q?wyQiIERpN2m3tpuK4g^p)ItX>}LH94|-mUp2IXFVpS?FECu zlOG5;C8o=K>VKdr*9UTB?1jkw`2PpwnJIy7VbL-RGvnftx{=RXS8y$Q!W|#b?AglU&!GN_5S~2>QK;5v!`4*us(Xf7AaUx&R^Q)G zN_Li8TJ2_+-8)R2w92)7NTcbeend1NDwciDew{p-%pX@lMKTfDMr}cPG<(&rE4l$K z{)K*(qd@;|+Atv`^jcs-_?+w-E9Us>XIZf4e1^ETPZX)isdu%>_?^T9+`WIfG(76#Uiv8_)$DgAt@b)M4aC4NoMIB9sn z>yajtfzu+#muk%_hS(D>TR8n6Em*YrLuNpkWv7Br_uG90(vXrn{nRY_k6mMc z20lZ`Q9&y`%!g`DhCN>Tofm?P)h}{+mKTK|Vl6WY)CF2V{LlXj7P@)_Svi+^?wuTc z@b1=x$uI*$9Kx1YU)Tv#8b#%JdvsuvuaP;8!5E!Vx4bn%o)9v{4Rbwsd1s$M?+U)K z^NtejSj_QcbDozdC4?xU1tX2CGzk3M!JBo)E3uOU!Xzl=k-~0f|9%}Hp{Erd_Z(t) zk&4Kh2nq$jh{7KgirAuhyA2SwGhgfrP9YZxt(XPgqVMn%?AP(1H@va!QON>gI|%}_ zlOK1wVhG(!m6`iC)&hnBQG?v2@Fe?+L<)6QdZc_xOpyY0xUGpt*~9k4ugm*am9j4@ z>M|SnR3|I&P^hmIXUQ@K=@ZHTjaX;jV%~u9PX2HT-vf^gB%U}OYfLLG3I!3RiKgU_ zv6ub-%Sdr+@^2$W(UK$1DGy#AU&60EoM4?+yq%&-A#s?zoKmYXZref!AVgj}>b;xI zvuc9KfLD_>;hA2r_Xp%~rYgC3762n@UB2O3JsY^KFa=+_Kpn0~pV)mDt zW&=aZcj?I1g*9>fPSYOVUTpedxq5sYy!Nn+$enDmpXvyr%r8z~ss$r>bL^oy+fopb zsS)L>Y$~vtPW%3_h|jAq+KmO}$+V}rm+Kj{-EAvI5j>y{D5L~|XcW3Z>CcRo8h>nb zKhxtkdF?6V{ZWprGdk`xXB9wANV(XMsJdrO%tN?rE>sLvS`KeXzjV_U)bMl{4W$P} ziNI7e&Ks;#An)V~X+3NoB&H zLk4nUS(MUR8iDFa zy?+VcPq)k)jtM|nZvrG#;KtKDcejNMGU_5yB}gy(w^QgXR_1zdC!{Z#(CLfo{{oPr z)u~1r86TsE`ia{+3?eg$g{8R4gR0^=Seqmm+U^dG1Y~^%mGZSz68!4WTmOJ~z0n79 zeqI%Vht$nee|GS$t$~>~j<~R_D~W%1b}No)Uy`oi&C^egj=8(P+J8xevpNRr5!Bns zl@Bz5q#X~>*rQPug*nkFod+?ODEl@Z(6V5L%uq(z;hk=)7b z^lHm)O_YlT0^G=^9{mbyB1#Nr2nP2!VPNB`Ywr=$PnPsCLviTm4Is*Vm~^#iCaN(H zlNWueVU1eM%5fGfX*oeiECB-PT(wkcIJ6)hoH~K5(^x3+PB%{gP12xi=45)!z9|i+ z3^!bC zovHlrWcz$czACj(WgBZ)x2DXj9H^Z!tBNJ&jYl8Ooe|BTkoTR6MfryjnJmolSXMao zk6F0>ZyN% zv0)?Q(`AOzttBDaRB0h0bEPzrfm(G;S*;#_=m*<8wkcwt*nK$`d<@XtUcAw>$C@)v zSQ69zFiqtq_QB2AcIp z9CHeI{;uLh!V0@<1(C;|8PHOi87J)4LUE@yb$#X@)FxkuG*o&7G8e3qtA^@^__3x4y7ol{B#bwXB+=li?qgX%z0hBd3 zlu}s;5$NqSncncClqwld+{`36s5*4Mj^|@THYgtJo2kUH+~j{OTzD*empWEWkk-b5 zB+RrCCTKpn-Pa+f2|aX2IaVgTNRrbJVN+?OupRCSV?d_fs*K0Hln7u+w(pgOXo+ne zN8q8J0ww2>XhC{-!Mf}Yars}FDgt#c2m6C5I4OcDsR$+3Wgqy<*{KABcDGott9Fuz zvZw@%%+b0R0DgTjbAIJ&-@ZZIe$bI%CRLnUH62XE|HK&6Hak-ZnUSI1=UQe1cubg- zOl%UJpEQR>cf8*mFT$Xy@aQZTYWm?IdhS|o=yM;PjBmJ877y$j3G}2)G8rXuBnfQ~ zWM(1;%8!>6Z=FZ8XH8ftU@K^DfIlDo&Y8H)JFW~bZ4?Wp-S7Ja zMwBteupMZFoQCNm6>2@&SJV5};3rNXXVS(Z|HX(t;G|&^PfnIAG+dEn58^?FAUxno4vZtUY zm3GYx+YC+US^iR6&3{8Gb!A3{SvQEEjO9vFM)5XW;%>0Huljc5H(3BSed+^V&JDt8xq=OSLU^qq8Ct2 z=b?e&(1%h(TRdQ=cU99Zd6tGMl@;EPp9YFCA{I%+av?h$## zAXxDVvI}hEZa^Y_Y79Ox;(HYI`@?tbbG(;21L~)Q*>2n>1VtadpMWTO3-6yTE{aW6 zc{be);^!;pKjVxgm)rXmlBDH!u%sBU#w}_L`L9|Bl+L2i@o)%}d2!C8K7yxsk-R18 zCId}pn6s64M!s~M@z_e>lFNizKUmtbEdqVH_PYZgRxD>E>&R&NBnmKvSHdnrcf4M= z*+>Nn)a&@nasyQZYit!l%Uk|9L`lPO*pl!wb+$-K7?Vwnbh1jl(=Bm70Q7Ys&Q@GW zu2!kE!VGkf*P<->< zZBey@F4Qo)mG5@Mt9%m6&el}w!>{LWFLoE7v`X6iHx^#}D_2luJa)+V@^Kc~J%}3c zv;sT!GwYZj^!yHIPBuvZP}BgWVr_YKDXwg6aLsxVc&?Es&XB;Jh3#DVbE0+h#4_Xp zR2&L>$M1VlFINdMLn*cndj_ZO34#lusDmiZs4+M?c8#{Lg|}nJ+i92Dez$TkrA7aA zZwggiz3&Pu?_vk@{15jg!}rkg);8=s_XfcobX|Qh7$hj|&|%7CG5WhmMs**jAkMt+ zBciq_iR*`XIMr+OWw(VqY8`hmO|o;8vo(Cj?T47H+yrU$#qbn%q@ZWofd;*FF;v8P zpHLI!lCAnr*EzV;a0D|Ena=>l6UWI=L%O5ekor_ynSb+#@;##({@Wjlwx<%ikA0w0 zIukX#=^^~fIrwfWLOjc3c`x#YnD~Zr9J5n9xhb)3*}lhO?PW|PfzKG!a?|IQ88>Zy zIrpj%{vd$?x-O@|+Ba`M?nj)>8Tqx%Vy5l8tI!lX)_P=#nC@zyISA4YrCyiwRX>s| z35T+%et0WHb{oZ@dn&^tvg_+K%amwd8*ZePqxDu2?9fbR$n)O9dYmnXDX3)N*(C8& z=GVBU_HD3y>if@wHqW1XjzwBxDt0TVehOYl;K><70$c&yzTon*UsWM#?~ zjQ{Zui;#m`Y-Rg_YivQjs@{km+*eR|XBp~zTXR=In+woSD|kmfL8in}=o*qpxDxfE z?r0r4m9I8NLA_dm*A-}{oY{Ga;%m|@!AkQeK>noYk*mkQ*|pX}rRpcL$MW!LQ@-j~ zO*g)iKuXXN%bWDQp~Ac5Fn~9Gcu7L3MfwV}uehdi_H!icdS_Oqcaor%k2S^JI!$09 zU8@3uJF+o^1LrvX17_Rv`%mrf2)}RkE7htkX_pfRfqpWXMST1?%b3l|?pj&T(EN{H z6xN~|81R z=F#s(m$Y)HOI`)7KR{sLe1dNp&2Y7$Q11cOU@*P*7)DWKQ;h2NZi zoD2aXrN`F3UtC%M6+F>GsP);KWGcmbYL;@<3Ci8wOdny%PeSOuGd6})3^j-1tveZo zeaDy5BAm_q^InX}ONzWOPf1u`2`l&&<{D1Qcv$?Xe)Hx1+PLiqk zbfVWJvy4pn0X`J~=HHiEV4El#_v;HPgw&Wq*|Goy+d}Cy7hDIW_9lHX{m9Zh-Fl z)udnnIk!olym7vDDRsV*xhv3$cqZxufcz#tRvNE(#C6A-)*H+9bK>>k@t4ioAvfR) zjkVd}s_mCG$z!nq)wntXf+rQ3Q051OQe1TVJ)UwZuPB*c&zyiP&pWOAwKFHU%*|e$ z@;IfrGsHP>#@nu!KcdJ~b4C=~heEuqecH3-yn@|~H8_TfS8Q};r3rd#Xmm5+Tw6vBCvRpRXhtcQ+VKj! zUu8r>7>2lL-abO3IwCoE(mQl6$*79J`uE4jQ`jI+P-6f+Yy%>$RrBlm@S^ZN12j|* z`8{X-rT3^~_;Sw@Ow`m1H~Y$Qaunx-hbG_~0VYO6X~QwUh^@AUmFgR#w-pkv+yn@+ zhk|LIGwooXJ1=>?<23tKc3<9n6{{n_U7~S!tGNSKf}^fT4Y{ayAI6;pUr6hpsYN5! zM})kVeqfE}wIQ(5DhC(@_UK9~;S4N=VApylhtbLuv9z?Xq4ORr*0C$msmDT$El;(% z=sr1GiwL#EC6o)mP(Mc;Hq=>Z#|VeH zG)bF@m(L5OR@;KpV8{Z5SFn{V8euxjNe<u4HSV z9Zywza4gRjf9vxh-%cw*d&Mz<+aWKb!M)3pzDFMFyk?zASIW1~tAN8|iX&QkFK~bK zNpW07F&uG^NPA#VA)v7aK7aGj4jko9O%wA7Qm9nodOM#a2(Cs+Wi|gR^H?R{Bzui_ zhCD~@fPYqsK&J8i0sM$j=N^=1ammXq>T)($aJ^YAjmwfU?nE==IE=N9t*PMiqJ|B4 zlZnn7m7H?0ms@iI(YaCFy_sFp64a2V zvgcfOSK42-PUf@_Tk%1^k|;v^$Vgn3_+!coz+Qf~smXP6!gj`ZzmM<9 zR*XB=vn^;R%is7P2yO0tBob-%C7;=VJ5$uSFULH*!hU{hqfA!%zFFTFp@ZF}HdaEb zWTWsknhdX4xgJRy5)L4q>{C_Af?9N7E?vWJa`RJC9(#p-77>32F_VEQcA>Z5b64y- z@;yagF^?BrlCFKd-4rD`-@Xs=(^X~aQbV3`S1;wdww^}MaUBkKW*?18mFqGiw^F5G zaH98O^r6VmB zwU9u)K7Vj6#AjjXqUOQA7ZWa;7{&o>&$loXE ziM+A60DbS#;%LtXNe~l;BX);s$)c|dn@?9W<=;!ayN0mVQe9cGZZ%ZN>b69LrD5cA z61X^`arZCJKEmv#L@}c|AlX5U2DFmF#BUxWMFlLC5v=1nZ@1PE_FakDSdd53`>Qc& zxfohA{&b>e5LiR4H`mEXk*I_o5Lf9yQ*P(cu7n1sN$7v+mq!q2@982z6xXaE&bh{g zLds*!2D%k*?Ck9Ioq%X{Y+j%qeJcoce~|Y92dJIPaK<`-7OT7$L>SRL)gB`z4Iuu} zK%Pn_N!66&HIr(q1!-)fc=9_Rd@?F40mq!VMx#Lk&A?Ut{ZR7Vqq-O}p_5n!H$rPj zRThg=C~XmE2plamH5c8{w5bL)jYAqMbKdg}4$FHllf9rCBNI(YqY$~D!8Ecw&UU-Q zn$t?>124vHRAmIDM@>c~wZO&L8QvJkB0TjDPp%Ue+?_0T8t2TyD({moC4h$- zD6_N<5-Dfe9G^U9BNe_LrwvgR`iucTG8|6Zsc_-u(rL4C6Lgnxf^s^*&HK4u8*f;xxEE-*5pTJBvFwlx&i$zZFH%dsRQoD>9dFj?SMq1PQ5 zH#|43RVnP5jkHYr;eVrhwj1qTB=E8#CY+iEB8vJ?kDFlR8QfxB~b}tVfM%vIlTK z#-kiM$FQ;VZ!>z**3P%&(oRJNo`}g0O%vCV#VnItErt(6SxWN!1fMHwO&^FWz>A8;WB3<6(J~c{e^30hCKE4n9q4<^ zQ^z>R%#oa)%^JV5yB*x!8D77oQ3tsqL=!sLE1wK(JCRVmuQBT`dY|IHS6`EnU!^Uk zM8BA}U&fjhA1BBayz`-@B-RvZO(Py{Fb+uti%4N%BzWHc?AW zIt_7)E^8R%R6-T=HTej{$^D2=Fuu6Ls-sHveE{*=@>PKi^r*U}H!yoSz3WU|WQDzr zz6n!jKOxM#II6Y!Qk7c`T3&^PKhM{=z8TDeTEcvWw^?!PqnvimdI%=8Lv&@v)h~Sn zb0QrH3PC691vCxL&PtW)Ju%4Y-+8%!0mUry_J@TW&@ybTH!5+g-w8ouFf*o;obF42 zY2~SQP^KaQwX=udZO5ycLlfgjhUkwM4;+XL{RA&5Syhd$!md6?k(`Ovj=@>?$fYb7 ze2@NQp^YIDZg4kT+NW^h|o~~P4z5~}B zrpQI)Lj7?0vdDAidc^GN%?2M?a96RIhPz^}V1I*sr2CoRL-gX$K#(epAdO6Fs>bdp za%s9f++KhO;mdw*WQbAF$_Qz|Z9GP+bH`Dj;6Cr~^qsT3 zVpjPMf=o2lg3+`F3+OCO-=(xTxhrNC$p|GzTm+2GgA4wy7ES752w(khj@PkD2)R14Eh0m^292{CE z-KESSDluhVSJCBLjvmi)0IB$=*K={(AY;yGD!kA$w$??CpX6;5u@0TI{cI!8`X;46 zJvb3SoiU2dm~HyhbB4@Xm3Ld0OKy%9)v2>Ws*EMytrdjLz8MPKIWtIA^0k}NVOQ-= zVoHh_Jjb~3KY*7A)UCrf>)U72^IFzNt2+ts&Z|&HGRa+uaI*G`U&ujSPT4@eK@$l} zL(x1?1ccm@{<)Sc;=VjTLQYOe8z=dmVvWhCIH5u|o*cedrGY%}9991?x0(s(VMZ}EmPia@6zx(XbM7BcNGSV} z@rB4dF1bQDN8E}a;3Pi{l|d~e_o+ZllbsiOcl7rafzC-XObiYjHhPCQb>ohAmYNG`z`d(f10+gLcPFwFQ3a~nrdjcP63e8^mz60~Iru_-_Bt~p zN?4rl&AH!AU1A=J2XzPq)amkhLjTAwT=sP$j9U9?y2!?2I6pizcKh#Ah|y{Meg09$ zUg#3(gy%*QJZ{!r?gG@dz>l9@o=89o|4RdcA)Jza|NQpfH+$ugUr_$>>p$;_qvAHR z|DmkEZ`vh%dH;Xv5)hL2r)mH7xiBtSm%x81_v8E9lz}P(7x!`wm`rgjMRNK^Mn)e~ z%hgSh!MAUJL8Fkf=6~ozsjI8YloeZAS{jb(?=m`MP1klaE36HuoluB`cZ=t+ok1%W zu4o>@78f?(HoSL7GsB5R!m$+sLRwuw>r6(QgoWcN*5XKlFU3)~{G-=^3Dd=Ri*PK@Auc)z}EwOB-Ei*sZ>fDHmhrFozQnk8r8DRtaKg{Q7%k{T-ob= zh~Vh;e$`S!Z&3?N*dkG5wZChMgd`v!Ac;;ho`|ym|IvU*OkS>Zn&?ui_^TZGz4#x! zsXFM(`!;%HGK#;2lTm!I#{F=}sdht6t(?x9uE2zc5RK%GfOO2(?fvhXx~;pdt{~Oz z_pS-ZcUE5QM+WB}*$bWfVM-T{hVxU2^Fz*0B6UBWJGO^*C|cQvrQ6GNcclD<@}{Z@C;wJgtNyfCc*nMP0r@ zfs9(TDS<3Hkbl}xut5?{z>@k6H%%4S&KVm1`q?IfN7y8#ej{KrA$wvhh_2Vyyeyh@#oyix z{7dNwHb$V}Tm{2Ey$5pjQF%+?b_BL8n>Qp2kDJlGD40n3pB>e^O%3fuv4eMnI(XbM z?L=_WK}@vayeq|x$NT$9%eRWLv;LoaCUxr7nSDFYGi~>bg=K5w#}!nz*pq==$)Djt zk)MuLGc=FBE!3>suYNnd9?l>-L$7upQnhaI<2KL<;6D*}394P{0*{Hkn4% z8k6x@&Mq=mQhhajNV6iXS%VZK{vV**G4=-PZiyCzVL1R^`ya} z`hgEZrmkL#sjwiW{K{E>ggshEj+U?1c#hj|m4lOl*0psGQbD6S0~KD7Tn+;(RsS{X zebf-;1-7X@p@B`KX48aOQWHR|Q`4%M1laX;E8 zzLgZc!K+6s`|qb`ENRq{^>; zflS*J&P;fWNXlt5{wM%_RkbF44}`mU)@k;5%$q-EGYKbf@Udo!{YizeiWEEJjpJNl1(tSbF9@n>pkhMdCMOB^tPP% z8H}sW_)~?AMxoG^%F?32XwZ+u^HL5%+eH0-zRr3n3L5feqdJH~%xS}JBnenIf1~9| zHCoN^@`o(>snv5Vdj*v6BXZ!IXY9)Bujp!Tx9*Ql_bvj4nb=VXGCM#%=m|#mUnUV&KE8 zf!KxI>rbb=LVLD*J(WsqksU#*ADBg5Kr^30%jKcz@jh{zSlS?G75{^`GhEfABK^#W zjqxwV(qi?ZXiWUoD1eE>cFQ?lwVlqJk!H*$Z#0s0^l9ZEkrIlz&|XD0M$cigs6%?+ zr!l!~6(!+Thu)TM#c}ozN(U8+dDXKs4^DeRs7C}n^Se$uT}5p?!px5F;mWe#I7Z7# zw)&!`r0uVINqsDyI7UfpTi!y~ar+)K7=~GmtLTgbyOA3brp4ax7H5G_-!!u*(*hNyd4(p51A+G_w&Ucq6X+a)SGPy z5Rp-LvYiu$Qn{g_BLyam>RX$Ea`!f6fP>~DeNgxl7KXE>-cC@P*5wl`T)^@*vIo1h z-u2cBFAsn0K0J`+eUtCyvzM#;HEs*QB~SjDY!zX4)5{v0?!-}VIf}-$-6wb<4<}=l z)A#NcShda<5o7p^N7G~Bm-ER~+2ToUuz-7jn0M`C74dElRv9=%Cw6cbiNq%It#08h54p^ewVj-sj6W zL%tf_pUnb6o|i+Q*Aw&n`UtOcrq~M5Dy3=*vr*@1`~C`F6|vG#FrC{`%`VvH84O~( zp_-!Jyuo<&s;%iGSg)O+s}~4)fPMTIVC~pZ#|_0zh042e5$VOlBMc=OS^wF_i`j<{ zC2!bo`z&~-*>M^KvW&s7#)zr{%t$8DX@>6Gb=z|%j6QoqBAT#3t9=w=nrzd z6m#=f4P|MUR7k4M`{AkWSImYcMJAFn0;=TUxmGs>+L~>g8h5J!T4xgT#!^Hq7i%MZ zjrs2g?zavDbVfOEVWwA@Tz8mc@z%!>4is_M_@gw`oj})oqup-w`lw%hvhLQ@3*na{ zMFtXaow){LYcV(*+8GLHQCs=AGB0Twn2SM@ekWsk_ih+b*}M~}ukpneX3~SO0CG9| z-ji_601h#+M&k=~m(MfH%zD>U^cl8BufXzC9=CwKwK9p9W6XFScz~`NVppB7R;IvD zb(eBeHZPh1dhPDpEATtI<1i`v`uwa2%J4nnGptHEnT;w4jkD z*MkQ7GxULhxx2x ztkcKd0j>i0Wby*5(%HjgRmD^I!OiKMp2wU#0H-{af_`bfqem2(2sYO&zF^Il)7@!h z%)pSCb8dU=gU%=la#T}+2$Lo62ZJ|g^Ho(jz=#lAVV~yY@bYX7{TuB%u7E9sKp;4K zL*D~qt}j*rbs}l#XTJ*kUiA$THbLyHGxdQXBly&2H)yalrCi$zY0`I2UcY-Jb}oL= zkBCXPL?~wJoPk>=C8QErK@s>fDWfHNtL&9qrf||3`1V7o!HGpod5UJ!WV1ynG1EY> z9bghEk#|NGcLqsw5TlJLyglihZaDR*{jA~Que5vx^Gkk@h>2`taP5qV2d8`JsN{GR zmZF(LahNr9i2h=#IYS74Y6`JyOA3}GoyUfe@FyaQiIfWv@0yEp3!#~e(?*aya?SFc zo?x;8u+1ZQ#JA@~eCP50Aj)oBOjU4DE1bT(OyX77XfkB?@lF5clwawIM!<*cYOh%u zi}ueXlKsou)sN*;lNoSCXpSa55KJtiLVcSde6S4^9BhH*BzPc)&Y98NyupiltvBxfIwpx!0YBxl%jmqs{b=ymCh+RS!iDs$-QGOH(%^P$uz zAU1BWXU(h&k3Wd6a@c`Er`Mb~_aAwDezkv!blNW8%G`i*3p~IPx7N=ol?>>iL@SQ- zJ-AK|3OF>7jYV#DYw=-g$$n8wkxgW#F;15;dR}tCU2XF%kV>j?&SsH%cbgbq-?2rw z?<6Vo1|~~fkH=T&!&1LRVd3~l-EY*#0GKz) z!CDX3)|umTcrHq0CV4CXAJ8D3Jt>TDy36DR1CCatYT_pC-!1Z%Wzk z_eSm)lc1ZAJz&Y+#_n8Vho8-v!NFdLC_E~$N#93vQq#yl)RV0hZ`GZ*1f8sJSR`JS ze*rh2ZZdF=^HkYVC8v^1XE$~O)usCD1oc*$fkDG5echO4^J{Z-9n?3o8`nvs<9TVx^H(A%D`V!oBdaI1?!xS}g0K05rT%2lpLC*-p? ztnRsO!<3blLsc|sqV{~Z=IBcV9#@lucptGk3f(3dQ^Poj%Gc7xy&Tch3g&t#ACD6> zABc^3*^Ij&BlZS0pDef3Z$?1ALQ&kN;%I$J0mL` z8~e-H8w;+G$6^&M^J_deHW4~uy{Us4Pb-HF-LyzKXWGiCgGqD|Up+n7+GrhJ{9NDd zHdABLGaTFsTKtsqrQ=fo3zha~$BiS}^;8nK-+7}gw4Mv0b$PGUFc9-POAT=aZuEb$ zbB6q4fV>V*_#z@1qkGR|zQM^uz z`UMgKtDi#U`mkFh>p0A>#1Wh-V{4@*HH%t^=&EQ2BNyLcXs?+_IYgJi`OCW_GTPD5 z6?_k+qYXJ8c^2_uISo%^#@>-f4~}$P% zfKVa(Hx!?Wm7ci1i%ETY@6oSDt=0BG2RZK=gLp~?H(D^emLvSFGU05GmTJ0>@WQ!v zm3_RFyaCO57V$@otXs(M9ITa#0?w>F*;Lh*%n`C8#5mLlyR&ukb*A&Yl2R)}Vor2V3fkYR)-Dg6C| z(kc9n0mab<+TXhEt)fynZyuA_u1oI1H3w0z#I33w=hl2S-x*VC4S}Y))MvE(aZzAxt&#rEn7ed9Eu<31->=p6!a5P!q7hatd?XCOn7Bdw;k2TjPZV)bL-3nc zyg1txfvz&Ezmw5GP*|Z1`t68p_?E-40Z(77@-wDcTQg@Pnsb9yic3GuO>S7kw+Roe z4o`nE9p9Xl-*Mz~%3xrw2L!V5U{;Jo=HQZfAssM@v7gTdh7}y862c*N8to>lF~B40 zTwBHqWJENV$GEQNew`w@f`(o#lA9j~!#gLz!i7tE%uKn>_iyAzHoQ0kuOnE?4G0DAlEJCfZ<~S_UiR@UQQ=rqb=0>I=dfN^|7gY@ zrIL%_&(DXof*Rt3!QH`v#Wb*ins-lX6*I`WQI>l;A%_^Hem(@Ggz8tll<`S`#VqXz zy2}{1Gw#}5m0l|dR-5tKCPiaOHPr&M6%|&>`0Q@>+asnE(#kquIA_*YSe)t&;iRVj8HphA_GOeeHIV?{(O_hgeN|~

gxka&}qKc_n(<6 zHqr*dBy54KS-5T$!kKk;EwW1TRZz=+_;;+p8_bWdNmuT6-LS8zd&rq95yPbwooZE6 z)IH}v4WR>*hUL0Eb?F{yn6}E^j?|!BFy4e>CvW^%Gp=K>9q8?D_aiCkDqxRM=D#L< z!jN@PCzz8^f;tgozF5dUUNfVRb^jiB%D$-50BcxXpoi_1^^g@V8|-$AvRCO^d?in6 zL5%w4;^Kmt9R6G;`;(!19q7~L^?cz(HyRv00DoNOPUz?dj!uBwS+q|l12t~RC=v6G81Yx9qI>bJ)HWJ7Tj&oSh^HeX@=eX=Q~ zX^Xks(a-1@YF)eZU*L{5pzHun3(lkMx-mpN6LwpxSmQcv5!bo0sBo^`4v|d#IdtIN zzd|ZCi$JlcQ>?z&enPXD?-(yLn@$UCr%4h>^z>S8n4whdXyiu4d}&yCz@L`o78&IAHw)#({6_I)dX z_0TyO(Mnul3T3XBP)UA9>@(TEFvx`yQd5(4xi5+Q+<plwED=4P;l3|A`y&d0JG?k0uEHJ z7hmzWHlV?vUEjk$$88u|iavEn8f>&O%&?aiip72bR?dXS2aliJDg0@SZB@o@W*2AV z;}UM7Xlpx~R+!ojp7V)WAhDi;{oaUyIO_I7_*_@VkN%~q$_&_!QVcuTBIt~b*hgC1 z`uv^IsoAm2fXVYaNtC#QvTrkYi9@+z!J&4X!6nRO)l{w5*YG|y@R1DdZyMVaFPsiW zgahR4+u2X>xvh>VJLV4&ASQAPHXi#&ovrOPTf_`-2kq)pUa(;IX88C_N}(b&hK*Lf zhNO>mBEsiIjJ+mbrCjhKn{)6n8`nd=_xtdNrs{+0apr?N%F?B3J8pcz(T*&l^_5MZ zH2PmNk9q*YkdYkL))P((m7iHg$_@u+(0qRVbl@cL2%K;IvKQu+ws$W@J*`q9`295{ z2Bc-nkNQYN#*g88#yF52q?b^Npsl$iUuR22tz0SM)H01an#Am=)pb!Uo=A@NbfLQ` z#DVX*7OlP0w>8Hj1lV{bOF&PkEX!V$=z%SQKlQC!!EgndDp7*B&;zpm<)gl1^T{Irn73xBo1Ly-+r!VgtNSZJ6c5kW%LsH}b- zA9!=Mz?nW}ecbWQ1McCv{D!|K029PeZ+r&@6#3$r%Q1S=mk2DWY%a11$xLHcnEX4> zsD;o>Q)kMqk;q^S1bcNZ{3UZWVZQ>0CX5&*#Ck zbyzIkP;cP^vcEt!4c8BdD z;8TZ&Wm*DGbU zC?*tI)^#GMkx*?RxuuJoY+W8nIj`k}U?cr4tJ_V`zvLh1P62;| zZ=p=>87cfB#;W{2YQrJyfp zX0WP-C2d})$t4LB7Wxlxd;}GzF8y?;U<20=64qFnu`H)x6c0-dIut{~`xl5At^&(v z_au?nA3?9BW!@3DKXCMXx1RLIr*PHp#Mc-7YAAM^gcZ-xms*CBQ!oWk9_#90#^#o# z$C|*Sn!D?9+*jYjY-&x1pgSrN`!gE)vh*OK4QofUg&=l1jmcJhuw&)g0B_T$H@$0JJ1#RmV7ZCEU(=xOJ%5h6!*Q{ zSgx5_qSs*!tY_R%!ASl`bF26(KIHb@GbyyU1B~r~%5~t2@d@>L0jYj57U74hCX_QK z6UO5SLzcjo>gx)oNA9RRk5HrE1ZVoLXVXe$3%l_CK+Za z67WmSK0o`K|As(?@M+w_%8nn7_vQ`#UI3bNcJV16K1g&C6wezie(B~Tr zU%!CD(EO`+3YgZAnJIuNGHR|?Dx&tco}%9F`7DE{dEa(`E&tQLkUi>y_S&FdJ;q0B zSJ=Juh9a2}a@OCf{=*G>REqsU$bDD(X8NNo6cv^@3sLm2*4Pa%gAp}C$xP)|63o3m z!NH{{JTCbA$18PmuzlPMl9<^s}LX>HCw#_VjVB9TTbACu+@}bUe|`d1D%> z2#OpvW`gk3Vur=asX+W5a@L}6VTAa&>SGy0@hR*UNU|>c7U$M!*>@w2wWdEvENgzd z?$>0?(4aH>tShjew}hzXt|}cdr^AnY#6Zd_g#CLpnn_gVrd{t$m}fU)ckAo(vQX#s zVLG|j?h`P!!;aDz0AAiPv&KH0p)T7N;Y0w>wSfi}0I}ONHaMzSwBGnUsT6KQx?pSf z6Y2|W9d{auG{TsBlRz_8(~rPi3gQ7( zZ zBcv}*b^Nt~>o&+YU;i2mZG%(mC?W@wiZv~e=e8xD4eYr%s)-MbG-wwIY;5G%v$Sk- z-3$9T$G$Am_&4eXSt?*v-})6>y3Ihg9xF<^R3Axp{jg_jkI(GLHiY9y)Ao7MR_IA} z)|pbu&D|kc)$6(y!VpQm;3QQ z7|Y3oqz%uLQ+?=NXLgp|Q;lOvdm;(OM3sYv7wryN7x2J6syR#VcJl(v$GwEs$yDnc zPg69q=W)mfa=hKn>d=W02QSU&;PH$nJL zEoDU4sJbUb42$t+&K#9Zj0R&rIf!^F0P2ZFjDSnjii#Gaw9D$axgLEAN+n7Lv?C+=9@H?c$ff;Utu}?jJee;cGa3CY3d#u&;TzS2v!G5P$ZS{n2 zOxB<+yNcm(aYueH*-UOE@yD*DSUW6mq{l1(8#p;9tKfqn)Cc0eB(gXi>fJrry;o>K z6I%J6=0A)N6t@vL>^x~_JyVG%499i64F{-4aNIw{IXm;Wf74nXb~t`2zZ$O9{WHJ4hyHoO2cB?# zhx38wY)_DWfHJ*e)f^8+MO$NuRh&YDx=FdzuTXPsB{Z>FONDY0 zIvym#{$BIa{@^$AXzBhw%ooW*-JQfK-jh795UY}Nmi^>Nvz{2vtYf0MH+m^{XOdox>WaqOEPk2+?v!2F=0cx`NKhL;v? zFNe{D!a}S*XX)?s`9#DOk}5x0qS9Ik<=hkox%A<_w+wJOzQPY(EhUT8A;UxX7cm=nX%b<4wf>*ZgarHW_$XhaW>>M=^oP$13HdLK@$W{A*~|`M zBYnW|Fyo!5_Pn9o=h4}53L(055FHv+EW*eOW+jqC7Sdpa1vdJgM?&u0XocQhkd#@b zvilW|@LO6dCwvO_gR3ObyTMq)ZJePiiY5Bq3n35l=2l){9NL8&-8=CbYZn;0s%OU@zjzvG0mGdp2}558Z)JqcmdvOc!QgR3NjfZO4%a;~Whdr>5C1L(d7PAnWHU4c(~ zFaB*M`O}k{)RwL$R_y*7n=k0mS6793nYR8$)M~%fmjqV9dlxN7J<__U)L5i9tc!~I z2V>f~&Btj=7za0e>SSweKItz1NDg#_UQDVV zw^CPxc=NnBakHjFyH2c89!U_l&ZCARaM>e}w&=h-CL7#r*qcu@Cqe|`_PGG#>vNfO zlC;$9InHVylqqSSsoFI|MBTa;zl*<~&{g!zrh@|{iiXM#=o3zJd=s*es%);tu{Z=2 zEC0iTnLIf&Nobu7I&qRh4l{GyKbGZyuS~Qm%HeYi6E(v*ESdYjuQ#i)vE?3&ChG|F z?GakyQYbf=$wCy(*W@sH?ZGg+%d6IKi-w}a%j#MkBQE!MONd5OhQESrG1!{Z(F zWyGLRaT%9;k-nRyOP#EWeAqDsgMylb%&Y$b*&aRn_ys#{G8AIdLaqRd%W5Z2#Mq7Y69znfXN)?e5QP-9r{mA!Gm9S$KR!_-aOJkJ zcq89jF?*m#7JBR~D>3M%#q~+b7faG%Hy)4NoGo-bz6^RcEE@{2Kpd^Jn83Ppk80&U zth@{a^LQLEl?jm?FDDixc`xV^bO`iUF-ZvSF{RQGADW!yBim-03oowe_y1o5;(Qcx`Xd_FBC zPdA84p|47dnw?1ZN~xp_@6B8+oAJuf%o%L5-w%R!d;i>@!{4WODEijOR7Y=mx0Gzq zuS+*DS-VK0GPc$?lG0=jW?fb01g|mCCc&J(Z4{OqA*ehO-#?NX8c8kRxWRtjH|r}V z{E9hHeju*eM*k=SXSO|&H!S|vO69Z=ki;<_uI{~;so$5(%79p7bnPUFYJyrfF2pPJ zgg7&RvjfOgm>@e{<_@ zxcx5tKY})&-Q3OP=t1j){gJ`V*Dsv$X>T$U&5+F?oDk4VdWK7eP&5Rdnmf(c$a}6r zj!LN_DxRieEb*TUB-R;=gS{WN_lENmkF>O+1LrFJR}3-}_?(sen;g0;Aq71WcN%gR#s_x6DOEFIA}Zp?$>deI5r>ZC!xJIHX={V056 z#6yd(H4575cv8PFlL0@<`)kNbf7WctQj*=s{r1xX9zmFXGG~Jw1mB5D(e-fp)rI_v z^M?tl$h#9Luh^XC!C0yqnQNDWN*OVuw^k>h$bM#Ic3_a-D2^_~Q`+V5?i@ukGE*fh z+HOXg|1Z+)fWe%7>id{K8-jF4M!4^ZDpQRaQ5;Rge<#g0E@%Q**N3A{xPHrP_76SP zrvAuPhLj}xI81NrjM#r?)O*Cr@`-?L_2~ULyf)Qop-IO#ucllG$G@9mT-R;QsM9rob#}0Efxk9GoM~SeL`#8^cql2$V zc9H)d=^VF?JG_HJIO9qYu_S_)&Lb26r-wOSo7BmMG0+~L6Srn~ftOO!*A ztnK~_KlyxPFXt{eB!#p|qpI3HD!k2Iv~gK&7z|i)^~N4HhqaE;#3}-+R0V0L7mLty z`R@9`LHWIWe*?~Fl!tFV?ObGE@Pz&L1(7T;%lN)kR!uWtvwFjKJTK}niu)s1<0&3b zlK=V<<%%^cY{RL^wI0seTxE+CpU%M7wGNlDi45XQ^lisGRF4l5?iM(9%IO{i2AdYo z(=O1c8f_fg-nYF#{BU~ldT5ik)t5BIdFuI1e7NRD(S!$Dw4*|5c%P@ZUWg@61n*lxEOI!?*9V=psPZ;>B)0(j z&bll#SX^Ypv@Q*4B0p)UeiylDIL-QqIAh) zwPBCFJT~*ItS71}3VNX5+IHr^8W9H$?tU)#Y6yB}+kwJ&=DjH7T7C3dyT561obAeQ zEzb_pdXc|7QkR;HYO$wC9z{;Iw)O8LMN-;WN%e@bRa0qX`2?45w(3GqJEje#HIEHq z<2lSxj!9%5545CPw6;yj-Icc0hRlzNR?1Xk5A+ zz^{h?hVKZ8_oE*Nya1oS42(#Wrp}ltlJ*q^3;e&SpLDT7G6eqLqX|oRREcjr&E|2_ zX?G|$+bO2*EYD1h?Ss!5xCTySvkL5}Y*d9<+nIH3UL%cXxMp zY1p0oGQT<3-g~Zjt;2QFoK-bdU8BYr@BQA-$)vgzaev>pVDax+95%b+I2v)plO$|0 zghdI~KSo?1#k6k{J`4*ieLD?H9JDq8*u0 zJB-0`FQa$U$y3q{9mzJKgfO4e^!2G|G%RgOnX3k$I!~A<89da}H*L?6a%af&uefo; zcHpoXaEB!eaqdS(Pp^OR9S41v(Qg&uxSx$X`#LZlgp&9$HnsdR_#TrsdXXz1i1lO@ zdeQ?-zsV5s#WgC6P^g29{o=m@zsplWI)CCwN(DId8LYA>c-#zRlclTwUi`R(->)GK zcRKZD6a;?PU?nMp_%^D(FrP zP90@HHnH_0b(WW-I40CSwI$)8El)ZdVGo6x3}YM^)1tJrw+r561TqXa+%3H>jg+~N~otiMpgMlqf;Nu#`8~Skgt2m>3`#@ z;Tg`gDMzmnMriZg__bFecrlZ$Ph(D}5`XW}Z%hzua%URXJ;TF!i}!f>qP0nnZRe*- zJrn-O&t-$XaVy@5X|kU#jvTJ(uR}d;4uwv9gUr0c8fjuCi0U`W89!0Kp9A5-cf8?b zR8wtoR-Qg8fn*frvTYB7x^QZcP$%+Gl&*k-wTkMJ$2?7|rs9}Ek?VMhz1>KbQKT@D$^ zs6wbw&-dz)TZ1>E20|v4IV*n1XnRq;V$ku|p!*otGOVDa-`pLp<01QY8~W}=ldHuT zfXLR+TaYau@cTEhV--Mz+D?AoV?ekp3>j(YcrLDd^m-xCz+@$M@wj3G_zg3Ki9}Hl zcxDQyZXQU9LaL?9iHqE+5xGW4g`S*tlfEJAxwK%ToSlDclSCXu*~_NNQ6FgQBhp1# z=o%hS-N0y5K74bOg4e-+LzJN@aLyU=nIRrae9mtRDY@LR{c7|>L`r_%+BkAfg$NV# z-~+AXECSIv(g;t?;xCHF<1#5&bq+-^yN#1d0?#cXp?7EjS~3TvDn@V|G6oNjMV*|B z-s5qR8{|=EJghfXI6JP1P(_bdl)_+XOEi@%{=_)K@lG;D=$8pMe$_HpY0Z_(p5Dbc z(`&`sh8(85@wEN&k`JVZ*;3&BL>oRR982EvBs8(1U0^inJIs4 zc6E~O+T$*^EuopDksevy^=CdYN7v!4MCJyySawCzEQ7ZGx{?)UuPNB7e2@9XZxRV| zP(i^)P?LYh@By4BuZ%-TsTE7_$KC4ihA8_MQF!nh>E#n(7uc3h0r*Ujk9v?Aqv9EX zGwD?_vo*oPeDP81TwTO{EiT}vpawq@PT%R#({2&_$L}Bbm-x9z@eEMHvsEm9)hn_6 zvUx0T{-(gH_`O-Y7zzWeQ9`c|%@0EAN_8PAUC4MfLmJO;`tqv}th($0CpB%?YHf-y zk&@{?h(YlS5JD#Muik7|tPJdxp!;Aiq2Byi=-=S)v_ozi$OVNqZtqny1F$;Z0Uk(5 zxT2Z$QAirJ6U*NPa|G!iO}yHKbK2(P!5&BTe2?n=|3I< zvzF+ir_0PtOJo!Td@)-Ism-d5_9K)pzBc@{5gu5YDUg=4fmeNXi|9GLCt9a(N%tz- z)!$b@SD81E<#R^bbQP2jU)Unj(V z^@t!UGkNzX8Rab^Da&P3SsKDYvr2`OqHSo^8=Ju@RDWK}R? z^Jr1qjCQ_4$dg(fOEy5}K7-+vPASE1#R(9vNA{@5!XSlRgJ`T=&FlV(Y)~eY4MZjo zMc{WFyxd$n&q`_v_{VLFi~vQ3a(vgd#IlC^ID5m#Be>`4M7rWY8ObaTi6#Jp3`)XC ze(My!*FKMPe~>6k673?!bpTyk~1Hz0pC)ze>10m)lew%b5;D_s8drT0C^|tG3$dw*aCNbQ(;%Dp~@cCRnNq!f(;WI3@|~U5s_uAj7D5o(e_wd|Vo{$hmdh*f%+;-Z>sRo1CNH73v=>|< z)YYA;K=8Eh#bHr2xk{(cys@zPj+A+Y2wdn`9;Km_M}aBCc_Acp~zg6pXBFsUaCnNm7G_haHRiWzhUYkgpX2t4=0 z8vj|ds3YcwGVr;B`}d{qWMZp?5evAdfCjE)`@g@sNGH*-^^H$ z@NLdKGv(7G>TA>8LRe`7L2RP>OD%!Te0HjgBk1bxlEm1(dr;}qNe0;TfP_RoEi~JN zs<(TkgWs%-?1JT#e}@@P&1{&ue7Oa)pvyxP@C(2C{kHWNZ!$vbk60p~gPNc58Qcbk zF0E?*3n$cXBK8>x{--KF0kU%c6eD1@^lbOA$wxYai{HoyzI4F=vht%=9RV;OrtA%FU6FP%Z}9mJjaB(!zAR4Uiel{}H)5}LIsB`_ zGV`AB0ey&jMy8z=M~j={dH(3q!t#I$2PjpoPbpz7Rb-L z&ixm8JK?%y(Vf^NwM-I7qCY=@s40|Wqs)gBOk#kybnk(U*&;Ax*|UT5-2l1dG>z%2 zNUwl@%N5hdw^UU!1FJ0Qv4 zob5Gb$)dUP_tB+%QkMfu?^jy)8^_lQUbRSZ+rw5eU*WEVGBO-@uO%f5Awo79?@HO6 zUpBfx#T!>GV4TI0<0=c6j_iC*g48(WYOfcfPY2@R?7EoRy*Qshqw*D zm_nL1o)olHRjH`8THl9Aki$CW@L>(Q-JKB-;EXd6~C(V7vr_y-Ih+n=wN z&<8>Z;3A)qpKMMc2ZWvtU2pL4$^}84r7w}Nt0zf^KvA0dI<+yBY_6*-z6UJ?v~5_E ztvI@ic_lR(uEzUxL3tqXS`_gz#g=GE;iCOwUXg63l?ZKW*AYsl9m)!?4 z5qdWIE7zyv?9Q$!zxgtl-H&F$h{w`hp)bZn;!AX z84ZlrCcE9TDO23m5sYDpZvFe(hRLuqS;!YrA$fa62|Z-(%F!9AU0B09e}Zs37*wWo zeF?)?Xs>&`K{X~ue0Tv`ECD>Xr{d~`RNPYr6#=bl4f>jxFo0l%UhvS0FXS7AO+ zI$>-TbP#KGSoue@>6FaH4%{H&ojiT12d3>DF8Ja|-to`s$m}Zb62v#yATaC>hprwV z?@j?pv{r&V60J|0ni5TxcAVKhimsa~+Gy|cydDRgpF2gT4bjT1lYXf)Wl1;l9xMI4x5k5QBQp)+@iY05eU?k6;48ZDj-qaJ2J2sQx63=68w` z0xB3Vy<(IWJLT)nFW=zXJ=0l!KISG0QRuvj#I<1XOPjvGjp-(Cu8-`?5dcD?l&yN> z82yF061x`?@1Rz@NSR23a&>u%dqE*wvBn9h8GKlI?}E}1h?_2^@LR}P&^U4)+!wKS zt=>&T_0lR|dZ=7&v_&!~YO2CEDL8J*KqQrEcFRU5%J0y}iyWiO-t$wu_CMXnj0~X%x>n7)04j7`4afGLG6tbCki||j^=eXA`+c%z})wDdb zyY#n-EIA17E($_w?PU)}lDW|i&qj?3$QKP~lIx91ojSgGc!}7T4os`6dl(8?XL#U+W~1IIgkVa{96&l0(g5@@0sI-2HP5LBy`PW<*xJpJ^sa zi;{AlkEC9jCrMC8So@`|WAu>-Hs6|!Lejk%{>m?gK}{z%amnp8MY`3hpy8>K@!E+G zQfT{fl+6&7g=gZr@VAlOsNdGD0?pEJ4m)Q_r&H{N__(*7il>iyN7=CPdA?Jk9ul%0 zUFw0#2w5%lvDu~fKKy4RRD;QFtz@ZwRTzQzf=Y?2faZgSnf6H>mFg$%cWIVm(6N=3{KVvqkazg0xGK++7Q9$jIo$T7Q7m;xA!m!RYMGq^h@kW}81n@P0*> zEi@%fXYo^Sxdh$D>BJ7dU-*&5=D--wBl(vXnv>a3Zqr}}cf2Gc_nP}0@9#-M0olq}*vY#|j{|SL^t8_uZP106 zc^b}iLm-)JaeTFKlfAb5V7^&*GMK<5kTOjdI%p3KmDB%@jm$HYqqe0_t1b(2o7V<#zeG@l3}%zy5p=F&);S1{m9f}{dmmTIyz>`3@dFoS%KZ7&V#qt@Q4 z`g>e(QyYQ1N;9V;#&tkGTaajvuiG`|N5bWI;9TzwmC|M2sA3W4cQif%>`rqS`{-g9x70V} zpf$2opEnv_p4)jf7cbmZt6(By=>2g9S<}Mr+S58XbsOBVj;dheAZ{72rN7va)O7*N z*IChcRKo?YefKCOa#H3Ge+*wy_-C#9ZzW=hkk`sR%ag}GF`FI_94%e|cD{zZ!NtM% z!lQ^oUq~;rp5tr>JXveaDLS~3Xkz%dbJ5H z(XX5^31uda@m@8Yjq)tatGV!^@U?t`sHD=J32;^r@9E{?g~rVkWQ(&HNRFhUQSZe1 z%mwgULUkT7BLpP|p7GbAmP~2zO5gX2$8m?mlnUi9uH;YrHtM z_^Vr1HJ-1Tx{rDtucS=B>WiP_F$jOlSSDPDe3`Vd$>)@xm%NCHsV{|njAa=472o1W zh$oSe*^~*k00K^@cJj8z3HR~H3Z_WG+qr68f3I~CX#Ts3#l^9WBm&}l2{Qx+r>ie|0$=cp8YeVi=@xjOSo(R zw_sZx?~9>*Ub6=QzoW`WyLr`n0Lv*DPlUuK{^9h|<`a4QyWU6*A;MA5Uu&%3RVDRS zOfIJ3D&Ic%M^u|ZR!q+^e3*zvSKVrHTe5bLQjNRazp+{VQty%DJoh9jfzc;ysaly|TQZv4oa;^D>n50U(HK)qGv z$2QhqlN7J!&fn%p*w~%#^kO`~aG`F?{2{}S4I|X_8Coxa>v~eMT-0S3CU*m` zfNN~zO%}otiM2FF`N+|TRlUUvh3fPX+h5CJXi)?lJ$r1kHoY9qFm&K0&=;l&OQ9ln zsBghRgfdshD!u$;ehHIBWUB1f%7`n7h=rYkA}O4D%h+r@@u)YUtf$G@lPCT_4|Aji zZfPca^)b8-rFI0T$9G00RdK%%HFQ8 zE9mki729+O=u;OJgG`@hUA>1LWm3ndY{v7+r~0SOCo?Hf3^Yo3_-S-*@}wtYK3u3Tgb_qD_yPXnblv$uF0%|ACu#`8-xFrP$X-)Wq3&o1~9qC3kB-rHzV3SW;DTSqp-|<@DZ?L9 zC`Bc&F#yUBgM(ox5vC7eWXO!6VSZ&Li~xf9hhCg4W9Dkc^SYS+>u`Bf)@PUJonrem zVqtWr0S7}#M+^TK>Vn#$MI3w3U7;TA=d+3344=vGn#E2bE^okQJl>bTuz?!vb%jg(0oKc}h>tPqctEn(IbcoV(;9eVVXTIX~?6KrwuAagk?UwXWafvJ^uRgn>B`I#X>?)p^to z5SKe7Zx_L|lR0Inf3yA+QqD=_{%J?fE`-#eqs^vmMTU2`3VY%n)od$u2QDD9gbq8t zOc%WyWGhO3v1{uL+amtu+KrOgmzBO8gs=LH&BEtn=ViA0sSrnwbh@o*d#gwilwAn| zBpdBV-P_UR*Vy!}vI$iU;CqQnR!v1CJb&@71rl~hSFCn!{MGuSJvF9Kg5x#lSe%Oy zTU?hox3%Z-J~7pQEU36ve#>(O5bxv2isgI;88XKFnO=&9Ov?V*Y%A0g8hL8wBzv^u;H^ zeP(eHX^U1|7&(0pTMp*_&}pjX@B#9Z!j@*rAh6^^m;TL6+&gi^;wd`u&lvz;&SoZl&7f(9YzF|?XQ1*OY2#97|mVrBz;W~`(F9&(_J$be5tDG=2836M6-6= z7F_hQ4V#=%a*-a5Nj3xIOA-Zp!24V9+FkkbPY)*`?V# z>}Vls{Wwp`LM5ubWM@K9ni%;nxj#y+Fx)>ns6@Eu++Mq*wuIF5RB8liQ)tP)#Xjw! z*KX&iL+fT$g+jpB52Q`RYon1tllUCjYg6Mr3dvm~zxlB~A9KUw!BZ?Yz^Z6Q`Xb20 zPsjs?b-_KHZpsSUvUpl5+y#Ynrck!Gt;lZxG8N74*#ah*)Kl4Wy>CD9NX5Q+3SP9( zsksLU9m%hYWv0}8$D?k2Q)}bx=Sk?WFuazl?2m*x+YUjS3pn;Y7w-LLdo;KEqKE;_ zWDSJ-nAls%oIqH?!Lc_UfV=Y}G2gE7P0!hu?4!%kkGHj@LUC%XhK>P;?y1kXF@54xCG6rgrI-czfFoErDSXpPgWWxg%@f+l@ono2eS2s{!o6 z-N|4dycV{;l|a;V$c2`^?JWHtc^if9zlVOjlC@qy>(4X_?0~%ZpQi=Z+{@3ts6Jgmp*t+u9Gd56}oVMv~q6 z0Mc^NkvXAK)E`nqX5fPor)G93BD09Axu30p2z(gZ>z(_;bwxku{oeuTup?m&QFu1eD+lzP}hst7GKmU#Y z@PaetaBR-@SW?&F`Nup3z0g>-RQllY_i6w%*a2bP_p2+bPbMnIamm2r$|DCc&5aUxYH)fy69dOohz;?D<@WS z3R$A%erfu0qcu~t-`Hjn`Omj|r(zY&EuJ%g-odWcynV<#p?+AR#XPu3Ul_F_vwNae zmFcykTXfZjl>dMFCmTsSi1NP^NGbFPcjN|uHm2S3OAM1A#~109kokEMqkiBz9~L3{ zq$yZT9d2(%IBDMrrD+`+v>ACh;K$aPSlJdLv&geiXf-fp@K*;nEjhe#d($d&u`~ac z=Zi}0*b`ZQ3Q=5J4uyD8oHpU->m|S`A$-zpWx2fgr+^?f4CyDvXBC(5C zWfeTjW7AT)oj7GPb}d(T?k!X)v}n&%YK3K@6-6A{RaSVEj3He4q8KbJCzgG?<(wrJ z$_1)j^g7iqr);_N9ad}(TehJ_Uu}j4#0LpDKZ(Ag-SAd+kb$P9(LNM%nE%8`$~uG9 zAu*g!Fyy5@bE^RG_7OU2hF)!UV}1;JEWZ2oNZHRz(7}V1I7p&BorOV^Eow7|M-Qt1 zmf#=X<88?N#$OyB7WM7o$s6axF}GY-l-EimgE4IBO;8^a!Bx^wJb}?IGJ~c{a~HX} zSyiwOS)oH~n3e=QclN?zxA=lu^^ zoNlLP3Wf=lG8IXX@?qy32P6+@OIqq;e7~iSqTdz~tB4${Z=#TfrMN2qpj^;$&y{(N z9QPDrM8A2h>8U>=8adBrUO&OBv~ngAK%)m?nH%b6Fw1obcQQGFbCu-t&azh4XxI1E+a?>W@rjK0z<$4+@Pt7MDu)4TuJ zEJf7Kyf7dcZ5(IOFNT!m8gTIb9%_)ujm*4m<$Xg-XviO(uks^GVa_=yJ&PfZmS_FS zLVEl9HeHG``a<*Nr$3WvqmMc%XV;yU+@)E4{o+Q#ASm7Du_o@IK~F1~CZApX%d4e^ z(FQ5S`AEZVVJ&pAI7^DJ@PYyjiF|;k9LoWC{rmc#QoVs?m!&%K%-A!|tB*pDp!irp z6O0ijVylC}C(e@TzYxG-{Uu@&zXdvfw=a>{sOo%dfjaXiE5WiYrdBUjUm`S=Ti%^} z916N8?yN~(J!AjNzSPNMfFQ!kq?z_8rNwuGwPXNXaoxVPz?!T3w%mZAgmiF?a-hqv z+Ab1#^GZLXq1tlKb=pZ}uyE8`VQV#IxR+tB3L3aj-j6ws3!Y z^SAWmrY-b{d>=ZD`JmxwzhPwj@oF>;dAvg7*4%^|C%NoCy+*<{v#Rgx?duiQ3B9;u z-j;-hjfR^lVUEvORGVB;>p(DX1S)bek*e^9kP3aNyd2hdSOW&bseP)^l z>GVnhw466u)Jx(}Da9H$YEK5hov-&pADkhC`F?g5#o7VAYCobug-f598W9Q$#=j|M zGift31u1F@H2Cz1F$E&1YXQ?edRF)%-?E)5&9fb}Z4I-lV(4EPj{Yp2aX5Zx+`4$Zrc5g)SzeQ!Zks zl(ExzLF&nuy*k);1cD0S6}Haka5+;6nC1KBV5~%NP3bT(fO1uNo^^jZaNm?E-4&Ch zwk(HJHYc&=*ctREmkoTgu<|Bd_BMdXt^bo+SH#r-qm%1Y3ny-yLyyW-Rni z$lOf#oaZ&`3T3MLIrmsoisZeV@5}Mlwj}Q8gl8PaGi?GI z?{U79Wp2)r0Ahy1GNu^g)x4gOn*2Ticv{g~ihLTM|I!Ks^=TC_5M0dxMkR5h3`PQ1 zy*I5n0*j6!VjkNTKC!{hVznRBPcBc?&*WS;62lnr#LViBvyGf5G`PB zD*6QMW&?(yB2r)fF_xaaH9OZVQNT^a&5m#8yWKC*4KMevx2q6HOV}#X>{iJBS#W1I z=PZ)q&9}hnAf<-{zEyYPQ8Gw#)oc30&v^fh=YN)VdU`w6|L+tax7?hs|( zCFKW^R;B;I3nfG0A&9V#Po+Qq0%?#M5z;?>OPB#SntAH^a<8*Sj0mxEzw$}SZEhbu zO&tcDn~`I#GRqx*?zlsYR8&g{oB%L zPHj<)tmPe4#8kJEL!Kmgyjj;VE|}Y53gh$~&FZGiqFfw3ZBAFMMPE&-6xj@fYk_1t zA~;lF2TnCS>F|zTk%>vy*KzkkzH-YFygPj%HCL?0r!2Sx?L^)AG1EHeHigc+>KaaDh-zH597%t{M~wB>Xd$vZ;0y5V(IrkK1>f6r zp4EkrIl1vE>km!lNF8>+>pgGIVqFaV4ljQs`YYG;>~+9Gd*QQI`aXy1s%n%TVwYVKLqw#)Z}QFp`y;lI zJ!^dKsM7lWdRWJUA(SE-nrSGu@({HSn?^0d0!(+hhC5KL+PdJcY7dq%Q+ig zC6@)FoaXxustxex-@$qq7sEE;pG|H<0!t5Lglx^OZL+HUF6ajtW;$px97kb2Y{ZY` zgE=k>KQjPl-}xL~$=}ZuICoQ#K`@ADyQ(kSKt=+gmO3!1lD~6sF7%CGLZo%BPgm91 z(O%o$i*`iUG1qQy+fV__@F)fX?jvGne4z*v@%ynnJ9*p0-YmtjYxY>`389Odr#BD0i(rK(^>JFRN}F$!F!qNEOY^%B6C4OnJQ}t#=H+x^rrz-R<&QN~Ohm+Y?|8{& z)+_T`wWTDZSls8^ep^`0!p}KOfp$Qa<|I%l{iu}N68YE62gzI^=qaK zKde-H@}N(jjIq*a(et*#vXJuVreBCJ)>jR2F}3l_PtR9Lhi?&FZFTK*IqgUb3XV1@A$o3bk5^02L=!%17XH}V z`D>HGmrOQKnIL9Nz9Yi1MjN%XhCP|&<&Ko8UB1#~uMlLbo66A~>Vk;_w+0MDV$zYHcUoS|%O7%f!4yu5li=m4uBf zgQpFDR&Tc;Oq~)9nuhXH9A^{s2h<G5 zQs^KnR7^tUzw$hPmq{Hg6jo<*Fv<13d?Ge%PDD_N9I?4lbbU(~N%6#>E`?N^hMy+J zrov1JTIm+;F~ngVUq9kc=6>s7!?QD({3;^P>FZdIx!)oZr+^FA(QMXlYwL8T1 z{_~9|+hg`?l*M%^=l(c%y;`Ku;=R1-^D7QSb}frjP&v*Rf_oN!wfg~O2jSUsKd&S+ z11A~oJX2#qfGNhyrX~PUvhaW~z@TuV+R$tJs4dEC{L3uQ$?)hNEa1lkST(d<)yJxNcsuJakLMTKS z`UVeyf1wZ^&_+WSX1wGeQ-X#Ft@v{%!w3@#gf!0g`#*oin`?eB*?l#~6YA;ODIaW+ zxWCC$i#Y!Jpx@wL1mybBOGewGmL)mXfHBzdCRR48dvLKinwoN6bzz%jJe2@w&AE0F zln%>%VJ{_^vO_n0RulhAH4Gp3|642t*UqFtLl}ww;d6SP&8#zPiLwYeC`*6spDro? zv0ym6Fe|JYhczrJc_|7D)&9uEj;OO~!L*5}@~@7;BD_Kj>|6w*ZDKt53*X4aHM7=i zHqjsTPLyiq&iLSMXMV}~Muy9TB{TBOU6p}w!r6G9hh_7c13=<##AU}o#P4i7SXxfY zVdLwNZ^A1|KQKG7!7iL7D}h`yFJ&}aUR~6eebej15y@;XV%Pcx3ZOw)DqA_~K0*(N zwYzORU-HwUL(YG&CyXzqB?0lofcwSSOB+u;urO>~MycVBDTKHr*bC^DUh3XxAka=L z*ZfRu^MMhMuLj)3S&A*6inU-nO*MYhW47}{A88VB{R6LWSzrGtEB;8$*JtO$xaHXLjum8x*@Ww)_1+5 zsc_xgO)P07UKuLpqukSGWa;yG9&HvlAmBD3b3%2(qo4`a2oC4Mp-Gw~7W#Ufl&sUT z${G-IS(&nF{VQWBeOGH2abQ6!zZ4+QUCKM$al%!g(b+SrE#j#oQAZ8qqHRI{W}61` z|FBJ$-2PHZp zU-V{-LWKE{l~=D07N-m>ktR^gl}HrFEMV#qB?sBSC}bCaol;J{+iXj*$ks&g%Chps z^=m&|P`=wn`{BKBQbmQ$3N{A+<)<&V_n$>1OACCOQb`j_E}WW$-wWSBA4hnzRCXt{ zK-i|XyEG-OUVrTniQa!_sq?F&X$#10sDRhsk+N=Bsi2oNEFz>Hgw5IoYDTU|jvq1_ zF*CwUH5{sLS=1t>hXv=vkdyYMk0CF2LMJQXUc&{9?F$*!ADSaYYA^3xXF4D2d`9;^ zRmghx+xQ_Cq$qjMKo(+$*xDMs1ioJN?oajxY82>3DSL!@H(kVV)h=GWx$(p7L|R5T zb-~{aBQQ%M=b#TSb9FwUSBJ_Xfqt-|zek zUs8A!5Q^6H$kCjd9^^eY@h@O4QjoPrI(hW(07iG?83CEju=hisCXqL1JEdT5d(nhs zG&g@%Tm^D;|m<`qW$mWQQRv|c~R-wSzv$&7!ZS?ZW_FR@f!u#px4sbLWO2UP_q zE|W>#jG#9(H$a-(Vq*x&E5*Q?BwwuP4+(9ALCRG`T_afs+-E zH0pnitBG1q8JJTP&{%tXXw>)x3g)gc4z~+3d(+xn`-&D?T8gB0{uVkA!(d8j(O=A2 zmPMKvpq~^Q&xbjdf(W^AxmlLaDJxby$64E;vYWs8O!nGDlZw`&xbo$s?XiH9 zO_K&q#fQ3aSy*(SbFT|gGy38?Di@bxb%m$7AH$i)^eG{cuH3Pxx4b$R+nDL*UFJyi)o~o7{3+KsHG|rvxit81+7Y zJ1H?scjhM7Euhf(*I&<J|^pmxaOPoJ5iit}OE)QV7g#KucDMIU%D`E4qI#GC_cA6ZSM zVeMUQhs9V?BCDT^v?ads9L^4K;ODIDl+iL?*$3FveX^UOe17M z_9T~O+)MK2~v!C8zXdpb|#jb_0Ea>a>O$@%m&F|i+Voojr-rSTf(Wb2Z(vC zPEHpv7E$f^)PY=-P0^1K)l+RbJEGWgxe@;z!z8*3qg<(YSj5jkyUvL<7^t@W z?|`j4`!sjVjxW3-4G74?2&X<$->!gR2X_y*u?hCn=keDU6Nafs3or6)QjXMWyocni zq#Aa+iiujSH>j!Qms`w7jHO07yd0NWIyj8DVBS7!ZVN;7k`Jfdi{yi6dl`|Go?<^sGv8Ng#spIEt#* zE6K{5mw8mA$CJ2xBN zx1`&sh2z6Oj6kne@MMbGd#AX?%CgTeuh>9?)z_!9%43ehLQ)II%>0nV?6aocmTQL; z&wDOH4O4bJ#;+bxIH%jB-)e--j6aZA$2>Rf%{7@28++Cx*)&ji@Cr4p6U}O&uOT)tKZ6^B&>^- zL@@MuOHl@@NZl3s)op$vpr63dPMvC46~sQxCsXd-0Z2B>XoZHv+$K$?=QH|E$vo?v zj%G7n%#T}+?~NW|SOid5DEI_d0-)W7JzQ0RQae}~tUp*UaPHj<*q3q;N;lHRFeS;`X>bIt* zy8h`YBYOyu#2zX!`@5%1lSY?|MQJd9CdiDcWF>+@KUbb(gMeIS z!{v$!)?fiVwN#8lC|rZx4xih6@WJuO88xDg+~d%vL;;=oql@2qB_Dn!^S)8vO?lF= zh4!gILV=f|RUEUc#XlhrrqiJMu5q!INUg{oD68XsVL@fZ>6)NcN@Ahy9V-l&`fsBj zgIHjQR=@geq`}bRalLle&HDLr-FpKFCb%TPyjVD)09iMFt3>e+K+~C=(8p+MS4Q@g zj;*U??rdez-iS5Nc!#<)djftze;aODWa!vqK&QzQp=YU1TVt;`Ur`VLMiN$({Ez&` zKG1$DG6HwG@!8_t`-RHvuUX-}26f0BJn}GrjK3;xD?6Zm_}@0P_)+x^c_(UFY`F8~ zQr5`Vk$K60LTAV&yemLSs}P>k%wCyDH9&38r{ns1i@=IMmQwBLO%1BV-!*6oUPS@h zP|3n+yTR+0i&>6V;xShBIlM1|QPu9}DAQz+V6T^IoGd?7Xd;zY{Wy@g2s%@Qug#HY zk1@O*n3uqCqwZqpazMY;mbvLHIWsJ_r&}C*O6$k9ng&|WA6es4TH*HbcQ;dnFi8n9 z=6c5k0{WTvnxf20WcHn2seM+kbfo0}8b(MFd%ChGt@i|=kKsXIYP{LxdV*vuQ8@6A z7gYTmq{71#yoz`5{2BHWcsUL&-4l3R3&SnZcrv zA+~*5+WVwb8G_W8=}O;jut`OH`{l`)!qp0?0U@x)q;Got34Bt~0IYzESWA6Z2N+YqI6;aAr;Fw?l;CJJ5XhZ49fL3?!vO zQH-C*yYufOePVvYCuEY!|Hvp??}4#1ju!&_Df>(_XRX`%XbKe+8_QpGvuX+)Dvgb5UCcrd!%vN$kbJH5qN;#h~q^@&cP1U4?^K%H!crT-Y?Z$fhBAa zfGZ@PJrHJjzr(dQhp4PT-WBvOm})`jw)9F@0c8Y(M+Bm)%F;(w@eZ_+l|^b-4X>a6 zMX-gXV9I>>6wr;vwamlAF>b;^DkpEt!^rYk%^(-xD^-nSMG|F-e8zS(Yzjf+^0)pN-U4WC?(llx?7?PhPZ_F3Z!VGF zNf2e*Gi}#+YU{+wQQ~7Nnz{|NX%jqR18IIkb;5D~Na72ig~2;)qIlYq0EP$BRvO*_ z--ySFOj6WyQyQ2lF4ENPR9VU6&(8Mmk4}SbNoxToCcW!Jvz_TKlm}@TMLI$O{?ioo z&&%>ZP4)YqF@8v8QEMmJ+@6!xuy8%uB*7+f$*^8zM8viJtpOYc{aF~Qb*uKn`|Qij z$x1xE8qL2cJk63O|Gl_L*yyR^IyRMfmCj9Q*hM-<_(*iLijgK;s00()!pvXr2ncTL zw}|~(bTG9TECUesAO^LyiSW5?vBUU2*uVJ5e;dCahyfBa9=7yo-J*zFW&06MSNDeI1t;CeyZ z7xO))s=<3aN%^-_4lg^>DHH>5hA4@DY?VF8hwDUDCk!}{LY0X*0|a^f!t!<;PfrWT z`px(HOcN8^B9d}HKu$e%hvMD*8c(T$PAoqmsg=rUQ8*#_Xr43vogBXtj@;2e%XIEg ze$Hc9kIk{xKmw})v6G$nIqLu02xqVJ-o?*(B?ik61}$|G!eUV)ISm`%lXaaEG&gOL zn94j-8J_!39tvj!z>o^TI5yV*_Z-48$-tT5Ef4{Jyb#ZiJIjgT}?8hnjsVPpnGd>kU?)7YocSt1~CTw@jQ)ynM$-ux;yh zrW0>-y0K4aue#X*!<JmX7RMcF6Fx@+K&M$9%FFxzNCN^6V#?Y&rVfUxU!yu>Z6xMfsRG3li7EK8TkMvMGzSjMf$k7jwz zOsnb1D24Ud1k($vMc?ArqeQ=E**OC(pW}Qb{9&CYfVIS&*Z;s8|N8wFq%BVUZD>uv ziEj7J!H15tsqa&zl&^MGJtg zf8H!XVQf_lFguV>66~4VhbZ`F#6dFWlZ7BB@^Ul^kz0C1yPxvOJ_Ak)DWb|ykH?8s{EcBbCs}mO(+grIWQjCLh zA{>Pltmu9M>|a(4J>xD#`U*>^2uEA+PAWwLJZMqfAJo{AI_L~CqjUAwdf@XFzykrz z6I2by-Lu2NY-2y3_p%g;pZvjG|MIs<5z<7g$i+5NO)=(w$N_YW9U+{8-kDvBG`-pZ z#}u2QMs)H}A)O6;|42Y!k{|Ioljq{Z4QfHQ(qyRyc*2#2^R#=Of*@KvnajZK)FUC z(MWHQ2iF{Iu~=Wx1)#T;=zAbfPl<4-TxD1PLG$`$isF*+bGDWMJc7RjXt}a@qrHqD z;;9l&IAZpT%qYH|J(Kx)y};F&hj)G)LHY8{^qr?!Me{a;r`JufomWMsomUU+uNj`F z2~Yh?++1xLWCee(5S7@E>X&9$k6BipTbU5I1EHHmp2qEUx$hH+*B)rfrwq~yb1u2={+Tq=A z&VGV}xHzxLK)A}4y4KNfSaibg%U-kEEui}6-Xbm8S>d7)^p4IUoT+A^2Q)_8kl3k0 zJ_z@t3*}ATeu(hoS}^U4x){(o3|%b>WrZ{7Ee z0wICm4uRnAF2UV`ySuy73Bff;UR!J%8s~K0|GstZKDDcE-Kz7cKeVh~ zbIrBp7-Rm%^JqQdoa!_c&fNOpDr~fBNT#gUaM%HBZv=2$D88%Rq-<39{W+ugg~xA- zR^$hqfVK3G)2vx(H?UL4s^v*H(VVm%J9yWS{fT<2dzh|O_maV4kgpIzsNM{f#N;4CJUh5E4zbkaK~6uG`vWX$vu zJK=nuaRyvdo9hnuXS>niStZMLj|R+&r%gEwyl-SY7s4nlFo2d zuGBg1i7Jl0XKzDkX9k9nC~P0exd{&6^qW}Sjtmy1%v#I)bje_i)fDf5JCP!nwxt8m z0W8JByq)s;W5L#J={%jXEnn;(kxBHdD+XL={)|>Ho?AUZkS9fR`^Y)jFyCHYfk+#x zbh^XmqEC37(vnEb&HDh6W>d?mHFeROcK?DZyu>GwY5d& zVhrxK2|hFWesni+$x5BI;tK}*Fm9uzCVbS2>y59o%~*?}oLi~B_}*-~EO`iV7nm5v zpyKiC|Mjhx8r>n&`E*xWfyRt#q7^ET(~1PhnI|e+Imr78w+*+b zY+`aLpCZD~8vU)n?lh_7^Wj`_;a61uMG*V@tO@`)ARd}}y)wRy2ch!Y^3Q4MO3`ny zp0b;^zR7SI+#thioG0b)a7rJmdq3IkEh=#ei$g|(hpjzg=S1oxd}l2^c@dYE2315D z3nV-9Y(kG&EiTs=+LVoDvPEDIvekADM7YI0ll6l2#I2c6^DXu7>d(8ZgPf!J8Xfqq zdQO)QV_(0Bv3DwKAF_1_hFyXKRc)7k$f034TJwdw5-Kd9lQhctP+joA&ey?}P{)oJ z8J;WlTLCAw=cnhb@FGQaE51`3{kw0_gpyoE)nqCvE%b|PFQSp*u7z|#|DZsiwz3cu zm8wNlGT4m?O&-ehdmpCP>z{S(r~mGF>QDNX@dHkT@6uk>G7t`7ob`RkX$t1k6S}>U?W|;HZ<&zw42asw$ z=#aM@DW%gYf>-v$pGJNvhKXGthY6x&a_~MiLlHIBDu%nC>x1Mza#PfJ#8{qW^<`%; zrrG=Ix0 zeANA{oPqbyjVmVN3vl{+S2US7z@M7vL4x56HsD>TQtb7E08*-Nh;ty$)8_LZm$77?J2Pvf z#35bgtj)C*P`s6tmOcvgLBpq`^LgF9%_orDQxRMLj21xzy$biFlQC*IE#N*YeIQhn z2d~|bn!}lrqte7}n{bwZB{Y>r?Z9u`@zGaR-u4$y)64sJlI4cxgRF~G4N9CA#uxfNQ7cN;}U8gw6k8E~R*`w!s+TB<9gCT^@Al9==^q;yDX;$) zCJ_}M{-n%6bl5D04XyVTWnTkH-ww+J>Bk>xi+78d?FOmv+4wbML0{t9;AfdckY0hlD-9OQb^tMg!EXJe% ziP%;Dgn;D-uo7R@9qX=aB?L(}BP)yL4(G^=q-)hKx$c}=XHU^kDeKJjm@obC_@>6i z-o=>;k;5H!%y#ZwPN~-iGs)sZ>Y@91k?mwK;W9ifE!&c*skeKq0R zWUHo&Hu&XB8Kwj<0CWv9v?=WNn_#RQSdPXe*svR~a!dQo)T5(DgB-UpnW~2ot~bXS zJNPVD%%3IdrGGwgx&NxL2UQExcJPNcS_q0#Y`rb=k*UUdnGa}d&7!yQkZVnX*7kV3 z=WdxJ-D2UZS;BP*R*SQl`g|}pQ_NstEiCutvGc{lS^Rkrarj~ zdC)B0DigM2hYE)sL$ji_SZUo(<#IwROcK1gG@=t^baTPOy^`m_3`jg(DH$Fj%8UV) zlcm(0g-{r}O1s-ve~#cUe2c_hn`We)Y03RL8>zf>WAB@&PKUSZPn%MY;ZAr@l%vCQ z?w_wW=nE!(kF7>I5^V!qh~O<=#_P=_fB|nCN)D|w)LgsP_(^V(BbW zidU%T;u{q#xA^;dkCX345Z?*Wt<~kp9Zkl_!$fO9Yr$3+M{$%rTB5MgFr>UjNFP5H zgQV+j(+e!Jq;;P#Z8G*1!8wLCFk+sKN{PxYaOAF!heo~hA0B^)u~~7^;&hg6@oI|0 zG87Z<2{Q7{PYa1pBmPz;FB^H|V%Mob)gpuO9Xj04_MYsY?D^cc(T$~l;&Tk$#DzTOZvT$%E)|uH;w;BBt{lTE@-Qm?R$;=)=)(`2N#deR!gm`_4j;* zzW8H}7IzNlP9^6nX&}?Qx9*O1Wb5<5H(TE(EizWq=M8hA}H?dyv)b!>%q%C zE0ojq@x5ys%@$L%2yA-e5phwve+0T6axI5%OD3WZY#O7~NC`hKX?AcS1ass(U3ism zxWw6@whKx5|6S6^K45z}Qls+(Ss0>ie4aW$`h>#bx~^jB<@p@vG^+2m{D>(>V)iyS z@XNcQw0R{Xp>1eTQQ>#e&aURzM-_7V*$A`a5amC9*cp@=L`Cn)he zSkP2i9WJLENE6Bo!;n@fwL2GTjZjbXJ0n-mGtItnc!#^Ha@J5^48!J)#C!JB(Of!z z&3#+Y?%f+|e8`tc0gLly7F$3rnQWbrD-EVNGLZV6i?g?mHirX5E9OQe`m-A!Y5b2B z?i(AKg?Abkb>qV%GuV?=nsHK8yqC70a2s4P+Bl!(4-ZlYjHCVXBrs+3RWGX)T}CHQ zwH^nHrPLa*Q9zut0zyNLzp8q}Ik*;Uj06o;Bg>vx>YT|3&3PgfBCD?1GswP-! z*LV0NNqLv3%t6e?CR)J%k)A%+UaydqjnLyBhJhrW{XL{Zh}C5}U)qYl&~2h4lB=ro zkkgxPlaY_gBfn8pSVqlGB!p4mP{Ta~wj(CO5D99P7qE2jo+#)su!2(bz_zAx;Pg^nwC!g+k9tVJ~Dxl@gI_&VhCv zmqwMat?5-x)zZaiuOb6{)KZ5*5||5>lh@}T;>O?~O|mj%badzxjTB*OpNTaToNp@6 zn{I2#YbjJiEj6|Lp=Kd&O7yM>%8(${eSm4fM&ayN=W)Z43cqw3l*Yt#BZe=r5 zw#Ibe=LbQ4xj_EXSC4uu8gRjt_<(_1zEyDijxk;6#Ve+bX`Q1khI-Ktp_hQmbdpj- zL1fni^eYU6sa+to4zj#aYmM`_P6K^M#@m6IiT8hGc%fK)7)fXcYZxQ+8!> zr;yaB247VM5@yY;O5lFRrIMpAp7fiQ+^^^C)p3LJY0eb+@KsJAt`8tNzN1@7A`0&k zGI(&7phzIgFxj=>k@gzAh&e%KYi{15@N2$$xeLt6deQM`bnp6*!-8bNFFv-*8@V+m zdHiwic(K|JhYxmQ?iTZ!glEBbw=NF~Tp3`MR53qwGKPfS%+lN;TiMY^N-IX4o7iVA z4{sZdl_*;<`+SvW`fEG>xI25KXuy}ejr1nUsr-{DVlL(KL*jwF!&Khg!EYTAUmYjf zJDh=V)5b6*3WkyDH9lO8t5=u2u4a%5hdCj^8FODy$B0z4j|m%D8k-8 zz(Vc$E#5v?DGa0KUCdt8?Seb<&y^vd*SaIej&i9*S9gA zq!#bX0Sf`fafffaTkRsA?EwBUg2(cSAw2h;f#he+sDTa zC$Dp5tO?XHF^nwfOwHt;gu3|N=URWmk#J})G0d)& zwziFZk#CB1K5Ol;#k^#?r)m4Hd|$aSG63gUmr7B<5jZ*D)>n5&ff=(%CQfU81C2GH zZ-?r?Qfi&4B=sttw{98LX-C#tZmJe(H8_5H%(K|$ViY!3|JyHTYaK_SXxqL%`Or>w z|G5vxK*;2+2?FS;05z0|i+Qg679w|ab0;o~Lend>?`jM?>(~=}Oj$WUC{p=m3AJ(<_$i}y_ zQ|tIjva=tRt7d5W$Dz&wYjOEI5151Db#PuYRdL^eT^gPW16B-)XWSQg@0qgx7`bEc zXrwyaAYk)#RXk?)DO}=-Kq;DiYW+D}5=7Xg(kvAl!w-!g9&jWW{dnx!1#PQ2@$i?% zvFhAp%Ddj~tDk2-Y5x&X6|a>2L`bNCl6^9$O?~L=#Mc^)CrVi>0Af5px60DpOSPh} zp}%=K@BY}IB%@i1jrB2Jp}pnL&8Op~))%CEQ?p`a#*#ylqKzy?Y?I*~|5zCnKH zV*4EfpUd)gDMrDCG1za9O6zxnH-+QzxxVr;5(1f*A?TPzB4K^WXhTbS3d-YBResG;6-BQTOvpZg%lMLIOum^(E`hpC zDhPk+KP=QOOj7Zn6EQWy3QipEsOP+qJaUg=#P5Iw6p1q5N>P!v#vX`ijXEJ703w0T zLC%_)uTWOJ0ee?oyXLRv;+^07d(7t^5?7>Nf(>`7am^PC*+-!`Df50`%TPL48)+l4 z4BGAL`4`!L4`79>SpD&TjI4?nii_+5BNFs#=7n_x7W~~3#E?;HC+o+c>sH^?a_19J zx84t}=U;6Mni=?<|5}YGpDOnDCG25Xh+?y`F}mt)2`Nd-!&3pfC;ydL5*%I-oGPZ$ zk0vyo7uwnq6%Di#j#a2j7VsFi5E|f|z_|IzVwm_x8)>GYoj~P^Z>E`e`!=r}(@Dyn z7EOpSgNbpZb*lJ|9K~mVY9ec9soI`fjjbOXE*)|XG`lL^2pA6G56ddTQ{k#G(QJ?d zLD1jqbxlL7wDXmZFIHExZhD(s2DwDkhz`crk%$huo?mHSM$VpZWExPwU?k?!KbO^p zjd43!xI+!qtU+_M5~IsmlsVrY3U`$nyIvr8AN5Y4!r#UBU19+UqpAVCY_{PZ*?M8g z3f?B!WOf_f)UXLrN{H;*A~6TL+yuI-)7S)F%W#Rlk+s`oJ+WhR^t)IS})k8mL}tF}hpUv20UR>a5l&qUtt2Y|I3=9ZD;R zhC_Axs%?R|N0z4PzTqEdMbW>v=?7`rnMN%I`h=lguK~lv)i2y3|gN^eRU7mA=L3E@7US7&0G=P;IypVLuR3ew zrTV$5;Do*KR;ELq4W7@XINqO%lu7BkVY-B!a%WVtC>9&rFcFN6HG5`^d>Hvjevd;) zuo7B&&3g%342vXLO$i33GC$4Q(4P>ny8E)R`kft47jkzLUiFaTwexdiV1wzP7O?I} ze3rmB*?Yl(}PO#7ihqmONG8t6O>fdIGQYZ?g=QM!?A%~S~(ys{|1t`K>umSDOZ za&p(kSRl)lE&GBdm&_hp^M-e-*w^j-iFbgY6B;kf=9@N8&0ncPgYGzAy@8oLOZ2OW z7?w^WGkkaaozf3bNcslL3B0Oh}+WSkncyO?B-6^+v39y2+ju5czv!*(`VPIK(zir6$ z3Wf^fN&SI}&HKtrd%|L6FXgH@19jya*EsQN*v|5`?V1lh zjBEI|L0hBHetF=ElE-*HSEd;|jR{+35!fOl5A~aukpJVLhh1atO(0|1xOpc| zHP85%)NhmCjQu-~OkT3iy4SO`@S!%|EcdmZX0;QF9_>CEB+Vy%y(STBWWM?-nc%)0 zc*t(|hJb2*w@yeO81DU*a*`k5EOJIVYzf7<_ieK?y|b~{V<3`QYj1qpMr?XL>J*7D7`=4N zm`l6->)o-03TXts%CWPw z$y}W8tXMtyJIc%+uiklHAO!=DN9*(lZ<~bCRdUGsfCUW4b<}sbjpOBEdd+n2*Dmd@ z%2|Ird{VDAS299R0SSF}3_Ap{o5-gspQJAQSWLfQ?%Sc3EgUsn%h9>jr1%CKMNpf* zecM%&^R2Es@>C}(GV6IOolrZoQXk;tY4m3O1^QVRrzv;pwT{GTvUF53WAlWUdAu$5+kPvrg| zd}_@ac4o>RbnLz|2s80F@1OmQ;ia*vTZ|_?gz+f*9yTJ~c#Y zAvBEd@S40|tIddg^oEzvC!@?o$Kz_94BoqyDdwm;bg?8`;51&I--ea@sQ%cp*_*!A z`Q)8{4W3Hzy0Qerb*hutT8-@E00X2Ql@@Q)8rs|2WAS>a>PE_uE)!veQrqVqv0sMazNb1)Tz6ER z8O3pb^(XsYLdfBuX%-zL)ywwksX>Fhcddm~K#Cdd$*B+(#NzjlxQ@YTvS)AYM0#Q1 zhXU&F^*pk^WvpKP&D7|C_j{(c>=6wwjbFyVhxOE%S!4yY%6ZllpcnlgaR9m9fVHeq z_w=Vz_$RFiruU^3(d%yWLDnx?VM4#&7WlBWs`+w0YXyAAf|IF+EZU6FyrWrgWEd@^ zXp-N35PV>(jWr>;6$b`xq$O?3??Ian5a%ln9`rE&mCpPRrbKqr-;xM;FUW^5?Q5qk z!Emb3$<%UMbqO!dxt$3vm{??`ogCU3FS_8|2o!k_y&#=&Xl%;n1cW)~ETlBW24@!6NSWykx4hBS;Vo=sAsQ-!He z>MuyT&0uv0V~c}>rEuFw6stR`z*}9X7O+9O+;dn~{NHhPkcOUH1c)wn`Xn7zHGfr)2mC@n>01}1yF5Qxa@8>W2J2Lrz)+t5_9WW2XfVEWUe#N}W${iZ5&JtF z+Yc=D_jKybh>Tp7e-F96^YO63Hl=RU`z5o4{OmW&|IKmx|9MeT^o{Oe8plf&d{}g9 zxe^trg>g(fbuM4V77EQ~r_Xlln6bi1GGk&;&14dH<02wT#2&d_Z#RTSwt6j^A)9!w zXmzH|#smB^H)s-t7}N_j#F;{iG!z`6;dC*?LSXT19%+?)GQ0WL3Djyo>XGD_FoYtp zMv`{Ujs`-f^5iHC$dZe2P@OMMi1;)42eaNT7EVa#OoM3>8JyTXy5P~fQ?g0ZQ}vK$ z0`0r+si3a(y)CO7UC-CMW4)3srxwBkxxnEpR>qCvnQbp4rhVe~ zc`{l*K>e&_YF&Tn87=?-5RSzdFcNiKhz<|HxkbGh(wsAn|8o^W{?Mtr0N11mC4}=27g4q={6WK@AHq?4`3x_L=5kEXXX9;0Cp=(noULK{aehyMgYjWPMSn zUSEeo@7(a5756^lFh0ZHZhmbclxe@KhA8NPNX)<$7eS+matt=qYo(j4T_JdRMwz#@ zZ4$|ZlSDUTcmrdUNE)jO)iCETN_-MFo?$Z(CAdf*j3K@R@ndwE$&=|3!S6+1Z0Wzy zxQ4F6bAO4Z=EKQHI!U*JkyYeC{KdL4E(`g)kCvL=E#t;eOid!_F)G9QbC#zYiCG2`9=s z99KW2Q=3NfXSlMSAMnLPL8S@#m$Ki4S4na3?OwOkB<&P9YC^<^h_Z4|jQby+AlpUyZv%Fn3y!%Zk0ZB8M6H%99JjGwfA;l;ZTZ{V+Hf$ z?rS-`>5O>*XP(7Arq~&N6S76jazFC?C?RO7%eOI_UZRL+a9vqS$;T>Y?J zbL~vqtcmwr6^A?!K%TmZy}kYIe-P8pWQqMzzRSn)+y)9#{fVE3OzmlXWbGtlqk9jv z$*ewNtA}K*(6~EjcGsF6FS;EG`VU|e;ji8>nAgm#4OJkxF*z>n4AndoMs#sy>aHIT zm4c5QdA%^IzFDD@^(7%Tdt)sqfj^IH0woIKlV!fDSWpWq`+)x}AUIFV?X0nsqJAJB zVkRrm7rjVJu78-zNg^CqWVl_$pV$AWYTY=idOn}JI)&m=L?$2QKKq@P5ZT6nc=d)8 zIH;6OfPfR7ud{QcN`LkZ5(g~au>Pm6aqZ83yjt-435*E5+r5Ph`+7pEXd?wsUC#`l$fo#wXItGJ+090p2g(&8rRoB&Z_y8J2B@?NX`BCP-qd( zzIs%y%TsBB8?{7`wfq5x8QX$BU>8})=gHFRg#A~}oA+!+GpCN%_1`-elmr7)6o^3v z!u;IqS=|is$CbKv%P6T6Q<5O(PAuo30)dt3MmGe?v&-+}A9&ki6bf99=Vi2Xyct^M znl(a@ocAwsl|fMTJdqkQ_)EV1Wv84+d*2B4I8E!qI6Wcj@0>c+?SjY5&5(!W`5MXs z7s zSb=c4PiPGo0n~n@=>Z|*GgmO~r2v3gzvObI8*rvC`J7!sANiMoRU#osWlI1{0+)Nv zLXkUom;NKS^RyBoM45B0$OUdogB@uncNU|tRw8V}`$v_wt{Ol+dw3a3733T~-L?HE zmqItHHf|nrvMIu8uwZDe@`J2vfDIUtrO3SRan>t}^hdQM;ZNx;RpF0D`fm$vRNMd~ zp&e^-E-ZiTi?yh$66J_!lcX)zEi_^5`3q16og^o7nT4LUhyHMdr3nvFW}44b{T%Lm zhG*yi?JM3Y0>Tw$;F;Soi)9FDH&!gRVi6j9sZtEF3C@n|H6CwTy=jf2d_Z=HF7NJ; zPzU~u>#neVpUi8eyUw~5&93oS4A@y+gW^|h6g|+hti5N4FX#zw3x+*B)^z_J%-iL0{4&%vsKDRfyfLrhC zTRi*Ml+(>&xO^mTw)*j%Zgg_eb=O$Vr(>s8pHJr@(T{W4$Sy#DkUu!W;amRfzg+(I zKMfghDN`b?E^BN$lpqqD1A(!%vC*tHY$`?B@2Soh2AFiz>@cpGGIfSldF`=Im$O?P z^4@vgz#^{bI^QU5Mhan|ZP(~_ zJnv+wIz5Pvvv%62= znRsug%M6eqD^yfXYO&mAAIgO+k{~>wW)#uIJz}}=Z2?W!+mGWRs7~A4c=IoLu# zdt~yNuKE89XUoYc&@Z3b>hK`kK`Ex;vZV20(%l&tlRe2?3F`qlVgF_1K+Y{8Rk&Tw zPhyISp(Fh7$J!(ORt~u{oBPC*M$(tMepHIvO$iBs63}eCOf|7bSR;9yA_zPxYg^^b zXF=`?PlMkX*IFtP*0yg0Yui^iK8~!|f7a3cC9UVge5|OqJyiLre7QZ#L{ek2qWIH9 zjC|okwSX8;dhs)d&p^~pwxYI-2X619e48WTcj(jpzvRJLHT}1189XJrYZxRWBy{y$ zv^VP1r@5e^z%^m*ab_Rvb7M`~;cCuwC6>&A=$&%4#gL!`61RYJG~b zkV+S=RhY+i8U=+os95`5N<5|BhX4g0Nb|fZ zIr45#?OZylx`KpNsZyKj?Yy>iZGG}sE-99@P07x6LsaB*9wr4X`}Ra?KaF-F&)1}^ zej!mW-ZA}=6Kt)oRIvVuud|<;5Q1ApOGFKv459O`w zWObZXPY2U!rUMv4tBfn41*6SSb+8ELFo?z5`C!m!EU#o&LN_ zWaRF9NE-zC1D@$U*sallM1Mv*v4%fahO2ZY{~yE|F+sq8BiPguC-_;G%4oV=LC7*@o|)2=B@Z zV@P$MJqVA1;HacJq8W?EB10(b4T^R?t#C|=Xt8P8&hQN$y<1qi=G^~4?g38JL;hxt z&j9+{25&Rgvg_N-#s2PnwC&*EvL}l>!HnWsrAf6cn7&@+7kl)B6Sp}U7P;y$PE6_R z=lN_e=!PY`KW7IWn9?Eh?X5s8%Sb;A%_4R9e+Ex>xJ2?&6G}g$xEB^C%cxlmAgN&@um$qb_=sQ2SzeECRtm zyy;)ymdeLNvPGw{xIsPC-vFOXzcKUH(PM+W}F#no&xLrZ5*c_LN{!co&R=Cpj#5{Xt zLegw-qDnX1+^hnlfwsXk@sdq?{AKp)e873;c;n@vV)A(8aHSQ?PDk9|HQ9Obs`S8$ zM0c~cs5YczCay~9UTRqUtDEIy zC8~kF5pGWZH3}gnOZzvkXm~Lb=Q@jIg}+eBAB0(uOCdmFEH1s}bgE^eTV5Od0Rnv8 zT$dv>#>eode<77nzKGS~8%vxRH-Qz&xuN0Cyque}WL5!w$KLtYP>$OuF}UR9Jxki!^gEd9D*HUQt}Yv+5Q}lafr?w^zvZw%r$t2CZBn^-Tz?8MWTcX&$E6 zdh{;g8+<0k$PUrb6Dc5XE52xyUbrc)HU3i_m67;XGKG{>fMqG^<<+5-*{$Z9Y^ImE z=KYVhll0ZtWt4X`Y7Iz4tylRkKS(19ITDd}2H0Gh+90r_>lz;q87#dFD_q8}@-im> z*{JeKAM2fe4b?qYRHv-$lTDr7sVy)-l%3xRh*b82rXoxjXQ9Zdt zDI^WZOD0+-y7RK3U__(>p|4@y9hEx=48mTsr7aXKr2FZ@;Lz0Cp&NdEu=w-9u_V#25B%Gu54e7ohyy-edpyNlt{?LTd>>hA zectUn2%7#ZxSIW2SbSEQ)hbm6Yak|9?M_>jnx z%4!pX5dp93C=44&*_ar$zbb|JjgG1CY4Mlya2$O!ugcjE{JbHYuJDYfWtyiA*1a|8 z2((f4xK<0Rmi`xw7_4nGNt~b9_X{8!6T|!%?=FKHB#_;B z`tiD|w=EAJJx>WP-zF^2bK0^}cc89MMZaYCFDz_mY81yU2V({00WO0#Eosp+vQYhl z5mhoUbyk`f_SskUIm7R8fF$wt)P4)+W;^MzSGH;)(EbReFAnz3dmx3Qz>PxMm`>6h zVxg{ocDhtKrK2j`;j`RV@u*L_Cvkxusil-N=P+wb@cuT>y}O$0!{5SamwZMn?HtrZ z7*PXuG9a+agW$otlQ*sa#_wux%fY9EKV;s^G~tgX0Qq>1oPo%L9yQ;?z`P63SUTW{ z*XQLXi(*vzY5I(PO;>i6xW+)d`FRM?=8MXnK(6P~u$9Ar9@y~S{b}FrwgXB@?!+4R zgfO4{*(n0Pjd@sKu@EGVqaUCDjnCXpOSsF~D$k(;^WayNQ7uVb-(tb-tST|BZJimC zEZLC=B1$x;38}wjB4)W>&_`?0=R@2y5AWaxv54pmFUIw7FX+UoAlFjGSVHRoX_Ngu zyi)Pu&)9WX?O<9gJIn#jV%HPHnn}hc(Q=v`oD|DWpz})!ykoAWmTOC0FTv=krNf$4 zICfC+R9Z2#zNllPHGh+&zjP4rJX+=pY?YXxt+{U^J!&*K?1zaFd{iOM`=n(#klY(m z`?D!q%wJr>Lp1-=Ke5HqaINfj+jJ0kdh4FCIyN5f-}g{JJLl5g#T)jd+5O+>p>q+< zoPFS>(Kx_4PKC5ne^tutPTy$bl?K?E>_aO~I=552=S@rGuN=u-8SxaT7lfxe=<8Je z=&#JK$oo2zlTR9ztEBq#>B>yMK9gCuCZ(q>{0y$Ja5@qffi|X&1viKmCp=^9m{Nem z6-2Qm_xlTGDyPW5tWQG^rqwcOfR(&@_WrRSpA<)GztJrNWg_wXxhDww=Ow2K`T|-y zeMu4Y{Um(O-opDQdoGrKrmukmEii>MZ?-raGe0OD6|g5%of*>lDZW78J}64evCn12 z^P%h%uzgjofnowyy7c~%HhK(fwkn0HB6CCaX9QYq2XkDODV2k;MM`&XvFDuDeFP_7 zJKWITaNmOPpfP5=;}QmzwAOHG(} zmxO@zwOmL(+IAUmo4sHKYtBuAPOk^o_5lH>0Io5A+zH)xppr4++6fZ3q zuiRxfXK8+^7GGmTW!=9qcAG=s^z>z=*pJrTSKZZC+4RfT>K z=?fj-|1i?VnLXDh%3lK#ojHsy$5W1yW5N46vdxw1Mz83sM!m2PGriEpY0u*gcI#YS z=1(=m_oC#oyI;CYG(_e)cU?W-Xd4q+XSTg%D?@Ei{wV z#1_#KxwW#B*R|9>!yvPW#>EK;akp_c28t4UxX| z7MlPglnmanP3^c3>`!@^c&ZaEF5V)jn9n0$jjE93qoIKo2`VN!}7nI;?2y{odzziBca@pv5(9AqN520-RU4ztb74cdNtYw{Ak{e!p$jD>6} zZ(W)J(!92w;)Tm&Lypc^R3dE3#hOO76p;P-8qstZqL_n~Vx8A9u0Eqzb6coEqd!^Y zWiN;qRY&{NLg8$7w~&X_vL%`%B`|^z!IF`!#rn-&8%5F7c3vdi!!uTE(ea_G)#ni3 ziXqiP;yL7k0W$92m{_ed%vD=%ow}(rvC%4umoi0^%6mNt&O<*dQn+A@ggdi#4(yOR zB>>cUU``Hp7Vr(uE}5GRI*yl<#aGd1pA|_^{*4o}cF)fhYkI^T;bv&6Wd7u>Nofb# zjU*3#*P2QAy`5%bQdl%<7iKj9ER?AWneOq5cpFK~euCjxW1_*k>XruUJ0K(rMxVFY zin@X8bcvHZ=S`h7w4FHc-7}p%`sVd63)(O~GK-#PCVDBIRyGwblKsaNJvl2w#ddP> z!rouW1JI*5PN{H!K>wr^pGse$hErM5_K6p!} zpO43V&@Dlu2tI^n_DK>~tBJqo;~!+V6+IGFCf<$Cb3@>zOFB@gGtUQeV*8%%@F19}L3`#5igW>eUdBW8}AY2a#n z+5^yP9|*H~MME>~%3j798S{JbL*BS*rcA*RtI--E?;~*xAHsZ{;U0aWU02^R`JENF zGsTEEAo-T9`murSG7+V~USB6b54F~Nk6Yps$>50_jxyG1cYs@7$?yJgZsH0fx$eb$ z1-%d}x&dXTcoH0sDid&OM*1Zpsr1>=l};A4Rd)BcK$Tnbd7TO#nKEn?9(p|JQAvD&_3|3?WHMKZc!CMb|$*Opb6c z&~R{JM4(3ZZK-H=;UczhXX3;A1NN?*pQVgxKMpQJ9WHE+niP6cfDcX!MP#DRMEa=u zhAQ<$q7rTe-zi%fUMi$VgdobP|LnYdtJt2oQ&uy;_*cSBI=Vp@`0~569c=Jcc%sGQ z98JF}K#595IJo>KU+#jlb!HoOg~l`%7f%D;UtdGoZ7HLJwLdb;CWOl^ozz4)apd%G zTrxJD`-o0onT{n!_hk_(s>@Cyqn@?+Z00G*EYshZO5r18nUbIDJTG}H58eC>Ub+bI zI`k)KD*D-{Xr$O!?mn>8AarIkuSk#V|8wQKjUVZsvc6%d3vj` zyPHQt%~35F=6!tNq%4WwMqWNb@yCbR`v{~=k%QWnLY4#%rXpWyJ+dyqm*|7wOo zDNzf;-<_&{B~3j8Tn(bR&|ps!Nix*?{5+W080=HXrS`bH&UM(U>DPr0+n{vE3kS}3 zv2BWhp;hA-lBL`ViplS$ z6AKDofY;9xX1@y&=m&rQylVf~AJEguCmKrV{Nrhge|!2bx-VNKbaSv!F)<|t3Yr9R zbe4Vi@IlP`H5`;|3CvJ7F`?j0Lz0w~gc5Vldv;Ac0}VE%&r~kccRZf6yrn)4oZ4LZ z@%XAYiV4=w^9g#t5E{g$nrVkc*541^gHjV+Bm2E;oz6U$SvE0z|H5TPQrN6RXX+=6 zhnS&MPFKFe@odlrxoqNNFqZ+#%}lkX6O~GlP?!dbG^s#W>OWbMx8Ul3B6`rMPUx;a zK<@4oOTMCLLG@nG+v~v|H=koP?znv9Q50V!0{oAT%YSp&{%21YuqWN0&v8U*3<2#p zx|BZpLLw&Cyq=i3;)e~_1BnoDS;Anb>upcR0p7oKPHi{|7iF#V!8JCacxP+?@*#sU zf8s@tQkK>)fp3}18#h|g972FhMw@aXp=rb37%3dK4;)WPMZ)Ki6AN_}4hwLL0Q(){m z@(qlRs+mggrsC-A=-;2&lM^pIcF(#jG+fXqfWEv16aF}N1S*86gf2OvWjOEIm`o-; zZWuF|gG_E~%O%Q4ICUD?8H<5u6(Zn65ypQMUi>bor&T6@y;m2r3vt2sQGaKESfoMNIj780Ac{Fp>7X-5X^|* zs;D13-JJa7`ZN}VQST#t;zqxDCY2mpD$!Xc*+5`Mg>EDFPsXLH@XzmjpiZjzBaF7L zz+G}_k{1&;DIOy#y*fWtg7i@F0~(}S!19fyjiACef%^o=SHoXl;&qU}#8q*7SuYM1 zi9hU(=8#S8-;4JU+0D7?VJy8P_y2}VL&)d{Xv?d|f#B&IJdtW4Gm|^SRaz|8rjGGE z=Ez*)Oqx%HeOH{RGM}KJ${XKkwEG~a!V@^jD0gqToNBP#b;LcL7*n_=Rd=HPE3izu zK)#X&jA((^vfAX{+gi{{e}nko|^+P5Z>w!RD~$_Ai-Xm)4@# zqv=Vt;{|isHo>o=xNK96j~qbELK}$P3q>|7WAT|^In|P6+OED3aoO^pNLXk#FL*~G0Ayh_|kLjbnhtqlazr-V( zIW|Nm$#Rnj^RZ}Fg?{Y8ffe61IwqpY+Uu$Ns(2JfPA^^vvG1dkLd>W2`bil!hNfyu zxC!)JMcft5Shlyf{h$820Jl{)M9I2&pzaL770y=uk@QKYr0|Gh+=g9Sk|eC-*Zyg% zSGrG%YNf>-w61RIpO7VeW&ba%g;mg+yRl^^N_k*r&Hju`=R2XJ5V}B@)X%Bn27ju6 zub?7ksjBkwthw6NtwxYD< zQvEc_x_x0O<#$$^WCN+R=fGTNnp4Uc9*b#K`&`G+$j6Bt@TPR{g<|30ug6S}?&<`8 zNec|hiQJ9s{5R+5IZ|b*{r2WB)4da`7%CfnMUfX99KAKf@77RL>rUQM@&U$ zV+mbbv`6gDy2NF4p>6Y@C3{oywYXfO6(#2Ss>__)?>^I}%MhC=WfJg!=sO!lm% zeFhoHulbQ1?R%rVTv_t=dVN{~D_$fAEPRm5Cs@ia+bI}$rE_rc+Ie~PqRs`uLMfnq zy1Co^X^O{aWd(ni$$`U-&^YHS0qz7^Mi$M}oMnmbPH&Lg_1t_u3T*(L(Gw|Kiw^JB zW7xzYBp+FNAK5Tpbkl?tax8K?Ss>g~Iq1R|7+Yv@Zd!_-Zyi zv9=R#8;*~@RoXIZ>nJ9vqgz8U=BX-m6NPBx>?i+MT!ypl8JD?YYlYB0E#S>p5cIUp zoOLNCMR=v62P;G;}>BSa-o=*^Zg@w7(`MIdeVqoRE+$5VcixV4@*Re(_H?6p7 z(~FxdHG%(Lt@WhVLM3z!rZ^YH6+m0o17z4NM^tmr8Tjs_sn+IB%N0&&C~pT}=TIse z5f<@FyW%a8!7oN(D+#Ot|G2%Ag57kT&GA=T5N1iCIxXx@RF8cj5(zzao;~oT=Oq|G z-{sSzf3{{OqJV$t4gVZ;lkL&Vakg4BKTE9 zs~H|VBJIKQySD!JCD`gdmOv7i1tzx@7`imB0a7eu6@-E>8r-u?S%e{0pjDNaW0w4U z`{?V%&Le;_A@<0A zW0*Evmcm?Om+JosrD+MJx*BZ$)?2teHLP_HowkaX%0DLj7U|(5ExI?CB#C7 zy0>$kVbs9%RQE8^s_juKPQ!@f{@zsPJ%#u7{Xspod5qu@-3pgx6$I_@=0Sb_lfhI1 zJ{2S>A_MJ@Sn;LX?iECH3V!ps2-=?!QKQ(bRkn5~&vpaR=*H@TXVw}gqKN4`=Wl)6 zu0X0t6B!9ER`cP<{81$R;`c+usR;=A9?G!qFv_H=WdsxJ3~}?1+wOy>Gock6-vEAC zvXBw%=W1(VM)%K{xukp4*ZFQNT!S^p95$O}g<3u5N7d@Gh32+v%)c-v06IwLtD2E2E zs+aa$chMp7M!Z+`)iUTIV6&&}S7?pR6zx{+LbV>Yy$>aHbs#fyuojF`IGN6H_a|8kk1T{&q*hDa|R~dk|u=V*h3&k$Ge6H43_!^thq?;9I>PtUC|- zI8n*3;Y#Gy6MhIUycBwT4PV7_Af6`Fqt<+rUh|0dX?pk67N_IqR+)*CqtfnDT=Yrw zhi$|1mBR5IMZWxkN&{c8MNrAOjZ7`gr z$Mt4X5-ZbF>9?urgH__*pI}XV4AIV9%L9@GL^9pK^lP9&|8f_p#>6SxmPcm&Y~xXI zAv16=S~<^dXC;W8Hj+x%sw!V8Uhd9UbgVqF7g~BhQ#Vt%#Gj`SbO+aG%#`}`x~M$!XIq~dQAyjd+^x9o2zJHQ z+cBzFbIKCDZnu^}J3d7bizn7L^TuJ~=5TaYU>;Mz{}#J>3kN8fRRK7jRejU}b=2}YW;1WhfIRrbrTT@6{at$g=B z!$nR9f7OhM(=TsP5dSlBbJqbUo>ocOd7A)I!5Ca(St?M>$3=V89vb!$oohFRo48}k z23GHBNzZ&=qdjB@#WVq0hSi$RegU6XNvS3e+d10oFX~S}GI?8hsdN$Uz(GCoxI&}IcE-Vv^GA!Whv3B3KWLNtlV0MH$t94y9Z6K zv2Ri(ShdJIj&^O*-^0rzem6}oeML>LvoV;AB(x-_JrW|Az02-Q9>HQZu52nzdl!L} zcLUdNy!q^9Iu1=T&X@Dl+;W}-pMfwCTF+{c>Aub>1{qA5_Nh{MOtMW*?ome4m!73! z{+2{Bpq;3~1`9>WF)w}#v4$i)6FLUzb2E#aL&BZ-9#FF%`Nbw^s#q)JqQPgFGs(t< zP}h#3l?l&5gld`=kCN#31k@A7xt3CSG@9K@_Ih)A*%j>t*slf)p6Q9X*#^?E8WW#2<@u zqZ!+2n5*mN(&2fENo+qp_@^fmR(;eA;V4NQP2}!dovPKQN&Xz$K3|t*moYXtx9bh% zH)+(z(v-?Oj~CU+q-6|Dvxuc8gP6c>Ve$7YK&0YS8N0_pE6h+c=^%T5t`eLUB&dbE zU6rpL_v=q>N10UuuAgT=qxu6DN_(lpkyPLPL2h= z;J;oB$?u;rY2jwL3TLP$R^Hz=lVWkCjK)R--x|yQ3O4BaH*RNSRxUGwCv+g}ir~O) zGFN6uL!Nto)TkSOD+aOsJPGVUD3?!uu1c!*JadCmpBMwB8*QoA)JAWX4m~V_bKN7V z4@Q{=8C9}eJ1XLxq5rr7rqiB4BBcRCKi*XW(F9oou^r$frS`X$_P8IwnB!30wZYC^ zAU@_gZs0^hA243MfCb2kU#+D-cHb$)5H!pMWlX$dOIU6lKkd{B(DAKT_ejm#c<%}VHKaI^V` zz1ftnzqH0g;fUDwAvwpN?&_wTKX@%&6tU5{&(R|(y z4wY&)+;hzFt-udj=w#^FUD+(LVc!(j|F{d>8`NzLM_h2Mv>UNfxu*DW7`Eo?kK-dC z7*~7?Ny{rREn{kbvY_jx?)xTukhn<226mUNTFvOp;HVTC?5=(*sj&ad@3_({;ZDC? zk@CAeDp`~tJ?Nwoe^{Vw0f^!I>^({o;uGJFz7M_LAGDLR-u)mFb^`$zUcZAPRJ|`H zIWnKGk$mV5@n%Xl%0aJu=qrt9UTq+1o(L{*oGD1hQ?c}#H=SJ9R{9!+=MBzpbgiQt z6)K)#jnAunu#PlyC$u}Cl)*$cmkHzanKR#2C+c!~f4$JF_BYdWKu$Lb1#_ukw;8WE zP7D;X?YXt)!seA17|(kZH~1fv?fqr6pfj%+>y;UBLpbAZTP)rdA)_`O!1zaH^o0+p zeo8?{l*<)p9-^Uudx-wU(Xw~Hi$y0Y&37yg7ls$DR4_O17Q7PeQTR}3|45(VusQvd z5uB+cj%Tt|@XaPw>2xVxdS!GB>ugyAWRkc3uZ<g*(Tp#m)*rtepW5vxVPbi1$lOuYNh98d5-vw4tO5NQdcT+@_ZUddvg zd_u7nds|4H#Nq63YmqLxvoU@r87FxD!j`Bl7r9(+orI~Rbc1?ED{s&Zp}^vha>DGx zxzmY}%+VJWr=y>T1G*E3EC_ z>I7DiAkH+pc?3TJ=+X)<$7-%%TopP7yf{-@M%-}{v6pfg{{WJQy8YFJbQ|q@67ETx zfxfi2iR-#ZmV@!rBd$b20U4lGr>4(15sohFqm>r&!PQ;3gG`mN!jedj& zkq^s-`FMDnVyZJb7{(vYMr1J8D<}sfp=qRaY`1rO0V&|ix*}bg7P^$cR*8ItjF8LM60x02oYc zi%@ly^t7B0UiTk!>6R6b%cAm{77LjFOgGR=$=-f1hMwoqRmPJL7DPY5?e~_iFS&ml zRg!5mz*i`pLj%hE0E7+vE&F5T!!e2-R(gvB%Xuv*t(%lIOB%mTpizw}Rd+JWXX@PP)!I&j%>MW=Hn!nrfyTx2shoW2 z+=o4l)jbd6MgpTVaa~F-^&KfHbvt)tb#rQ#6uTLr72u%og%N39rn>{I;$D5$FNHZ3 zVE@TmxFC0Lxw*Jp10wUS9;nbb4Q(WA9{;~$iFTDtsT5l)P{~cK*4QnGj9=11N;MFx zd_y|gxZ_99^d`RGs8Yz}{&5Fpiu3$S4|J}T9drh&cwb42MDk?c!d@3DpLQ^2_|uGz z*DWJ!!n~E8p_)7i?36kxv`Fz0cI=pu#C23 zl-W7f!LfP8Z7$0z&7DZPc0Lwdi7OnbQv2=KD;g3z{%J{-m+$C5FE3J` z1zaui9HS+N6R&k(>*v2kKczW87fJ{@gI+DWDp3}LjgOe~z7WC1&9jjP_*J#^7=N~} z9Dr@-|I;eo2 zfv-);DKxShV^@f6)5O0d8IEKvRiBMCxWMC&Dla2SVc_$x#XHFc)A4SvSj-u(eMLY& z24IHY!5tUXtI9ezSn1MujeYie#jR}5zy_Mhf(y#b{bhkAqvFpsHbzo;@GY3OEVVso zP3L=@2foN~hFEKfg88GE3XN`fb1^QlNCabCm(=QI+{GTd{{zT39vd@gB9h1hCz^bG z?fQ@bnUK4Cq(ZSMT7I+KD{0~A8re8-n#V}+t{;J8H$-`Re6keGRY>CvCn29+7Iyw? zii6pjGcE)w(&*QS*O&^?%aAHG)f%a^?$JLcwd{?Rc|^c(@L?kbeFYdXO~9Hr)VEO& z!JcdsPDf9|^vkU$C>7{vZOpF0bGrcex5=I=fEL1s%XASZBJ)`LBl@kcya;ur2b55b zd12D@EiU=gUFjL(@{fRyOXv&@*;s>XkEDAQYZyspY{Z>?%BgRMgfb2M`r^AXG<%S2 zmWkiD=JE_}mjav{H`jQXUL-UgnK0cjmtV%`P|3wt!7%CLf6agf;n{Rkzm~icky7Uk zFWUR13c@LqDU<2sa1x(3VF)0PW0~5)DU&Yb_whQ8tQq?hE=7gam_DNgw4Hi0=p-qV z-7Si(di6kUFFP|)IQ|joMkA_Fil+!mB24(}M@lOD{LD&@eENiK`<#u*iI(v~@z}=C zxp=mE=G#N9@ICAf3%JtvG?N)EsWvPVt?&>Bf)G;`M}(b0D#n}sm-`YS2GGe(%6~4= z1ri1wZ;>^{AN6?Z@=WJ~Dy-F+KKW}vXP!O)@?4Hg*=}ON9&i&o*=l3lhGJHJS6e(~ zsb9CNL|@!?3GcQVz@?pg9;D>0yD{Xd>3i(NC=yZ3m(%sxBCU@!i%#$-_1G>$c62oOgC&Z4ruW8u z6lh-7dmh(}Rec|))2>NIW0R1t*!vgh!gd>JiAu?@6S^|qXAs?cz#QS~>a@Dw^aVJ5 zBhzvc$EYKPt+ws7=Tse$JX>{3U8oNW!>y}$>XOz`H_F#a0hcT?D?{lA`~%%&CgUCv zGRFd;^w@acTW-+hJcmq1(@%%`d`MK{pfE1O*KWu7i@-<-lU#1rav&V@NJeU;o2WXE z+dIYlVc=L>Gswo6*6V1nC0DR8WhP#4#-}8mBV4|-hrw-0SV`EeLT|~0%CM3t9J%=N zt?ph*Oe2_P`hFoTc&^mh(}o^bmCpDf9iF>>kbjFeM2&?^Yn`5~#53PAzlvmy6xR4@ zpm8L1NhhrdX+kA5TZfE~?pUX%pM{HK2Sp%yacZyL-qIJ!YrXV5s0w76SdjZv?RSQo zs@8m}i&<{7uJJ`8m>GYl2yug$&|DB zv$YbSQ)Vh&*qEf|{*?r2%=m%#$7Nf89gLlNkq8Tj0(nm9k40=Vm>3;%?&OspP2cn z7>)bHf_)j~psgc;yW#`wgsIzan9Qbt;i4R5gz2*Qnu;zp(CXste<3K!ud80w%x-2>F(KnOjFpBh~!(yNArp*K#OM7{6iv^TAE+{{%>IB$)@8q3^oXM zV%=^cDk>WfEN7G{b6y1n0Qq1{&8hx{)dUi%juNzAQO(OJlrJk{kz1nu!0oX@|%Y3DeJn&l)ksk*m_<{F6V+BL*&< zZi{IIj>ot-?KD_f=bH;tpOihzVf=6MhK4fjZ7k9`<{1Fv^8LBSj7+qm=DT0%n^KLIO@ zS9m7~ZA44Rp59y>h^BM9P^_=&JsWvmzIwU4(2Q)i^JETn@Z6Um9rFD|la)=bx6uEW zlV`cbMR4SpC$atO_h%svZ>jP*W-7NE=t6Z7rMR#Cp9BkZgYNF`6pB?O30hjep(SYI z{NJoG)Y<|)t|vYJRAoW8H~cR#)=84YIuiEz|BqIp|HiKKm$c}gdvwG24;>UQyyDu< zIPcDQcqv|^ZN|*DqhW6{Y=CVpr2ANsPtM)^Z+M$74;4%d=jj^M89=wXz1GL{;gpi&y+-BhtLePHb)30hhMSb@8J&E!C6NZbD)bAAKcPs>lDWd@>)Wc^qT}oH+MmQ4o zsXx2YNyQ6Uw@lE+qdWM^Phe(C9x6@NB_9&rg5WV7=iR- zL&Jsk4_wx`3F+ON633-4WMpxFnK|I9oM?1xM!mvjcV!)L#NYav{1_{Z>q90Y5U7^v zQ4{uvsL5oyfT2S=D0o>$oK$CmL7gz9|Lf;=Y_FblV2{nVlO-rpTW445#7%UkFPztF z6fmZ)qp_7G5&<4muMLlb&-?tN@l_$X%SDAN8IkAbfS5HVA8oaeA+>T`(NvM}Q^Ech zpy}nc;JZfd7&4>c@rnb~vVi1we?eeD-AVCY{4)uowL|E~hJ~^lHCRbbG_?4f^XDcr zDydpA^5&Z~A~Ur+F@?=Pd=My@-Yz9(OO=@zLDl3i<7X$Z*$&3SAx)vYYXO(^w25^P z>;C-UQEvKG&hrHp{eDV5r9I6Gizl&Q5F1YbX2d0aA=~N4WmcpM?Z(9LcE6G7U1!g) zA84COwyjLw70Ip)U(8b)eVicdQmwrw#?r2{%6B4YoI|@d9W%Sw9UA@FZa~yhpsB z|2v+n+eE)M9U6iqEn9cU_%-tVlk9x{Ov8q8SgnI6=g0>f4yY||6-&dRSQuw(b=o!k z*NsYpXR9?`mBAy5&fZ2$`G(lM=X3d`uj=`kI2j1ix(;o%i(f+(t~VeO(#jLDv?4J7 z7wZbOYZBjF-;xX`pea-uy!HaQ*GOHB5M5W*Mo;s zI5tJWQE^d6?C^otNR!r)EXgYE)97Z)fh#m$HkuH7CZZqEGWf5P(ar-tJD*x0xlr!h zt_B=tj7M>jzEh~UkPG);NfSt4t1?H~Xky9MnX-+g((;WqDpshs(R?Gd0CLE9>)?0w zM{_;`@HA35^-8&|e+QE*-#*`TYCM)kIgD&6ui~Jr9ZJW)V7nSQmK=aW@X9sJwa^3a zO-vw!x6Rn{@mi1ud(JO!s$agU;*}BgWS(+{sYTnxOU1A&b>@0JY(A6s#40)pZK^&q zb5o(mqu=&PL#WzoalEclf5jJ<6FZF{laF4$2oa?iP}07^7mhV5dQPV^uGnajjXjxg zJv7>0{f>a&9K^LI0vUBZ1jJ{$-|7%h^O5a#=wl+8h%cRyQs|WY6?S)eK>j+R+iR@N z!JIQ}rH5&2z`i`3u@zuGPi1uEMz<}I6NlZ^Dj0&jbc1XbPb*XTDYrnO80I05)AmLf z-Tc(<3hViX(nVrGY{Db#wyccvD}6@V3%rMdBp5c#f?QY+NE z=;m?htZ(&r)2%G958+EBkU11+^nW+#?w8NDlDw5&__-&_pN9qaETP!U5QxAzr{B-S z7_4rdX>`0S0^6K-Pzzk}bGN%4X(z4OEFB3mW6A&b?2j!ri7x~-o|VfnRj z2_{ra$)cl{X^);S8n~WBTuVl+KxVZUpa?=rjlmPE^dbtcYNNMsMg_q1Ra6F zM2QZwC3mpkNM~++4ShqExzE|$|JRf{je3gx4829ROXwnrW2k0RfFwaC$v|S#rn9Zp zxy3D85r6YdLagq!OHI1*T$>esip=81V>~bOjUC0nwf3)vImz_p=Ds~kyWN=rULPlC zp(rhc{}>$i{uv4KOakBn$BT6ko5~u*yFRNv^~2;N9;mk;(WI~ODnTRZjvID@tHO82 z+H|H4jmr%6&^k0~G5QsW~fVMwPJfC*Q7gwapHEMTROzsD(P<^hz7l2FZhXHY28An0DMJOVtgp)FgLZa~ACdzsaOb`wSuS7`ruDFcnJoNs_>Fe6>#i1XJ z-4G20sLKIsvn^>XCe1mQjU4cBHR;K9UUZDXX3Zxb&Vf;PH75?PB>29a6bG1n!?ZOe zYqj*548ae=?b_lL0r=%fI39oWb5|G|-N2a`?GGlejZkANAfzt8iP^j!zITH#_gq^U z9nIHT%sTj@1#C}1!PeJ{8r={Wd6JCF@2P3GY;K2~)rYe#G8`G(gMGCZlu>xqGvtXZ zRxq06SH{XF9(i18TZiJtG8uLT3O+PyCN1pjHt(804FWgp3=#0(SpA}9N5ptz-E}i= zQ)j6)0D48v-cSXL#5%&kTS!fO-2%z_rf_XWk0wGWr0n@I1UYr5{|GmAg)0C_*g_tr zvD&ovg-WA`8Zl0M;Y4KVBD@TLC4uCu*ETwAtb@bVA;II`{+Ba3E7e_ha|p`D95l&{Pl%)?K(ed`M$Jcw*{Cu{N3@#8EKFcQ^{M*gws7?C|(1 zQt)A8*-yGuKrH>jFWV1F@R*~I{Ey!WxWhh^Aj1;X9Y^ABw(OR7rQ1y-#yN9?)nlGh za5CvU0Czm~+1LwAm%X~1$!5mRjahGC%Mi8ue`ba5I0X|n=L=t&9Qq*OcwkNup? z5sw*%;FR`w5cD3V3tWdPhV(E1CkrSD0Ew*&51lC-P2}vQT>RsFkNOYm{LF=Nk*U}* zfJ8=#9glRo`q~1^{_&HHHl^Ovwb_{XJ?gP~W0u3g8g5(O{-wa73+4n4=XOTLr#&gK zz5Yq9)Y_MxARdaM`#mpP9Acy`!&C3f$=;;N*;ef|cGyc1ge;&0hHC~B9v@*DpJ^{C zW~gS*!>IG730sUQ{yY7<;}?}5m4niya5a()`>p30Jm6H@e^6SS&b?Z^_jo<qeWQ9x#;2%Tv6`cTyiu*gQ%j{JV2z( z=+bcV1>=fIQmw9k_xiLE#{MU-PYBkZx7JVknL0F@e4#Iot9P0ANQlbmt2`#KxmOZ( zPKOG_BY)z=IlyVu(0=Kngh3z=vKVqzARl9xwrV3UeS(f?HCklL^~M{(QmO2I>Q6NK z6KloXQ@QyT`R&+?ncUc&*ND?F@87>yA=1=P2ZK?#m1k#37~4cnP69IQThW>lwC1Z~ zjU=-?Ex2zsJIiXCR9k9_22MLLpaF2yMbR@xpuJ)(4wjH6PzNP(b${FdyH4p_wY`D+tLbqND)Q!tPb2W!uAVzM(;;A-N+MiDnfRpxW&z0aW!}HH+k0(ba^ZEB+)kU z!n*wKdu?{Q6shwTLfntyfwO4&zo!3B1k#PLc7j1a=XVUV^VcNIc$@N-{jxXFeGLx# z2<2^C-fzL33Na;yZX%)BoxM?ldo#^krTgrWed95mPxr!|XUcnZgD`v(#SBcMrAU%D zrpy^_C8|j}#VwRpX_HV9H5IM$n;|_=iMl*D@G}$*S`Mef7LoSvcevp(@ZQ76h=(g- z5DjUErt{)04uwnOs?{67g<#R>fwx0I$J@87Y`#KLyeXug1h*$oG~-)yV*{o*%ou?q zBAYjR8)z$37VStb=T~%z{+h;g9|9`m@}&d?My@xOJWm_4iTM?_aBB&)oLux>tp^Jy zwzBn-#Ux8UlP{dyFd%dky1Becfb|C`4s@O;gl=3GPHgAv-4-n8b0@UDaGAh~-$O~D z=VplmeG?|Y3l@?g$5HEID`DZu>>%p*0*KkgRAV7@v?Ze#qJcME(GO%@7N^KQT43mG zXylZ&XUyw9&n}^mC+%dp#-&OKq5W*sc5}Hc3r1e;s(avOkQD7sMgmRWCu`<6e!#Sk z;>;vx%L>FQ({6ocl~A!wT-=9953>@s?bd#|?`d)8ZVgSvH zV|Pxo5N2Eu0%5-CbUe}18iO4?7>(<$Z~Jj6AXPX-tefcZ^FMfigi*JPx(J~@RrP^7 z`@^x6Or~ku)j`ik)1&}j>*F=%CZ_D=aQQqLDFwij8&6xgF7?!2&o12Cx6C^C#TL<# zN>yG0MuPF{Q-$)yz}Seh_Br8#`^lUU147}K(82!kaiY}DzA&X$3C_^GW3Fn(9|-uY z$zcEKk_jifk&2johbU{MBy~OPDMW21CZ+z)pT9osbG1SBlYlS99&WFF&t^9Y!Oo-0 znmxJ>X7c$!^oaR1HPcj1)gE|y`VB6=5cLaj`*UVfF@0x+YrOJ&f6}qlOOnIk#w2Ys z1!2{sq9ZYVbBl}tJK2$nst=zfBk>j}Vl1ePFp{m_`+ACW-Hg53$g%(x*2ehbx=Asf7Bq!s`n;;p1TvJRrs)x=Rhx z3KDHXe~02$!YFSvi_r8ypj)WMGgs@(gp3$h;zD-FgiEDEOHaUa+l9}Fm`*Sf+85xQ zR23y$*4vF$5y&WLygJ~wM{6<(pt~!-i!Ax#kbI3dJSkkPR&7eX0L?MmX(B-X%+`7@ znHa5>kw?xNtOR}1Q7aa2Wi8F<6P`_lH3BaGJE+p6j72B4?yifG0P$N$Y|a?S5A)oBimjdmWwfJsnPSlcC>5`$AwrxMe_z){j*tQ;s&^YZp(NQ zHsxg2?7a*^H|AU*e{)5>XZ-E~S8F*R2<5FZD~Y%P1ezla4F%I8YaJ=x4FHYauAAdU zDnh@%&{VJ|08j&xDZB51@Fja;^BJjX*;WVJ*CWD+6~iLaY@_A|38M-dN_6suwV)F6EgJ^=2FEFSgdr)g4A}UT4E-azqWu&i= z_&4iYS?;-m{ak*5v>J=o_^WwUcZEz-Tjh>7Vur3{Ek6!sI-4Fm?ZCZBqofuShBPi~HNw zeA z!`vts9bk`6r<4Yeq*pVLJ1^)Tf)OO}q7VJQDKOs1Ey;vm$F1SX_^ceH7K4t^@5yoJQLx ztY=P`jXd5$(rm)n!QP1;5~~~d}!7Tc;d9xsr+HI@w9e?CW$)j z9It4FA6rOG>Nmp+oV3=QV((mi@)^GS+#JzLWW8s=nDp&EL#Bkp z)G`lcR99$ZO33b<5m~_E12HKKGd*2Ji7I_OuE;cWfj8!AE!h&Hm*Q zi0~JayEzFosteu?e4W>`Yl*iz-VB@-dM(g}W5)=UbwLGcb+~tP4qO88N?}-{pr>vr z)#3`3HBS5{>(tjuxy6F{{_3Aqy`}RRycn)8&XHe=EFFZ<9P$M7j0iFe$azO!=|;c5 z-h%iZOL4At+zHY}a+yP2dhKkk$`VC&1;WYoJ(|(|HI~5Lypw?Q&<+|0Fbj|VkItQ6 z+;2wMg})^sc5^!ZXh0S9l7!BH#&D*i3bwR$U*4fGIZ=&I?@9U4Y)iDX1yu4ptqq^2;_pD7ZM}N0Bhffj4%15vhz~jWh@?dY&9wr2I>2%uJ6Q5E z)x32wlWzVJID_fR8Jl%Z#$+a|EAV6>FLR9*!t9^pX4sT~m%*C$N~P9RmtI>-O<&-i zyr7yHFyBJJKHD*o&9p05$c}MP`@`^%IHCO!U#PsG>sT4k1t*N>*Exj4gxiLZ)=sRH(jY^+8>wbE z<8AfNbE&87H~5d~9a&og>dYP=(#(`HC*FA#h3!gL`ugVqp*BCpR&YvXrdkH&1x+%7 zPO5=O^_h~@cv>d02xE=0q*<)x;N}K>MXy4}Sd50j6U@WvRFe64%{N#{&Z!)cm@))x zR^Rk6stLVa-gGnCN^92eKQK_AB3hfjg&3H>V!t=W>JX}IhCbk-Kjll%$M>aRJ^k-N zK}(5&Z-d`f4X`zrNYK0u)}dRuTU>FSo6}BAi#dl{Vh~QI8OXgND53K@FT7?HrR4h^ zH>`*gIUP`mn^|&zA?obVsd~T$rIcCHfc4^meF1dgw*2 z`NKY+<@*KN%af!eMHOi|0gpI`a?fFt<|xn@P-B0TUt-gx&ka^2@5L&H@C~e-zuibi z?z|nB7FN18N7&M1 z+To%{WDM2`c$pak=HNnq`O#z0GPzrfLp7>q+=SICO*o%Qoxy=)B+3$3t=$xvJgrq+ zxrqghRfDNbgo=Y7%gf(|ps7@CDA4~#Z1eov2JSY4L+H3mit!MJGMsDq{I3QoZ1F7I zsv?^;@J5A7eh>*J%x;?*F1)KUsoP7!bauR-u16Xr*Xip~UcjQOM8C^2(@B~0kX(Vd zXimEsrb>NRULD@9>B|%j=MI>a*Bk{LhKMO3WdsgXJ{jJo(#8Iga3eA6Xo)`GtmEO&j z@I^tq4@8$>qrnUQV8#l#QWyX+E)%JrTbSh?3Z7J`e7=N?MN`Q`BYJ1jR(rD5N{ccV z`3?EMrx#b~OomW#pst?JLOUdZRSJF5Lb`~ouUQVYSVDnD z6WN{)*bA1>2ry?#^E!f#J#8)9>D}&o{Nlaz6XX@>qV@Nn_Ft#x)&~p4Y|2F{xsLeM zo$UD5Tojpr(eamaXS`Pi=nkJQf&lzW zFwAH_)KJR&riWhYAvOb+?wWA@Y&n6wk13i+TVefi-LiDn$s+Xb?UV$GZUo?iV8qp{4d_6hIX)vKRLZsolEB@k$? zRa6NjG#~q1aX$_gS7(@B3hqyxJu?F6PHu3>Ij|Y?h)vnKw0Q~WogWWLt$7}T5|~K~Cvv(N z^HRHoM4L`E;t{Z2PB$y{B_~lxeY^-@{l{G;sX*m>rF=J#iHnYQ6tg_7MA@(p8P4as z1lJi}Br5YQn|&78nXA4K2h`{FNi+-}ud%es)n^wA_({UsYixo14rS7+-H+Y@6Tnpu z73mH7LTKeVAXucGLtt}Rqm{gNGzdIDeuAO;JB20#j(6g#fAa~Di1UsG&3c1?HxUb5 zAJ{x$>54)p&9(VodaXZshq7Qi59*%l5}}JNkHkOxY@_LA34*8ax{d>=s%mhAXI?(t zlF$jh1&0Qn zB)GdvaCevP4#7RRLxAA!P6Lg*J2cR^yEXEY=Y79#-hXCej&EmXHfEF&X`%s_8!{m0)q|n}3^|{PQGSG#&pfA@t||7*PIG&IMa8`GyHyn~?UR~u`Z;zC~8pi*81uB!u>CtU*nm=LQ3!Yjvfa$juDnDyL?`sm)y~PHRk&(r* zsl3VGpT*GefA>^AQ?ApSgN#KeXxL)-&#_Mz?0k2UP8yG;$8}pLkmdQM3Xh%#i%eiR zz~gMLf@be0|9{#(1?T|B8rz&k$uw%s&D9uV8x4 zda7~gkDeq1(&-6k4SUve&pI}v=bnfD!&~vpDZ&{XIr;4Nx3pIoEfJ}iYZz;rm)|+P zuPdI0zP4bb`j3r+9JX#UmJjAh&nn$VU)U&QY%eS)`b-cBe|$8}Y;bpC^S(OR&JOFT zVDS-Nt~Q4q36V6ce(4haQ@8dk=kr940}fc*hRxJ#*cYjmN*@ZS2eLqVY@KxmSmj5H zjN$Zlc60RELReT>1BncNlb8E}ER+IcEkeCTQj=QN&Jp#(ff2q*`K(`?m2h@-SXj&g zZL`jRU3DuggsvOhMelR?>k5S!Oz)j)YCy{ZWI`T&b12WnJ)n`}JMHy2ximmpF@K}bk1e1mYML=*=oNrG@*b> zIz8QDCBqzglX0QxLkOEiCYY@xk4-q}-nA7CdV@ld{c`xc72R$rW7oUXM}Q zAf9->!VOy`s4mZCVeY0W%}i@FA)N0fkR90s*wlTuVoT_~Q5$}NZO9p-Fyq8spj5pT zB@tnz%BT^}95U_W;}AX#)gCuH;8+oxq5m6Z&1|6^t&QPTd9|eh{iguuIp%M!#gbPu zuS=(0>a0eq7Eu`V0Hhr6@v^l8KD5-QN*52f64oIo-oN~?E+Tp!EcaES`gm7keZy)n z+s`|Rw*bSHF)>^8Hic`CC!;o~o})q(AbYPn$Lq?m4IvOF_QxAdok6!=NJDYUl#E_^ zj{{};LXayjS8I5#RpBun)fFB}0u~1^?pfzKlU$J^yU3YBkI-31kK1NZU4*Sm?-qBtQ_t3f>ymZMF zjFIk-STZ1_!$0(z9)~p*d+xR;3x1}%oAO#RgT-AF6eoranA@ zjqEwfN@2!C82u?6P>i0zsnC$=Lv%jX+M6cd|fu&6La!`8r3P#D%N{ z+|cZ)x+XP@`l3ZzTV&FBbUb!&saeJBqSil2001u)KJN{7&+8F39DHCKcAoSZq1~$O zmy(4Rf4TqUii*0W5J3b6WD0LYL?ilI$w|#G8^~%3w4y(Wg{fpY=`B2Prs~Nb|5$Q6 z^0s}hw5Z89PGp#CRU$$^^p+pqlkUA5sCzDAvej&qh8qpuuYD!tw?uZ2z%;&wuZ%E+ zlYIKo81#zGC~Fg%Y#Ody$0m^1%)Jt1URS-N-^?X;9&dhuvMicHq)(Du{B!^yUN&#_ z^ztEuF3#jy6|Tn>Y9>ZP6AYj3-POm>HVb)hXI!n78&x@^6G|jY1%`J37MKV- zFMqGaw%G?NX2OY#H}QjtMIN43-1~zEts_47^Wji^tO`A@xJcJx#Wqb8Mm2l!r({IK zA>jaWEjeGa&1+~7+iC+Vi%*6jZ#C1UG=8>XWAq$ysxw!MCCO56bC8xm6>;gFdX7=0 zQCy*7thg=mC--71)~vEG8~v?N8G_|fevG1o9My4U)iR|_%vakL%N=5PZ110Q)MJG` zuo63G#)-d%36f$?k|9KC0v<+S)2T=1YpCR6sa6r>4ya~<_I-!u*Zg%f)8C9X&oPrp zMQvRcDAhHz9ji8jbl!}v1j3yyAG%?&InXJ|6%gc$q4@l@|0kEN$YLi=PgU*^%XrlmFw4b zcEnK$SS5E6l( zKiG>gM}SY@{bg-a#gl%?#8D2rrxHfgvZ86_oJTzfD^(OSt;>w%=z|G~4U# zxn_=-rOE&v30@6$Ro>nWBu@%%ah8b5r9@Ox-Sqy+D%hS=Qp`6FX2?3cBjyi|3ecHf z_eaM@^Xn{gEnIZT6g+F^4s?Dc&xOS6L^fp&tLDj(=u(Pzw#}Mc6%4KmY;dbSdB2JK z<7{xcz(q+q35A>Ok;Reb=T?Dz^MDsh6gLQL#9?pIqp$fXd3AH*O<*>1M}QEH)xu z3C6^Cic6jGlat<`j!p!D=EE(WCi2UzVdrwz3+9SUrB>1@C9RR?LZ*w$&ay=Wo-#9t zW^%KIjU12Pj0*WuOmkiqywHI+j}MPG`Uag9p4a_Tj+lZY0*;$^Y9os*`Q{;_H_Z#2 z&IfoIL9PJ;uQ5h>v5fofQhlTq{q2|{okuzX^`7PT9JgOY@{<`?+KHCUlJdxDN2>hl z2uR7-ArNpN9U+JRtiJTY%urdF^^$1L-Q8>jl51p#5?@U6TBftI{n{2iHxd>G+slo{vagClIZaC*4I(b8U zn3^t2)|{Dd5UcFAgD0;K=#7R3Rq#7UPkQH1@JWyeFKdqmS9*IQ9{jXd4$}H=fC?uv z+L0YI`Hn7p1HNC~<_rV|%Pi&k$hL9nXRypW_4P9TxO#Mv8|wemR-4SedTQT&)$7P> z^Vos44Ge0`krHiO*p&RWxM4WDArGB@=xQ!Gi>tw$IJw5Re|BL8!+2&2{O zr>SI1{ua){k%%n#FS(_&;IZV8&bSSRm~1b^7lsNr;j!wE`P6(Bw%E1b^z!$7 z(jbVj_D$8XsSj#RYVY3oW{ACq{a?5!F?3XQYsv2*3WL3fpyY8=0&jgwju3;;I+pVX z0;RqEuCx9bz%7xVP5Kr`vy9<;pgqozq<#}ywS+oV))g*dyJV~9cu9=dP+OmLp>y7U zI0<5m`&CChPchPkI@HudO948`8QEn6Ny4StixyEM$^DT0UPK1>Ze%CKE(JQ8c)&*( zJ$|6=&T7ySBu*N2_7#u)m-NCf(@$nZMVPxXm(;Wt8R?r-t@aepg*ke4{+#6ZAv!*P zo%d=-pDrQ~$Nnte{g4pJ{MCjEyJgfoq55J9`5um&|CC)hHFELbM?C`bml~8%T$n?K zC{6VC+%YE%<5js%v!`gVie9;djFT6^B;joM@{S#o{Xna&V* zBT>=L>}h#7MErSLRGQotraPmHHDS4WaT|40JgJiJjvV^1!$m&~)=!aDx>Hqij$v~r z5pIi_F}NpH+Ny&!1R$#2_AO9_QxxiVw@)4%0)T>}=My`7nH0(SlJfWU`AM9x{r=0-`|N8Hi3J-G0VemQX%@)GDqWAw~F*o><|u+02!gF!ZxC-xRiqx!rH zL*IH*F>8@N(7jN!iQG2(9i;d8g-+9Kw)nJ_2wD7~O4B#tQ1!!((6D0)knqB2hWxpU zh=8N;c3tJQFL@X1=Y341@Yfk?)TR2FXCZPY-WJd-2+_mj*$NBKWe-M<^3N+4`dI(z zot4(Nju@j5C7NNW8Iu9`>6Z1|bM}|!9fj{z?Au;x*bA`N8-9YP2Ws;7M|Y^+E|+_r zc8>LVWx{_g%hb?@6inrD?1D+mUf~v&5UB_op42wCI`Vz%WhzBMVXDnC?8C~IwiU}k zMfqxQse>S^*SsX;Y;pRjlr8{??O7-{xOB&|Sk64_5;FNqExnLM2J^`5GMs1QK|j;- zb}#?w19^rJ?MCmEiA{4juzB`VsazX-zT`hUw_}b$mDr-D#y$}Nhd$OF7aC#wYeU3T zrb)b&5@lr7TG*>acae65U6weD$&8m2f*8_IMFN+M)SX?&6g@yjzU)Oe0an$cu=D*WRDxJTO`nDa9|%)5E0OI$JR_|rg1b_S>K8vrXatr>8hMU z8rN-beMn!c1_ue~sqNumkjb37?? z#771{SxJAE56-A%Qm-)DluvWXL3se)tmBMnBZL|TSfgZ;WL1F+q}q8rX|tC zR@bw&gptt{#2sbCj2hop?t=whvh5wKf@>ydllQFksC3>Z{h43NOiN5Fwb4iheO-Wu z|Llupya0Uj>xI(+YHqcX(EVe)lV*5d{CD|R4C`%O^O~7!Jwi2=IE+GA7;JX>SU1np zgsK97v`w~;j}Pwx%usZ>~b)?zxGKT@Wg1q^Fl%l!nXh+EmwUcbG3av2b8W zEG?()nJ%Wt1SvnbCh%p6=VfU90Bx*hh?Ehwv<8&=4ablAQc$@^t`2CP8ZRVP(|)k(w6fGm4-{YQzcDG2mSg~EQrJPOIgLKUDn|0=04+35Na;Ne!W1?Bv z3!}{bGV?h-=g!YzPsx&_fy;a6%2P*zk5~#d%0AwnCG-?|+UJ#s_;Ee0+lT(>fRM`$ zaVndyzicvV=@~Gk3omKG50`rBw9)_T!|vNN@4lWDJLScxk}jh8?5k0(QPZQl7{&QkB7vn8y8O(t@w6I%5b_u_@=)Dmt9tSMISx!QX51kVc>{m#9%t<6X6F%r_F z@bu&`86kTy34SL6F@SvdW@dLF`Cvzs8e7}_1(QT;uwdkpA)N^q@2<_4oZMik=d@kA zr>^KT)R3nIT{kM(JZC=pD~YaPp#0_FPo`S2cGO7_x##Xddk1cgrB16b6&7R)nf6ar z&*^pn=ge;}GR_mn^^=bLySmwj8)5mT!hX$Go?CPctOp1qkZ>6*Z{C7^LQ{ld$5{7x z;BFLXoKcE&j;qiOX*FI33-qDk z?8mJ4>mpL=8K|2s(BrlJhsC8>e*126BmG~5a;QmuSKJbHBr~wbTfdaor?13QlBxTo zF7a3_#~Di`&`MBgP;M;vkZR-~2f#fRCue7oUQ`ATM-_HU@5?-Xn1*!NR4#YlpFUXV zlz*ZL!=<(_BQY6GiQ(%=k&Jg@hCfroKC94P#kP0|IjCc>nNj$3eNUth`C1{11Pk1D zC-!Zn^O%w@!Z?>jViCJq%liaf!%ly5qeE_hW7k(Lqf1)oDj6}8lVo__adKpD6kX>d z7&xLey23fz;C0D`;9)b79TnJ+FPQ>m9#^_faYep<`d3~|6d;|p#%o76up<%fqB78k zB<1r95@4FP+P)M1DM>2w;w}~6S^JZd{aC-t*R$;~_x1r{S`K3)veTQ^cWXH# ze?Q=D2HUSkZ5Of}Ou&lnqCay_frQQM4i8($enTBcC#X$2ssX0sR z0+?~Kp;l46%u)4|yHyz1!{^$L{;&;8fedmNpyUMp7%|K&nO;oIy@+l!auspGL?R<) z!aG6+A=pY2Hak^`tu|rN#!Bg(k$Xk|`)N)~UN8I+47~} zOdg?-FM>q092<286qH?=K|vZ79a|*L31vMDH>3%^z_x)$s;2lF+2Gi6_C~+a7qp_k zm7*KGn5^=Y8ND;DZR*?J)Bl}ADb-!{A+nT9(Eeb~?0m-JL}I@wv%~aUxk43rW^X%y zQ!&{%FHCpePKSHKcanuYS~ju%c_ddIPn4{+mm=*=GVO2|=zE^R6xumHNr@^iTXj%a zc(edt(XAjySQN#?<>=aRZWg!pJbw3!wiK*s0aQf9b_nPD{H)qMr(ZT^v6m<78t?NV zU%*G6b6f|~Q_(}uh%HMz2g)cS3iYerLk|J3>X7g`g*>Zt_5{_59^QkSq7F?wav0+Z zx#DuNe1-r(vMQ}T;b#dy)^DkQmIaR_Y6DhNj72VQ?~f{sFHlFoJXH3>3nu7O(@Hdj zfB#t!^p@CqShq~J`}&$P{4D26SBG)hOr5xFE2gNkicM3vWieY=$TzjjOa8KdjK323 zmh%p*YOz)ZksNGRu_lDIz8DU&Ssz#=&o_EZ;Y~}QP29agv7D)k)@rx}NCu!B&n+E7T1i#7oS$}fd+B~%I_@L!(zz^ph z6+a&V7p0*YzH5HXLbUZUu*|s5os&)oeG#{4>T+j>k19`EV#Lfy1W&N(&2G4*h^}Gx z_frzLhft}7i8vI1_7WG3rE-@dQ5n`!>#e59sq?dSoU$q}Bz&TOsqGt<0)&@edx?$B ze5~)GVB$A=k{cx|hg_mx$*FAIgWJ~5V{?ai_3i1_&4;_uMg<#S$Mj=Ty<&R88`7d! z)!fa^qun8XQV$Mtj52fcNpRJx1&@xzO~u$cCGR2{wJcy|Dq*!e9Is&g`RrqGsZGUU zs)w`cSMTV96H&3sPyP`6QGH>fYkxzVrZwzr;d4)~pQXL}OpC_R&5Cvpk_xV&L)4G= z;Tl-(9G*Mk&o5hVJ}6*mJAbKP`c?w?&_|^q^3VTGK0a=sHWWu=7pVnw^`m?XsZfj4 zb_|rn$bIR>MeA3HHw(oftQd=S|15~1t96BigV_o%mLiglA2#v$EEhT?b#s3}6Xhmn zauZ1GZ}XVxWHIQtvXlDsW2fxdyf8nRp7b)<0DvX-pT}qZiEL~K8XVp$W|6R~k8UI^ z_Cl(fJ!flSViYM@nVsKLc01FaMFzK+_qYLa!>nmjE~oHGoK`LH=ItZ5c}DP+a35u9RtrfQ};r+BB*UX z!u<7NScFRnPv~Uky0Td|MzMD8og>ZAWC)F(AV=?-khUve0-r_V)F!0k0b4^p9iGul z&cVrJwAWNPC6CikI)3J5jch3Ud)9om(FsntK(d^qJQ?z0k~O9cfq8}1Z=d8WJ{T51TnIRQNvme&=qdK< zCAZ2O&{CRKsyN!Q;k*eAUA_kTy#Ae@sd$7qvv*PwZSg^}E=7y8){TR+A)5AZQzu=l zhe?@YB2o7z;}F5;n!vU85D97vKq{*~l(6y`EtfXk*mh9#1^IMahnt1-ot^iPMzzUC zv1-T{hI&`|fMbbCL%}J*!CEBm(a)LSn?i9G7ns48wxuYwQGf zwG7|6WjuDV3SuwTvPh8`z578YAJ#PCnm~12Yqf4lFFn6LFKMzq0w2tGXxNW57z*b~ z%epWxQAS23^Kt}AnF|a%jDcD0QtsoJqf=`_jI$iQhia?M8}`-%!1qSznvj&E=Z$a_ z>;Hay7#d#5L8aDsG_>HFBD0P4salEFh?@Sh!9J%hpgP%51m*sLjC*&#K0ECilUA+{ zo@>YzcnyWh?$1T#Xf;m)7Ojt#xrM)Jyu~KMO`y4}yjnHusV^7B9ApDDI}tTM2+lVm zKGLzRz*{XDQD=6cEf0t!V!o679M7=xWSqH7KEfTt(e7UI%X-9ZGYm@6XFUM#^!uqX zo9byu^^gN? zUvKgU(xZziwLM=#zwKFU-!(@*5A(OH@Ofr!yFnn7ivw>{qS6XvF8w=T@KYyb)v-xyE;D+1=)X$h$i`|nMPB&`2kBbv}t=FFyqSlv0 z9qsg*s{w#HHLgUHv$qk!e5ey3%dy)taZ?f-V6ogQj3O;-sL zGhj523|X9p+GsTf9@g>RB-Qay&9dhEoni%!mghO9`MBsc2jN0fRm5)*<*ej}h^9?u zy{Z&j9{GmuVW~@fw0R=>lYAUH19$a9z3!h|rc&jgZrs#oY5O*R)8aa#{SEP@H13^B zi=fw!e^M_*GQQxwT4=8tC{&_STTRM}>yy#{J$>{HhX^?KEdntFH866t<}V5i^m^PD z1=Lyf2U1EU9K7%o)407jz|Vbj!EnUHACWfy56vdMJj|iQYlyXm~7qWm`1;g5|kZh(wFdFZ}tos zBU66`K2ps_-Io**E@g}(R@N0D2aiY{G$w2G_*Eq<)+1|KiEd6@`fwkJ>`r!iWUZ@g zG9Vq;wf6YYo@{9|x|r=$*@RN2rz_f<;{<l%KJ!2Z?CL0D^+y5I#H0l8Vvh#ldiEaciq|cvz zY>(=n%}i!`NU6jK$VoFBOYf7Difu-Nl|J2UJAR`tt^aLwpR#g4EocjbIX>;lWq>2) ziVhn4696AkkI(OkW?NNa*sR~9sNR467e7SvFHqwKLel}+IgEXHYgG^HOcV&KOxGbr zgSt_GBvdgU#rhqb?-x|&>v!3ZA6ua8JoNnwe}5t!R<{+V(e+nc zE$xVGYhTH9MbTh-ng8HNg4?DF88pk@B|ndxwXbwda$Q7e&Y&R!Hufp_OI6dq+Iwy^ zo2|OjMZYRJJ;-LR-kK&Sb*tk`HpTi=u!;MB_4?PVD4LvO37qVn?cV>=OLsij zK!H{lP$@slqa7W20M9-F{_s-&9+~wgKWWo11FD7SquG&?82^bQQDkE_ifH`zmH%VT zChqnBYlzSP-}N)s`~M_U_5Xxay#2>6a0IXYkqFJF&>z=>`)Lr5D0c&N z;>TC_!hp3kSdojUzXc%Ud1|x8c8Iru_sR%kw<2QAWo7_%ocsdgz2Z-cwhlQC=)R+wG z#5vWL(x-M)n*3Hlya?hxuLKgE9h(*k{=^F~BFsQOanOYRfGU7oBxQ|an)^QzZGBS9 zu<-D4ctJeUjC1vZyMtPsnVA_UhTieh#0H~uC7>VWE-?;vwUm%sJaWd`xv45Q;gr6k z&yc(3DGFSFb|O6x^olHY&paRbYUygE{gd8R{S2@CyV`Q7iQF%qmTULhvu|I)ccpGU zh{ew;=2^pMG&RE?d?8t$eOvlMTEIoRxk`=brg0MW%YowGJCHtTwCg$MdDrL(fIuxq zx#n0Ye##e8H4{-?jOTdaNslBIAG}WLrOaa69@N5W`)#BRnL=PNZ+@S%Knye#r;$Of zHI!0){ADEX3Wq#*3Ee;!@V$_A{Zwm(FD-7gJhFhHXSA76Jo zXBUjp-MAt(FJm&j@|?*cS)R&>lNKz!YzP>>qSAG0^E}Z4W%%w@!z0h$K*vtoJuDe1+$Pf@QgL_P*(bLhFSa6*18e=z^auEV34GRH z7}D(s9+1K~4weQqI(rZYjp%J})mrPig2YC!>9k-~UCB`Vmy}pxMw`v|Ue;?^6d$viDhe_#jkYmn*3boX) zw_!5@YI02Mn?@(;+&KqRXeD-duoGR0!9g{a0SK#&y#&(S2X&u9lDxYytHjPTT}3>TAe@|43X(tDB?6H!|q?3Btu3StUr;u($Tw zKf#AFjAV+GFFCsO4Cl#xAQ7fRq7nIRrzEH0wY=Trzc;DS#m&hR7a%fGIDddda9Rm1 zu$P5|NKI5~92|AkZKa)<*?zk^e@A>C6J81Xdw;_hb0`1R1i%00=s$=nPRQRe7bYqz33eKa}@5WjZPp{PPE&$J>1@M zd~6;$AvN!EBsQm^`%K-L>}Q4V8l=j0ggVx2v<4j^-5KK_gxZ$uIX70;l&#GlpLKvN z_yu$zD5~3Czq)R!ORQr>1@tNJeGUkf>R#!!bIAJR}=kS)?SbPbg0W-Gru3=JIn~<)m|U z%Nf@BR~3kLVy>b%E`z824w1v4=W^cq?<_$i4xn?wQcHmH7QJYQ6Sw6|oiI|&eJT4d zIzfRChO*&>y4vbb<*%O}*#`A%veqv7t=$$3;1?Nsx?VY-L-63+CTPb{XoazAJ^nCouK`$)+1FZC8#%~|N zh3@ z^AE8EpLc){eXYP)3z!kLguW&Y#ob76it`n=aM(08yCGe~jQ?ZQ=n)>(A! zkI47bmx>nx=KGVm>2AZWHrowuBMm%{x3D|HFuo9+PGj(5^-D#6!A*^uclycNVV(I)2{EFKG@gH$isTr5z+Kji)6lz722j3=}}2oonke7zS5EQIEWc;yE#C2lSBDjxFTUjl@u6@&`s zj1kFp$9OD@O;7n%_(FwJ`c*VSL2`yx&9LUdzFtrM2V_zj#47&)zp`l&@8QT?ZSy?4 zyMXf}gSCgohaBm@eZ_%<{O<3jUm4JUcoJ6gIh)xZIUy8c!0oMINqaQYIoq#k$V`*0 zA2*krw&r<~TxDB&Ozo9Iyg;Ck5>)MTVDHug8zJ#)UwKYWV29?!`Hq_hC2P!(Q??6H zq0vv)?Ia{3{+x3g#~s~B#+rvDzOM>_P~Q$U2CXVgp`Oy!li>=#@U?75!)yQfx#p)t zDzJb)UwShMeZy8Su$QSpJU*jrixji^(Xb9m2YnN8%%YB-+Rrc>?4nlN;+{0vF4aqE zDjZkv_rBfWP2^WidvFvlIq>B2aw|3;Yk?q;_g;#KccnEdG(P#Je7vENQj4Lgi^jR} zP0bN!G)k${>ooL~t(@-P92dvSv29SgPu@^No0;n@Ngs0kG=14)w^+xMG4PGS+VwhK zJ&b{{9Tw|7G774;RTtQpC2b(WLinKKN${w zrScU~dDcew0ofl&UoOPVs+p|;X*P%|88f1E1?kkr1C^_Xun&0!_ zkej>NLrAXZ8EXkEjk;0Ku_w7GBm4t{Qq8L`9V=LaqyV4d%4DETrhOKMzb9^-!j=Wo zJIQ@6uRAv%jRBOU<~f_2D^f#%t*cmmyeG7p20cK~dA(nuXZI}{){{1!qA6L_%_-d2 z5SzGq%<44^*+!=>%HACbBwU@r!uuuifvd9b@6&~0i^(#iS{YMHQ1IX{5MZ(O`|x$n zS9}fr{@U6thl=qo+AR)_DBJFq;*q5W(hEmRkS(;C(zge9_WJ2ziR}?cq@mFnA8+&! z;6rL#AeqGexqXKj$Jq#J*QZ!Yw+c(;?!#AJv>(S0EX)g};|yOZqqN$t!gprBGrBf& z7U_h*c0{dj9YADyi*g$`g9W(voWm2}#|L?>;CbsN`D-WOf@DUY2W|3MWL+Wa!N<~>1As$d^Ks|6%(NFg zYs$CG-WAKeB`)d);kA|rCfixt>&cfqY7*6-$9dwx$z6J29L{+-aJmU*bYxWS=CTQw zt|4z=ug4*!Bi)W4FIpD8eGDWQ`*2Qdd9JY-6MV9DR+W4JHvJqhV%yJxYwmwv%l~8) zM=;W+Rr|JfFgG;7)Cr?m=gDM5q?AdpN!4g>JcT}2KtVvDVRI>3e>qX+ z`Dn@g2a*{cs*{WdJQ4G)nbN^d9p5NlLjEROv%#@1J7prC{E_*fVr~FxMxL02(^L~x zVdvzEC0S*S61SPa!|&}6Bm7S__E+N&c`zm@OuWz-dvJ>Q_+#|sQ(qcbAkTBh-GaBZ zijh?Jn$(3wDA-s(a5g-ohW|LpRa_tU&MK26|coNN+Y&0GOU2K z7dc2N-m;m(LqFgqVm~%`hn7g;lt9VexLmN$U8+CYR}2Jhw-X709Pf-^cJ-8hO}^7B-6v zRE9`L2uM*SklOYPY6&_#5;gdzeoH9k$kE>51Uz+Y*WyUH9c!&_sn9C2tU zw3=Gt%Iw50KWW|6jYJ+}SU(%HcHj>avmWvH_K_%?#)<$w zVea9gzekb+S~iI%Tg>EXSbQ2=fwVehUPz@-x%Hor?r%g}=&E2#-3pzWG!>Y7hjMqm zL+XpE;rBI>lU_@kT`OPHTwK;O1_c3-q<2i8jg(DU{tgMPtzgWg=|W=(u;4KqGt)V|bSk4*?y9<4K^=}i5OLC6 zAE88rXXw1iFYFi-Eg)wkE0l3|1Ed_lN*cb9M~WkBc3j)b*?qn5j)I-EJ%jmy-03C6 zAnAP6Sp#1hs{+bvPVRQNudvG61yKmwKO&nVa(F#Tl68=IjGL!!-#H+`n0(GwbnBFs zWhzh!on~HgegytWHE+k-mgTPpzVcz$6Ea20=Pf-!bD}ozzP=1j3O}(O7jwY-z#+y*vZEF(vX7|voG}|^(ycLtoy(PI zU-m5T6k;5rr-B0 z*_b9+6`c$fP%M@deO`VN>9QU7dQFXr0GgD+$k5t=e#T6eguQwE+EO1FLeEgCao+|j55@*H)b9w0&e$Jm8lgf7Bd-d zmzy6)tMKW!Wj&GBm+=|dJO72S_k|G5@78R-uO|bnj(Fx$d;)ed?92IHXAM?XEzC}e`z7(%37QeM>)$->51`-*b+>JIz40clcckr;-hw#Gf@m;0cVjtcUhOO9%DdCD&UYvUF)g)tXL|Fp zIdA={QuvypQYwv%m*L92QQUs-0d!(bXVUm(IEuo&Xcp!dBkt~Mx#2>F09q$={^sT$ zm^J@>mi|K>@z?@!W1n+ZCPR=^7|cb!vF)jFI%X(aYJuA3SI-^l4wkH3K5w=}Scy1e zQiS2FTi-I58&bLj%U%;=mwJMJ;-c~TfTJ$65|ilArkrQIR%`OuTnA>JtyXVs_+3&4 zXYKdT+b_}b`C1&was;P-D=$;nG?Q|v-NOvvs|nu_6`mrIbodjM`Z_%f)j6d~Dki~sPyR_xq2pf4!_$Xt8wAfIQTyRRJ-QrI=*{ME z+4QOo`Pz9WoC?vqxixaJB3r{fV~+AmdOXGyzuaM5>Y8sjsmd%#Evbv};r#EYLGK-d zzh8nWt1KPB`*>saiVT;rARX29(R>a2;4`)6n`aG@ZeP8$OD+n_`JxZ;?FRm05%26? zd*}&|4zmT)`4*_)=9_GNQ!{IM&vgt-1wGqJcpfRM+b%=1CZ&5<&t6(-5v-ispEQLX z76yq6-qE7qMAL_>j(asZ98S=%5_|JOR=tPl(^REWDo<`c^DrlO{>&(i!+H8)!ldZ$ z$(;H_#$L>>n+ZlRAPm6A zeTB+1#yj8NcioO&Y{>;1G!SU{nP?c;WeAQ+Y(9-dYTO7%WC^eYpwc0Vk<<|5@z*Qj z+Ma5F_5C2S!eDdk**;-QPgfrz+d;fvnzv0g`$94EOtzkhMfA6mTB1&WO_Gno!!(_j zH6v%wj&5FS*JQLmE|M8$C?Xn{zahrVTy$4IaLsMH2dN1)v!C}F3nPns=sBC871SJE zFe4x(9+{c|825g!3!n2Mt!F=$RTj|4!elQ5;;S#+?@lmg1^XMn|DtL6PF`O_bFPj* z$BpltB0T-fk-uYUvZXKSGwD6d##Ws=6UbHStvoW0gI0>>v_w*e0SR^7Cn58qkkt-M5`8vZWyjOdvdf#hKIQ?y&o81 zx#&#oT(7@jYw>EA`)Fy2GqdtRV6x~l)q)FQ-vx%b$Hv}3SkQ>BE8j@g;bH~Yh%X70 z0f5**7o;8tD^J^E7JsNLEblibi>TfrF!q!opPifTIU`Cn+K^OzJZ$Pya&<<|)$2d+ z_iWmRY1Mr5J<}YjL-Q3!-w${~ShT_%_IJGUuF1*R_55f2tW$d@TRokd6ok7b$09$g zt|0Et!0R23l+kyUM02h*VLSEb$2f_6D>q13=4j;=)tt}SB?Z5ygrYM%w*!l zDmA@y@+KG@QR%@cQ?`Hfi`ywZDu=@!K!Y-k5%E#!ZSW?(2VV z((@z}M`r6VC}5T^j_!|vY6sF9P?#%gri7S-b1#Nvhm5cdo&aN1zDSi+f}hS8P1yi7 z9+1ZF%F9UtJ{z1D-I9ggy{@@x=Vh`&7gHx~I!hxd#4NZ!EP=wHqdYu(1YsYI&yPYb`5tnb3p zpmkyP5x={!KxMpqEmlNdo9eQ}TAR46Rw7W4$(dlRKU&iG8+@>dN7|;y*$$frZFFqr zMg#WwaW_H}$jjutwK!~F0~dL+9Ajr($N^!)`I&)UN7sv&`J+^kW%}Wl0pG*Q@6^6Q z4q@4)x<2^YtoK8)vDQgb`J}_dJo(m;(-OWb>J71;kDx4h)UbNAL^rl?qCsXgdnZ`c zZuG#zvGJAbTUfs{p9c>)f#`wU{ZP zdJ5MGc{tC!G`c_acK3UQ7BpTfWxU`*-gA-L+;Aaq08*Z#td&*WW~Qq}MER zNP5-#sHzRTMst-=J()E^1D-;c5AxK^2eFisd9RM;Q;WsU<~?u2baq+#rbK{9t8IP!-lCtA}1ZlWqa4!rXMGLwk>Y za^2D%v%BbNx*_-^YeEwHu2a(QxLj#MB)|3w!D6CZ#Ic*@$a|e!B!dR z?MFHn3g;hm7Kx#1Nl2qrL8U=6v zOWrR7!n(R#>7;Cwg&-EXr|C@m36UMd<{Un>3C% z2xns@XX#CbzXJl7lN;9mk}vl&(7W91*dm*<7f4+sOkuaUw`kIk>2XdqmDT-Ld@O3M zr%-L(OIWt#gZ(oxzG-dxkV&^O+go=t+U~QTeJ28Ve^gnf!jHPiBEKwetl9R2THdc}i~Is3J4?IJlSXnQONUPK#cfzlv+}MajtMOv_i08vPMA;Q~_t5LZn08ch7)cXWsKrMH zG^dLSE(}{77rYt2sh-#?=39>(xWeflov=%b9-)RBESdVkh<>q32W6UU&G8|hR?h%p zCC1_#G3j{(A;jByN1I0kDQr4#eChxqn)_U%Hst!%3VyT8Mb*_8Fa#mSa$HQ{7_D?27FcfzhfcxgpP38E)>%F-) z8np_{z!XJc%L0Vm4o9Wm6;Sk!K+HQz8rTAcLR8j_mn0z2Z^+G@2fkZcYNno)epv)bRTd4W}*XT&yrVYu_{bghl0WzfW%fuHnF)hl5jixu}> zlj_?gBdUJyU@l=C(K;~PCYNyDPMj8;Db=os7&7Cg$b7EQv(}^IHH=a_kt{&t)o5;v zsjI{<{`+j<;nR}P*_`Q;!yFI(WCoj1Mb;gD>)#RJ*u8&3K;+fy)LqqlOh?=Ny!V*5 zv1>EO%j9HBCpBr#Q)+xXPMHZ@w0(k3Hru*&OO_!$U z^*ent-6CprgdwE5>JDQWAu|DY8iNdTf8BWj9YbMuvb|hWvU%Nw5pQqOx^Nh~ofL=& z0b94D*4x|1!66|@fBH$MyI)rY3Adm2@0qPdUq&c3^#^N19qmCW@q&D=;csK>IjP%q z-tR7N0N)bzCMo;W2x6LP-W%Q&4!rpV$muM<9u~pvYo-9`nZtR0|66KHqy?WY_w;l6 z!(zxh2Xzw^n#ziaq4^v^*7ANi)-G+56|xt`6oO0+4h)QRE1z+`xr{F+F8{%HJIX5f zM3FM`dV=ihaUmN|;*ZB~g6`*p*JVl=AqJ^=X|1%rK=}xUH|*r zwezc#IT5Crm|V#9tl;WI5-}MF2Us(e;eUcW+V&#u^0xrpvTxLHrKn4{#Kq(|$AcGM zM2me&I(yNRXseBM-JqD37|=*w%h2kU?n*YPxf=S@0v@srz*oD&opm<5T%CGz`w)F2WTDQ@BF^qk;{vgBkZ6r6c;J7tZZ zBqnP&S@1(b3`}nPx^suu1NFbNj!?Sjd~xI($6v=^;AWSKUV^}}_)pUD*z$0DMr6$M zYK-x#R~GZvG^*StGI9G!70$?tU{cLV&>46UcMvr@o8*<_7gNVrtW?N+JnYl`|N5#G z>x!@46Aw2ZE)ns!W?1fK{o!`C-c;o9x+L4GOj30`G3P&Ioy)S_Y$V+d?_D>Y_n#+_ zY^_(ipx(@Aci|%jW?ml+sfRQ7-kS{-xx#c79Dl2EvZ3YkCJ{fwC9~>vs+@wyYE0WZY@-3=$geyPcyB~_?X04fNHrY-Q95&_@a-n$0{p^jOYjo=JMkD-^ zMsB?P;uGjDxIaVZQyGX`Wf2*zGqIO4_9jI*W>gGNipZ?BVY|Tz8@by1vvfFV)EP%S zs@UM+%5hsNFR>(#fHHc3GD^*Aw*cF**~)FuYr|XVQnU- zGyQ;S)DouBb6dqgAluzkMhbISGOQ5K(akXf@%OwjIzJJ!tQH}pdq>kBmENCT6#~8D zVo4*+%3AL(RC5_!rleJhO5S=pn>|JNg6?}-(YuZ%HDeu>diY~c*)3q@1gr)Rrew-c z3~yftR6_4rV4j#?p!SL*Q4aXs*4Xc~SBq?s+1UhB42h8SZV4BlYKMVH7EWA^k=2Wj%tY zbhBn1E2Al**6qu`Rv8Li2+34;E;M7Cwn*)+LR!ZUOKz#gTz>QJd8TT9(PC28pr<1| z^>4Vc1`okUAa}`sox?SPL1L8FhOHeRI~uFt=LNGo&`{z*kUoz%Q~sF5uH(VMi=y;R zJ+b}v`|F#6bpo03yQ&`B+4>t3sbcImiXSiSt)8EF5aTRn4y$={JP!8o?Vi)6e@EUE zmme|hSYMy$g@Q`{*=!S8sP3=1XM*Gt#|d=`nxE7(FU`f@gJt8VBK)#(nm{@`YwCZa zYcVr?4~WA$6-vxX0SENzvC}{;j?OB$hq~=2w)D=WunWvG(}y}%18Vm&(_Ve7s;yM~ z>QU9zJrbT^%g5_Wqd1+(F8yv?DoU!$^3N|G}rF znJ!PeJUDyM75Kszg%u0a#*uBTQUWio2bWdOZu!ZVDN8o_+y&*38PMA+IK7Z()`$Yp z4EoI$nICUSanuC(tI0j-AkKW@b5G(+u=kTrvKLWZ{=U{;=R-7?_pR8zn|a+;UnIVS z;O`JZ$n&{nPHhfUS8qkMMVej|8X z@&?1A($qpC)pS)FM=F-y(Usj|Tx=u-XNdK7bP}UvsV|R8jyee>spB6RV}{ZB&E{L3 zn+podg}np>WoY2jOFlk zBrbx*qv%hIuqXJpCbm<^hd6D!@H6Z};zc^2Nl3KdAH16mttx8~hdJl)cr@B!KM;xkWcU+k7?yQ@!qnUkj(iL231B$+y-;eRmQJ{9r)jH zrVBrt**eCVu*QlhoIU$nCu)R9eTJb&e-+NqNh&sFn*(`HT_M27QCSX+bReG##7Mvs zcR1UVcodC&J`g#9(F!?fyQ8v@nkg|W{88+sfiSjiiYPEcY$h8^`DtB93Fz?GLvXR9 za=GUE;e+~^Q){E5iTm%m|H-yscCe4G$fm%jAuaHW)1k}2Gcu`4uDWOy1=qM77L}Pf zc1}hm?cATJ7X;IUYLIE!5?jBcx!jsOA91gWTSa2)JypgGzh|-3A9+Uh$hCY-tcl z_8Q5vf>$aJ*C<>B3+9LIqTSWB8+n2IFUj^(C-anM|N02qzHNqcf3IE3N3%L+x3Mcg zf_s;Jt)$k2uF8Xy!;x=bQLAj`Ox=m+2{u3S=~u*j7k9P6Xy0}{$&mBP+nOMqG-n$q zcb~TZ(>OL;hF$70U;D`G1{v*UM08@#>lmX6RGXYKd#R{itgKw`!q#~e3}7TU^H1dH z6bP1|V&j3jY%JKE%~oq@at3h#KL5pFOHS&Oto#*iRW+ne(w4^8Q%jp7$upe9H!gQ? z{KL%P*Ghi;jH$BIqU1<3qr}lqSx4nzD!ENEcZPBhi0)#h6x@xt)v}( zTXI7A)>loYD;dYl|L{}wIb}3a4+Ym2J{4Q=BL^@uKL=r+mC#9}pUix@p_!*2@Q_-- zTrGV=Q^OSSv@fPve(NkS_0fu~_E;p;j!*eM9 zc`xxa!39gCRt+y-Nb_ERV6P{5G#H@_pH5XUbN1!!VTjtlg^W>eD^`#VB^z0OUWd)+ zm;~olEgdjEIoOj=klw?%1z;H(_Zb>4(tZz%xzbOMnm~|l#Nd;0e#g)fXH=_E-?$&7 zOA?07M2QE$o{QDM#MN^w*TMa?-Ak($FWrgGd(<~Jgfhou31*@b`vR%|eO2heTcy?E z?~Y!{NFwu-JS6;l9A7_*M6%I6#MkAo&5}PD8n~ub?#`DLyJcn`6rT_7!sQzsYEQ8F z)(gVz-RO4Fd*Z?KKxDUSWaX4U!m{NFfn!((TFjW7PaEv5y$TSKXyWDDDQ>XORgEa~ zbBhq{Wt7Y$kemBjZ@YpglK+A8`N?FG@PsW)6(_%2Ec~cO`Rbf`G*IPP_bteDYJZ=o z=jNM0SGGtU!RVOG6h9v_F_OroK`();gu~(Q90JX2zbtdb{A|%yGS#s9X)V|(2D}`C zza=ur+j^(mS%j}J6M=~=RVC3j;r8aNb2-mY@9W!s1?Kz~v-hLJNn)OaxE5Kp#v8o* zt@jWf8-UPEOjQ_Nh5*e6w57+5ms}Kz<~f<~0rNnKU8yG=WMYPgq0MG`L;D;{EDHXv1{fk&SQ~nF-2=n}StyxUK2lIO%FQ-vRbI^lGKM4VDgrqL*Bg^y zSzcsPrjy#rm7F)Gan=VTc-x&={Nnk*qWOGVHv`H)273bgwC@9ZXGDgW=CK$IQslrX z6n@N~I}WFzFQST%>IEA6G283JQ$XUHt#_FBpQjA~zwqSI^9CT_&KEn}(O?!1|uG0rSl)uR+VGx{1egpj!Y

9w|fp@2t077)gKLmq-~170drfIs~Fp@Ur)gthj0 zxTed8nHW?WrkzWlf<82kj+q_5ESYo8v4-Y{w^<#}Rx0`(oyb*u2J5&ARr4ZQHQ?_(DD$4}GY&)b7#X`K5R)-WA` z>B2v>sv$VK9IhPveVz(4-2_$@@>dF#q=S;bSrG%J)yiESfn`oY18)`vbQo(B%r5LI zEf4yhc>?iMM|?5on^C%@9$?>L)}-aWr-{vZE3poC>`!Ov2UF>s(*6ZLP-?MQ!*6f- zK3DfD@5S^k4kIkYiV*oADTPy8yycht*Kl0XA<(sX1YKjyPCIzw4wLGv7KSFf6F*T0MtoDJx`*&hmZTlU44q951X zlUL|c%S@w3qYWaHx65UkWlnzO3oT9?11k{?Pl1~JUMBJ^m8x);knktC4{V+c?&iV! z1tA2ts;`|!E*Ys^` zy|^osOay-}R9qoYtx?rfpWQTjh0sC2ENQHTHQEavW9%}l_1*dhE7MS^l@+)dOG;dh zdb&(%MDrU^vxiqdanm?Fq2Af*JdVQH%Dz6HJQ6JBdt)k-yu4DBN)haGJ~}7C(rl*m z)l!SM+LJN6zW5xOYfM<|-_f%f?CYw*XG>ZX?EV7#sPcRrLjJe(oqp9@`8s0_g~b`XKGa~`TI0bq zgB9LN+Jy=(VrWd6+tV)?f~{BRW)ta|FuY}2{uhWFgGX;#x|FBeGjucBFh{@{!>xKr zy_M5mbqhTGMyUnqat|LC4`=t?z_Ogto1E~-UiDu=(Abr1KHG>$G?)Ov`jaYNj&3Q? zg`FXrLy=x@oj7NvcM6Phe@ZkhRKm6t2W1>mI^F*QviCvLJ522}&if1kvxcbZgjM(B zuhM5^5kEg(c#`pgn^QplB96iWhY*|dNnA~*bkDc(*1SrEU&(N&K)MWAPa7PqH;@1DZWBA2x>2L1HE??s5@q zTM~`Rs&fE<5Nwjb-p5DU_b;YJ=7JxcA2Do%=oX8UlUaFubxbpgn%B!q%j)8!3 zy?N4{2=Y#ALA`j({L>u^<@13M+;>^c))~VTAD^sw)r)T_lBiHO{wAhzP7pV-wY|G1 zN#>Q2Nf&-qBC&}i-Ph~TdW%I*AClP*!~dZ?EH9tNK84q0D*}RI$7xGAspvj!5Ut@N zbv8(yaCWz3PhmhwwWtTF=HepJN9Mi@sviw;@}zNaS_k;fvKe#gjVUn@lZ*6yhdwXP zXj5|_9D@5Kb31V~heM1?A^UH620@Nbu@WZLS)xZH>>Aav=OG9#No5>KsQo0TduC^Z zwpA~3MXH_J%C;-?mL80fP0l0BTu$-qUb}RIK#}2`6e>S<2WbiSd7U$yy-fB8qLBem zfD*}#etO^}1MB^y#B^TEam6Ot{rT$12!uhW$rvY@CZ@osdM|u^NMT&Rsy%?2VKa0^ zDjvRO8t=#72nIz#Rn#ti3!8~U#ADG}8RgW(XenhX19>Vs5%BpP|43_v{2@+qqYuU# z>(8OSnU7u7gA)NxDThiRsRfp>uCPeopFFrhYa<})`}y5>gQHON7h;oWYl27N-a+wK zL}vQEp=eB7qr-UizlxXVY%YOMx1(cC#(??@^W)f`3$Nu2PvSXBLx0E(8Rbng@N=mt zWTQ)(aG57$-ixiCCqeAtCX42GzUAah%lShbME<>n_v+Vy}_1S#i zDo2MWYblj}&Uelv7zg-S9lzzb$>JQk+UYVNz%vU{tf$;!Dpy7la7%IW%3wj4Gf*`U zQ0~himmB`;6#Q~?bAvEv#BsE3KcmrTimCQs;otp)K}q`&p_i@xy+o{{roLIPJj|?` z1k*nQbO$g416on)xoj~kCZyhA;KuT236DW0HQy_uq;j-JqN2m46w?vdFG`a{74uMA zk^5xqO(O)HE6r2LQ7TRBU9m3Y?77!>9lf91Y_|9tD$ES{CxwR@4B}8H1&KAJ94$xp z6tNH;?$W2z(|L`Y54z2EM&T|y-W)?|_&I2tBE^Pybj`98tF=wY6MEh-L>%icWv4KF z#*G>TA$kz=$AhPu^`?YVu;QzB_Ydso6gUFb3O}k>8AoU8#=UQ#Hak-6D&xL&P7V5E z6or^z{}s>M&!fFU|5bGbhcW8(1Tp!2*tFUmp(;mQC>b<=_djeM+=LU75$T^|LuCXJ zy|QP*FVZhwC4SBgzAU8+sLOT`HW{U z8lHeLZ45d9Z*{woE~3n~^#9!^;Ig9(jOM6U#>C()boU<)$IFf#Z*XR**D4g3)t+wD zqKSvco89LL49O>F?RZ&$9^1RXr+#7eyMs_P$+JK%y16nP-J-nz{KwN#=BKDSdjQ<4 zRz;F(iz6MQ7*WIz1oZmPE!FUb_$%s9ZzC}8Y_$Kpj^jC1NIbi=*qnCRH61r5rbDC} z<{d;je^Cbl>VUuFxuaB1P4n^yifj0M0ffK^uR@iUq=WI4nD#9^RPDNPcMdGu|N~>u_^Y|z^}DRHpu&*NS|cBYs#H_ z>M79vq=9=5nkBw9ZxhWhxp!B(L=YkCuhU7sTY7~amF z`IH&5%*>Li%MTa;1_oB2SF0`-srbmrzX#e&jk$&H2?;u193c@Dq3x z+T+_l<26oNuk`6k3W`1xAJ|A~-50xedpr+sc2O3Gz-F59<#V9?o+aLcR=J|lyEaX;QNU| z*bUOZfN^0@<`ePQ9E3YuD}Z61nZ=bRvVie#o)=S{XDxpa&c_hAOwyyt-4pCf_C-ge z1&q7S#(Ob5=>UCHAZ0DNuGde6_gsR3AE`As3iLGG7`sc?rZYdXT8M{>Ala)8-Y}bl z_s09h4ZcR5nE!?(QG@4<&xysN9rtybEitpxX3VXlmnPl6E{XCe$Q{X}nExoBc1MBCrrvbrf9#pu)|R388wE^mioJ+MoVLRm8-{ojO_yEp!x;7r-9GF}&RaVUN!;)so=@N3ltEVem(XwO;iXMC0E;+)zS#J z_@MeM%R=c!qxRg}cn&IqFLHw0fCIaPA?U;_%BIbVDXW{N4$$w)u(du%tgn*$q+I0d zKDxeMD_XhAt2WKIYe=WieURq+3&00`^K7xqa+p13GCw;f(?f1V%d)$t zO_f%E1?Vi)28+gV{jj?!M8o{SOui4H5%j-H@Z+g>xv7Cw^vc^mBJRi8nRlzphwj;mE!kNs(QmtrPrMmn*#F?66DG~gP!&3W>v2OBma+O2h6eTR(S5q;-=7mb92DDc# zxmTZ#VxALl82%dhqxwhS(L@n5XN^HDeQC4`uNHTc)woRmgc%=pFUplG3X*x5mr)0!hPfAsSECIz#H$ z-_Cuw@H&mdk1w_T)vrd+!tZ>x7&It&wdFppaX>PhJGKER43Pic+T=8tfNwu8>Eb#=dvp)82pI+P{L|M9dJvf_izb9i&fq`20;V+TC6mvwF4 zu&^m)Z#7gDhFd*cMX*O?h>!~P+&NUyyGXK!aVhV6!S!{%WRnXxc^hSjE(^=?-f(ge zFWXy4E=QSv1@c1YBa+4GcA3v>mRIL%j6s5FSOCfLBhR|q{M{+UOT~7p^P0SQ z-1%KwSEBjyca}T!ozzUU)KG|)#5E&q4~f}|o89W}>xhC;>}E(pHR>0Ok5!>GCQkid zZs-M%Po4&t1ow+n>pfr-tWETPS9NEbT=zxn|8-^Tg1oFXd9AXv@PW z)xss}@T<;jRT6H=$(6#+3QAzi+ZLS^gU3^n#&IU(<}N%cBpe zH8$=QEyn18kP^`VyBh zv_S=0ZG8V)9nn_&UIRIA<%^vc!rc%C4E?2f3>YGJo0fmhUqE1tjUiav>+EB(XtJUS zY~+_0xWMEaJwW@d){7l0HfdOUI29DMP`UIgT%!ih5tC8cJS%pBtBF3M5cy*LXgS~f z^U?boUNp7S(Sn2&DpSV9(zocB3TczYOv#|DzF1_O!wNmV6un0U43%C-iNj_}+Ijsc z8v}lG=jB01!CHZM^P?L4n5)H{JUh}al{76z{*gvS>|x|gIt$vmU$)W0mQq9f<&p&S zNMv;sb|)X8lmp+e=OjQ=VZ%bFtAUhimMj*7t*|R~M2Zo;z|{uOSF5^>!NXhu(w^Q> z>p)YBahpdvSL>E-TajAFti11&ZXdOfW5MTN;a3-n$oaOU<`Qc2lM-X~S4NM{F0UBV zp)}7`*B<_V7VSvKxW)+9VeK;6JU*?@@VVF?%k!s6SCx2vw!Q=w8K@l@JZ7VqYM=4yEaAkZrJdMO&eYoE$gVkGyePhVBPenFRUdz0Wq`KTTi7rY6( z25!VVnR;d5R;2pBgyk-@_Z^(JI3U}uWQfYeJA-Sze&oI{=5~1^oo<>id$G3`I}I)r z<}_4Df7^x;+%~%dS#P_%6{$whLveaHqeahoj~<}}N2!Pd@uQgAyFZyflfM*rA%{8(mSGSfqJ*=-qm84@I1qo@&t=;KZk z`v&N=p7AkT&QEE5p2gfWM#LVU&?x;^zY&h1_?9mMM=<;jCFgN^bbWY~fvK8@Ntv_| zJUb?>D4N?al8h(=J3r^eroJh^Y0B&T3;SjNlBV_iJ0TqUcWo9>Hy^M4Yb^;-Th3N5 z=SUTCvh^Ib{0U#!)PgSQMR11hid9zz>UunYy#@VnVfNr_3^v+s_pRntV;qoM*_N_C z3m;r=l0s(C0@?rf7D+^Dmv)TPjgUH~2Im=L2K`$(K&vyATbM;B<3pP0{zIqKbry|U8;enKOJwEOJB|mn zt|F0W>bL$SXVyrm{;l~_wS$?@DJi)W9{Y(eYQhEV?_|b}aZ}N_4d$Dl9J`Tl0IUk) zo4Y-9g$!9`I&^x>21C?558$1f$`)k1&18@5I*f49)P<$=U(bG9yF0;b$Y)F8 zmJq_ZPN=u%1|oqVmx5k;YfI8;pk_JU&j15h>rltI7SjCaP_tW_jN@YP$&xur;!NM3 z=XRuB{6f>#XDTm8w`5FXj50g&w zMLso{^G?`Fr+q4R{xoC)MuzAn> zmohkf1L92)9RJ&{s_-$LDu_$weW7*!yp+7YF8QzO_{(5qMR>Wud!gbKGh<)UuQ@KH zWMMT_*JF95y%mw_YX6(Xhosl1GcZKzSSvT1pSLrE zTPKgzzq<5r+yP6&BJ8Q#GqFzHEg~Y(a@kA-MkoATiJWI+Hy&Lcsy3LxG zzDVGM)H8#{$Rbn0+j6hpAm}^f$Di(n=c@t%;r`x`zIvrU+?#AjFQz}||I~b)%7*_8 zeifQwtzM?I&xa2X0RV0pjXTi-S%iJxx-XCvbIZ7sy)G<7p)rDn%lXeA(Ye*(^lYRo zmHK)v5~_$s-_8FZM)1Q&MU_Y(CQc6q6Q2Ea4FoPxSixt4g6|+29`eM zX1JcH6ueZFw17P&xvqvK4UT?~y}g>QzCQ^Qni&3iZUNE} z&9X)W#CvyI{KtTrc+X|W#3OI|9Ivoh3zW}r^$$A?hjXf)1py$)5z?D%kD!Ys=yUs$ zEByM>BN(ZzqCEFjy$fsPA57%7fNwKb%v_oJ0Rk`^%&LvQgJNbJg%OWB0fvW)KIe9& zqWa!2sh%lePMVD@w4G-0cy(ZQe_B?%b@@?R48V=;bwrf)F?h#L?Ua*QzN&w>?J`b5 z{J2a$AuU&RF1wBe+u&7f9{-T~!_AMP4*XgLCJp)J{$>pymU|Q{5V>Qls;iTPf;jUI zmTiGj5i6diOUne4b1<3Ai~DuhW8OUz#fwv-fziLXMB_*5{;1Y=g4FdW$S8FgBJz1} zCY>dmTXbBme?9a+x`sl2IHn1SON((WU8E*SMbshBT8MLK(ao(xJLwbd&X#<1n%bvk zw)*V>5QhYJq-(2r`l64l0M=>wkHCnmJB6brPg{znk$G%zL&mrIA3SCE%r!G5WG68A z;I!F3eZ>8G!osF8(d5&=_`1|Ep!2}uY|<)2n__UcjRC5OKW9qmd(n5yP4@NoSt2Zt zjHKG1yT-*zQ)6n)(!XapR3dfCEGM^kLo7R(1`HxIhNgbjHWF&DR{zPzhdjjUSo&v@ zROO#=5t&*H+3vZHEIxV)=rbZd5J)6nGcDqU-oNVLObblT$Tj|#nP{vVeLnQ6gKcS? z*GfN#8^7a6G+y(~!B?GKeh!M+=P&>E85=`s_5N_=$s_5vkc~`mC|vu`gEeDC|syW9VX$UR9%7DpI*OUnfm-Qiog6c;L?Y zcWNc-zNUPEFJ&M+Q0(HwkS}wtNiz6jp*r&MW%aT|Y6|OfRXj?HpZ?3t z^N(QncoKE&M)5r=@aXDi!ZqO07}Pr^1n6O@;4Ua8Q=?y@Dw*#TA+_T_&#tx6G7ay-;G$KX+()<$)gpqG{;_Gxz-VMuVof0h{5239k z6xw}H6j+yvA^089^Q0)-9r#GM!z)*!@#3o;ZZ>s8zeBRN0XzS6(t5#=vsikewA zY|_P)j>SeMN7}yPHtg46rYlYrDmLMnpSDN3X(^fr^$>^kYCVsfN~*N691m`}P&9bn zBR}?ja9liHSojrvrH3Ph=pG@T+OEQQ&A10rfLuAk9R>qnSB0;qY2xL*H!l(-cR#M4i z;(DvOb}_?+#+|_o6g9wR>G>RS!_n%(B`6^Z3I|`{e#{#9ctnF(V2{;GV`~J1@p}-H z{~TZ*A0r!wzv{jEc{GzK){r!YM!#iR3p!BO&IJnm;!o5FtddTl$bR#*?ai@TO~AKb z?FsP!N&!!_e>iD@Co=t>tN){`f4PY|yJTa?P!BquT)cg0bt{ml3n(@C8cU~Br9sxk ze9^aM>T}#0lhKtDh6$amf?zmS-?h+rE!~&;^=%>R2Vifn*vRCb5OZU~Hyi|;B;p+! zEhkIyzKbfXb>>z&Jq|X`mr?I_4a%SOWkhdtbTiyb5#1=4mpZ0DZ$Ge^TF6K}Wh?T0 ztw1z%9(`Kx1?&&@WP8mTi7yE5-q#vSX@jO?K}xU_(d)36_y#Jo@p_<WCQ-xPSGz|KP~l&9uL`x^%GMCyi$zXgv=Q``LFa4$*^qZl*(GQJ&<02DYvPv&IH@X`3NrzQp z5#xF*eIA^PbKwsdGao&_$W)E#0t(5+(@IUAYx)qfVTP4N38*J~n-Of3Wdo&o(@C5t z+u1uXWz+9?mJ>=Uja|yIV13D7);y+E^i5|x6whVP*xltw`2~7vDtnfdywd2z2b-Pp zJ>+IUVv=%|cGLVj(!+(7%DR4{o65k0AdoPnOuGL}zTx3tKJu9&4l(s|k#-P!v##@$ zGer28%}CkgdSgs4ms|4C0e*xe=RnoIt>fAAa3j(`Gl=g9m&%+3U-;XWQ-{|so>biC z5RVrw&Xwv}D3Qo*h()Z*7WY3k=HJ7^+wm4FeiNqc~9lj1+lt zS+5{g3#5Epo$OS97t5}~;kT6n2LC>snXYNji|+0z=y=X2z!*6JJ0)aFdupYV@lijm z_j1r^+-Sz=aVN~lj{IxyVY7ed1LqKHcUzzm%a$)0eTP7=@ye6AiTFTb8?NHoU2X)L zHpp-al{}r(1md;G6Ay3C;Yds1k1#eqeixI;d{*LuQvHF!`%JfAb(t|R3t+GnUPT3B z1*`s9`TXt$kEdP8sri1sGhQk&;l(KNdYTR+$^6*2zw;|9rJ66n;29_@@fnPDv})Z2 zK>G{TqKs8dv=QDop4@`i^FDt4tBbkP-paI^!vA-Youb3Fgi5AKof1i9XHdpM@x@%G z@wZ8^&&!Z3A@=liFh5tUC1a7-k)3>vS};bbtSYUMPN(CueC#R+U$2evX-@EXpyN0k zEp&=g%8ELkIr47}e+r{&7CRhRrEXBNyIR5O;swVXL8b6r%rNr2$tne>ErcQk^F|h# z?`7*-2LJHN#Bim0HBa^>Jc~pl-9I~QI~q=vXRPPR{`D?gUPreaz21(~X8Uqgr6blm zB=Yva-DxlM&Etdx{F(~2pQrCwwNe+zBh$w)L(D7D*o4&ocmqvKH}6NG7Y58Ez}-hC zBUcZdiThFqgpG|XE4$G-q3RPom9{_G;b;#^K&MxJRH4?Ak)f#?+hm2))J&61HlEcv zYATy5^C2DrpBKqNHBi6;vqom3ln~1HVqT+$ta7ENm8SmdlB%|H$+j-{3`lHsC|-NL zkbN=BvruVSbD@4K5wewv*W&|^Y4?)hOW6cc0v8dV=<&d^r7HFE0aMt_(soa@5^kS1 zR+s9_R7d4UR05B)(s%@X)hfm8a6pa-%bjlCp-D5aJ(r#3EUACFOs3mp_9=8}!umP2 zyvpJ$6?_jbjJ9*EK;3ZXK52=}ay018MQsF$zl6=MOYcS9!D7?8c#n5KYYPQvuOV{&Kv7BEMxho+N6)DBH zOm>Is^MFwrpq{x<{18K(*mgAHm;9fPOlReqSA?)L$SnYsiGlWYN1_cj*fWd9-rgwA zc9Z9<{OqO~U%ZDM8{hld;78yflt|4st@M(1@JA@#YQ=0Jf<=>dk*CN^u;wA1-fGmB zmX!D9+&_?WSnWPLx{KS__WYp-S@Tw^KBKabXoDs?4`9Zg|IK&q=MzumK>FI=Nb61X z#f(Sz-lx|-`TgN_8YLH>{it$kYJ?=K?|Nw^eV(^fP=k2V>w^{nL!+tR`GQoHYNeEw z!=!>PSbKenpuq?&=JswtShf>mQi-%e*24I2T&k#8v^uV&-l0boauqm7Bj`C@XdZcp6LNOfjsi7l?P{z4=3d@FaIgR$1t6Ekxq_)`^=b zcR(fM%`o5`Wldn+W9as<#+CP2RwzB5L%D=aB7*C<`7epvNp~-d#0o)VTW->JBVNyA zsj}y=k_5L6%O`Wj&G7BJq!^;w;3Lk}~Uf`BmlmxK^<^7{x-WIXZ`HJVIf!K5~ zA(r(hQpps+P#;PjY{4@3*y*x;O8;QwKfl|MB{dszRya&Uu+$*}&)`SlDFFppFCbDHwmb7oArL{1qP z(^CSp(!_S46S+|M`L0d@lG54T#j3SH7HxZP%r|m=aPR1S;b!Jd34ip`yLjR^8Y|%q zy`{7fwP`Swn^p}PO6ji!wZi%C!-W#myXK`i*HSKVImtbTU2@;VZt~D?f$<|@20{d^ zlVmhzXmv$T576l0nV$hGh99mRp!|3mk6#0WP`=9+e{dY6TJ0lAc56N^dzr&Gme|AE z0{;c&kW^MVZ(7gcg)6>cuP=E%m+wwa$FsV!6%5Pb8HLPD+2lpt!fG`Y_#cS`%Ef&X`&{JHobA!-^sizsS(@jQ z5-n%lmA4WjqIxyK1mcX?j3A*$`T5RDe`YhYHC?U+I{*J1cDXW1-FzYIRNXaGywB$T z6T?h&e$skC*f;XeMq8V_BfTNz?Vb;0XrzK8hZpeOfEO4?cy1Ll90AlDOllg7PR0W| z-MB0ikTRzRrF`B8rW(D(9~c>TDCN4gp5m^6(`aFIIg??qJ{Sw=UKy90QCzW=G}YfAIzN$3=ucFv({75HXI2Vg7NB+|Do#tu2A|v(EQo3ctSL%jh$Uk zytNq>r9|1rfh;L$pmz-^E-VP(l;1k zhooz=Xa=i9;rgBYhWD{^b1(oTDCt=x-r*|FcjVyxUlFkDGsYH6z1!QK(AH~!$|J-7 z0g6|LeN&03XYg_qQnMAlF{*BpSfJ<^xna3EJi6sxxN6Qox+=2cl#d1tf3yh&b9yfb zRcoC;O|%%qfwczzekjAlKrYeZ3|1TgKX9`&m?ax!DR}oRE3BGHHu&44_{`o4_4X%H zXjL;+A~pk9zT*;ZE)FWO?RqGWSRci!1uQ#`^DwSCb zzMXp~$A*hrvim)YaE?9Y<@$sESud#Qzngko)(iaSZNlZT8eXh&d4|zM89b3f|JHXu z4NG$N9>32b#mQL$fwqj*Va+B`hhfpr{$pPAW4Y*=H(XmI$XY8ECHrZ;qIcihHS_K# zjvCSBR`Pz87e5O5_t5+B8ZhGL-->T}4)}G|h#)=o6bLcHcoW=l%}P2LY%P` zJo3M*NR#Y5vI~)#m%#YVH0Alqx#_<123%gC3HM0WLs+4s)rr?th$v1mo7zq1!O>*zsWKf!cEbuI32R+G-nSSYMsNhiQDkz|FQ#+ zfKiYSNYN1=_!-|Rf%@BX$r^bN3Qd$OZ{tC1I>*dLd~h&gs%mJHk&U$Uzh4Fgq96yE z85xN6e}_-sl96AmbEp8hnHk84^~d{<-|;K23VV(S0|f;HQjBmES%dNBz0kd<2}UhD ziEBrOfq8WamR%HbMxwAVQ{i`c1006*#q>+j$PYM#k$o*OWyx+__fDjm3RMjgsbLW) z(6q*?vrq8;!+ki7QiC-2TL}`xkGNt924WsL!fw`W$lI*N_N_}Xwohx+O7(}^<1gHM zY7k5&a;7>I9)k|a$(#S}|h%v`x1n>K6^?z4U^ zRxg}^B?q4&Ol0M+dU>q+cF|vExBoa28&nZh)cKc>pRP+_J!B59rgXv7Jy-GI^$XlQ zya)pthT+*oCv3muQ_0y6RRl8;ZVSy|W^Mwr=@$|4H!MrdkA}x_${6(Tj1KK}pxaRg zy-mkqm9r-jMNU6!shkfUpWTK9wniAS!PBoH2LKX#`jJg1-%E zwCHJvSu3_;+r&e)VJ6(gV$*@JFfqZ*ixE}Zz_00uOXu?A@acvV)=smAahD#j zoP7wdW5rpr`G|RQ9Lq-=p^HWb=ymD{Jp(gLSndq31U~Pf2f}%VHLQmYtN1f~CY%Fn zW^0VrL?5;b)?)WkQ#6+1UMz&x1bQRq!*Smd3{))nrI?X!0Ry`^ShjTs#&;Io0x(nG zVuzg_);}(Q;r!V!XNjE3VnEYg5l<|U7kC^CmOO#x(!H27bO6jo&cM1et~kGM z2lg)N!@a8pL2Crv5%aK`vejnIBny>lK1?LuS%|>{24m2`>2Ucg8c-6d5@tpQGExHZ z#O)P=6LW!Dbx=>fKI+%6j~u^SID6U|XRmuByBaL}MI6KW6Q?^`(_b++0 zY^8|W%nZZ^zrmID<1lL3J;VrA&UAb|Hyc9@b)nvhb~x7Fe1muH2QadoxOx-v@b%0z*i77t8=romUdvW!T$_oE*kE{E-hc_V z%W%JBLg|t4f9r$KzP=TH0+O3SOSxuuY0D@yLjAH7PeuU>Wqv7Uq!rMpfvE4IBjDsl zoQu-K%8RG4WO!#ZsU-#3rk!AJzXnHdU&ChGUg)UWsA_EtJqVAVe1qb^Q7~=J?@bM0 zILZP_vF^C%RW+8J?tc=S&P1Wh>I*o&Y&beKk%5$K6LcIh6{}9%#+9wMFw|B>qiU*F z2@9g|9uQ;4bY%&6%W)% z5D+F({NBNjZ$;4&{Us2bFfjyy5jDL5Rf8x`{{LE-$WF^ZflND$J?e_{PFt{g#S$!7 zxB&AO%))S8PRt@zw*xF^&!?`<$9(F$`HL1|;nHPT?zkSCcI?7D<7OhpHdQZ=;%*m} zqNdyJKS8;=BC$b&Pz51!Djps`kDxp`bR9extxL*foz9p%YcLuoMj`4C)Cn{70}f1? zgso3w`FA?VcE-dt^DxZR64M>0qlX;#dW|F--cCz#C{iDbF207(XAeAmXV&~pH*j4hgXEiL_)khb*@#tEI`@@E)_R(-UbzV7Eh`xW(le2qn26*|{!_4-N#5}OSr__!x}vOVm(egpx8}+4 z@e1Ky5hn~pyz#)#My8lxtHfvaQ$1+dtiZy-Ei1PKw}NtzAmL9UYAnI{aThn=Wq{VC zC0f?zUaToFQ{lO9DyD3HhFHDlLHs=u zd4cc_$fQ(W26A=y7kb0K*0AZIK;2W#FcWcS`Ai)0PA})(G&Ju!2Ro1L$3nB#m1{&) zG1;C6u;FTzLRA|l7XU=XM*}oVjrF!*+Kp;J=nN(KR8S(B| zKh6OM-{zpB!!fuVc0iBjvfOXQNVX@O);&YV9T&0B!4Us)O)*Vg1?H>vVSW#GLXq&) z4R6vp-?5-aL?Gc`8*Fe|i78`7VA!x>7+T^pNI40?IYt<7+pb32$Nw7$9dtTSyKbFw zIx;H>GYL;t4nZ&DIk^6l{{Rd<>?fkp1}ZB2{W=>tEoiAoA^Jxc_kuVfR5`E<0(Git zEJc8FkRai2B+JhSAq9X`U3t{4QDc!zB;H<**-qX_|JwqB{}{}K+gLfn3Et&Y6s>t* z%-VAddl#FQp8O$!5C6~dPmon02Yu54CAJBvKB`J8S^0F(&|<(q=+tH);{Hv%tE&B?B-9N4Vm+*stmg;g^t?s5 z6r6{qCTp;NSwB?SjQ!f`u-JG2YX&qgZRDj}!_2G`*eT79MkG%{X$svjVT}WNl{HAq zOvHm@Hz75A5{w&huSuv{WR%cFrzv8d-NsvCCUFZ41JSn~vGz8B#rFNM*XBR3j}ae_ zWHd(8X5|TOT{Lgr5c%=3-2aOc8MM{wj3zP9aOX``6og>qAVI?42LmYy$(((gX*7}d z46GUmGGpWaGaY|S=HENDi)6+jLDEAjX8xb$Uk2LqFXQB-Hj;s2k*LXb`%m!S@)cEy z6rte$EgqQBI5&0xHoVQH+5sIg^~4!0HB=O;mywa^3pbamc=$d9zi2I>(WN&m$67zqHR~OewO#c9WM7g@#^wvyov^z^&E#W28!&N z6trgDVQbS3itI$vksj%XckU1IIHWD6Z?c0Lzt_?e;p=u2?w$b%3XMjNTvI6N^ub`8 zVd&MiF83xLnAwO4c!MYR9wMam46GZg2C3xFxb1uak3N1!icCxBm`#BFXniyliqA_m`Y$l_s5>W!*Mv6K*|0J?yu5gcNhqVfazd_;?OX2>2qKiu!!#|zIdh>TA|ew}}yTq_uNUWI}DI|k0r zH^We%>@krOAB4A$-0&c%6{c;pgG!b!uI@Vmx356QaVxRhye;=$4npY;BLx9>&*Ssd0c+zh0xeckb0Evb{>E+(`=!uAgq$`Ql6~S5pr}i zY_@$wP76!yy|WjC|KJAZdH3g{$IQFPsMQ@SpI^e{R)VF4{$(FVjPu3FyQeW)XoFH7 z`H1(r1(zF7@rjb1>{^OY)*XORlWqCRZcdaJ9=YDcJ&!P!Qe)7>$*}6ASV}MRKW)Ka z+Y|Un>wz^dFJi*KJZaAN#x^rsocuvUkF_swZqmPe{1gL8zISo?$^(1|`2|`F4e0i< z!We6gU*QiON&b&<_ug}Oeu+dvS{~~BtAbizZ{c+q!9R9q@nmx^p_Yd*!d)=K+7Vyc zFU0r&-?tu0kYuNkR;?}LX zP#3fyDp?MtVIt}L1oYY22)jNw!MsZQ42AG#Iq?Ycc!YcRzM>V&pQ&Ww^X2_GdN&Br z9*5;i%~5C)*)S6E`K}ADJ@|l-WExs%c0n&II}GpIOu$Bmu>MLI-KyBP6i3i!3zFt0 z@N+$j*HI-#b0~k*V~jQQD4)Sh`G^~r-XVdvUq?LRLt;e1S2n7cj82n_Ar zmak3-EoZ#s{lJrp_u%#F3Y=fC0|Ja4+XL)#m}t$=ZKMslHlb>_l&x-rh!Nuz0ZTa4(|gsq44v`F~9b;sjJp=dK}BNa#UzKDtJm;iXV z-^Zh`t=amfCY4O-*BM--;;|noweYX58OA$|f?k<}Obn#>-^InNFX8_!0x2{_wAJo| zp*E(_kV{8mVj|*VqL5TiAH(}8Rp1}Xvnve{?(U5HUrS73k!k{c+mYx_#cz!0*Kl$5 zMH+7_nn&-M$$q$V-UW|5LlBoqP_Jn_bQ)*}ds|(wLrp>DzYu|KGy|DYet7-hE*}0= z$AXQcpoCNe-aUi!4?OWBtq$55j)eUb6SON7*Uay5KktlNZ$gkN*AkirLosQ5U$hce zQ>+yE__Wy!V@~`8X)y+;Uv5S(zV9l!k7lqI&o54d{EsKNa`86a_(xJPY%^%|w8rEq zRGITJQ02=bMP=Iq6|{>)ZdcYAyIno9RAEdngsxOr=PZQn!x4+^syPTC*+N452ZP6& zf;}-NI{+uA+G6fe55x$xeGUV0Pq)F&at>~$s=;*BNEo+{!^1<%G1kH!XTR{9N0^Q8 z5B6f_u+eb58H5Ze8IX)H_&j&T@s;B+<7hDG%tXAoaUE~G0}w+QU{>#OPHYgoy}hZA z5B$C*u}>bR`yIyE?wz3D+ZwYroWMPwSiVb8vx2T-xrH&t?05&MPD3$s#S)C|(*Qx| z*I=UQAj~-7gS6j4AOlfX=D=7-2YoE3VZ*Vz@QzJE=q*Q#95@22&pg80k3R5z9i}@(}s#5ataT zf_cu~NM_QI7W4wHhn8T}n9cA=1zh)oH>?KLAX$a)pd zJ@UXO?@#b>I|WC(G1&Yvl`;c-pq$9ZOPCw$p=FLY?oz?JFnOYf zIAdBL9dzkF1k)Uk!p%DtxhZe4V~ibEJ3q$zcaL#u-C^$CEQHgY{IJ_tZDj!QE)3~V~#2A{ZG?vo11 z$G1x}F>K@>1S#8M$p%NvFl~;=hsUtV=`m+xRsr>pgP{4LBgEMrMmn8gIK&?7k5c*- zmxI)|+bKu63TGd^!}~`ku<_7q&h7c%aCs&bFz*h6lI>EgT{{yd%_8vl*ajSW{EL6R z3QBphp7tE4&UhmWlw6FS0aJFzUk>6!0}&cUHR?EbRTE5PrlhiM8?CuIw3-TN9GFRX zbnG%xO((%pj2(a3-yUM$^x?2ueG^|ZXpkWp2={)DTSr%6g2OR{@azqn7x^5A=UBjM z&KdY5F+ofFikCMJW2ucDw!Yz2YB9iw!?UfUF>Lk?q^g@?9!cP|18!S z#NfziQ!IIq1ZHL|A}d)Cf|tXeLI{6G55d`~eWBN>2dt*9#c|4?#pR^n-8MUnTj>n< zckkhTVm*$&Vp#wVjJT)RKFSL7uBSrHY!qwoY=Dmdw1`_{&R1UBHtMGYjNx#tyTjl)XTs}mjl%I`*6lR z9L$CasKeG35#n00#S%=!Jih_AcRmP;$)Y?i<#n^85#+=2x!&;cr}A8=&{;vaw$u_P zqjun(ls2qpufW3bz0n}>Jl2jg!;onw;ZqdYiX>;nVIt}E4cvI`jW1EGt%9hu<;Eh2 z9S=}x`~01~X?sZs)hIqcoALoucf;+|SA6we!A7UMR*L7`b;Cceha>u=y45REK$Mdctih*MAA z-oE(3swlc2Ws`eASGNyrXKchtHy`kZiHyjrnAcAidOfW$W9>0Y-(rv&atrH5n80@B z89es*1fNfDaPQ=5Dt6n5SNs}6`C+)TawrB4-+HRZY+dTtgW}QbUJs&YI55U2E2>Hi?!%C3qM&{`%6BQL> zvh^cxp$S2OAK7ifDlZjcyy+t;o?8JCI308nacl(9pvtGq+=o=HR{};Hd9uNh=qjs{ zfg9eFOz!3EFHXcplT`;AWX#EM>WxJ(vZC0sq|K@WrH;g)uLYUwbdUH%W>Qj7K$5(j z$#er1>bH~}4nIP^m#iY{osX6olMd=eWX1i+;$=mTC65;MVb`yk!AkN*!0O2R z;mYE`m1OU16Jj-IJ2|jQ9b=~qmD7?J16MC!ZtV=s_|B84N7R~<;t zo+a`ufF25G3-ZV>zoTTFHYaUnEB27N1BZ}#+xL;J(*}~xYKCOKYczEqgG8MhPuV36 zGT}V0U5F&t9lDd=D_nS%JNM|bDDk_#_@2eN&%fNfuWb;RX^PK0qiwx;7lpG2!U1Op_)w9PgVxwnB?2msUDMf-v zQhYCxl~z5;z$FhzBKtan#JO)EL$%ux4WkX@Ly=OGgTu7gdf9&DDH2{nFY`WbCIi}0 zWvn`ioQeMZ0uFhf$kzTWomL}ur+M^%1?5{_I1VHobtaIDVLbVzbZ5JX8uc4>VzJA& zSlKb+9+H*DnncTJ8F?64+*;COACe`#S?_8`dR0cwa-xXmrRBt`gECQ7Rv{e?M-fMt z&*k`49Mdx%@;PKb2`(AG6nrBtQ@Rs%Wqsms@q6)iW_%>O zhqR~itxWXBoFc)z?-WAL%7#vU92&|=+n_z<3s1HE=C`d@CLQ!F$)Z!Q$d6RcjRo=V z$-z-9f2KrK_2!WWsqD)CsZD_jmYFJmx)JkBFQaCBaz;B z$ewWq?EJI*LyzeiKwXN{jkPa=L^= zrGGz6tW{Xg9Ypp9l&&a7b}aGQH_B?4{56#)njT!Q6EPx z#uuf89&wFK?`B9=zvh*WisfHe8=V{PMVz?pSXJ$w#BA7Ha`4Va5|KfrB{!D5-93_Y zVbzgRCt_u1L(FX*$)z`;B#9DfMn(v6U1UVGSov*7#j>A@%enZ-BOf>Q=h(9DD@m~; zDMrzKD(bq#a_%Ye=4UELj|<|-yS<}`K07a6$lN=H6~Lh)d8~AMprUqBW!sfCz;0Ka zEQu1+3!p3I*14!Qyt1~d<{`f68@$k(pwB87T;662tp=>#X@bn3PjPg<6--7r;&v#X zP2JL-W5=os_^D)vMWfpCOq;EP&ej&tqAuhI-N1FP!cjy9!mlpD{G&1G5VkhY0(8{hRXa$j2IEPvSAyZ#Y;hb)O?I+SA2fHyxt5f zwQd7xl8U$cHsNXncYKys7yov%K<{>}T+yH~U>(jKoP|NUtx%6joQ$F_#yL8m8|x&W zyf5(jD9rI3{9qvJ&K11)C57gL=VOX)mCf6(0AbQ0jVAvzM{P=0vg4vFciesYYg~2l zL%MWF3>~Ep*3kwm>a-t+F@5S&f8`<2*T2|oD_Y%_=xWgioGMa)(fSM6KieESt?E%V zCIdy?@mMphJC#044!?NeQ?V*y;QN^cSa#(n6o#(Gib1W4FOQaPfgW8|Dj0<{+|n{_pX}lOZUi(Q4RSj8SJGjnC*y znFwtQWTDXB2;EsrlR-!L-6v37a~^w^&cpJfZt(DaioHYsrM}C7*BdWn5*f(J)hf!j zbSqfR84GoK8iYw^amthRY|1CMu@ac5Ab!{hR0_@_&w!?4jsr;yiakFn!=gRRwpJ_r!g6w37H z+py-sPqejL0$bkoQFS_FxJ7$PCiC(2#x;EW?T)_V-25fD`lB(1u38DxR>ggTbPEG? zRT0(#@S!$kr-oZVr%^3*n06T3ET!PS+79Elz81=6GLv3o$K-i%l^Kiuho+#t083S+ zSQ^7*Rxlb)6$OLxdsTjnvK-}8hhvCtL)7Zv0H>Xnz@s%7NBR9XLKK83O$UE+`Q&hpwm*%Bl*TB`wQX1Yo$`8^zI-^^NE4)b?8D|O5!5fZ2rOsf zLK+&A_F((u-cWAHDNUJX-7#(LICP-?OMbn_Yo8L5Ds&x`fvE96h3r2%=)hj0Cj;ei zdvR>VNc2>10y&umXr*g`1t(5nVYm8}jb$Ry{RCVBi>7=D?TZAgll0eDaK$ej(jA9m zr2cQK0!Ky6 zAq1WAjH8l>MsqTD@16`prG^|mmT86_v)5rfTlrJ) z;k9=$KUKawilIGFLA$7O?aGR%9=lz2vQ#xOeYtfmvJJ1K?W%$NmUrnGtBO`zCT0ST|4-|^uVjQ_?9y0(k;=wcgg+ESk#5S zBlTA*PuU0$GtnLUk9Z(g zX$01-Hiw|A`oxW}>7doC$DS@vvNDlbna;mP7Fcz74d$;~h0(); z)`zT@2eIMCH~jA2m+636&f8$#ko*4%5y2L)DNLIb>KWQ&mSN#wfGq0C7iN-1-NQ~L zUL9PAn>>RMG972*7pFY`#r01ns=S6xM3#Z$=)M)o1+BljjJ(8z&;aaS;D}Kswoi=SadlThmAVbJNfiF~maO31%#1KWa zRfvbjv!{6S_z@o7cZ1t4S3C<$Vb>)yIU2v+(Zl^X@;(DnE&5@wVOgi8h?zP{Fk9-3 zGpo8F#(6dN3wTlz$@qYMR72%lt`+v3S^@(>_O+q(O1c(R$OZX4OY`}`q;8?^kS@be zR0UFXpfqSHPF+|D-Iz0Q-1Dx;{*;4gsKEMOFySU@}1VJfmNk?*Yv_JzR75Xa?bW330BEqv-RP1Am zkncSb7OaL2)sT5~%d^;W=$sGt@I0?S#@ZCxCsE?ss*5GcgdT|N7v54afizn7=*4%B z>$+VnVayH;GVtNbO@#8gC;{Y5d{FT-pHt6ely%X8<(QZ$h>hi~6;b1f(o`z`r|y>F z{y;{WPXWtmVeHHyVD(>t9*XyGV>s7Elxacgq>;rMI5{qWt^2Y4+r2;+vfENW2GxbbM#OB`N% z1K)}sjt+B9W6NN{BTZ3l^l!T+uL#AGKu|!*ghC0Cq&3z?hc>M8BuGh4 zrb@MDz&i1k)#p?U86|YqX6-jgkQN(Ty6sq|Jc_G5P^$asPPBM%>NrhJ{Y+ zF!0ay{0W~9$`H%2qB;h>f(B+q$e~TY$(U`Y&)HO$1v3*ro;l;(^LUQ&A%v1P;h;5W zi2Cg35%5+~>WjO0nM^%RS{WMZRU?ej%~YULXq72>KIyJ;6GIQ3c_(`u@#1lTZap8qbi&&QVJ3BQyghDRaHSlzT#E~JuOYZ zN#<=Vvl@hnYtQ3dl+dXxPDQfLNJL^X%MeJRZi|*Yn`m>8Q?i2N$|0P6QuF+&fG&X3 zkY}bN@{2EgeS8G_eEyE)a!m&lNsqQ-)17Q|TYLbHCIZfiX8gdFebEg6WK0o4%Mh*N(lxJ_jZ;zdys-GcS<9QEHZ2R~ly0E4=if8j$1{ zJPt0y$~7CXZRdU*J$??CZ$5;Nv_7oIO~vdr)6kbKf~k0U_XU2jO@Jn<$OM#u9MtXR z!$v6q|prXRFGU7LsJ$U&7zHCu{gTu>~!*Tsq z?Ad=9C(mAj>jNLq^haQ<{ambe=qFT1R9XH?c-<1*w}|p=Udr^byvXWc_^^&tV~&ZK zN3M9C&b>}GAm6&ZfSkoR3{c=+62X^MM=VhiM#LMu`_2w*(Y#eFJ`ExY+4ktf32Z?R z{RD4*vun$gvuZ#}9`bcr@d`nHZg!C_S2~_3q>AHzin?75pexV%i%M%^BKulpWxCut zk!yp#ljmZze$jzB%*^k2?tBI>Y>K7?4k zQ$_6oAt|d}SrIkIZi_BU)fLlosA?Ntj_s;}h+f}9Aq_>HQP_C-26hZpV)w|B3@@BJ z=gBd&^f3I0V0HJ%q2I>Wc>m!Io;`mIH#b*Yb~%gV$DFWp`v$C7Xonu`7IHp9141Z= zP9Y^-tjD_g^BD)Puy}^fl20;Sac%|ZB;oP(BdN>VL{)J&5k?jLB7$n z{SMkx=pzVBBtJTU6JB*;I*GM}fP1-;~ujUSAm3vME< zttuLeQK{)+KM=_l!TwnH#tR-EFY(0vK5pH*iVNpX;)v63Y}vR9^T+n!blB$q#Mf`x zRG32LMqZx1l|!{6tBH=9wUH7NBhZXDY4u4scJnq4+too@{Cmc zDtB2e6Tg0?Q;OD@`+qKCmSz6PLPJ{I->IG;L({d=}y-Kqr`W6)eI!J^Q*#X>;eBGR*kMjEQaN1$6hDk(`% z;QEBS1TgId?!In;;iL5^&&5TAxb{!P67;y(-=`GQ3a6))LIzEnb32KcsYtHgz*YdH ze)kO(Lu~OxHN^k5nxRP}mNrX4z5!>>QMJf4GaFH!t~j)O0xT>R!ZoceRK)2}#e|Gn zFZAF9uK4uiS+Uu7%oK0s4X|oM zim&oljQHCF)kjUT+m)52s*dS7{0)6L)rY9=qD+`s@rWtw4lQ;Nn}^u9Cotb`8ScdkSS}*u zM>rZlXUY+{-a3HU=33w+GY0QY%*FVnZV)t?90ZhgsYYnT+Vg^vlAQE({v(sJs!(go z6?($mSFM&dChR$ZFce!+%(NZ1NyRSuPtUvQpDgAX69hDl7=m%i&K@ zHU5mUM_C--MURb!AfjGbgw`!Cg7_9upDir3mV+^(p8}*vIy`Q;A(n~@6CS$aQ+rzs z=UtX9LR|YtVhJXL<8P=pXHqd3|F=q^RxKG$Gfqk#4fs#3uX%_uo~ZKppX4GxpGqqg zFQ{t#!?{$YGM$g`2RmSI(gOqRPa#Zs0uH*}#)0|9?9PxHN1g7lUpxe@WC&899>CT+ zVVo5bj5s{sdl=7CYe8wmYRu>%`050qV%CO6uo~Emit+N`d;cE787#fOgMiK>V8w4# zw4p909l3)WPIED+eIxcDOr8U&VkcEeE>-lx8AF9HhT}F?-hZ8QmdnXi8N)JWJkHF+3BaNL(G|;rb ze5wKMeq$r7RN1LS%)Mi{BE)`s0?WFr3N%zWdwtNuLh!AOu@O#DQ1aiZHD^{HO%WP~ zMldoq7VKlBi+}kIdFKS;%u30k6 z{sHxq5MO*2w+YDf#<8Uv_#c!-k^k*FZhe$NCxb4+1x&OSFxx-{TCHDW&GfDCsNkRs z8Kn-;Xb_J8-*U<%!ykU%X;ACfo_m3dSY$a9-ba>UEs@kRbO+;IH}na(|+D@;KM zSq{}smH9I&j%v;su%HKfz@r*kmO|?mg`mDglxK^E0*pqOviE1p_H@OqkTAI23Pv{@ z^Ww9wM2KtuL@XiGMhPw1K7oOV(9klL%@JgzXR+gTT9bBAsj9$M+{;YG7Ee@t{Lf7J ziLhTx(3Qtcba$1b~KrEw|(Bp{eLn zHypPYnxmhI6^8a3gvBo!!eZ4~T-ss+0k<(0A!e-y0}Ere-sk(>$Gza6xO?X(3~dV! zCF3DK9qH_cH-Lu40yy1$hN~NgLWT2i+&}74eE+pVN(aQ%ZlnJ@h_^jZ-P9<%EfSTC z>4o+cHMXlSb33b{|O;Bn!6R6fwyZ>0)Wy**m6YC=X8U3EG8Pvv=@$FbLC z^wt@VgqE3b5BraLBB#|*OtdI}NlB)k3)at` zjg?N1@lyaLl~L;sJ$95%$CnES@PNMo2a~lG0PBzZuXz6aCkj}8L)Q@P%Zd=hpju zW{`>Grw{NxPZI_PO}N*@2qP7-VV`k*p*2P=Jc`Hu37lCmgpSm&?l?4a3ib!J!-NIa zXeDex2vZJ~L|9#^I)5eu)o!|+I4aKz=a0UD;QQN%hS0htgl`f0*_EJf&*3m*&D1Uk zfa{*^xSe1OoBk!Hs)`WTR!c0w))~&9ETmiZM}JOWi%hSV_`o-?O~j8d*5MK~H1BHy zy($W9Np>XXfmKvI)l!q}cKMVcOO=f2h4&RTw-4t}z%nUfMMk+D zTBSXO)9zIuI1OWw7sBbV0_Psf| z<4^$BA&4wVX5`aL2O!Kme`4_c z!zHX;eipGUREiv9mN$-18HirJhGUHzXYUHWFmsWU%Ss7BUQTYgX%Z}W)l291oOyIHWHJw*!f^4 z#Urj{9}HDNoGPMIf0h;wW*#LE>_RBqT$qDw*D)BW!>Vh7j5j+mZ}VeB7VC3PM_6PM zyUs~gI?{z0Ov>j74f`MtURf+cSlwYD{Kg_!nmgdQcO&#N*N2jd7CN?9LX)}`MNU-y z*{VTMkXKM7!_rNlDE||;Pdz9;O@g*0s${nEIkV;RjwoqZ8$zMLg%Q_7$EGEOME%j_FXuNUyIZ940 zqKCZ3`zQwLb{>aG{mb%#Lc)l`{gsokBYFrntQm+#X}sx7M9jAjxV&Zs&c(DqyHZM- zj@SEl<6)vKbnM2X8?PxVMGjt{+=}yV_i%Oh4A?Eai5Pwrz)Nid*p2SQo~g!+zlYV6 zmchlB_s|7861`4hw)Gs`h@!62#T4lP6b;9Z!x{5B{tEQ3ZEIC(h!4LA#@`-OX_ z@Q~$+BZr@Z)_V+w@h*Q97t*aTc>4|9JTw`~KhI&2Wgj?Ph(>;Z6UJD~$Cb36Sa$Xd z=64mXAOtUm${@V1i0;q0Co{8TpTF~fT=!A1)?!OK!d(`^Ve@_bXtCRMQFX{P_d8YgPbmg4N<4w%Rt!UXJDG!K)=*%Q{jDC)x{E&&o1EJ zT)-VrDMY#*Y-d`5wf{LY{vn*N{3un|jA(Z}^Dlr@0|VGk@5PPVxd@sI2eTHW+&oi?Vk<4+ZNQ#Rs=BuP?%YhTR#Utb^?|#ud zQ19l1iTvNqJ`J9NFsOLD)YnYPC+BnOuki3WE{yOSSYmFD=|{bx(0dT{lvJRp-5yF! z>lT%lpa>hY7DDO$5Hls}eRO<#_zbnJY@x%Ftzt-{iDG?(xt_wq;tz*%M-8xcfRSmd z3Z>rsqZP-EBTx<9GwX^VcUh&l-aMrX%4n-2r0<_eS60yAfwL3)bvot0`o(Fm=lk z^kU7orW#ydudT+&{sUk$aRz2h8i7HE{W0}&eb_sWM9acl(iEYo-h!R!+z&^vV&_HN zx^@Qp);Qr=D(4pJ;$!gS;+-27e}dWM~-Fo;9mtFt)z>>J{ud~ofUEBk zqHJW;O))@|z{Y3mvy&>&iKp zFxUp`pC_}80!e?0T~ilf!_KSl&E)*NOek3l4CHPsocI+%@%+nQINgy(gW3g1W$hdKQjNN3-C7Yz z4>n=(A+3Ic>F#U(rt!f>hRV@0a^umD6}o0VE+2@TfY*61ChY5sOq*sE5#%{ z+_Vr!Jh>aSv+jTK2p>|V(ZvZs;>$6jopVj@tT$=u|=}^SqB@ z=d}nhn-0VTTb{-UU+TbU>2a*L(?KIC%D%ZDgG&H^SuH{anhu+>xOWpu4hS-Xu4Byz zBbW@Ih*{Go!PcY~22656eTOx$WliIfQHRMuO?D%w;LA}=pRfW4jvm04d80A$NFb!x zO8pgQ=T5_-*$Z*fmnFOTi0};rJ3e59Bj9s!oz9Q&K_KT#g!}uUXhdBW9j9-^OuYtF zkio>SPp2_=a37f4PQ@&TF|g{@8#cS+U;+3L2k$a_1PaAPT5U2mE$fRW)c;BPXPlX7 ziJ{}>WBr!RSUqPPtVXQE3)U%~R9@4c?7*~z>#+NZPmv5%L_HOlE^xwi4ko%2~P4ps1z6u^G7^aBSI5xVT=&**%Up^pvAR zjMzYgum?|=hzbcRHDiMjM}42jP6Q%@0*l4ZqQnz?sYLu=1RbGg7Gln>%Xs?f8=|8^ z@c!m5%ow``;l{gge$VhC*<>SvObb*eGEg%e2u+s!7JR{R`w3XJ?B+?Q?ZX^QQk&Z#JP9$t>!7hQ4V%wDW>dJ0ZWQEBx5!g)6%9)SVe z)5r^<^zBQbz9oD^XsL!!0s;a!%bOXo-%u>fZcrNqX_?d;=kIs(bT&>`*Au08PP!qDmfD;Hy8mSnQS}4_!)@65(>pk z@q<5mJ1r)XzXgM>T3iHcKUNGq-h5=_~dXtoM{z=asSHm9Skk z5+ZIGDdgQj2QpY!ji|I$B3k-`$XJItWWmfyWJIsdq^pSo+50%01|yYxKEIR< z*W>(_^HCu>1E!NhFQP~u_cmU#LT;1IcD;zUa^d~dN3A;xn#k;~2#Z{GJ7gphO7Oi0i6D!-qp(pHt|7+R1`PqU~SvdGi*)NecR zey>jS`i>&|J^AIB3nP|X8sCBEH|2cVPa#*6xWA()_~_9~H%B67*6O-4jrNt;Z$6irIk8ugmE3uK~}5>f54 zhpov``HsGN``XdACTpJ)v0SLL~rmSa^`(J$q6}2W)AF5I<@0T zE0xziLpG3S>?(RfHkuod&b;5N=@6q)dx;lM73D>}AO|M(ChZIFX{$kcjaoxoypu@E zQo-P z8jmE?rcEMN-8z&0W4DrrVWqXbY(3=OAZUIVN#x#2N`EL_EV@sbwC~cFSk5|6LW>nu zCi!&Co(#~YbWKfLP5 z&3-(wGSDN&lXj9v6(&GXC|h=6Jn6(QU8O!9i2daf38ie-@e!KvO>t6jblk)t#&+VQYSr!EhXo@Q;OSyoUg=XR)5lwd!MRSe=>dl z3lg21KprmXN9mUmQSUs2EIIw2M0p-06O8mp2c^PiQXfjEdktDdZv5~fhsGL_ZW_u( z-%)w(++z?~d@YjuGAcW%zU1PPp`@EC&okO-6SHXt$;&8yrIJUYpYJ16dubHiuilf` zt-eHjl2XXkiIm+@(EAB5BuVfhA+8l)EI}t>F7wFX9v!LjD|{9!pIwO2;Hl)e5AWMEN$~A0WO6^M zOsMiQwzVg79PEf?4_(r0#By@sQ*nFD5L(X4fgVm==l3TaxX)439zdpVcO?OdKggAN zgNR|V_?gOkzp>=dCo1iXU*!Hub7EL5epVxTeQd~{w>+{TLLHQeF!6sO^zY=zP)>}Z zLD?QPZW`3I49GBt_2jHabh&8|R9}RvlUx#faW*k#w*^@DwI{~*`>E&Ul7#z9iIF;W zt(^|BT6CIxh%ey&hEBq7E+EDl+}|i`6I1)`HwKR+zn>lC|P;s@1t4vD@p(c{L?{iLZ7MyRN*k9-ohL z7h-qVmr#*wxd>}lev{`-wi>CD9t$3kbnc~6WPT#Yry3C*s?DI)WdIp7bvl_cxI5|6 zdmPzzKdiLhFH8Q50%8GeG}V4PG=Z3QZU0-wSRV~aP8VE_q-rmheAqpb80)GN)!*MM zQ)x6Nv(9~^zE2~M)(j!Ni^bDaY-BK)INncTzoGp0W5wip>>xJ%dJr96S?0c{lYU=f zvBZ_cvsI{od_CgoJb7fvbLHCu z<+Y0nD%Gy633j{cWl5NrzQ_cXtt%DRITxX9c!3yfSIt9;^$)inP`u=);-}w7_=iR# zIh&w%!)8$G*cDwgoARCcRgC;(g!=d*G&UQut##2;uN64k<(CB$nUQ|*4U9w@ttnJH zcZNnY{!{MRWJTe#R{-K_siKF0Dnvd2CpR8JJ^=_zDnR`H{nqI2-pHJK)moW&Ag5&ve7Qo^#=@u>yhD?70^!AU_2^{C)5( zttoU2wb6{5PiES8eDKSHszE0-6~`>AVla^v_Z5D=VaRXO6$b5_vPU-PQC|?iYz^%; z4fu`}iVZ<^hcybhZakL0Z4HZIy`k|>eaJ}_ATu!nfnHDX=y?!Q=z#pdop^d|gkYz` zGP4mEz1&-g+ge(6@iS9H}IG0gq~^w z98E1kT>EEYi9Eyy`ru1gA`0rafu^<=+EzoWABAEsf?Yw*ORO5W0d@D@$EvR71xHL|Q~LYa?>my{^7uzt z1DfjpqU=yp5c#iu8(o?j)_$xcf4)5+F8-9=t}LR&^kVWAe{CO5<*B;PKM4{9A`iYh zhGEPp2JD=#VO38)K{O))uQpG{tjkQ;oxF_Y{O*w;I5{D>wtNP5hMD2exkcz&%5df( z-)oHkVe>rsjBL-1|s4$T5ax?6wUjbw6Nw};sKz;5v5+q3AMWQPbB>bn~ z?fjd28?Fo6^Iaw`p*(UBa%BZ(?+AnGk+WFPt#qejUdS;_8nz9dxhk-~b|1^Sh&ym) zBKgidSUGFp^!3?L{~P;=BuJ3(pGU;*QV9~oL#Ee$tUD8jE(>>IA-_(&98c`GvBa_u znpk?_B9=-z{wfC}8n+gW$LhOzFkL*GPsbk%IjEQ$v-h5(HEIk+Nq{4vTyo&G*A~MT zdqRE11)N_Y>CBKIfj<&mksv{W1mR#H#&t0!t-Om|vqhN6r{j->oQgS&+Oq;z1vF-D zz$ya!?>NOjcF_G$~bLV zRMPR~!XnIZ@i>2(y`za>bhMrdfFV~oC6cwq#&cP^9G1ws{Jk-}6CY@)x+@|A<5e4a|Z9 zrB`jIE*>n8bW-LD+8e?CSml3=SU#UFnIAAm@7+KEfOS1_`{R3D2AFjk;~$ld z=%Cb4atfUU2@)hokRU;Vgc?R3lE3*Q;9DFrNF6l(M+F@^DxqPO2CjLC@%F&CI-Su= zOHs04mIMhB{%Rzhe-b1}kRU;V1PKx(NRS{wf&>W?B>Z0j{0|I@j-`jUGZFv*002ov JPDHLkV1nM%ti1pL literal 0 HcmV?d00001 diff --git a/docs/MIPS/img/mips2-image-0010.png b/docs/MIPS/img/mips2-image-0010.png new file mode 100644 index 0000000000000000000000000000000000000000..98b21200dbf069d5c3f9d3cdf9d1795456303c35 GIT binary patch literal 49988 zcmd?QbyQs2wrYlT9w?%kVI3^nj>r`_XN`Zyq6Efz>P61+0daYRF} z)y(VrMgp(vcbmsi7P-FNhr}1*Ql%8Hu5Q*Y{WD~&f776Ymb>8h=JkXmovf1von1X1IL_bt!RJsKE$e@*1C^k&K^ z0Abr=ls7IcXC0QPWJdr?kiJd%%QGq=^( zXB|~e^T*Ckxj-5pTl%ugy6Kgx@#XFhB%#CyH>=)7arAPFw6?o5*<|rcr6Y-Lin&hF z9h2lOuq=DLjsQt=mGUqAd%PgI{hN6Dj5g*&x}&Y(s#D7y$p@mb6qJBal@=e)xjLA1 zP<{=)`cEzvDcdf(y|Yr@S?&sK)zHMeuN4`!Fx5Qy1|IoO&eOuo*W}Ptf#k^wti1lo z9MyR!SE+J}Y^m?c=;97H3%=QSmOZAiuE>e1U;m`_QYfveHK&QTL^v7*JS07rz#!Y8 zr4V6jEmy?|Q?3|;48ohSX?l_DEz~fq@YobedwNc+rpGk^$f1e8nKA;P2NkxJ48a9k%a%6j8Ug z;d%2r7gyVMBfMt`6?Y?=@0ei&2jtUh_$~#^+t+y@{GO6aI`tr>LCxBjZV_)$&1Fq_ z&Hc-yd)@>gUy`s+x$#OBIV&|Q>8TeEC(FWVk&C`2QR_|TDaXVEYHXVYpt+cCFsAt2 zrODDPxTTQ-+;g@%8h^EUI9S)lY<@AfIY_pYHpn~8Q9B~dBdPcfw`1wVo3M2}s#&+^ z^z5{zMDKx9T-#)IYYue1lNteN{>O)TDAuYg*B@@Ux!CaQELSuLoh3}EnGdpSYiyj^ z&G=ZxFK#82w%9(9uG_5u=C^4oXDV@TM{92qXet5J18DO_!rivBI*T^At#hWRARc&Z?(;vt-owBsuO3gS#N$PjJW%( z_u(H2iMR(H7P~FlXrj8+-S1+%G_lV5Sf)-Va69UnQ|`Zk5tuM#(o~gdT;|mhj};A9 zx%9@)Zf&WJ@azt@l%iawn1Dxy_-Grn=C*W#EAv*(ZB&Rhf0+(xj_{o9w6wIaT^(y^ zFCJ*x(1@(i%2FJ+i4As9q&MS?VgOP)*dy!wK2PQv2ShZ)KW_4h|!BRaMSb<*4th6L&2Eu=622kQK zO#IF2mtVCysC$crt#r~!QQe>U9;U!a2yH=*N5#(-^TQwzv=uUksbl}M*&FY4v=Pnr#e}n8uu9hb^~{A6IdvL!@}8p2y}GpW{Q#1efW7O4%=8 zw%)|Bk+~6%`U^UQH=3Cj`P2lXS^I9K`W*h${e%=^M`knx6!&P;lcPOobIT~1Z6MNT zUg|1p$hrFEO)9%7-8JOr%MP}XBB~}C`MT0;^$$=PIR_w3@M$jEyHwk+UOButG`$Q+ z6TqJhyUNxWzU#ScOZ&iVE?{P-0f9|GPF356xk{DNk} z{wCG1SbkRjrIW3Vud%NhgK5c{nf45&VFI`SrFaL9p3myZIR3If&KVz8C-FOC#hL@GfDhR#8 zGYjs!hs+}eBfcIFBsQ2id@zj6-iY$#__--4hY$N;|;bQ-6C3E*2KZZzt%QFut$BHNi7NYU%Gf*;@A%PHat-%5&6DF0q zejMM?>)!OYMGI4vH=Y|+qur+&Dg7-1N3lzL9eA)*H2IGGhqGa68j#&DIy62?xCc#XT@w3W_=6m0V(0FOq#i?A9FE2pQtUYk>A z{Rh%GgIqsyQ#0OBOl-f)w>+{(XU*uq;8b&9S7GY$Q5C2}`WQLk6xd|`F|TmsH)!tj zhjs>^{pB<*Tqc$Bbqjc|MMu3PRbh00&xJSmIP`#vJGyf1Lww zjt6q^2hC37o|yxfk&3BoB_HVW<50{zsU>H|0_5~qu)!I>Lb^5pJ`JT=qhYfie0 zA2z3)%p}lQctq;xY7T7Hl0|yHg7d1he%#-bM3DP*s zOoT2gZgs99Q(7sNESmtTS^qZOrWS@xhSQ>Hk6U*!-ksH$PHy<%g#D!bXY?c_lx2j* zkJm%SXe)tH@zj>Ff*iNDE0sradk^?WFL{rhg2LHYvx1hk7D6}|s%aqH`vSSL$0hsu z{%hbPf&vg7r4uW!vM>u>(2e8K41*W8X?rKjCe`h^msq{W5!ZIvVt3qNz`^Wz^s$2g z2yJ67c|xZVH=MsgiOu>GmSBDc_c4(9laXpILkOND2Ib8Ig2d7xglK)P>^dwXM zQvI(ou}u-Dt#d+J#Uz6^x>K_x9#ckN^6PmYpwZsl^;tMEC)5WhkA+4~V>alesSp$BLfL++J!KOh2o-Uz!(h|MI}OJ?wEZF{lX#Q-T8ZeXj#z>3}mZ z8F>%=#;)^1mBUMk4NKOvw%>!hoClX14wT<^=D4h65VP^0wT>2QxsA^(1KYw;m;Xh4(y<@Xky~CnR!C zPGUob$96_~d8y?@&>is<0~H;e*8IdVjl3EQX+5(y^#f&w>b28{Vsjr5n}@(p$d?Bd zwRLgIwN#8nXRwML9=Df-gdRg}@1AD327TpD2Q(n0*Ap}E*E&zqnUc76kY1!lX6bi!m;gzq zA6CRmD6aG_SIhVbEmi0`#`Nw!1;5Sr7P(7$F6V|Au%7R7?azKXZ!mq*LncBA$XISe z&8N5`neXc-Z{2`2DG=Pth@)&u53OqxtBHA)uVWj~YBo+%dqxluW43d-DY|(MYlwN) zrNNb>r{|&9RrSr@xM%pR)rrldTyd9qmG&-+Z&MN(BujbpEAJLuc)~4YBF1qoc|BjM zv%syQORj9L0`X{0m2$6|;#h82GfPk^MV=hTse3~4{#Cw=X8#w^z>3I-gZDjeb=eK8 zs+R9S&rSqd$uuuVk);d@9=&M8csKp!nAI&6B_&(pw_Q0C{#$JFi;NE8&@q<WgFJ{Vu)pOz={0nd_=ll8_fslO1i2M%B^z!K~jWkMskKr#&thxXJ8vb8eVI zhjS<#$!_bks{F_VsC9#Y1|)9IQz6&BM8tRZ6ZZMAW|_*hp`E!Vy2bsi3Phhz9T}2Z zxmHPZkBPxAm6eP~U)?}m=_E-wWh$q)CZf~(_IE~xUk@BNJ{n@WlCi<;NzQ84_p`{y ztAOBsvyb!SPY%Q5%hfXKl`ZgDp_NbjRg_gUrzDBIOr^^HnNoA+2<9Uxs)i3-)Y$LL zyam~9P--4ioH7WljwQ4GAt|_bAkW}tT%+D=ml3aulV1>Pe&C3`sRi;~)9Kn)9-D)3 zb^>c72uAArt+Tzo53@Lvt<_CDA|>ld3Bb1y{Oc_*@W^Z;(Dp#UX(G7gX$@+t-1XN| zy2G6mF|uoT1~uJb`^kgX>)I&`);25K;Gn-(KIT+lB2{%;fUlOgwTJ-LRKG3U7Ldbj zwEY+O`Z6MjXOBDrQ>|=*dwr$b&-2)0g^fS0M?7)~)ahKC_u9Quu@aPxr_R)7Tq7b! z4(j3gp>Z-YOYp)_AW*sCujl<<)hR!Ii(LP*pxE=e#*Y1@mah{}>ufO{(}OFgTsHd_ z>M5nY*XBC@*v$$=IFtwNt#^bn*0uJY5VbN1kj-~Sbq3iDH!4#>Dlak;2sl9Yqk$i$ zWTz`fiI^x#;sHco^egkeZg@6#n-RQA@e8<)acHhK$E8!YS-X*Nx#T2rDeyXRc(LZa z)s$cwWwyZ$7XO-3Ip%BZ*S}0HP?yLn!c`vNLD>#nhb>umSH|WjJ?uxDb^|lJ6Un1B zKfP&%nAGv3OgbFg8iCsVjS6zfGfl71!3CoQ`x21^hH%Nksx#IA=4EFn~ z@BZhOV``}i-LJcF{5ID@#VS2xP1l1+On#~)>6lW!%>gsIypugX>Jiz#P+a;{xrG!M>x!8giZ8cr9auc*&fixRlx<4Z@_32ah700~wO%}I z=&VDweUU0Q0eq`_GPoYa^hvE>Xq6KWIxdUrDy^3OD&nW3_Q-A`(YqmS*`LfF=|COf z7VIfB(B0b({v-~1aXMYpB|xhWw^;_4^f(irPo>Kw31WT?N;vf%X`DQ)`Hng=HADYb zdLVx=bdLUWYG|^;)zMtfSBP_pmTfJhmM+7U82stxo8l`CLRSud4(%7x4R`1+Zm*6P zli!!UvffDOO;v2?;z^46-k@RWm@g|n6>Y7_orl&y!d784qmi+=YDsCDP!iozsKjs9 zFQFA1Kd)XsCzs6>vk=Yj8mnt3fwj!e>6o(VhcDbRaEx0XU)`UE^G z`XttvyXki`LMNvHm7YT;2$#7rUb!0nxIcTJp8rL&_eR>dGg;nJK?9_}YW1sy870=Q z(|8^m*fb@N4|zE7&2@JCk?cg0lOFxI%r*AtgoRsfQ~_-Rxbxk8J2YGU(bEt0R2y@E}*`gl_8M1k!y605%yGwl_G>3kd>yh!A@}f21*@$(O5}QFA>>$8>K{fad`aY&ubYFLPjKY* z@e17Rog%_6ZaneIPbz@UCT^F{tZUtk6*fdONZ`B28I z#;pqc2e5uUB*&nV&Wq+r$1f+K)2V92n)XabL!EY{@-+7I^R;cCPkDdoHG60Z#B2@{ zNt8&x?dTu6IMW2rehoKej277ID|qYx6Q)}n9QfzR7=GEXEkK+z)CG>WW+rZp?fs(Z zgg5(oWp4u3oQ^R7&If%56K0NFFzK2&_#V*74c~aq)$}e~;b5-yTOoPf)X)GcL;Vvd^fjpiFk;5~kmwD6!G4^wB#63+Hek3cFEBc#3e#`+>hLj@RR%VeOHj#aDl3Qd0A8*?9 z4*h zQVDNdop=zI>RRET=UZeF3Z40}w6UHh%$>Sbcp!Pn-$kQ65K^*Gk(`H$U*&m8QOiQs z4nF#G2#Y^?608^sH!_`=E-G92LOu1pFD))dEMF|r>(hp4S(TrN%_Yr6i)A*bV3$bU zrI0#w<*S^t`QB-Zde|LjFi3l^-ij-<*H;$CUeTSFeiSx+CV7I-1^yd>ous+UDlc`q z=E_5Jpn<{7dkDLwLr0d`J&^C23_0%zK`gfK@2~z0=?<=n3X}?QOq6WVr$hVHKHPz& z%N;3WH8MtQSWjo5!EDw%WPUyXL_8uUElgZtflUq$XMEDnnOfPc^azn z(HoiB+;=T!No7Wgy)lwlYF~$Sl2|aMmdwW{eJ1R|X@q#}iTQ3kn(z;#3414PAxkzz z8OzV>)K5N!MjtMo%yUEw-)}kSMWA7N1TZ8$YaPL?bF z-ZY<@c^|EgU>Lr`9h-9M6-nuRtI;!hu2HjxLV<%R10ds+?|n9G;Ra|Mdlic|dTr5% z8Fck{CTzYR;-2a2-@WLJ$P};laO6$pu`ymwP6fQfLriL2ME5P$G_zTnljr-=8pGiZ zu>Oe2F!}O0HNrdpE{4ohS-woI^>92L>v@y)y!C47f1qp3!x+v5udfjiL%bc=^T4l~ z5{Mo5f^(NMzte_)XqZJk8)KF`uP5h=%xOa&WmyaT$_lfmDvRRmo`S`4D`_dQT8{H7 zigQMNY^oYK?_UG$wj^G6@6U)$-b^+~$PojzSv`*|)d^Xe`Jh^+9M=m2^t;2e|Mt6^ zY$3{%o%*4f3U{^`3nuCg`&>AIad1;m(O$XKO|NyvWClEtsBtSEFR_(q%Ef{u*!A#Q zH|zIWN?Vr&MT65tV0Q3rNEL++MnjtqrpG*jmu{1s{G1N{7V=_-qxv;0N~4Ft_f{MO zb+*%ASih>DjhsCEHXzS>y3+aRV~S}*dn4$U!_)Zh7Nb}H0)03C&2U9@)F`*);`4-3 zi`#F0JfYP8E%*)!_~quMA6R})1xl>AYxZI(PJA33#nY^BfNKlim{E;s5v${K-ZZUm z9Pr0?r}9*~E3<_PxO0@6^U{*~R985_%V+>~^MB0@)HiW z3*3A|!15<?Xw0I`jN14rkDP$vGd61YrGT$$^<~PX_wdPfulp z#G=O%Icq6m$U&~=whG^}l-F@haB6eTGh1H@*Y*q-c;w=l+4gvDU36LvQ;J$^Sg;>Z z4b9h&{NdJuf+J5jc!(h63r#>nuSD4mR~H($izP3SG8&janGt-lM#9!s!-i+!;WTQ@ zc5U|aZ51p;2Cj?p}9R1HtcUNssBCf57a@yE|ha!y`9q5=6aJ^9e+#ht#{G_poacEVyI005@`)kc&Y?CBb; z(%v{ZvTD+XPx1WPT6K*ymJM8cRF}9|H7XDnH*nOo_iSac!lV`bl^wR!g1N3^m zau3~B2v)h{NKcUfUUo%b6X^r?JOC^MgkdVrzrLl6f$?JZ~@u+P-;~xLSK>_ko zR8t&WIt|&~#Y;RtnKZ%mnAk19Gv%P6aH<&JkdF^6qMEL>k|lQJ*E8z=RUzSOPg^9B zNm1IDIGQ@d88lw(AQ_6!JOC#5>I=UxuLpw|Txpff`egfq^h6=@$36iu7m%AC2U);Lmue)R{j9bw8h261!^YdE-C=i@$5LB07xVRE_=B@ixa+ zCtaFI$`&=cE*^1ousDkt7j5Tgl&;$Nn$WsR#Zb%s-G>Ko@9KNZ^3f6Y_&e53m;PE$ z{Y`jgXACJ=gExoDWBu02;(-z_boIN!ap0Cx2`~>p5dbHI;c@Qj=p4{Iwn14HNs7KF zdH0n1M!K8G`~4~53nt_hTCLdVy zh{iUSQnk)Xx zD@{)IgMQaXqT=|U=1y;5dL84v*noo)-dR3hG<6aV<$NPD@aiLslPY{mrs(4n5Vvyb z;4WrzD4m}DWzWK!&CA1gHI3I?itI3wNSag8KI%DTao0`_znhr(;FBK{H;_1PK`{3V zU~O}-Izn@~)lm=mpLom-LpB12@V=gS)Ny320|8tMh)YN&le5~6HmyF>3nM)mNI)_M zg>7l2&LY3aS$fgO*+?8R(+=M+L>=Y$m>!}71?yOh_vrL1R$`I|xviofA6^5g78bjc zYIO&n`ef*k#rHlYIHVy zSdwbjD|1GXo~V|H+Mx7A%p zA7|R;IRa;&>VJ%F*`|d0sFKtmsHjVp!ObT}X=zz=bB=t)T>Q^ZI_XPvYWdypDkm$f z-SKS7Q!KujckoO}y`L`D)8n_DQI_}nuHcZ~eOO)O)b(8_+5aO{GIw$NZCU-nlnpbQ zj=#cWNzWt(|z8h%9(59`n{Bm*0j40r*sfSpEr~nko zKg|r;VLzOPVfQ@L4Cqmw-Vl%e{5qfQ`#!379*B6&_GH$6PB9Ji zG_Hn=OTAk3{VcMHf?$&VR31LV+95rm~(Fzd(qEG7grKL>r zxjCUbSlYIHish&>>VLHpYHYhQAo`CHnfuX;SFozU74TwE)0RoDLV7U0I-S?11@c0_#yUvarE z$^$CQ7I$Z>kX%mp7z3WH?M4NWDjLH5UkH0-%6AU0Z<0nDx4L=>or`~=HH=qJ^NKYFhc}L;ZP=P12XHcRv!Ts8c7aJyWOO7XI;j#L z1Q9~xwhF{r*$>nIaq^5}L9iiJfw;B;HmE=aN4Q-CPkBmgHHt_+C!8>>O*7k0V0wHv z8(|X^>X0h^zzEvw@CF}EFKXT5jjdMv&;HYpz zCV?wv0jEPq*gFowDz5_rdYWGL)sIIF-@epz6M7d$>xRh9iuRR3go3H@{$Hs0WryDd znP`~Qe%NGGV=Q(3VF`kd|2vj&e^8Ts)@W_GHv64m(Mt?w8x8y!(YGyDSbfM^m~cC0 zF|C{L8DP)&`T>x~f04(_!mYIL8zD!I?z?X1Wh!C9sB9AC zYqzfyU+*i6NKF!YVt;Q_qgi6Vt~B5G0c$$r``HYBA}7k3SD^YXJjgrPvo>Hj_>nBC zo}~c`@O{$-xnR|QsiEhvc>3)-pNh^51hUz#ZqC84uKn zOw?evVFvCThj&QyGiy?TRdO5$<3g%wi!mF^o<#B3{%E01Y{*Um=a@*g!UZYAjUZbc!S+5)yXt*;c5fF4KI<*aDtx;`5VDs8U?rToFA+bhIOH_mfXl zsae%~e{Z3qG+XxmgcJmMB`W8>@!3EnjqmcP?HQ&=mD(q%2l|x1_qk&^%B97K1O%cN zcDZl(koi0Efm)~Y3oZ*E&1s~j^5ZOK(!}x>LYzFPw54jz=DLQ;-TBaHx-My-`+P+K zvL#)>86S2=gI^c79Ek5qN^F?^=?+WQEuo4KdAAjsIrw|a!SnwPKWC}}yR{lNy`%pQ z(i$@~A8{NrqD>DAYuQ-VR^_1ZO^}x83@;Zg-!axa(dUgu3xdUD-|%^k+^0h`y(QB# z{4BUkSiQ}{^S(O~sN+W%?IY{jZas}``t}Z==PwT5U{u0{x+@q-jNOksJ(|To#KC&bA-I>}DS9_xj&zkf^Bu?rp#w`|U1{IzjXS&lJ4YDc!%E;Dkka~V-N{7YL$q&fgQ1L@eq zLOa`Y%i&#^Mk09@X4tPcj@y%V#=1QmASquV%Kb&OR&_iw>CavJgm~8opLPfNQ}J>OQnhi$H_?2swx#=%-x*DHNe6+BTfQ{{I!-zo9UM8@IQmA%9A$&UC> zs$^*`W1N<)(ma=!)4sygovf#q8}RTV?LY@zEzT-mbF+)0k>1A58z zRkfdJvR3^Pu)$ljm@$%e(@n_kRfE}`gR;7DW%aIfI+7(?9}#fYo{KlUnEU0r_|C^p zHv`mEZECih-}9hqzLZ(;mXE`a-^?yM_ftP88~ z>@>o2EBoCWSsL!bZ76@A?KSU9wEgm-kT-kXO{aS1?c6bY=YE{=LyuG-=aU5f*B|#y zLqC^DPUoECRm3@Xd*|dMv^vzkn#t*%nM_IU1&JcZrTE%%G||NXO<*M}NFJ+WVM%c_ z-cTmz;3XSAAG_%L;dVn$BBRp4J{uEge^QVTemEDjd4}h3mvrezCZUpjo;#eBA#nl& zp5yd)^9x;H-~$I~ZpR$glyB~;{V&`0ef@ z6QQMRp9uR@^Qaw8wg;nNX9LYGNulRIcHQK5xJ_x%h|JpEEqI>9XMB5%r16CkK+-H_2?fxl-Sk z26mL%s?r>(v_8P`a&pOinC7&+wFK*|>u&U7q$hLPe|mo1bi5RtT)jK}!W?o&lERnJ z*?ReM`-~hS4J|$(zT`GnhUVP-&EQPH9$tBk?F5EsJR}_w61tP3x@4I?UP@axpF5zs z=4=L^#Ie11skKJP!{t94C@Hau(|W&bQqh(#_1w_pSbnDwQbl4jSUegUysi!%BdAjk zd*3D;tLW}vqlij&B;gXA7_lXC*9{#SNNzJ>5kNmQY3GgTyA~{jvl#c!B+*i}WotZq zc}1q%4(+?YE_8bRX1cHoBh$ODCjt_}A%@=pbhKK=UH3pMymbIOZVrp4xH-l&EFf{& zXh+sVt#$Z4(28@Au9@5o8mj`t1-uV|JpKpkD_dtPIuS1#5XAgSz&bIly}gBr<&6uK zersCpfKp5cxqQA06Gn%iugO_PuwLWm;d^$!i3f(hiG6`0bvDsAbqgltXEZFI+T@zI z`MRgqYT`MkNo6#R-=n3d^-K*#aA~5(7Ic4ROpx{;+U83H0cJI|pVg*$Tkvk6TAdTh z6~k#9P?mO)t+^5*cP7X5QhibRV?UIOeBD^{SqOrfy)75pm?UKrsikU! zzmz3mzGy-2dn7Eqb;Nh^YE~v# zNXI{<_a5L3m->Mz&3Sq9hUMU1$ss{6^W%<8*pw2&3m=k0v=C%R;0ffGzfck5*ckSc zi*e5#${*>sOMz>vBmDaACUui8N-4wFV+?ea*4&Mp9nUj`6RF%l zPAjyTMj3GZnwl8C-VqPyGdOim7GwPRi!Ch~8aUS4Rl=2%nzm?f%|V@kq- z!zMMU-*z0U%@4+oi7Szd%vJI!muKkJta+gnW;7gq9)`bG>;o3FS$gM z)xwo6Xt_7ZHzW2IEpldwfCPdFcdO@;^yM6+GDy0PQ}e2k;r!JrLgg!o0GoHkQHUII zkFWooYmT-jc1BCsnKCS#a;Ajq_0q}lOq}fl>Enbbp5L~bKTM`84aYVQM6|S3Y^9dT z?|w-K?cr<`zo|(QHb%m17&dFdKa#gBR{8N+OiWPS{@hh!9C>B(2JK2dmO5ReKE!7X0vmgw*C0)Wp^-*X0x{1=t%fgpCEYTlSeuX`fyrF~*op_b8 zeLjA|d{u(GT*o>xx)(qIttGVV9efO@3}2SgqDAv zEPYc>Ywk)dT4^$tu)kuk;1n#i;6FAJ;TnAPX65Uji3v{Sb2#_5x9{4pV4k+B$h1a? zAQhXdBLs*!N#~=c&a2`iRG}LuzH$1sG}{>|}G&ZoqeK8z@#Yqax>BRO+ z(U=d_qIQ=RS7B#{)Wdp_l(uiiycV!hpwh+?H9-VST*>4&3LKD-yTwX>5i`%Z(b4VJ z$fBXs`WWoOEDxHL%;_6EMLm z@0k8LQfVpx$8vC{%+q0gmFb7S-j>>=lQ{Xnw~@+_17Tu7BVb}zU|W6e9f8EumO`(} zZ$j6$es5hz$=Q<#F^vU?!~~BCTVbL z-pAw^$?KVF9fQXd-Dtxht5+3BSaCo8(llq5Qa_#E)Agrjk@~8983aj@*2?ADU4P|Z z*6uuv1i+gMaJ;V5lef`N<@a@$q!wEUGl$^(if^%I1rS;hMUL!Ur=5b;2CMA1Z@5bk zq4Y#v{kSu}h{KBqM71B4nqB)%xTeccnQCu53%aPl_OJCXaee+`kyY!L+zSzngeO?o zB5O&ypSo-4NlT!`)>pT%AmhU}Ouuyk71}DyGTWIBa`fpZ&FHD%GrBH_fyP7R%74MK zqrS2pX%l%T1)0X@ioG^@sJ|~{KEQF^-=9VtUiV^zpU5HC<4QmYci8t{HUK(#H z&dbeoZl?=(L_3o;gg{6mhp$tFZX?&7j^5JYdH2N~>96BjG5_8Ij^1mgWOT73t7ik6S$UW4lgx`C*QAHly%9$ zcScuzSFfXt2a~X9sahQ@#Nb0lyK%_wWD_n3VL|WBQ!TAWaT3R5&dH^Sa*eDg)(!a@ zOr)T~ZcYO_lnODV^3P>n3@}@c$Vu97vA#%BhUUQ`EPXhSTwp-WYLgoG)x(5?JB_VG zl}u-E>_Xu3a>kX!t_PQSv3TnNppm`ldy{O1uUQvDvj9YrO<0UIzC&hZHR@i8l;6D; z_8puJ;C3S>@;!S^2G7t`sXUz|J3*sy^tZPfrW-sMOYsmPrIrjEVIVrx5{ojXl!+g) z9Q`1osCTA0Q^0CG$7KSq7NY*ipTK%w9NX)fjv6#Pw=bf(;MHPzdx(!SWVbq=vTJj- z&SqBtQjA^VmbS1dn(b>1%CE-R<4%jYj#+7P;gAc0o3@|FzrrPyhz+!3s~vkXEh^*z z<>~|^hnMIp{FhR-hkPL4zYyoJ9D41o3UX+(!9KKXb0SK_@KPA~Bm$NzU!yqhkEP$B z5zWX^)7FCK0YT{L1kE>pw@@bpTsz#Z@gH5iCf;S@@6%nBPFX=Vq&?u_9nPTnosbq+ zRz@B9_&7X=ExE&DmnIP8`#t1}uriv~rGqZ8&c5wThksj65Z_IiE^KyiXw_}l>97OM zMn+dAmK|4-eb(9f*+X4P7ckyGD93*1X6fCd6$>KaPZDy;Au$iPZ$s4)atq@dCd*a8 ztU8RSKpX|zxULZ5wx&pG>3AMTcSjq(3McHaz>Cha6{ge6Qxp_LzBnQ$`hQXEN;F!- zuTk;mA6u(gPwiA1iL@&(|jtpYtBJF?ebuX8EcBC%0ek z`{4Cy>=B`1KYIvM^66HX1AWAb#jEjf50updtj^Cw6brF!!t}%Hx;`6LlV?h$y!;hI zvG1J#99!}iy|n_g4(*6m5v@Ood5FEy=&sp2;UK8%GvE2cw27eFiZ^pGO|B|( zN+$NVo)lsh^q({d%;;^C9tH=FHDPNp1H>J{|GD=3!8fa};m|w6Ang7DTSMHd!Ks`0 z@%PW^G!Jh7rN-XUR5wHgf&?`W{Zk$L9pr`jg`xW*$6YPYZ#}G+&*}IZx4A}oy(>ER z22Nlp9EOvOcT`8WEL({H8UkSx&5h3J65+I@g;0P&uwwTgk`hZV{?zF|R!DHSny;Lua}~$T=$)p0Yi?M@mK|I>ki+r`C7r-u%PhyG zaq4bUPbi^aNjx%LNpyiN@@h1XILxm}=L5tG=PQunmzd-#)ejpBw&ZcFbkg|*=qe0> zCd=grrPSozcr}*S z1-)Jqufxp@jaUgl#^Ub^FA*`ANS{@^5i$h+xug1x?s|Va3(0ar`k8q-rWIQhjv4d1 z-Em9C`0-!gj;^q<@UDABGHN2A@4wx9A)~Xqa_yij-PSrC-5vS{zSe%(;AB>Q;$=J^ zmDa`fM%>2FP2TkOq-&!{JNOrd`+maqk&g z!jIm=hPrpb+E+rS0{W(p&94`{bi5Iy56J&N;v%QJkj!7;%z_rg=2b8Bdfj-jqj>)Y z?SKXgd%n&#M?6UJot`(s&WM>8lBT~^Oo-8s4ZD^1Kv$8O9${qm^b15#QV)913~&;46K(W@fX zcVw-?{SA31ecT2}EKE}8io(l0T5JlYj{6S&yjKM3BMt|A#BaC4amZ_8tdM=oSA$PT z__ux8?uba%+Qf$w#4Sdf=|eK$Q#DgTEia3zc1*an9tGn_P7XF(+t_o)@Y7fX!?VN} zG{&;{wTGn?Jdk_uyIr-uRA2rQroQVZf<`_BlHRh;MQsa37KSO^b@OI|OM>%Q!N9`des|kct)tVBTXw1Nfo+3ArjJk8|z@)6N z+fn)8J?rB8jU)`esr9H`f8H*-aSOlt+7MG0a1QBg45x9r1R%#np@^IdwE=Y$?1vS( z$m)`WtMDgQbMkZHx+5uB%Z}r{QIP?Sqm_@~TyF7&Z;KX|{=KKK(52I)>u0 zXFv>!C$vL-+LdGtm1Jgz(^Py|--9Y&noStPQ9Q#5#gr0LNivtBrkXO?WmJBvMINF4 z?#tmTyAcqdKWT`df?dh$mbiL8Fe+)Mo&@stmq_B?J`bv z@ek_`mp!7k(Tn1T@di!9XqaUPPZLAm=3$b^=>Pl}VvC3i4lz|eg_p?wKqP_L7r!0X1r?6uSLDLJUbnlhTjB1uS(I`?SE% z(B`Hn%rkKO7b^^8(-RM_Ij}L1Nb2?rrJ_@=s&H69iO;bwFpL}8_P;nKYi1>ej5gq1pY_lk95Y7h+;2BP z!v^^8+z3MCrYNN1CVWV%HYwAM`KF_af|L=G{!{2Jy6(##0braS`$Pj|yV+Ioez0L* zh0p1{cXLM#?Q)Rz1sv2az#KQwbDZKnA@ zwleuXw7w`0YX#`IP>bh8SCgGnc#Mm9xc=B)27t5L(@L57sT%bTQ)!W^dV)S_;}QPxYI6%D!xuUD=ddsQDDbWqX4U{r+)#M|L~!_Uf10iEl?4 z_gmlcwg#7J6Os`FF~4jiAC-jh4Qc#Mjb!E6VeWV(-tXW4q6$tKk8X05SqmUtISzD! z{~{fpx?nn~HKkUM#~Sl zDCSyg&iOv?^LwUzH?>MX&Zx-ivSd~#hz#qBXPb$}HHnuNPk)&h^Hk<*h(~9-wjrKZ zg>L6gOeRirpbRHHN1m@78~2pXPkiUy&F<|TygKE*&V;0Vg1J%@V9&_fRZ!D~R`lf^ z)WX-lon11`VkPwzZqa-pV(O~?#u_=*RlYfQYq@rqEJARlGeq;DVP*hE(0&$0&J%Lg zrFi5&()mVcWIG?Gd8EnMYOkA_J&DF%YAZP9L9`owb@@^Hm$*Nm%X8}}orE;f*gi7F zo?(?NOn#b1I?jQ`R;TYg)IF*r%E19f-}@=!Wdk|Um%W&XKortS#H%?p_zueSsqq*K zjt@YIzg~&{P=e`8BBSwxwASNo-UZBVVXwWDVCe@=9&&D<#d^* z+&0T;IZ^!f8r7WkV84?@m(PDT16p;kHJ-C_Y7Y}e@2QgttSuS@unNYMx0U`7e{4;!IC4ct6i!{4ew;iTDA{K#s1uJL_Njk5RW=hjbyC8(V$vy z&8kZQJR0aQe)}DhEQ@LWkz+b4gOW>J7Pa;+qF{+7=W(^oVjO_sctB=$xZ{117VYt1 z`%84ivE%OOT%fD=hk{Aj!;4y^;XDXA^vXg)5ClVXuw2Jt5n|U)mx%#{Vd4a!MD?AU zX7>M=dP5=ENTK}ush^)^+6klV1bSy9iI2E9pCzqK(JqhV<@b!}DDnU6=3!QG=F=#eKm`=Zwl3-dER}^*~`Gi?3p1>qGeas@z`= zMQ7VYSNSw6MVzvyHeo4EEbcnL%^`1G_FICDTeYG^qj}djTH%A1cMXRtcEqKKw!&TO9tY28QA+uDsd@VjiXf#Z#b=#yTpL)qP z#}n8Hk0T|6_R_n@=&_H4@NjZ%y|4=X-}WZ=bwze(ak%ark}R}EpJp=72%T69Riw#& zE=OWf-ga~z)QX8fVV)Oq4*Y@7kv9Eb2?`{y(nUYKc--tK5$@G*Cq=GsCOM5#o|5}4 zbCQDh@oLss2g4N*DgD9|4mmV4J#X4EQe-~van8Lxkh{p!+e@EFP8HT>v%UfhYoMuG z{l?izXcaY7rQ~o;kqTK<>^0!;dRU^VvOT3%ow!XBz*So{2xNxP1_~D5d0Wo5A*M1l z&2s%@RBPfkzERJ;7JP?6Es`!Xd53cFSwSNyr&cJG*x_#@4WiYy5cga*8tB!l<8W2y zUN$+mKp3~{JD>+I4ASbYQ9Db%8NBr8r`jm3J`#=CYFs_OjKb9@DaiXqM{%T2B90+z z{Kp3$Q1eDjrLu0%FU#INOHY}PKqlQAb=uf{0etj~+UaO|&SJ)Cj*hywaZ+&G`r}-_ zN)XU^NzYEO9;PBC3@;|&dhLF@8$~R>^}<}O*Z=8`QEU5v;2GA{bzuwL`jd?vpx%Z) z9rlKLdCbyo@l6+nBh6TAd{Vv2SOoVG(u*VjK=#fd5N+*Gvgwtnypq*$y5jaP<*?AH z_jfFym8p!s6l6JKhq;-RqKnD?S)M0djefa2v-RR(%;s&b_gTj?gd1*p_oT+IM!?@< z_Om$6erxH7YAc?~_3h<9>Rn}=>NGhZXsfD^nJ5H}t9kescX*icj6_SG*& zmq}ex+7|8mFL1KPtv>EbuHzM)RF5Ybg>NDq6JouN+(kYmn0X$qRo z=ds07@U_8F={O= zE$m7c`4?EjQ*IL#)^#G!^GFmvOvrEQ{_xfa{2{Al@TNl^klamd*f1Z7mxvzW&=-ek~C&~2MwjKocvN# z)OR)G{qAg|Fh(U>!@L7fs)(x;w;^B1T0-W6ZC;y)Y3B)31BGdlw1%tAAM}sxT>Cew zFmmzW6T~y*vi2DJ17}h?qClq#pra>{CP{@!E1H*h9SR%Kj>f89!VtALxu#VhW(dVm!Ne zaw+;cITb4(-;BR5q&7L}>xax1Z7DD6A{y88Dpq)2H;O!%^;~Cu`mFn_H62e8NQQ zVrMj3>4RFJoNd5hp9AJj*&%t-fZ(67|9RA4Gal0ia076XShVdOTwQ^GsXOPB#?#Bl z^sQvKb$#=4fUVxlQHrbh_t9L?)lWljGv&L0a96$aP@;}w_Q|V8L)tq1x|x9o?RN8N z#~q#e`^9u>8%VO3_M@&DbN$sIE3J_#9E38;dg9EQbHt7^Z(pbdE39luN{``H$X6ki z(do6kBwW>7zBJL`06la0=`AlD13SjDge7>rlsk-vNFL)Tv_M%x*?HydKE7w_8*1G2leD?cFHw| zhmF?{rQO7hHQvm=Zt=(zG~~I3YZ0;2Avn;n|Vwjz(VUDMB zlAR>WTd@9IhU0Dfw|-KGXF>gB8z(9}ne`_jzxw-{td zJJG7I{Zb@uh@NOiYD=b08_=GWGCJJXV2wvJpxp8LH=fd?cha|*lnV{f^;-8(?qy2+ zOWTc!Y_%)V{Yv6Y%}YcQ7;>rKJbz7cydaO`O|R^o|Nc5g7@v|lrH{Rf-R9k_bq^*! z+`Z{oNw_B(-u&^uuQIRoF`2iSt3pt8MiwKLj6LG${qFs4OCPTE8sEyQ#sV~7-tv}Z z&(GbFhSLtg&eofxB$`IT0Ely=1_t&{fQz+}I@=jco#Zc~7!ctdr_Sgj^+Fn(GfuK} z&Nr^K_EEzGz14`&22wA3OLWKa71b*2$tE5M_p#{Gp_aF6jpf?kcdXFe$$n1MI^SrB~#0h2`d^l29O3EE! zo0(icO|kPHG=bO$Z6@d5#eLUGALE^cYx+<}C(EE`n#OdE4o=*^Hk*Ipgg*0Op#OLu zgGg+Oz0HvqJ#SZbguL;I=;~?4i^#we3u8g#gDV5-0lyQ<`S;B(I}b~8nD~|wq{=#H zc#bie_x&a*$~pPxB6DPG{JX-L+~YRgY*pu?3(xV)H@$~DvUnXhxAYW&J7WoDSjnWP zJh4B=IRwDHUWeSi!;N>l#v~0EVo^hee;1q{-+dJ9@(lqohy3~Bu7V{wh4$}6EouFK zCu$w*2qXMsqH!bhx>y>3GVt-G%=0g3);S^aFD&fmQ&xae$IUB^t~oYXbu8fiT%nQM z9Ju91-rS{3JeIN#MU*F&zAXJ@psU#JfUj%+y{Gt6LW&6s3&8U)xkw%NJ?Rbf)Sd>u z^&*iqe2*c$4a#SCc%-*A%S|41qTc6dBA1*Y>o1$y4%*X5A57fr`A7>{ z@^6b?tR3-+ZM(G5QS4bV&Fk>{-6yT$*pGplsdr-ssLEpW!MJ!TS>*Gy*Hu7a{U`&2~HDYEIx0`ZrVD#9@yZg3vG|tWl#j4K)eCnzK?5G)2=6U+ zv)7Wkc1~U%qF)YCLv`e@vJbDlSFQYkE;+~b-DjySDd^qTa@n6Kq_c_ZqPPUNn%=3Xnf<#jkcE6AXxhQt%?TMO-`KX4Jx#g`s4UooZsE0s76+R?kMp`EhM%if@}th7(?qkjR2+-|&ZT()7UuEwYC3zA>AV&%M3& zC-UwY`{^wUIuOM%q7a7`|Js?0dPyx8S0klQ#LeoTyH2TxoXK;$f7;3;HThAw?!GSL z$J%6(@Rczt?ipLuZ4=J!E$QTU8k)J$2-yXh#|;AF)cYGB7M;4dA~!*XPb^YM#^fA0 zRtoz*!hQNV?@+|;h#|lI4`UJLtMdWUFgqN&WhEkY*Xk*<_W>@>y{WW_hORjei{C?K zUOj6orm0w)*;^sNtF7toU)S}l`3%W4#te+Dkf|zbIo4KtsNXsA;S5476`}Ts@$a9L z6ZBUjF`um~F{YJt0A1~&^b~%voi>W8R&ad>p9!5_R9-Y%?Z%!7 zYb?7QtX;y0m)AJUHV|piTaL~9VYqcR!HF(cV9vM*6|I1jVOTnx6Z{8h@YWDT3A86s5~0*Q4#Tu!*AB@OrRI z5R1FAG1jnZL7N$KqR9XkRJg zr9}ALySPr$wk=cey;c@RFkCjEPIWWQ$6dgcl|0m5sA87|zDKtYM{Fxejxg6cYS{=F zN{GTCB#RO|mWdUa1p3Yh6v&8o6eTj_H>?}m=x*^OaXCcSz0FEGH<*v0(qNvh_ghcJT~|f>(10j`1mBVP1Z~Z zJ+nOfFHP)?h^)S2G}rxFcuVg&xZ3?(!yZ;^0g&$*UYVy#9SD=qWIVQOE1qD;z)2r* ziOPy7GU@icHK1{}f#fv!ItnwrC6wnXFgiT`nkEw5QJmwmmMWuDz{4N+%F(4$|4P$~ zztxV@6^2LUi@51Y9>qgd%J(0WUtAv-%M zWJvuef`!74)D0Liqi|ALA-3nA?usl@o?t#&f6Th+bDh|#jb`Gxx;|CpgUQaX2UjDp+iaTzoN2PGL|yRT*2 zCrko2Lfy;)KMsh~bIl~byH)6XY#?an*?5yGV^+QFIXWOhI40Urq1z$aZ`YM~fZe@D z5OTe;>l|wRA+=ZQ9{$>ZWTQpvjz>dX_#kM@WBt@Rueqo8eN(L=N|i^K=kRTAjX7Fr6s&n0n`cYg~hWL~i`aB})o zZUILdB*rN7WyxtnInfG$B6CbXvvnTI@UfxOX2axqJ>H!3@=4Sgv(ajd4-qbkp9i|T z_h$ERACcA6JgRZ~!uZ{gp}q^321OQme{yXI&&ZZ)cl+zYF&Ujh_ZpllB{8y0fYzLt)|+!L%)dQ8fsmJE-75)wQ3 z!9ZGyVg}V88eRSe>)^(O3K*W|G$@Z2@(U6)9W^Fm)=H*m@0KhjfagXDFKtHiH&t%fiNow$ ze^ccoGgaH6&U-3tv>-L0Kn26D@3q*@2f}m|9$(a2H$9YKXsg9>Ob~JVp?1f?YIcZy zxRZq8t~r|-`|jFNnYoMBr2#a@aNYu$I*C{JE#D%6W{!8Nd<7G4i%w;UsX%%wTO;CE z`z0fqHSN(h-mUqcOn!Ky7@f_f$b52oXDebK2HfJ|d9&NQw%DTSI+5xmoF2n5M+3<_ zh!?(OkJ3;0DIQFCiy1XN?>D%;5J7%>VK`~#3nKOrFHw@DGJomPzm%MGuN~7@ovxVM z$Wg1!S>Vf^)`oEMakVDw(@@qsCwSc52?la{PkgVh$$wL_B(F>ss`%(%o(T(%W;LvH z11KCy?M$F#{dFbQ;JFPD0owuwx1{=UP#*5VmD%8W#{-gw4>YUhy;5nk<{x(fPwceRvO9SyQ{-|#E>X&F zduUDTN&yeNqz#YYlvbV|9({pf=QfGF{-hv@Q5NWFWy*wi^`>CY7cqM`$NPTfj={bN z4?95QV~WQ=1yia!Gs+BWEl_4_=O}2VAxyIKX2qSYz?aoNdU@HvY4fZ3Y(MVWS6A>8 z#%ZRM)&6~bAf?SAyJcIokWv&acTzFKuZ`qm;r zhDSo8Z~!`B(r)kv9UMG|WWvKyHLAP_{}GZ27uG~`;hGsG;<&H*ZJq5eYiJbGeeiP@ zX?d35kl%V6V~Pm;2#@A4c&VhvhN$L_4G*>v#BBfd>^Uh5uIsJ&$1SA)$Aybi20wxS zAD`-R*Vcj1unQa7CvFYY+B1bSoLI)sZ4Y)FCl~$_WF8Z@vW_>lpZsFqh97?(a!oy& zeSJaJ6gjDP_!+kjCf{22$Wnu+xS?7?&A69$MBKhG8y92A{|TcT97?R zQ&`jl_Jvg;bwKrplDyoM^*7HtxA}SYc*GWHrPnkgnNsJ0)8z&8%Ye>(MEkUlVqW%G z%lM&A#i6~saN-!gdbw-bI~*1EfiMOovRRXlNAqO49vt4)-o7bZ4@^8Gn)sGrNIP>4 zQ)phA7=*{+osd7f(%kh{%T)*^7CRh7h=J1#>>2Q66ZY z`WCJ;4^CAJQCcClV)fFSdVc_#wJtTsE_|{)&FDQ4C@+c{vuD3}wRlVoICB&vkPEHy z^?N~~;*nMss3ZJ+`aluH=a%-3&GQ(hao6B%gG_s|w?0>va_|E#U&13<;2XlsWO{y- z_>{^;q2AQ-7Z)9L3fuHT2K40jH&oP|57^qB6{UugA&CTYMKtjqu4{$Lg6y?wlEFNd zLpvEFm{kek<4eXG3p-4Uj?)jNw;jh1#f19PWkVbzKYDM$V4r~qvwXtIVrtG?S0gzn zYQ(_`5P)Im~HA* zWV~t8OrquI;DbBw3zaWtd-t3?>;Htx0BeimWR;*RKl0r~gS)PMi8bXXvFo9dL!Y;7 zB*Ev9v&5WPipP4G9PJVt#~T@ik1ch!Y=Es1sBPvw;qMs9$Z32)A!c*&6eOYbk6RjL zw^pJ1Juo{&V`==gp?;LX_|Hh4W+DTOK$_M3KCyl-dWXg=`N&3MB%j`mPDh8wWlw<- zjSpGdK+6Xo+_;+qqwyJ8w;+KP)dr+uQZK$%AI4Du>P~b=2biUddXRq*7FP3rX&pND z;mr?9mlriV_!are+*&@jDjd#J5!Hq-8A4chQ9Qmlpe+o{RY*Gk-eH&`4;Dc7aL))h z19Mn-`9)gDOI&9Iu7Uemj$2NX6cr_5-$)txaGA$LoGcd@%Hv~oKFeY88Xz-@ZVwfR zn^o5mciwV7j$5Y_! zPk8b*(>}k&BSQ-PTDg``Fny}p{K{%@YAMmf3sElmoL5w9=ryaU(ihc|gYo5H!HcoKiDMq3RD&6_)t zO&G!J+RiEjGH^76I2}Y2f-aP0Gxe5KT0BrV>ep#JGgvK0uXR_2t&!n%MdEX#2MfCZ zz&CyU{c^KB7ZO@gJXVB0TV2@hvHuq&DZ)^%aBeWA<+43S4H#AaRj)A`!xJB}trr`6 z<9~E{ldkQmDE0mg4OhJ0o%S!PFNexKs~n z5((lI)zAV+gRSb#c`z$|(H0G*41~Z471m#{aLWBWPw2=m3*M|1f7;8kb$_Y$-Bl*F zD|ji5Vlb60UL3jQ^&4IuPHk%<3}X3Or&LN}%#jvfsUqdi#XRLou6(tIodMauvu`7p zy@wQTzB~6Cw6+&#guISci}B~KhPhSr3oG&WstE|9gI%3Y0x?@Um4O?}xHhu#v0#aQ zy0=8wef^V^@-J_7;msQ6zZ-HzNz~$6C8+u@2_$cei3utVP|6y)0LCxLhX-#0CvRWw zoDgz&A7{7@JhGgn1Ebbzcv6z=zO}a_Y@hJB=Xm&HoJy!emX?R| zBu*T624Tp5^y~N5ilKinReE6e*Z24W+igrt;WBuw?Fx$Y$=rg!X`@>*+8Hn5uk~t! ze=eBHh3%W#F7NVTO0-wvJexL)(*T4HW1%Mw>0tD-q7)fsM$&EtN7Wgn*lKm!FAESu zCQ@YxHy2OHLpK#p)<)(&zy~Aoc`$_V8C@}kO}l3*W_+$jQ}s#XH@kATKh9kg$$0JJ zDjo6>Y1;i)W}2M0#oYO&3J*DbuLUdp+q{`x9cT=rEM1ut>%@EV4_I{xA!FfLNSEm$ z6yMitgD)MTwyd~PsgiA*vQXhktP!^|8r})1Yo^F!=gGKVx0aGs6XoJq9++8duT$5v zS|Mke$W*ip-}@d69xXE({-Zw^iGgZMK~9kz=x7 zt7xtA&r}9VPE;<}*ibf&1jm+-e!UsKPUJyAQmJ*vKEZM5!E?CG{+O5m`pg)|_f=H7 zE^}=rxa<`*-G^3=Vk*%}#V31^hRc6y4~m7t)9PXeP1-MWrm2$SP}*Ej9z)Rw3QORcg!BP7*Zz z)cJe+yrtR10b4j%)7CgzPrm+Q*GMpHOrThVkBnE|KAnVJ`RaZe zOV?jmK6$HraTrZ-VBJnc&ELbUkvzZMSzqtSbbGNxZ5Y<*257pJP)i##86XO&^sbq8 z;=7^N?@%5#(A#W`c(g(1jZiRiVo{D_ih6aZ_xpiSMNF$6|2B!x?{YM1!a~dy!`P^) z;7-WiKH)F2thJ#2Sb8JRQp^@G`;utH58WT7oJqNi*VgAOVsS~i?~8FRi2wy>CX8{| zz$5g!$41s0hn0V)R)nU843*d8k3`WMFnUhwL~yKp;u9&^1oj^i3ev&HAc2mY;wdwP zt6*)icq*Skwlk{cG+ZlUdoDmyRLP(dgi=ZbO()l$GGEz92QVzAe>aUI8gT$*NZYMC zhU5ZU%|=>bS0KTTFiCxm{M%`_pq>|STaF4VDiQb{V!wI2lLfXp!uYDnx-RV61@2A& z+bdWpRoNP76eA@4yPqmwTyvz>S6!)XDBWk|-d;K`0uj@teIhqMa~Ahc{_fs>oDp4J z)yfwr?iA)Xe02!O-;EKDy{9lP`HwP0`-123Ttg_g{+R*H5sjX~HM_`q4Lz>TM;X=h z&FTaOX{w+xJ1xfk>d}0t{MsJ%-pZ-c)3Q?V)HgnvAIvM_`94f_qx#Mj)j#U7`#`^S zv%+$z#`0K>1+i&)(TXIa)kZF@m)=wnP<+DwmS_#qXDxzuJ7KDj9z2*?7xIc5GM4Y_ zj5S*hT=_HGo4*8;3GT1$wGdiL$Ywx1fq;{x*|XcdPpx?dq3y3h_(60)`nmzwy(vr=Aejk-Ghf>y(Z4`F6R>|M+6qx zirWxrFKBV-s>+`Hi|qxZVTJeC&L^D0N9)IkTmpAX5-R>Q-CkYln>XzR0MjCFtCzUn zsUhZ*ymxaIh-xC+Bfk zmm=DdAI1J(Jwzvh&F~^uDA9N|A`i$ak#rZ-mvC*_{-?=-glJXy zN0z7|-s={hKu%BL2A|DrAo+hRK1DdkoAsYLO~x5mHU5ifC%T^pNXBKxu@@%V?}oJ-SWtXo7U=ynJlZa4)$65`psT^mkq={b$G6?MXDBY0Ewc9A`CAA%iU0*|5+woufl3JNi< zlCr4{`sl0gPkGt?qGlF8w#?CcoyLI+LPJ6KJ&v=jsWr5b#y7pMp&!K}Oc4glAACqI;lo@HT)^Tn~NOp>{c#&54{raPw^a19nayDr|7x? z=Zr6&d-hbB?m!{AZ^wam=F>?u`uQigTT{7$=fqr<$ubHyOLdXY@muFFJY{Vv9gOwR zdX&(zC6Zr)Qbm$WJ{ZVNgIsEmW4Z14z~CtJ(LjC>4_WA4%-2xqv3YL#@oVhwn@Ts! z+Pb^@3pQlJF>j$Gm_&r3B(gCzD4)YK&9>)DaLAh?w5~y~HRk*TC{K_ z_LV^}7nhB7gdtThn|CRks$LUvKdG-Wf~b0cYoN!LBWs7yM|6H|^z09(IRKA2_31C< z7wAR9Iq{Pg_opA7x@wYdA9^CB^d0Js*n-g=jU_`iZ1~B!*QwuK;zKP}Mi)D8VvZQC zH3V;nUG|zRL^Ch>3RtAJqg(Txjwddoy#E-PO+UQJ5}=|ZzrbcpB44pvvmyzNZ)HkE ziYQPxxYEfSjR_Og1!%W@{#Bkx(+@uW;)U;OvR5x|vfq}5H>pY#9RzfA()GC;3UgB1 zP~$JjZL!9x z?(HAU37=i)(^W4Pt6#l(g(-1C_WdOX&R>!r-miUrg^7v#0@0COvS3g50!h69Tv1`YYPio63=_i1BkZqKn$nx1Wj*XSV$dLf zH0gx~1%pswmV*Wdr6UInJxH55%}I}Dxqgde0z29)DlSFMX(#hLquVA0qK2fGhouF^ zolJE*qWIpMLenhs?`VK8oHl@v;}=wI^oFehA*lgFe>GIeu{?dRAt+lxWX=U|X0mNYnTBt8%*zuSf*xeht4kDZ<9o_u z?a*FkK!~bEp8Ihud!nBLC{n$tNFrB8)*R(K@-5dBQ>gz__QyUW3{tr=(9iQcmts04 zrpFBJV&D%;CQtcyL+S-sWSPbIa%C?bR^zhx@z-TjX~N2Nt*~29r7!f(@|>pevqC>I zP@eo!nSSDFUhfU?h1V*EIutiE;OG;-(}1$Edmy{Hu|+K7l09NW2l^8BrkF zG}&=P!y|(r0tFdDXLn zUdyCJh!pNm(1-gI9wku=9wWF@Tn^_l#+->}87SjGl|KZpvP9qq3jPg_59!0{ptyec z)opM(C~LOWmbk^^+;Grp-y-}j16w3rL&&Gde;eT`Fne^INWnScfADYT{~J?f`Oo8$ z{yXEiR*dO=rPgZkIX(<~8q>5yIQC`oky<*D7ne(F*}Le^T{KBw%e$pJH=PDdO5i6O zwLO1(CfO)OAksVl0Ei>d-VQT~ddIY!WGYN$gXrE&8CH3h`3gBWM+g3rxIH&^{?{G4 zCNB6Pufty%05oU8zWBlaTgA2B+l;l))o!yR|C@<4G{-J;qvL`3uECqLm6jFrI67pV z^0k2LUrV~g{H;KI^>QTRx&>^A!Y7BnWw&D{9g3mwt#od8n!gUKLmx)MBqESVFeRmY z%4*4M(P=Yopvpupv;S)GHwr&L37T^f1;ao@q`&YkrcVY9r|UibR5NAmgDzX7^2#16 zAAF#aSdNG6LlQUSU>p2_UB?v~6%^ineT5J60<8hqO_vV)U*x7`BqUS=bR&ZHJ!3yT z9iuDthIqK#+tU^|_N>AX{)7?#$@)^J4P}VKddfgiuMmmQ^#1+Dy*cMH+Hfq!9p2Q7 zz|2iswJ4#bJsC9mqLkXP*r|RPL}YyN{nHLdf)q1j-0>o$DO*ST5^=zM5H>OCpgr^g zTuo{rV#H^9G6(sRQ&DcX-}P8!yd+5p3j*AdOcvcw6>|N|q&mQcebY~w-0=2dj5ePS zOg4f7nB%n5Ma}!p$oajg0gGoQ((?>wKh8Y^j{5C1bGC)jk+@*dy~A9LEdio}32_h`(k@1DO}E;@ryC=r<2>hzi)N4%tWR;SZF>1_h|q z9Po&ST||B^3>Ql%02eEJ4!vs0!SD!(!ml*e?Hvk7euPXnYm5)gI7Hxk5+}7%7}^Xg zs2ydb{msqlXD0$S#u(X0QW>upUkKz0 z3UD5Uv>7eV*z<3Gj4m+tHChJYHXmQ(WR}E-#N2agaG28`Lqv?rnN0T6=)O14#P6K% zs#PiR8&TC?(y#L`v@JOrtXFg4`xgnYt*qK zkbupaLU^%Aex}2oncLfr zmGXq|dCHxqeYup?x^}fc=!TIZds{xDR+PevXzjY5FB@N!#v38urnI@ND328`;y2Qa z`$}NCpf3bge6JvRf#i17)&Pk*n zwXnIj%+hH{o$#7jlK|R+FcG+w~ntDVQy ztxup>*g6u^&SEDEU)BFUEdubIBjRuG-^uGTOpe4K4RNTw{mDh=uX|*tMD_;a<&A7U zECtiZ=3hVQ$~xT^i&fv`G`c3z`r^{HbuQqYd;lBzF!`7!W`j<(wWneiL{Lcu>a9$O z7@Li+*o}_RsZGOY5P(`GNe15n_q!x1_n7(^C=+_G!hf-bsYf=;HeU6+CIfgY1`_WgN-c~U3d5(I# zDHnE!dMQk+*sIdC*U4|G)MaEl*fu*sv&W?{S0vHjhr(FJ6JJvM|exS)x$ja;PVPX z(3djMiFJtO*e55o>9#_5CRCWLWVj-zdzEAD-NRkhb#)-D(Zm}=u-gVd!J5d?Qn1Oa zbpYg7mO4=^V^GyBm=7+8&WoIUFzk6b?)KVti3S(`Zr`ILuprPKLSyxdh6uQKnbdXqenXpBWA0GW%;yG<8c4?$y9xjNN-PN(@yYeB0r_6S zWZAHPdA}L=yfip&7Of{sjQ8T6JK6g|f0oK0)_-u>)(xE{WCxtTcR?Be%Fc*L?xq*B ztXr=GHU`N_1h5s)Vwd=x`rcoU&yXImB1+UL4Dh^(=zfWO<>*Wt-%p+W-B{hO``_r6s-z{Z@W-Mp(< zj?5ja9%aukF0U)^n+Bm?8*|F7e#rr0lvJh14twmLO4Dfa>10LYWP6+j=%7jOeAYIP z6V8aUjdUt1S=TFwXzqbH$947Xt$qXEx@1{c3E|1Q`Y&Rkz(!WCYzI9~!)(WRE4Ai% z4VpW(U#%=S-sSAGe4SN_$j1xyet$FO@8@RfSa5`F{b1A)^!eV~%w0TjO*-e>m;z3| z`v7(^b$HD`m^pcC!;CGz-6#a`RIXnC)!gGF+uReYUZ0o)^M-F)vz|ARvV++GJ>1jx z%QP-!B3a8Gc^iV-GcCs??B$7cT0JP@QCc|6JMSm_miQd_eFoTA?vGp6H>Vw#IS~A& zD-7!bXXi}^x|;?gOx=@x%^!Vs=`9sgu=PaF+7;9rj5F-^R6+jKFy&5eN8pgES7+&x zRuZAQ0tL3KYJKZPzP4%)_MPjX(PpOgOtLlEVf`qZlJ_x(+ReE+EZePcX=wSw=#Hsz za@O7Cu4*d_Mz_Y_4tKiQU)h_Eq=g^Xsc}8PtpyB6qm(W1`;_Lk&;;-3jZ>WTy54bA zlLHTLJpxRVVvK}0sy`OCCk$DleA2&IzGd}Yx$+YR&9bF;cP<((IrE~rcw|)1GTG<$ zP=4B-`fjr#Qc8A=M+~Q7_p+Jy(z!$R@iM5zuNNoEccc#D^80?1Mh)G^z>%=7$9YU8 zCbA*kd(kzUya4J{L`*!8KY`_;q)$b}U%xWX)qK|n3H8gfW(K2VGp;>$SaQn3-!zEN zP*JPhCR9{-%l7jg^&IR8MCrQ}SakN(FF*$z3S`DN>Y*9No6-oHEjFaB(ie^%_h2Sa zV+u6bKf&_j;uKGnv_^7>k#hML`;YWl=iCQF&6@Ij?iREmn{SO5!JeUwf{2dUJ-b2XZ_6C!JLv;33W zwj^=uOqA5n@z)5y!6{=USk^Wk$pA+7DKw+key+PfS}V`=+*ae|mK3t-#MH zA;WG;S=$^Ay`zF7;ig@cD|>U`=`WbFk3>`U@8N}#&z=)Q0#XJMds9b9A--NG z(=uW#8C{PqZ_2N~#|Wp(pX^?~R;_N`C;_I-dcWi)RxBa^@vCP!y9(pVMRT6`-tVcoEh>PrKGTTl0hj?PYc-Hvp4s@=y9DD(@i?2_3C zsQcNQZxLH|^7T*XQ(JqgX)lk1?J}l|3S`c;MEvOtQ7w7;bycp6 z?;onyGgJPq2|R~)O5;0+?qe@)OvjR58Md-2efChTN$ALc*Rv7Nm93D#|5lkxeA(B9 zSFxzuW#aI-P3BjA9B4uEdLMGYR{@%JQ(D*q#BW2ChuVT`}Blg*{& z1Iw`R>|o#l0Ytq;+G)*2hx+TJfryo6i2f831ea-$m$%BwK)0v)V@Nb~as!59ZF4C^ zF^H*i)yk+Qbsy?`;{#`;#-1(fn5T;9%5JfikJ=rPT&NTq&=QS5C2!HxvuY)pzA{aO z)ao;}|FL=Z`2>=YJxxL=BlDZNgUZ;*UYtuE&$}ZD0qj7(lytLjJNm=W66&q|TKr3M z(>dUBbVRdZ$LhvM5&n1nZYW6kXs3VD`IQ&$CaxTqKZ*u0tFL5*Z_KTZ%mSNGx%Ga2 z%PmW*n#FGh&xfE#a?UcS%s0Cs)>JXo21gY*M!%T&nF(=Oitmg8bJy$X$&xwx`f#c+Xuc|JTv`c>z^(-H9Y9H!Lboepselvv0gUoC^4B~YHZI9~ z3x_~wCx#caUVPqHZz!gUTg?VWUM<=tfBd>dDuxgc+Groj9LLs%4T9FBjzfmAiG2GV zKCxv}tT^U6jb;ZfpuD5(Kk-H-gOFh2xI#~a{#nq*Tj*8ur$A|4wd*?J{`w}%uvl5n z5M9_#JM-OkO~z^KG?Vp1j6ZLsWxF9LYg^`8=h%gPkVc`v!l<+uiX)|A@D6i|)?)Kp zJBfwnVT{T2IxFU@48hRD@<@0#X*i>Lz#(yW1bS_=-iPcewd}Hym3}{FkjRd_gT!4@ zoh=bg(i&Y6|9qo}pF~f245MT^`1k#dDoFX@)kVHnCCCF*O%}ey;#C&6jpj0et5Qb3^%-^7KqMw zhWs>%(C7f+b@JQ2_2)r%rr|LAs>$=#qK7;-!}5yC^`NwDkUZ1_LHG8U}V=cttK~RW30fCci9t5O<-oTAp_5%*^!->Dui+^p;&jT>`Cy&FS@#A>aBaFMQ` zdZaU}M-2CE9sxoZb#>A0C|Qb3WTFWz$mB~)@*db^WJ=pui%+l)*5YE_PnjDY&kd$8 zO!_@{lHoRqh2kfNtZ@BsTX&6Y%7@R7s@=3m&kZKR}O6sMF z9}hFpMXJ4Vd^%Qt4Cbf5?&wU`{VlYiI;Pe-hwj8k`R8p~{b1PRddcB|AXWCb#gnK(WiWRtZs*W6Ra97CM<6zw9Qo=~$#RrJ)HM^SX@xfB)e7++HsDMYRO64|A``9(sFqCX&#vCUP<@5@dYTYS!NCcvd!Q8BGjK2<3d1^j-C)%S(zr#QEm1E)HaWGt5A?)yVlQaP+Ll^3zP0fn=L=v;K=KbiB8anU z**Xrvb(TB`SDS@^L7{$)X2Un!rr~dz1bXcM&}aM3+t^2S|8wdcTL{@pyCb*{tL7!) z0RhOh7}QqA2!R)`7ND2(PTd~-_cclK%1EyFZ93Z>htNxa!;jgI05@V3yOE+pbx8Fr+ zfx`Ua`Ws+Ji>`j1Z&0%w<2>xV=u0W6ou?Ngx?Z2_CBunFwq(kbuX>KGiXt!h`}Ek4 zl>$cay`Znj)OK{fT^oe`c+f_iQjCU62!msp0W5Tj!b_lJz(lPhUevgUGfma)c$(4x z`4rMfM?Tx-^`nk9M^q$u$~}o?hZdj;56|Z)6UeYIOCs^cy3fJJj!Jo(Wx+!S9Fh6j7@6Nb3*JBd>~Sk zeFiO5pfxM~Q!qF~kSeI^6ALpVS#k}>*we%J=)spxE3V(;m8r5Cx(zqmzfU3Vrie0H zNK7N`FW|saE+e@X1&}B#+{*1Ynj@O7xk+rZrS})*OkUp;_q+J`Qti|CJ#D$ULi5@7 zjO_Sk004pkv0-1_G%y<7q5;yYWcL@I~I+^^JZxN#ZsWu8WdNae(n& z(>)WQqGj;3VAfK;S_w(?WjDnBFfZyksf`?5`|}9eO<+*I0;j+06|S{e1o94y7y-Jz z4D*)Wv5GM`wyW`=YlbVKlHnXk2W+xr7;*+>FWPj5vypTA!*%Npj@EO;(Vbm;*#>A^ zjnXPyHtR^GCYvo=4zCn+3?U8qC*)NGq!30?+&_NnG@h)stUe<)(5Xvv=>;znoHWRV zH17s^N+XdKV*9^N>b;J>1q^2Xqtjx~+1W+qJo9UW(%cN+snG_u!%%+R1nEKR26LJT_+C1smG!v~NA2KfR)#^K9r@tK8T8*6Wt&aQErS)VML! zO`cD~4zp+5vW@*cF2DR!Exs~v7CF6`r1X9Fy*}1hp9)3+jPeZ54eVF`eZi%CxDe8F zdcW+WrFt@9GXM!G{0-QkV{T%sCAR=9UhxeP_%8yvzHkSsfalB^D}!d zJ+I4rb8rq(f^h^RgW(9|9q6+l9;ym$t7M$cw9YH!&JPusp@?oEa_WePyU~~wf1VvW zAXsX7JtBjK?|gwb)p?>>vt7y?=){lu%3%$RA$HVWgs$~h0>NC42 zTLc*F-0jU*FFVYXMqsv{)|)-#fc$3e?kWtwp5#t;PhcZXSY~mk-Y*cRsS8$sDt|ZN zT*^yMH=+~mc(o(kDTG=RyXGoPnGmAuSo0E9!VJ8reI%%)+*WnSERC-AvDzx?!BB2^ z(q(zpUWz0!D0ynulR^yy6sFI%oCHiZRo8IJ#b~PFYtlatB&v)$PuuW z(Z(b{B_SY)L6~W%hA9gg1ZN<|-YVHtPp}RK*bDmb8jtb83w77=NxD2;O@W=ern2`4 z^0@$$&iK=pf_h6aIFs=+B<8tmp})iZGoEq6%T%gzai#auyOO&wiq>SaGkW6u4Y=1c z8|OJgU3R{vfW|5$$Y1n`+&QAZh|=azk{u8h-@FS)k)^^#8wGxwveX_!Pn#;RrW3or)Qf0gnZ7*^-#h17HLf7d znmk1zn-=Crz+Ka7i=Q?bbPw;3Q#7ykdhtQB3|6Vhc%r1F=G0XQmGBp zzqpqC=lplv=|7Mqox_<){C3r<{gwmPTW{|@;S62AQ18RQA$rXK=1;bAxOqG>Y5ctl z2NWU_WPLkUyGLTxapSvfRx5vc=J^Tpl|xSILK!+n)1Me8ySMm#AAsSY6n$?YMznCh z|LrrWc9+Bh@cWD3g28=bx40>y&Y>dpo5&Zj)h^PnwLcuVM9Q6vdQ~>lzE>o&L{jF- z->POqEm;-sHS`?#kl_sQF`S9Z6r(qBkm`x(>?BHwxn#OfB$e90H9h&eKYA%44s=~4aMxzk|4T&)#QziB!?)G!Xm?yN z0h95zR(3Q-Aa}cg@jJ!9;nCPI-iC1md^S-yVaG6O6B=723$qy>_Kj-{5k8vu)=@S~ z@ugSkAx|m0q$&rGdvzk0&D#jIv2vB1e{hM!KqdJNaT0of^pLCLQ-`~>g0-+p=MBZq zlIYw8B^mtYMl$ZHB81#)%{*zQUO;Qlo`5iy@2!Ag|DW%#vi&5%opr@|Fnt#GNwYQX=sXJ>>HA&uG05G z?#Yx}|3#ap8*BBDqYAxNv$s;~}lit{Jv%@@tE&_r`1wuXgwPdAwj8XQ#1W4JIvKddApFrp%!5 z-kGCjiyc~*cA-BoUB7O2QawUNgrzDlhv>^4^jq<3j4Wj7&%}sk&!GTzZPf|>z5l+0 zTGzj3{?9;{^Ur&dJvYhDkhL*#hJjum%KP{u(4li_e9P1YKMkaWNb&m676*S10nB&wc4`lLh^z=jwSG4{zDF>h|u4v zp8mUN^5@yMN?L;~SYNHR>SZ__ky?Ib|i|d+|X_Z`p1?1k4L@QHP`xfQVur`dUN!`Yt3mFe!dZmOrJe$ zfyRalf!Iux5f5ZfR}Vig$2t&qC~YVvO3Fr`BithuSv<4a0U)2d^?i5BcKWe)LlWjU z{^4G;YssT%Qp$v*AGnh1f{>z}pA+9?{qaM%%c_C~oJ2ezj@nNB!p~f6?#(Y2lnSjK zjaucMb1mNG`S~~6a3{=-k868>dSKaC9-qf0A(UN5$tZ1>YV*$4~@B0SAM@`{`-)ZYsu+q`cJg~dhBA+tp7Lrkf&Ygb#VoH-&y|LDd z1g@X?4A?D(brZOaRlfkKq;@xFkFL**Zr!aE0HSt$KQi2h4;FTvXTKa?#}p8+QqBQd zVNis9gI!9T@JMnMk<2~tLeR>iSEwtoDc!8^TO>A&%F=#aKCEm8cBF>8jYK+yKc+$_ z(^dr^MsNu|n>+eH_>*3qQr&ja97{BO#%NhG9k!)4rt}@BYoZUHbddVmi9USC`Taio zc5s%`ccuwQ$`cmY-l-&u$!D*MXe!#N#hiiVA5`#I9W!`1nsh{)8Ie}Og@v-nr4Q|n z$shS&M1>xspRvzK%?v-j+Slg`BS&jZhbO0z17){cM%D*B8tW4yh#fGqOUkTs@vwo3 zzj6vDgNMIRa4Y5P@AC0~h#M10&m3fP``es9O@TZAoXnh3%(9;RcJOIuIxa5dTJz_= z++fCZRP)a9Bk>wW!2;pSc-|HHd#Ka#`3|t`0Z0SJ+FWVm!qTg^=W&zch0-q8N@qm1 z^!+rcuO0y4d?mgeRQsv}v08c+Kr#{B8Hp~r&rO)OmJ(R$e(JjMP?t;@?x%azR`*@L z1xxX9Px+61$oLvdXL_e?Zm(Zf)H=swUJTx^Ua_FEH%4g`y7HB1F{vIrGfwW2FTABM zRxf{kpmxL+fUH*0c-qDx6+FSDU&jMsK8qFQkTT>2`^FzdHQY)+HeSF7IRZzk-?}`P^-)56IB$cxxV^X0e{-9n&c@ zzDJ+IG?Ag<;hMj`2n=YK|M*g04`xni9m*2=`WF%PD2>7THd1x*fecL(!GR>A29$Nw z41+{dxve0N*U1WVo?mjx{m+nQRp{fJI$3#+r^$6u5p=!Ir_7s6qY>L7k3h5d;9_}Z zP0pl;M{*q~nX<|LLU>ni++W}|6>@%V%iq*!U;n$#Pd&F0u%dgBr65MvUtTQ<=v zR6!sRhrCWfAtB@rwoNuzL9ld=?ET5{E_P_=1+okJxcV%LkSX_n-X{6Kz5o(&id^x$ z|FNST44lIFy}K(kJFW8GKE9WAvw`YY=!;J3q55L2Yr!yWB~``Qzgd>@Y1M2vW8N@d z@e4T3(=d%Wl-?1`;JcnO2%vUe-IRe6kbRuTwH;n~^7kj?)2^M+#<$+FQy!c39;_L* z64%YJ3+vBC@g*m-?XU4u+ zZ#9E_hD3g^uUr2C-(myh2CWWpcC}1-0wgXAtPyG0`d;I%yXB_ZIAE!ylaE{HcRgO3 zmR-}g0Tw0N{-N3B3=%HtN0o>-^``4ANgN0YaJeOxh(}oGO!7cfrs3huR8e6 zEga71Cj;h_&_C|{t~T=Y@pO`d%%0l_?D<|SL<)!rUr{ezI=u($4{iMhGqwoV=IEU> z^X{F}i#S2&H2$mH53d6Bmkr5B6+D>Q{p!1wT=2RH&uf@`JqT!H2W$sBc~!Wpsy=W> z8T+>`t2YFR;_Q5wee?Zp&J*A6=0oRYwT0TZ^9b$O!&!wNVC8NUe#gsR-CyE3CuG1L zX&T%UtEHg9>HT+i^=+#uAMN#OSBVR8?cZk^i2L0wYI)3}3#)7fTG}i{Iy`4qiBsD+ zo+~LBB>R~C`LNa6$h6ZkEul`k5bG3FO&3LGbgldT7*b=Wfx3C-P(doH0j;G>*wd zYNfnO(78-tnhu)+tUqS!qRrcHvLmq)b%`5TOmDg2^v=kM*3$!MW#TnIB1^R}Tu!d{ zb{Q0TgetxVQBjEztqua};CKnxL;$!Od9UHt9)`6=@8+uf&idW7m_K~Ur7s;jJI81q zr6#a$Tcc=kR0&Kz60k>~JFqO;-m-1Jb5&7HVv@>KwE*$wvV-$Rqb$CQi)!1=o;WS)=U06(7u&HD$9dya0AQN&EvNf>(UKCi)&1X%Ueb)O!g_&dmtYA8Yw4LK7 zw$eixCj-bgXm*t?I@m)kviF>kl^0swFz&GAj^K8pvH5bF5t}68L!AuThNdPrWlCC9}&oHSV0$0$JMKg6hXtXe6ul&4{hcS z(;M(`;isNGfh&#yPp}KIon!+Bf@2Osj|TC(YUz=~(;*<%rFA6-$iHR?`~%s09{7Lx zQiRC1Xlh!6>$)(51r;XYr|OA&bCaLy4waafZPqO^qu1_l`_TOAvbro=p%?ps=1fMJ zVdo_pg9kLe9){hP!oAR;q93~cS!{N%>wmz%WFS=#6G=vk#5nlNR3ByPSM)ROWw&ckW}mtOJg^uWXZW!2%mV-u(iyYUgk0rb2swoLIj zIFyO(aG>oRj=KKJ34V z|DEgqb`^bj@|zuhXf7(1l(3O7{41qg+Q{nU7?+^oDlLDz%{ZiRB=BlsF=*r^Pfy85 zM$ge5t$y;Z$OZBFhUQ3cZ;pBk;O)g{L%R!;-e=(KqB)UqJ%8q?jDp>9$7Pbj`v_H^O z$LGVlaz~%8%XdhyxQBRB+sy5Hy8L>ObSix^v&fm+V-^zcry>V&J|)}m7v`9*8!%v2P&e630^P2vqNk zc~kkQ1Hn22m?@9{?-P%*p})2(O(lceZ|wIHldqy4C=RzSZ#Gv=y2ky|huX@PGlXc9 z>~*S%GJpR5!GB;Tf^dQ2IyQdH_tCSz{gk6|ej@nnhSTE0k>+%wKyn*yQSAO}Z-ONt zbBLdR?nnf7hkasdy|!d z%XoYje_YPF8_>)Dy1Z@JCYpz;mP~#48a}i!jm`eI`(}#HV{=%NkW@CDab_`YYJC>G=03xRkhuTp!kgyIlxg4I`qSxF`&D$ z?z`*Pn|Q$>)V z7^H;HI2^;ly-X39^-BfXS-_NWCpjLfImxg0Qb5RahhjxV zOvW*koNd3Eb>A?-2EcHZ#sOeoq?=Dox;2)8?VphFSN|2h-4@;T{)N3lzRDLMJNWy_ z^nXVA|4(Bxk9&F?Kk9E|3Z6?DUL&@tUI>uSxi@TBR*Tp#jJQqtX@VF3hsfmyfAj8% z_mwgIcXDF(W|thKV&d14 z{LbKm^y5@gerx;rV5vjXr1R#hpBX2!ib~=){iI276#Z#Z z8TDqb`?7iRCx48AdoG}NlDEV-r99iAougwh_ZsbGq(y6MTByC#igATd?Yu)z(|fgQ z2S0(V(hKa7`tA1>s$g5)TK;_r-QiF#%5V$NrdORhuP-s%YQ8XGJTDS3Ud;N9&E5lV zPd|t(34gdWQcy7F9<|Ln&H^>ezxMOG{nXJ-#kg0gg0bWNRn%qCHQvi9-sBcfHs(!U zH}fuizw-};^1{h}ZFr2B*?O8n8uoAQDX9yZgl&ZqwfC6 ziO3g1(^N2e`E16Q3EKk2NwHzpt}0ik)>}kpAM4~K^+?CSZspA?u_^6V;`HQ{L$n;s-Koii=(!Z3bBqq2t5j zUk*2z%dL6W&~wO1)IDN7_Kipy#mLN;2tW{Z-c1+ELG+m2At4YH^JSKQ{b=|Vb zHmN`yOtua^m~^b8jFqr136O*r16sR6vFbVJHW{3PReQRVfOA4b`-=}-+8W0SQ67Ggc@4;d2fNU%hF4bnvv_xs)OOLBP#Hd)t5kKTl4%=M3C;}$vH zyb{$Z#a~y{DbU-5IlQQ$8%rg@himNhW$+PrY`lB&1b>m1X1jOk9E+s-L#6Yiw39q8 zAuBv+)GuT=;6q(n(luIqQ5J$9CHW3rWHY*f;i__=o3D*LHD(63>+)cRTCN9KOW5k- zYGT!cSppb1-_aJ**di4=G*aB*`IZ`}3s_Kk<^5%72ASVe<6%c1fU3x47=_r_`lFJ(O0!kn28TAw9py8u|O$it7 zlBWxsNMu&76XMgJy7BgO^iG!b6RA}X9fH=j)aSU^9S+X4O0QbXBJy=$7g(Mk)P{ib z#cg?qTp{0U8fy#36UNlIqQ8EkKE>q0WL0|6Zz34NM7}5MBOx8|k)G3>x>=)9iiczW zur`Sh;BomAQ%qc%KMvHI8?4BhT0Rld;6H{9_AsRld`4V$_cQ zLqlxlCeI&^ZJSd4ViP>?9nyIweO_X8h=dM%@1YhpJfM(&5jW?G^*-iliU_VqpA#g& z{J2C@+Va+(gKqWYqhl)N5vxY~zuC_~F&)u>W#^}Z=W&&|r89*1XuiEyi2sB9CC?pxCG~%UeTvPKdxB3vW6C-kc@GrD zSi0w`z|DY;sWeSPY+q)dlXp`rDQoS{eHCV}gG!%lR%uGl5a+$`DXU9E-qe;-C1$rg z?Yte!D8Y7*Y*T}w&Tomtawf<`a_d! zKiQiD(-AAw#h7$;!BH5dG@Y12A@X>B3n7+mRZ98_A)Rd$TUfeEoyZ~DIzGQMYjb_l+XRi(PdmZ3B9HElDsz=W?>CC)pa{m>nqw`{I(Q*WcD z@kE0J=+YYBrnk3O*ZP2ccO>)1*hkRBYrmV;UVbg&WfSbc96V6du1_) znkFF@Y1i?8tXOA3Zzx?Mmp6KQs%Ayz!lx_{9mzaN|KhU8K;|*lz}+l4BcGt^T2(`= zSSA#$C?_MXUB%N~lF6i&3iVX)qM~jAj{%m}x^faqo(({E5H7?>kU8^!GI(E>${PaV zTQa{o6{_K3J^AvRtMG&)iUEEB%;m9Ugt%e;x{G+{h%PDXDX^Lyttowvg|uJ!ohaN! zm3N=etF1f&`o57${>D)XPk?1ABmGbo$$yNV^ZFuj?T_n!Gh6-mK02iIAj(dVp^uVV Sh2}qyOF>3ey8OLq@V@}oBT?D_ literal 0 HcmV?d00001 diff --git a/docs/MIPS/index.md b/docs/MIPS/index.md index d6a7696..faa4ebc 100644 --- a/docs/MIPS/index.md +++ b/docs/MIPS/index.md @@ -1,46 +1,73 @@ -# Welcome to the MIPS Guide +--- +# https://vitepress.dev/reference/default-theme-home-page +layout: home + +hero: + name: Welcome to the MIPS Guide + text: "" + tagline: This part covers the following topics + +features: + - title: Introduction to MIPS + details: Setting up and understanding MIPS ISA. + link: '#introduction-to-mips' + - title: Basic Integer and String Handling + details: Learn the Basics of MIPS integers. + link: '#introduction-to-mips' + - title: Integer Array Handling + details: Learn how to make integer arrays and operate them. + link: '#introduction-to-mips' + - title: 2-D Array Handling + details: Extend your array knowledge with 2-D arrays. + link: '#introduction-to-mips' + - title: Strings (Advanced) + details: String Handling explained in detail. + link: '#introduction-to-mips' + - title: Floating Points + details: Learn how to handle floating point numbers. + link: '#introduction-to-mips' + + +--- - + ## **Introduction to MIPS** -Main Documentation :material-google-drive: : [Click Here](https://drive.google.com/file/d/1ioiGx-AY5g9wbuYAzQ1hQwh_USFIAlrg/view?usp=sharing) +Main Documentation : [Click Here](./mips.md) Theory explanation: [ Video Link ](https://youtu.be/T40qoR7V6oU) -Setting up MARS: - 1. [Video Link]( https://youtu.be/FM-0bSelrjw ) - - 2. [Sample Code](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/First%20program/Tutorial1.asm ) +### Setting up MARS: +- [Video Link]( https://youtu.be/FM-0bSelrjw ) +- [Sample Code](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/First%20program/Tutorial1.asm ) ## **Basic Integer and String Handling** -Main Documentation :material-google-drive: : [Click Here](https://drive.google.com/file/d/105FBBHBQZX-1MJW7P27LlPcv1Jij5xnz/view?usp=sharing) -Theory Explanation: [Video Link ](https://youtu.be/R5UB4CnZo7Y) -Sample Code Bank: +Main Documentation : [Click Here](https://drive.google.com/file/d/105FBBHBQZX-1MJW7P27LlPcv1Jij5xnz/view?usp=sharing) +Theory Explanation: [Video Link ](https://youtu.be/R5UB4CnZo7Y) + +### Sample Code Bank: 1. [Reversing 2 digit number]( https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/Integers%20and%20Strings_q1.asm) - 2. [Calculating Average Marks](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/Integers%20and%20Strings_q2.asm ) - 3. [Finding Spy Number](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/Integers%20and%20Strings_q3.asm ) ## **Floating Point Handling** -Main Documentation :material-google-drive: : [Click Here](https://drive.google.com/file/d/1BybUxaKDMDrL3FIs_8W87zXCUnqun1HT/view?usp=sharing) +Main Documentation : [Click Here](https://drive.google.com/file/d/1BybUxaKDMDrL3FIs_8W87zXCUnqun1HT/view?usp=sharing) Farenheit to Celcius: [ Sample Code ](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Floating%20point/Q1.asm ) @@ -53,7 +80,7 @@ Maximum and Minimum in Float Array: ## **Integer Array Handling** -Main Documentation (Also contains String advanced):material-google-drive: : [Click Here](https://drive.google.com/file/d/1zscvtdHjjzVDhKyP9l7cfaFXMhMfeBQj/view?usp=sharing) +Main Documentation (Also contains String advanced : [Click Here](https://drive.google.com/file/d/1zscvtdHjjzVDhKyP9l7cfaFXMhMfeBQj/view?usp=sharing) Introduction to Integer Arrays: @@ -81,7 +108,7 @@ Merge 2 Sorted Arrays: Highest and Lowest occurrence of an element in an array: - 1. [ Video]( https://youtu.be/1tUfRNTi1t4) ▶️ + 1. [Video]( https://youtu.be/1tUfRNTi1t4) ▶️ 2. [ Sample Code](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Integer%20Arrays%20Advanced/Integer_Array_Advanced_Practice_Q2_Highest_Lowest_Frequency.asm ) @@ -100,7 +127,7 @@ Quicksort: ### **2-D Integer Array Handling** -Main Documentation :material-google-drive: : [Click Here](https://drive.google.com/file/d/1PSKVY8JSxPmQUKMK4aZ0Q41GEBkVXjG8/view?usp=sharing) +Main Documentation : [Click Here](https://drive.google.com/file/d/1PSKVY8JSxPmQUKMK4aZ0Q41GEBkVXjG8/view?usp=sharing) Theory Explanation: [Video Link](https://youtu.be/SHl3shv24jc) Sample Code Bank: @@ -114,24 +141,25 @@ Sample Code Bank: Input/Output of Strings: - 1. [ Video ]( https://youtu.be/R5UB4CnZo7Y) ▶️ - 2. [ Sample Code ]( https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/Integers%20and%20Strings_q2.asm) + 1. [Video]( https://youtu.be/R5UB4CnZo7Y) ▶️ + 2. [Sample Code]( https://github.com/hwlab-csed/MIPS-Codes/blob/main/Intro%20to%20MIPS/Integers%20and%20Strings_q2.asm) Traversal of Strings (Advanced string operations): - 1. [ Video ](https://youtu.be/HiqgMrXHeyQ ) ▶️ - 2. [ Sample Code ](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings%20Advanced_Sample%20Codes_1.asm ) + +- [Video](https://youtu.be/HiqgMrXHeyQ ) ▶️ +- [Sample Code](https://github.com/hwlab-csed/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings%20Advanced_Sample%20Codes_1.asm ) Length of String: - 1. [ Video ](https://youtu.be/GWlaAK4VdWo ) ▶️ - 2. [ Sample Code ](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q1.asm ) + +- [Video](https://youtu.be/GWlaAK4VdWo ) ▶️ +- [Sample Code](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q1.asm ) Palindrome Check: - 1. [ Video ]( https://youtu.be/7mD-2DHDjEc) ▶️ - 2. [ Sample Code ](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q2.asm ) -Concatenation of strings: - 1. [ Video ]( https://youtu.be/SDeHKgsCJE8) ▶️ - 2. [ Sample Code ](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q3.asm ) +- [Video]( https://youtu.be/7mD-2DHDjEc) ▶️ +- [Sample Code](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q2.asm ) +Concatenation of strings: ---- \ No newline at end of file +- [Video]( https://youtu.be/SDeHKgsCJE8) ▶️ +- [Sample Code](https://github.com/hwlabnitc/MIPS-Codes/blob/main/Strings%20Advanced/MIPS_Strings_Advanced_Practice_Q3.asm ) \ No newline at end of file diff --git a/docs/MIPS/mips.md b/docs/MIPS/mips.md new file mode 100644 index 0000000..d6ab589 --- /dev/null +++ b/docs/MIPS/mips.md @@ -0,0 +1,2216 @@ +# **MIPS** + +## **Setting up the MARS Code Editor** + +### **Introduction to MARS Simulator** + +MARS simulator will be the software on which we will be running our MIPS code. + +#### **Setting up JAVA SDK** +*__(Please note this tutorial is to download SDK Ver 11)__* + +##### **Downloading Java SDK on MacOS:** +1. Open a web browser on your macOS computer. +2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ +technologies/javase-jdk11-downloads.html. +3. On the Downloads page, locate the JDK (Java Development Kit) section. +4. Click on the "Download" button for the version of Java you want to install. +Make sure to select the version suitable for your macOS version (e.g., +macOS x64). +5. You may be prompted to log in or create an Oracle account. Follow the +instructions on the screen to proceed. +6. Once logged in, review and accept the license agreement. +7. On the download page, locate the macOS version and click on the provided +download link. +8. The JDK installer package will be downloaded to your computer. +9. Locate the downloaded package in your Downloads folder or the folder you +specified for downloads. +10. Double-click on the JDK installer package to launch the installation wizard. +11. Follow the instructions in the installation wizard to complete the installation +of the Java SDK on your macOS computer. + +##### **Downloading Java SDK on Windows:** +1. Open a web browser on your Windows computer. +2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ +technologies/javase-jdk11-downloads.html. +3. On the Downloads page, locate the JDK (Java Development Kit) section. +4. Click on the "Download" button for the version of Java you want to install. +Make sure to select the version suitable for your Windows version (e.g., +Windows x64). +5. You may be prompted to log in or create an Oracle account. Follow the +instructions on the screen to proceed. +6. Once logged in, review and accept the license agreement. +7. On the download page, locate the Windows version and click on the +provided download link. +8. The JDK installer executable file (e.g., jdk-11.0.x_windows-x64_bin.exe) +will be downloaded to your computer. +9. Locate the downloaded executable file, and double-click on it to launch the +installation wizard. +10. Follow the instructions in the installation wizard to complete the installation +of the Java SDK on your Windows computer. + +##### **Downloading Java SDK on Windows:** +1. Open a web browser on your Linux computer. +2. Go to the Oracle Java SE Downloads page at https://www.oracle.com/java/ +technologies/javase-jdk11-downloads.html. +3. On the Downloads page, locate the JDK (Java Development Kit) section. +4. Click on the "Download" button for the version of Java you want to install. +Make sure to select the version suitable for your Linux distribution. +5. You may be prompted to log in or create an Oracle account. Follow the +instructions on the screen to proceed. +6. Once logged in, review and accept the license agreement. +7. On the download page, locate the Linux version and click on the provided +download link. +8. The JDK archive file (e.g., jdk-11.0.x_linux-x64_bin.tar.gz) will be +downloaded to your computer. +9. Open the terminal on your Linux system. You can typically find it in the +Applications menu or by using the shortcut Ctrl+Alt+T. +10. Navigate to the directory where you downloaded the JDK archive file. For +example, if it's in the Downloads folder, you can use the following +command: ```cd ~/Downloads ``` +11. Extract the contents of the JDK archive using the following command: +```tar -xvzf jdk-11.0.x_linux-x64_bin``` + +#### **Setting Up MARS Simulator** + *__Note: Is your MARS text unreadably small? Download and use a +new release Java 9 or above which contains a fix to automatically +scale and size AWT and Swing components for High Dots Per Inch +(HiDPI) displays on Windows and Linux.__* + +##### **Downloading MIPS MARS Simulator on macOS:** +1. Open a web browser on your macOS computer. +2. Go to the official website of MIPS MARS at [ http:// +courses.missouristate.edu/kenvollmar/mars/]( http:// +courses.missouristate.edu/kenvollmar/mars/). +3. On the homepage, click on the "MARS 4.5" link under the +"Download MARS" section. +4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your +computer. +5. Locate the downloaded ZIP file in your Downloads folder or the folder +you specified for downloads. +6. Double-click on the ZIP file to extract its contents. This will create a JAR +file named "mars4_5.jar". +7. Move the "mars4_5.jar" file to a suitable location on your computer, such +as the Applications folder. +8. Open Terminal on your macOS by going to Applications > Utilities > +Terminal. +9. In the Terminal window, navigate to the directory where you placed the +"mars4_5.jar" file. For example, if you placed it in the Applications folder, +you can use the following command: ``` cd/Applications``` +10. Once you are in the correct directory, execute the following command to +run the MIPS MARS simulator: ```java -jar mars4_5.jar``` +11. The MIPS MARS simulator should now launch on your macOS computer. + +##### **Downloading MIPS MARS Simulator on Windows:** +1. Open a web browser on your Windows computer. +2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ +kenvollmar/mars/]([http://courses.missouristate.edu/ +kenvollmar/mars/]) +3. On the homepage, click on the "MARS 4.5" link under the "Download +MARS" section. +4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer. +5. Locate the downloaded ZIP file in your Downloads folder or the folder you +specified for downloads. +6. Right-click on the ZIP file and select "Extract All" to extract its contents. This +will create a JAR file named "mars4_5.jar". +7. Move the "mars4_5.jar" file to a suitable location on your computer, such as +the Program Files folder. +8. Open the Command Prompt on your Windows computer by pressing the +Windows key + R, typing "cmd," and hitting Enter. +9. In the Command Prompt window, navigate to the directory where you placed +the "mars4_5.jar" file. For example, if you placed it in the Program Files +folder, you can use the following command: ```cd "C:\Program Files"``` +10. Once you are in the correct directory, execute the following command to run +the MIPS MARS simulator: ```java -jar mars4_5.jar``` +11. The MIPS MARS simulator should now launch on your Windows computer. + +##### **Downloading MIPS MARS Simulator on Linux:** +1. Open a web browser on your Linux computer. +2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ +kenvollmar/mars/](http://courses.missouristate.edu/ +kenvollmar/mars/) +3. On the homepage, click on the "MARS 4.5" link under the "Download +MARS" section. +4. A ZIP file named "Mars4_5.jar.zip" will be downloaded to your computer. +5. Open the terminal on your Linux system. You can typically find it in the +Applications menu or by using the shortcut Ctrl+Alt+T. +6. Navigate to the directory where you downloaded the ZIP file. For example, if +it's in the Downloads folder, you can use the following command: ```cd ~/Downloads``` +7. Unzip the ZIP file using the following command: ```unzip Mars4_5.jar.zip``` +8. This will extract the "Mars4_5.jar" file from the ZIP archive. +9. Move the "Mars4_5.jar" file to a suitable location on your computer. For +instance, you can move it to the /opt directory using the following command: +```sudo mv Mars4_5.jar /opt``` +10. To run the MIPS MARS simulator, open the terminal and navigate to the +directory where you placed the JAR file. For example, if you moved it to the / +opt directory, use the following command: +```cd /opt``` +11. Execute the following command to launch the MIPS MARS simulator +```java -jar Mars4_5.jar``` +12. The MIPS MARS simulator should now launch on your Linux computer. + +### **MARS ASSEMBLY AND SYSTEM CALLS** +Before assembling, the environment of this simulator can be simplisticly split to three +segments: the editor at the upper left where all of the code is being written, the +compiler/output right beneath the editor and the list of registers that represent the +"CPU" for our program. + +After assembling (by simply pressing F3) the environment changes, with two new +segments getting the position of the editor: the text segment where + +i) each line of assembly code gets cleared of "pseudoinstructions" (we'll talk about +those in a sec) at the "basic" column and + +ii) the machine code for each instruction at the "code" column, +and the data segment where we can have a look at a representation of the memory of +a processor with little-endian order. + +After assembling, we can execute our code either all at once (F5) or step by step (F7), +as well as rewinding the execution several steps backwards to the back (F8). + +_**MARS accepts and exports files with the .asm filetype**_ + +##### **Pseudo instructions** + +Before looking at the instruction set , let us look at a few pseudo instructions that’ll +help you understand the IS better. + +_**Here's a list of useful pseudo-instructions.**_ + +`mov $t0, $t1`: Copy contents of register t1 to register t0. + +`li $s0, immed`: Load immediate into to register s0. +The way this is translated depends on whether immed is 16 bits or 32 bits. + +`la $s0, addr`: Load address into to register s0. + +`lw $t0, address`: Load a word at address into register t0 + +_**Given below are some standard arithmetic and logical instructions standard to +the MIPS Instruction Set Architecture.**_ + +_**MARS provides a small set of operating system-like services through the system +call (syscall) instruction **_ + +_**To request a service, a program loads the system call code into register $v0 and +arguments into registers $a0~$a3 **_ + +_**System calls that return values put their results in register $v0**_ + +##### **Arithmetic Instructions** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| add | add $1,$2,$3 | $1=$2+$3 | | +| subtract | sub $1,$2,$3 | $1=$2-$3 | | +| add immediate | addi $1,$2,100 | $1=$2+100 | "Immediate" means a constant number | +| add unsigned | addu $1,$2,$3 | $1=$2+$3 | Values are treated as unsigned integers,not two's complement integer| +| subtract unsigned | subu $1,$2,$3 | $1=$2-$3 | Values are treated as unsigned integers,not two's complement integers| +| add immediate unsigned | addiu $1,$2,100 | $1=$2+100 | Values are treated as unsigned integers,not two's complement integers| +| multiply (without overflow) | mul $1,$2,$3 | $1=$2*$3 | Result is only 32 bits! | +| multiply | mult $1,$2,$3 | $hi, $low=$2*$3 | Upper 32 bits stored in special register ``hi``. Lower 32 bits stored in special register ``lo``| +| divide | div $1,$2,$3 | $hi,$low=$2/$3 |Remainder stored in special register ``hi``. Quotient stored in special register ``lo`` | + +#### **Logical** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| and | and $1,$2,$3 | $1=$2&$3 | Bitwise AND| +| or | or $1,$2,$3 | $1=$2|$3 | Bitwise OR| +| and immediate | andi $1,$2,100 | $1=$2&100 | Bitwise AND with immediate value | +| or immediate | ori $1,$2,100 | $1=$2|100 | Bitwise OR with immediate value| +| shift left logical | sll $1,$2,10 | $1=$2<<10 | Shift left by constant number of bits| +| shift right logical | srl $1,$2,10 | $1=$2>>10 | Shift right by constant number of bits| + +#### **Data Transfer** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| load word | lw $1,100($2) | $1=Memory[$2+100] | Copy from memory to register| +| store word | sw $1,100($2) | Memory[$2+100]=$1 | Copy from register to memory| +| load upper immediate | lui $1,100 | $1=100x2^16 | Load constant into upper 16 bits. Lower 16 bits are set to zero| +| load address | la $1,label | $1=Address of the label | Pseudo-instruction (provided by assembler). Loads computed address of label (not it's contents) into register| +| load immediate | li $1,100 | $1=100 | Pseudo instruction (provided by assembler). Loads immediate value into the register.| +| move from hi | mfhi $2 | $2=hi | Copy from special register ``hi`` to general register| +| move from lo | mflo $2 | $2=lo | Copy from special register ``lo`` to general register| +| load word | lw $1,100($2) | $1=Memory[$2+100] | Copy from memory to register| +| move | move $1,$2 | $1=$2 | Pseudo instruction (provided by assembler). Copy from register to register| + +#### **Conditional Branch** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| branch on equal | beq $1,$2,100 | if($1==$2) go to PC+4+100 | Test if registers are equal| +| branch on not equal | bne $1,$2,100 | if($1!=$2) go to PC+4+100 | Test if registers are not equal| +| branch on greater than | bgt $1,$2,100 | if($1>$2) go to PC+4+100 | Pseudo-instruction | +| branch on greater than or equal | bge $1,$2,100 | if($1>=$2) go to PC+4+100 | Pseudo-instruction| +| branch on less than | blt $1,$2,100 | if($1<$2) go to PC+4+100 | Pseudo-instruction| +| branch on less than or equal | ble $1,$2,100 | if($1<=$2) go to PC+4+100 | Pseudo-instruction| + +#### **Comparison** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| set on less than | slt $s1,$s2,$s3 | if($2<$3) $1 = 1;else $1 = 0 | Test if less than. If true set $1 to 1. Otherwise set $1 to 0. +| set on less than immediate | slti $s1,$s2,100 | if($2<100) $1 = 1;else $1 = 0 | Test if less than. If true set $1 to 1. Otherwise set $1 to 0. + +#### **Unconditional Jump** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| jump | j 1000 | go to address 1000 | Jump to target address| +| jump register | jr $1 | go to address stored in $1 | For switch procedure return| +| jump and link | jal 1000 | $ra=PC+4 go to address 1000 | Use when making procedure call. This saves the return address in $ra| + +#### **System Calls** +| Service | Operation | Code(in $v0) | Arguments | Results | +|---|---|---|---|---| +| print_int | Print integer number (32 bit) | 1 | $a0 = integer to be printed | None | +| print_float | Print floating-point number (32 bit) | 2 | $f12 = float to be printed | None | +| print_double | Print floating-point number (64 bit) | 3 | $f12 = integer to be printed | None | +| print_string | Print null-terminated character string | 4 | $a0 = address of string in memory | None | +| read_int | Read integer number from user | 5 | None | Integer returned in $v0 | +| read_float | Read floating-point number from user | 6 None | Float returned in $f0| +| read_double | Read double floating-point number from user | 7 |None | Double returned in $f0| +| read_string | Works the same as Standard Clibrary fgets() | 8 | $a0 = memory address of string input buffer $a1 = length of string buffer (n) | None | +| sbrk | Returns the address to a block of memory containing n additional bytes (Useful for dynamic memory allocation) | 9 | $a0=amount | address in $v0 | +| exit | Stop program from running | 10 | None | None | +| print_char | Print character | 11 | $a0 = character to be printed | None | +| read_char | Read character from user | 12 | None | Char returned in $v0 | +| exit2 | Stops program from running and returns an integer | 17 | $a0 = result(integer number) | None | + +The complete list of syscalls can be accessed at +[https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html](https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html ) + +#### **Assembler Directives** +| Directive | Result | +|---|---| +| .word w1, ..., wn| Store n 32-bit values in successive memory words | +| .half h1, ..., hn| Store n 16-bit values in successive memory words | +| .byte b1, ..., bn| Store n 8-bit values in successive memory words | +| .ascii str| Store the ASCII string str in memory. Strings are in double-quotes, i.e. "Computer Science" | +| .asciiz str| Store the ASCII string str in memory and null terminate it. Strings are in double-quotes, i.e. "Computer Science" | +| .space n| Leave an empty n-byte region of memory for later use | +| .align n| Align the next datum on a 2^n byte boundary. For example, .align 2 aligns the next value ona word boundary | + +#### **Registers** +|Register Number | Register Name| Description| +|---|---|---| +| 0 | $zero | The value 0 | +| 2-3 | $v0-$v1 | Values from expression evaluation and function results | +| 4-7 | $a0-$a3 | (arguments) First four parameters for subroutine | +| 8-15, 24-25 | $t0-$t9 | Temporary variables | +| 16-23 | $s0-$s7 | Saved values representing final computed results | +| 31 | $ra | Return address | + +#### **MARS(MIPS Assembler/Simulator) Tutorial** +**1. Input the Tutorial program** + +1.1) Open the MARS program and click from the file menu choose “File...New”. A +black document will open which you can enter your assembly code into. Click +“File...Save As” and save the file as “Tutorial1.asm ”. + +1.2) Enter the code as shown below into the editor and save the file. + +```v +# Program File: Tutorial1.asm +# Written by: MoSaad +# Date Created: 10/05/2023 +# Description: Tutorial program to introduce MARS simulator including: breakpoints, single- +stepping, and register and memory windows. +#----------------------------------------------------------- +#----------------------- +# Declare some constants +#----------------------- +.data +string1: .asciiz "Welcome to Hardware Lab at NIT Calicut\n" +string2: .asciiz "Hello World \n" +string3: .asciiz "\nLoop #" +#------------------ +# Main program body +#------------------ +.text +main: +li $v0,4 +la $a0,string1 +syscall +la $a0,string2 +syscall +li $t0, 1 +loop: +li $v0, 4 +la $a0,string3 +syscall +li $v0,1 +move $a0,$t0 +syscall +addi $t0,$t0,1 +bne $t0,4,loop +#----- +# Halt +#----- +li $v0, 10 +syscall +``` + + + +1.4) From the menu, choose “Run...Assemble”. The “Mars Messages” window at the +bottom of the screen will indicate if any errors occurred. No errors should occur. + + + +**2. Simulate the tutorial program** + +2.1) From the menu, choose “Run...Go” to execute the program. The program will +execute displaying two lines of text and three iterations of a loop to the Run /IO +window. + +2.2) The buttons at the top of the window can be used as shortcuts for the run menu. +Use the “Reset” button to reset the program, and then try tracing through the +program by clicking the step button. + +2.3) You can adjust the speed the program runs by moving the slider to the right of the +buttons. If you have an infinite loop in your program, it may be necessary to adjust +(slow down) the speed of the simulator to prevent the MARS program from +crashing. + + +Run the program. If a breakpoint has been set the program will stop at the next breakpoint. + + +Trace (Step) Into. Executes a single instruction. If +the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. + + +Backstep. Undo the last step taken in the code. + + +Pause the currently running program. Press the run button to continue execution. + + +Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. + + +Reset. Resets the simulator, reinitializing the registers, program counter, and memory. + + +Adjusts the speed that the simulator runs at. + +**3. Using the Debugging Tools** + +3.1) When a program does not work as expected you will need to use the debugging +tools provided with the simulator. + +3.2) One of the primary tools used to debug a program is setting a breakpoint. You can +break before execution of an instruction by clicking on the checkbox associated +with each instruction on the far left of the execute window. Set a breakpoint at +the instruction: addi $t0,$t0,1 + +3.3) Run the program until the breakpoint by clicking “Run”. At this point in the +program only the first loop iteration has been printed. (You will need to click +back to the Run/IO window to see the output.) + +3.4) Now use the “Trace Into” button to step through the loop that prints out the next line +of text one character at a time. Step through the instructions until “Loop #2” is +printed to the output window. Stop and find the value of the registers “t0” and +“pc” at that point? Has the line of code that the program counter points to executed +yet? + +3.5) The simulator also allows you to view the memory contents. The memory window +appears in the middle of the screen and is titled “Data Segment”. Remove the +earlier breakpoint and add a breakpoint to line 33, “syscall”. Click the run button +so that the program executes up until the new breakpoint. We are now in the code +right before “Loop #” is about to be printed for the third iteration. Notice that the $a0 register is now a pointer to the address where the “Loop #” text is stored. What +is the memory location the register is pointing to? + +3.6) Now look in the data segment area, and find the address $a0 points to. This is the +memory section where the characters of the text “Loop #” is stored. Using an +ASCII table find the address where the ‘p’ in “Loop” is located? + +3.7) Exercise: Can you find where the word “Welcome” is stored in the memory? + + + +## **Integer Handling** + +Unlike NASM where numbers are stored as characters, MIPS can store single or multiple digit +integers directly. This chapter describes how to read and print integers. Basic operations in integer +handling (add, sub, mul, div) will also be covered. + +**1. Declaring an integer** + +Integers can be declared as constants in the ‘.data’ section as shown below: + +*Code to declare constants ‘x’ and ‘y’ with values 30 and 40 respectively:* + +```v +.data +x: .word 30 +y: .word 40 +``` + +**2. Loading an integer value into a register** + +Another way of using integers in MIPS is to read their values into temporary registers +using ‘I’ type instructions. +The two commonly used methods of reading integer values are: + +1. Loading a value into a temporary register. +2. Adding the value of the zero register and any value into a temporary register. + +*Code to enter integer values 5 and 10 using add and load instructions respectively into temporary +registers:* +```v +.text +addi $t0, $0, 5 +li $t1, 10 +``` +**3. Reading integers as input from the user** + +Integers can be read from the user using _syscall_ (system call) instructions. +The system call code for reading an integer is ‘5’. This syscall code value must be +loaded into the register $v0 in order to perform its designated function. The input is +then stored in $v0. + +_Code to read an integer input from the user:_ +```v +.text +li $v0, 5 +syscall +``` +**4. Printing integers** + +Integers stored in registers can also be printed using syscall instructions. The system call +code for printing an integer is ‘1’. This syscall code value must be loaded into the +register $v0 in order to perform its designated function. The integer to be printed must +be stored in the $a0 register. + +_Code to print the integer ‘5’ after storing it in a register:_ +```v +.text +li $a0, 5 +li $v0, 1 +syscall +``` +We have now learnt how to store single and multi digit integers and how to print their +values. We shall now combine all of these into a single program for a more robust +understanding of the covered concepts. + +_Code to declare a constant x with value 10, load values 20 and 30 into two registers and read +an integer value from the user and print all these values:_ + +```v +.data +x: .word 10 +.text +addi $t0, $0, 20 #load value 20 +li $t1, 30 #load value 30 +li $v0, 5 #read integer input +syscall +move $t2, $v0 #move integer input +lw $a0, x #print x +li $v0, 1 +syscall +move $a0, $t0 +li $v0, 1 #print value of $t0 +syscall +move $a0, $t1 #print value of $t1 +li $v0, 1 +syscall +move $a0, $t2 #print integer input +li $v0, 1 +syscall +li $v0, 10 #exit program +syscall +``` + +_Output:_ +_Assume the value ‘5’ is entered by the user as input._ +```v +5 +1020305 +-- program is finished running -- +``` + +**5. Adding integers** + +Integers can be added in two ways, either by adding fixed or immediate values to an +integer value stored in a register, or by adding two integers that are both stored in registers. +Both methods to add integers are demonstrated below: + +_Code to add integer values stored in registers:_ +```v +.text +addi $t0, $t1, 5 #t0=t1+5 +add $t2, $t2, $t1 #t2=t2+t1 +addi $t1, $zero, 5 #t1=0+5 +add $t2, $zero, $t1 #t2=0+t1 +``` + +**6. Subtracting integers** + +Unlike addition, values can only be subtracted if they are stored in a register. + +_Code to subtract integer values stored in registers:_ +``` +.text +sub $t2, $t2, $t1 #t2=t2-t1 +sub $t2, $zero, $t1 #t2=0-t1 +``` +**7. Multiplying integers** + +MIPS allows you to multiply the values present in two registers and stores the 32 most +significant bits in the HI special register and the 32 least significant bits in the LO special +register. + +The value obtained in the HI and LO registers can be accessed using the mfhi and mflo +instructions respectively. + +_Code to multiply two integers values and access the result after multiplication:_ +``` +.text +mult $t0, $t1 #signed mult +mflo $s0 #s0=t0*t1 +multu $t2, $t3 #unsigned mult +mflo $s1 #s1=t2*t3 +``` + +**8. Dividing integers** + +Division in MIPS is similar to multiplication except for a key difference, the HI special +register stores the remainder while the LO special register will hold the quotient of the +division. + +_Code to divide to integers and access the remainder and quotient after division:_ + +``` +.text +div $t1, $t2 #signed div +mfhi $s0 #s0=t1%t2 +mflo $s1 #s1=t1/t2 +divu $t3, $t4 #unsigned div +mfhi $s2 #s2=t3%t4 +mflo $s3 #s3=t3/t4 +``` + +### **Points To Note** + +**1.** Entering number of size larger than 32 bits + The largest integer that can be entered in 32 bit space is 2,147,483,647. Entering a + number larger than that results in the following error: + + ``` Runtime exception at 0x0040002c: invalid integer input (syscall 5)``` + +**2.** Changing the value of $zero register + It is not possible to change the value of the $zero register, any instructions that attempt + to alter the value have no effect. + +**3.** Multiplying numbers of size 32 bits + In MIPS, all integer values must be 32 bits. So if there is a valid answer, it must be + contained in the lower 32 bits of the answer. Thus to implement multiplication in MIPS, + the two numbers must be multiplied using the mult operator, and the valid result moved + from the lo register. + +**4.** Division by zero + If the divisor is zero, then the MIPS divide instructions do not compute any result in the + HI and LO registers. Division by zero is ignored and no exception is produced. + +**5.** Meaning of the _.word_ directive +The _.word_ directive allocates 4 bytes of space in the data region. The .word directive can +then be given an integer value, and it will initialize the allocated space to that integer +value. Be careful as it is incorrect to think of a the .word directive as a declaration for an +integer, as this directive simply allocates and initializes 4 bytes of memory, it is not a +data type. What is stored in this memory can be any type of data. + +## **String Operations** + +Strings in MIPS can be declared as constant in the _.data_ part of the program. They are often stored +this way so that they can be used as user prompts or to format output of a program. Strings can also +be entered by the user during the runtime of the program. Both methods of using strings in MIPS +will be demonstrated below. + +**1. Entering string constants** + +Pre-determined strings enclosed by double quotes can be declared in the _.data_ section. + +_Code to store the string “enter an element:” in memory under the name “message”:_ + +``` +.data +message: .asciiz “enter an element:” +``` +**2. Enter strings as input from the user** + +To enter strings as input, the syscall code to be used is 8. Apart from that, the address of the +memory space into which the string must be entered, is loaded into the $a0 register. The +maximum size of the string must also be loaded into the $a1 register before performing the +syscall. + +_Code to read a string as input from the user:_ + +``` +.data +input: .space 100 +inputsize: .word 100 +.text +li $v0, 8 +la $a0, input +lw $a1, inputsize +syscall +``` +**3. Printing strings stored in memory** + +To print the required string, the syscall to be used is 4. The address of the string has to be +loaded into the $a0 register. + +_Code to print a string named ‘message’ stored in memory:_ +``` +.text +li $v0, 4 +la $a0, output +syscall +``` +We have now learnt how to declare strings constants as well as how to store strings entered +by the user. We shall now write a program combining both the concepts for a more robust +understanding of basic string operations. + +_Code to read a string, with prompts instructing the user:_ + +``` +.text +main: + # Prompt for the string to enter + li $v0, 4 + la $a0, prompt + syscall + + # Read the string. + li $v0, 8 + la $a0, input + lw $a1, inputSize + syscall + + # Output the text + li $v0, 4 + la $a0, output + syscall + + # Output the number + li $v0, 4 + la $a0, input + syscall + + # Exit the program + li $v0, 10 + syscall + +.data +input: .space 81 +inputSize: .word 80 +prompt: .asciiz "Please enter a string: " +output: .asciiz "\nYou typed the string: " +``` + +### **Points To Note** + +- The _.space_ directive allocates n bytes of memory in the data region of the program, where + n=81 in this program. Since the size of a character is 1 byte, this is equivalent to saving 80 + characters for data. 81 was used here because in MIPS a sequence of ASCII characters is + terminated by a null value (byte containing 0). This is known as a null terminator. + +- If the string the user enters is larger than the maximum size of the string, it is truncated to + the maximum size. This is to prevent the program from accessing memory not allocated to + the string. + +## **Floating Point Numbers** + +Floating point numbers are stored according to the IEEE 754 Standard. There are 2 types +of floating point numbers, single precision and double precision. + +### **Floating point number representation** + +According to IEE 754 Standard, floating point numbers follow the given representation. + +```Sign Exponent Fraction``` + +- The sign bit is 0 or 1, for positive or negative respectively. + +- The exponent stores the exponent of the number in scientific notation of its binary +representation, plus a bias. + +- The fraction stores the fractional part of the binary representation of the number. + + +| Data | Single Precision | Double Precision | +|----|----|----| +|Size | 32 bits | 64 bits | +|Exponent size | 8 bits | 11 bits | +|Fraction size | 23 bits| 52 bits | +|Bias |127| 1023| + +Note + +- Since there are some numbers with non-ending decimal part in there binary representation + ( For Example- ( 1 /3) 10 = (0.01 0011 0011 0011 ....) 2 ) and we have only limited bits to store + the fraction part, there will be some slight inaccuracy while storing certain floating point + numbers. Therefore, it is recommended to always use double, as it has a higher precision due + to its increased no. of bits. + +### **MIPS floating point architecture** + +In MIPS, all floating point calculations are computed in a separate processor, called co- +processor 1. + +The coprocessor contains 32 floating point registers, each of width 32 bits. The registers +are numbered from $f 0 to $f3 1. + +Each register is can store a single precision floating point number, while double precision is +stored in 2 registers in an even-odd pair. For instructions concerning double precision numbers, the +even numbered register is used in the instruction. Using an odd numbered register will throw an +error. + +In addition to the registers, there are 8 condition flags, which are used in floating point +compare and branch instructions. + + +#### **Floating point registers in MIPS** + +|Registers | Usage | +|---|---| +|$f0 - $f3 | Used for results of floating point procedures | +| $f4 - $f11 | Temporary floating point registers, whose values are NOT preserved across procedure calls| +|$f12 - $f15 | Floating point parameters, whose values are NOT preserved across procedure calls | +| $f16 - $f19 | More temporary floating point registers, whose values are NOT preserved across procedure calls | +|$f20 - $f31 | Saved floating point registers, whose values are preserved across procedure calls | + +Among the 32 registers, only $f 4 - $f 11 , $f 16 - $f 19 and $f 20 - $f 31 can +be used by the programmer for storing values, as the others are reserved for special purposes. + +Note + +- Unlike the general purpose register $ 0 , $f 0 is not hardwired to be zero, and is used for storing results of procedures. + +### **Declaring a Floating point number** + +``` +.data + num1: .float 3. + num2: .double 4.5 3 + + .align 2 # Since float has 2^2 bytes + float_arr: .space 100 it has to be aligned to 2 + + .align 3 # Since double has 2^3 bytes it has to be aligned to 3 + double_arr: .space 100 +``` + +### **Reading and Printing Floating point numbers** + +Reading and printing a floating point number is similar to that of an integer, using syscall, +only difference being in the $v0 value and parameter registers. + +#### **Single precision** + +The $v0 value for reading a single precision floating point number is 6 while that of printing is 2. + +``` +main: + li $v0, 6 + syscall # The number is stored in $f0 + + li $v0, 2 + mov.s $f12, $f0 # The number to be printed is moved to $f12 + syscall +``` + +#### **Double precision** + +The $v0 value for reading a double precision floating point number is 7 while that of +printing is 3. + +``` +main: + li $v0, 7 + syscall # The number is stored in $f0/$f1 + + li $v0, 3 + mov.d $f12, $f0 # The number to be printed is + syscall moved to $f12/$f13 +``` + +### **Data Movement Instructions** + +|Instruction | Syntax | Remarks | +|---|---|---| +|Load single/double| l.s fdest, address l.d fdest, address | The single/double floating-point stored in address is loaded onto register fdest | +|Store single/double | s.s fsrc, address s.d fsrc, address | The single/double floating-point stored in register fsrc is stored to address | +| Move single/double | mov.s fdest, fsrc mov.d fdest, fsrc | The single/double floating-point stored in register fsrc is moved to register fdest | +|Move from coprocessor 1 |mfc1 dest, fsrc | The 32 - bit data from floating register fsrc is copied to general purpose register dest | +| Move to coprocessor 1 | mtc1 src, fdest | The 32 - bit data from general purpose register src is copied to floating point register fdest | + +Note + +- There is no load immediate for floating point. So if a constant is needed, it has to be stored in + the data segment and loaded to the required register. +- For the move to/from coprocessor 1 instructions, the first operand is a general purpose + register and the second one is the floating point register. + +### **Arithmetic Instructions** + +|Instruction | Syntax | Remarks| +|:----|------|-----| +|Addition | add.s fdest, fsrc1, fsrc2
add.d fdest, fsrc1, fsrc |The single/double floating-point numbers stored in fsrc1 and fsrc2
are added and stored in register fdest | +|Subtraction | sub.s fdest, fsrc1, fsrc2
sub.d fdest, fsrc1, fsrc2 |The single/double floating-point number stored in fsrc
subtracted from fsrc1 and stored in register fdest | +| Multiplication | mul.s fdest, fsrc1, fsrc2
mul.d fdest, fsrc1, fsrc2 |The single/double floating-point numbers stored in fsrc1 and fsrc2
are multiplied and stored in register fdest | +|Division | div.s fdest, fsrc1, fsrc2
div.d fdest, fsrc1, fsrc |The single/double floating-point number stored in fsrc1 is divided by fsrc2
and the quotient is stored in register fdest | +|Negation | neg.s fdest, fsrc
neg.d fdest, fsrc | The single/double floating-point number stored in fsrc
is negated (Sign changed) and stored in register fdest | +| Absolute value | abs.s fdest, fsrc
abs.d fdest, fsrc | Absolute value (Magnitude) of the single/double floating-point number stored in fsrc
is stored in register fdest | +| Square root | sqrt.s fdest, fsrc
sqrt.d fdest, fsrc | Square root of the single/double floating-point number stored in fsrc
is stored in register fdest + +### **Sample Question 1** + +Given a temperature in Fahrenheit, convert it into Celsius (Input and output has to be floating point values). + +Temperature in degrees Celsius = (Temperature in degrees Fahrenheit - 32 ) * 5 / 9. +``` +data + # Constants used for calculation + const1: .double 32.0 + const2: .double 5.0 + const3: .double 9.0 + + # User prompts + msg1: .asciiz "Enter the temperature in Fahrenheit: " + msg2: .asciiz "The temperature in Celsius is: " + + +.text +.globl main +main: + + li $v0, 4 # Printing msg1 + la $a0, msg1 + syscall + + li $v0, 7 # Reading user input + syscall + + mov.d $f12, $f0 # $f12 = User Input + l.d $f14, const1 # $f12 = $f12 - 32 + sub.d $f12, $f12, $f14 + + l.d $f14, const2 # $f12 = $f12 * 5 + mul.d $f12, $f12, $f14 + + l.d $f14, const3 # $f12 = $f12 / 9 + div.d $f12, $f12, $f14 + + li $v0, 4 # Printing msg2 + la $a0, msg2 + syscall + + li $v0, 3 # Printing final answer + syscall + + li $v0, 10 # Exit + syscall +``` + +## **Comparison/Branch Instructions** + +|Instruction | Syntax | Remarks| +|---|---|---| +| Compare equal | c.eq.s cc, fsrc1, fsrc2
c.eq.s fsrc1, fsrc2
c.eq.d cc, fsrc1, fsrc2
c.eq.d fsrc1, fsrc2 |Sets the condition flag cc as 1 if the numbers in fsrc1
and fsrc2 are equal, 0 otherwise.| +|Compare less than | c.lt.s cc, fsrc1, fsrc2
c.lt.s fsrc1, fsrc2
c.lt.d cc, fsrc1, fsrc2
c.lt.d fsrc1, fsrc2 | Sets the condition flag cc as 1 if the number in fsrc1
is less than that in fsrc2, 0 otherwise. | +| Compare less than or equal to | c.le.s cc, fsrc1, fsrc2
c.le.s fsrc1, fsrc2
c.le.d cc, fsrc1, fsrc2
c.le.d fsrc1, fsrc|Sets the condition flag cc as 1 if the number in fsrc1
is less thanor equal to that in fsrc2, 0 otherwise. | +|Branch if true | bc1t cc, label
bc1t label | Jumps to label if the condition flag cc is set as 1 | +|Branch if false | bc1f cc, label
bc1f label | Jumps to label if the condition flag cc is set as 0 | + +Note + +- The condition flag can be omitted in the above instructions, in which case condition flag 0 is + taken as default. +- As there is no comparison instruction for “Not equal to”, it has to be implemented by + reversing the required branch condition + Ex. We need to branch to label if $f4 and $f6 are not equal. This can be written as + ``` + c.eq.d $f4, $f6 + bc1.f label + ``` +- For greater than and greater than and equal to, it is simpler to reverse the input registers. + Ex. We need to branch to label if $f4 if greater than $f6. This can be written as + ``` + c.le.d $f6 , $f4 + bc1.t label + ``` + +### **Sample Question 2** +Given an array of floating numbers of size n, print the maximum and minimum element + + +``` +data + # Array + .align 3 + arr: .space 1000 + + # Characters + newline: .asciiz "\n" + + # User Prompts + msg1: .asciiz "Enter n: " + msg2: .asciiz "Enter no. " + msg3: .asciiz ": " + msg4: .asciiz "The maximum no. is: " + msg5: .asciiz "The minimum no. is: " + +.text +.globl main +main: + + li $v0, 4 # Print msg1 + la $a0, msg1 + syscall + + li $v0, 5 # Read n + syscall + move $t0, $v0 + + li $t1, 0 # $t1 will be the loop variable + # going from 0,1,2.. + li $t2, 0 # $t2 will be the element indices + # going from 0,8,16.. + loop1: # Loop to read n elements + beq $t0, $t1, end_loop1 # Termination condition + + li $v0, 4 # Print msg2 + la $a0, msg2 + syscall + + li $v0, 1 # Print position of + move $a0, $t1 # number to be inputted + addi $a0, $a0, 1 + syscall + + li $v0, 4 # Print msg3 + la $a0, msg3 + syscall + + li $v0, 7 # Read input and + syscall # store in arr + s.d $f0, arr($t2) + + addi $t1, $t1, 1 # Increamenting #t1 + addi $t2, $t2, 8 # and $t2 + j loop1 + + end_loop1: + + li $t1, 0 # Resetting $t1 and $t2 + li $t2, 0 + l.d $f4, arr($zero) # $f4 stores the max value + l.d $f6, arr($zero) # $f6 stores the min value + + loop2: # Loop to compute max and min + beq $t0, $t1, end_loop2 # Termination Condition + + l.d $f8, arr($t2) # Load a number from arr + + c.lt.d $f4, $f8 # Compare if the number is + # greater than current max + bc1f not_max + mov.d $f4, $f8 # If yes then update new max + not_max: + + c.lt.d $f8, $f6 # Compare if the number is + # less than current min + bc1f not_min + mov.d $f6, $f8 # If yes then update new min + not_min: + + addi $t1, $t1, 1 # Incrementing $t1 and $t2 + addi $t2, $t2, 8 + j loop2 + + end_loop2: + + li $v0, 4 # Print msg4 + la $a0, msg4 + syscall + + li $v0, 3 # Print max number + mov.d $f12, $f4 + syscall + + i $v0, 4 # Print newline + la $a0, newline + syscall + + li $v0, 4 # Print msg5 + la $a0, msg5 + syscall + + li $v0, 3 # Print min number + mov.d $f12, $f6 + syscall + + li $v0, 10 # Exit + syscall +``` + +### **Data Conversion Instructions** + +#### **Conversion within floating point** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert single to double | cvt.d.s fdest, fsrc |The single floating-point stored in fsrc is converted to double and stored in fdest | +|Convert double to single | cvt.s.d fdest, fsrc| The double floating-point stored in fsrc is converted to single and stored in fdest | + +### **Conversion to integers** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert single to integer | cvt.w.s fdest, fsrc |The single floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point)
and stored in 2 ’s compliment form in fdest | +|Convert double to integer | cvt.w.d fdest, fsrc | The double floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point) and
stored in 2 ’s compliment form in fdest | + + Note + +- The output of the above two instructions is in 2 ’s compliment form, which should not be + used with any other instructions other than mfc1. Other instructions always assume the data + in registers are according to IEEE standards, which can cause errors. + +#### **Conversion from integers** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert integer to single | cvt.s.w fdest, fsrc |The data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to single precision floating point and is stored in fdest | +| Convert integer to double | cvt.d.w fdest, fsrc | The data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to double precision floating point and is stored in fdest | + +### **Special Instructions** + +| Instruction | Syntax | Remarks | +|---|---|---| +| Ceiling | ceil.w.s fdest, fsrc
ceil.w.d fdest, fsrc | The smallest integer not greater than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form | +|Floor | floor.w.s fdest, fsrc
floor.w.d fdest, fsrc | The greatest integer not smaller than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form | +|Round to nearest integer |round.w.s fdest, fsrc
round.w.d fdest, fsrc | The floating-point stored in fsrc is rounded off to
nearest integer and stored in fdest in 2 ’s compliment form | + +### **Sample Question 3** + +Given a floating point number and an integer n, round off the floating point number to n +digits. + + Hint- + +Multiplty then given number with 10^n, and round off using round.w.d instruction. +Divide the result by 10^n to obtain the final result. + +``` +data + # Constants used for calculation + const1: .double 0.0 + const2: .double 1.0 + const3: .double 10.0 + + # User prompts + msg1: .asciiz "Enter the number : " + msg2: .asciiz "Enter number of digits to round off to: " + msg3: .asciiz "The rounded off number is: " + +.text +.globl main +main: + + li $v0, 4 # Print msg1 + la $a0, msg1 + syscall + + li $v0, 7 # Read number to round off + syscall + + mov.d $f12, $f0 # $f12 = user input + + li $v0, 4 # Print msg2 + la $a0, msg2 + syscall + + li $v0, 7 # Read n + syscall + + mov.d $f16, $f0 # $f16 = n + l.d $f4, const1 # $f4 used as a loop varibale + # initialised to 0 + l.d $f6, const2 # $f6 used to store 10^n + l.d $f8, const3 # $f8 used to store 10 for + # calculating power + l.d $f10, const2 # $f10 used to store 1 for + # incrementing loop variable + loop1: # Loop to compute 10^n + c.eq.d $f4, $f16 # Ternminaltion Condition + bc1t end_loop1 + + mul.d $f6, $f6, $f8 # $f6 = $f6 * 10 + add.d $f4, $f4, $f10 # $f4 = $f4 + 1 + + j loop1 + end_loop1: + + mul.d $f12, $f12, $f6 # $f12 = $f12 * (10^n) + round.w.d $f12, $f12 # $f12 is rounded to nearest + # integer + cvt.d.w $f12, $f12 # Output after rounding, + # which is a word, is + # converted back to double + div.d $f12, $f12, $f6 # $f12 = $f12 / (10^n) + + li $v0, 4 # Print msg3 + la $a0, msg3 + syscall + + li $v0, 3 # Print final answer + syscall + + li $v0, 10 # Exit + syscall +``` + +## Integer Arrays + +An Integer Array is continuous storage block in memory in which each element is an integer. Each +element of an array is accessed using the base address of the array and the index of the element we +must access. + +### **Declaration and Initialization** + +Integer arrays can be initialized using .word or an empty array can be declared using +the .space keyword inside the .data section of the program + +``` +data: +arr: .word 3,4,-5,6 #initialized an array arr=[3,4,-5,6] +arr2: .space 40 # empty array with 40 bytes reserved for arr2 +``` + +### **Array Traversal** + +Array traversal refers to accessing each element of the array using its index. + +Each integer element of an array occupies a word (4 bytes). Therefore,4 bytes needed to be added to the current address to obtain the address of the following element. + +_Address of arr [k] = base address of arr + 4 * k_ + +Eg: To access arr[3] and store it to $t + +``` +a $s0,arr # base add address of array is stored in $s0 +addi $s0,$s0,12 # $s0 = address of arr[3] = arr + 4 * 3 +lw $t2,0($s0) # $t2=arr[3] +``` +or +``` +a $s0,arr # base add address of array is stored in $s0 +lw $t2,12($s0) # $t2=value of (arr + 12) +``` + +### **Array Input** + +The elements of an integer array can be received from the user using the syscall for +integer input and a for loop. + +_An empty array must be declared in the .data by allocating the necessary space as shown before._ + +_Each integer element of an array occupies 4 bytes. Therefore, 4 bytes needed to be added to the current address after each insertion._ + +The following code segment can be used to create an array of size n by receiving inputs from the user: + +Assume $s1 contains n, $s0 contains base address of an empty array and $t0 is the loop counter + +``` +for: + beq $t0,$s1,exit # loop termination condition + sll $t1,$t0,2 # $t1=i*4 + add $t1,$t1,$s0 # $t1 = arr + i * 4 + + li $v0,5 # input integer and store in $t2 + syscall + move $t2,$v0 + sw $t2,0($t1) # $t2 = arr[i] + addi $t0,$t0,1 # increment loop counter i + j for +``` + +### **Printing an Integer Array** + +Integer Array can be printed by traversing each element by using array traversal rules (as discussed above) and integer printing (code 1 is loaded to $v0). + +Consider the following code snippet to print the array created in the previous example in which every element is provided by the user: + +``` +la $s0,arr # store base address of array in $s0 +li $t0,0 +print: + beq $t0,$s1,end + sll $t1,$t0,2 # array traversal to access arr[i] + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + li $v0,1 # 1 is the syscall number to print integers + move $a0,$t2 # print $t2 + syscall + + li $a0, 32 # 32 is the ASCII code for space + li $v0, 11 # syscall number for printing character + syscall + addi $t0,$t0,1 # increment loop counter + j print +end: +li $v0,10 #end program +syscall +``` + +### **Negative Numbers as Elements** + +All integer operations in MIPS support both positive and negative numbers. No changes are required to the program for the input and output of positive integers. + +``` +enter array element: -5 +enter array element: -6 +enter array element: 8 +enter array element: -2 +The elements of the array are: -5.0 -6.0 8.0 -2.0 +-- program is finished running -- +``` + +### **Floating Point Numbers as Elements** + +An array of floating-point numbers can be created by replacing all the integer +operations with floating-point operations. The following changes need to be made to +convert an integer array into an array of floating-point numbers: + +1) Replace the integer code loaded to $v0 by floating-point code +2) Replace the load, store and move operation by l.s , s.s and mov.s where floating point data type is used + +``` +# program to input array +for: + beq $t0,$s1,exit + sll $t1,$t0,2 + add $t1,$t1,$s0 + li $v0,6 # code for floating point input + syscall + s.s $f0,0($t1) # arr[i]=$f0 + addi $t0,$t0,1 + j for +exit: + +# print array +print: + beq $t0,$s1,end + sll $t1,$t0,2 + add $t1,$t1,$s0 + l.s $f0,0($t1) # $f0=arr[i] + li $v0,2 # code for printing floating point + mov.s $f12,$f0 + syscall + li $a0, 32 + li $v0, 11 + syscall + addi $t0,$t0,1 + j print +end +``` + +### **One Dimensional Arrays** + +#### **To calculate the sum of n numbers in an n sized array** + +``` +li $t0,0 +la $s0,arr +li $t3,0 #t3 stores the sum +sum: #for loop + beq $t0,$s1,end # $s1 contains n + sll $t1,$t0,2 + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + add $t3,$t3,$t2 # $t3=$t3+$t2 + addi $t0,$t0,1 # increment loop counter + j sum +end: +li $v0,1 # print sum +move $a0,$t3 +syscall +``` + +#### **To find the largest element in an n sized array** + +``` +la $s0,arr +li $t0,0 +li $t3,-1000 # $t3=max + # initialized to -1000 +max: + beq $t0,$s1,end # $s1 contains n + sll $t1,$t0,2 + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + ble $t2,$t3,inc_i # if arr[i]<=max, jump to inc_count + move $t3,$t2 # if arr[i]>max, max=arr[i] + inc_count: + addi $t0,$t0,1 # increment loop counter + j max +end: +li $v0,1 # print max +move $a0,$t3 +syscall +``` + +## **String Operations (Advanced)** + +### **Null Character and Newline** + +When strings are created by using user input (code 8 passed to $vo), it forms a sequence of ASCII +characters that are terminated by a newline character, followed by a NULL character. The newline +character is triggered by the pressing of enter key during user input. + +_The ASCII code for newline is 10. Hence_ beq, $t1,10,label _can be used as a comparing instruction_ + +_MIPS treats NULL character as a zero. Hence_ beqz, $t1, label _can be used as a comparing instruction_ + +### **String Traversal** + +Strings are made up of characters, in which each character occupies a byte. Hence, unlike an Integer +Array, each character must be traversed byte by byte. + +Eg: + +To find the length of a string (newline character need not be included) + +``` +# assume string is input to str1 +li $s1,0 #loop counter (i) + +len: + lb $t1,str1($s1) # $t1=str[i] + beq $t1,10,start # termination condition + addi $s1,$s1,1 # i=i+1 + j len +start: + li $v0,1 # print len=i + move $a0,$s1 + syscall +``` + +_If the newline character needs to be included in the length, beq should be replaced with beqz_ + +### **String Concatenation** + +Concatenation of two strings can be done using 2 loops. The presence of newline and null character +at the end of each string should be considered. + +Consider two strings, str1 and str2. The following is the pseudocode and the code snippet for +concatenating str2 to str1: +_Pseudo Code:_ + +```c +i=0 + +j=0 + +while str1[i]!='\n' + +i++ + +while str2[j]!='\0' + +str1[i]=str2[j] + +i++ + +j++ +``` +_MIPS:_ + +```mips +i $s1,0 # i=0 +li $s2,0 # j=0 +loop1: + lb $t1,str1($s1) + beq $t1,10,loop2 + addi $s1,$s1,1 # i++ + j loop1 +loop2: + lb $t1,str2($s2) + beqz $t1,end + sb $t1,str1($s1) # str1[i]=str[j] + addi $s1,$s1,1 # i++ + addi $s2,$s2,1 # j++ + j loop2 +end: +``` + +### **Palindrome Checking** + +A palindrome sequence that reads the same backwards as forwards. Palindrome checking uses two +pointer method. + +Assume a string str, whole length is n. Length of the string is calculated by previous method and +stored in $s1. The following code snippet shows the while loop necessary for checking whether the +string is palindrome + +``` +addi $s1,$s1,-1 # j=n-1 +li $s0,0 # i=0 +for: + lb $t1,str($s0) + lb $t2,str($s1) + bge $s0,$s1,true # if i>=j,exit loop. return True + bne $t1,$t2,false # if str[i]!=str[j], return False + addi $s0,$s0,1 # i++ + addi $s1,$s1,-1 # j-- + j for +``` + +## **Two Dimensional Arrays** + +2-DArrays/Matrices/Tables aren’t stored in memory the way it is normally visualized; rather it is stored as a single array in either row major form or column major form. Let’s see how a matrix is represented in memory in both the forms with a starting address of 1230. (Remember:In an array each element is 4 bytes long). + +a) Row Major form : Here elements are stored row wise. First we store the first row, then the second and soon. + + + +b) Column Major form: Here elements are stored column wise. First we store the first column, then the second and soon. + +Row Major form ( starting address 1000 ) + + + +Column Major form ( starting address 1000 ) + + + +### **Sample code** + +_->Insertion of elements and printing the 2D-array_ + +_**We are using row major form to input the elements as a string_ + +_**NOTE: all characters need to be space separated, the end of the sequence also need to have a space_ + +### **Pseudo code->** + +``` +Prompt user for number of rows and columns +Initialize 2D array +Prompt user to enter matrix values as a string +Loop through input string: +- Extract numbers delimited by spaces +- Convert each substring to integer and store in the 2D array +Print the matrix: +- Loop through rows: +- Loop through columns: +- Print each element of the matrix +- Print a space between elements +- Print a newline after each row +Exit the program +``` +### **MIPS Code->** + +``` +.data +newline: .asciiz "\n" +space: .asciiz " " +msg_rows: .asciiz "Enter number of rows : " +msg_columns: .asciiz "Enter number of columns : " +msg_input: .asciiz "Enter matrix ( row major form ) : " +mat1: .space 100 +input: .space 100 + +.text +main: + li $v0, 4 + la $a0, msg_rows + syscall + + li $v0, 5 + syscall + move $t0, $v0 # $t0 = number of rows + + li $v0, 4 + la $a0, msg_columns + syscall + + li $v0, 5 + syscall + move $t1, $v0 # $t1 = number of columns + + li $v0, 4 + la $a0, msg_input + syscall + + li $v0, 8 # syscall for read_str + la $a0, input # Load address of input buer + li $a1, 100 # Maximum length + syscall + + la $t2, input + la $t3, mat1 + + li $t5, 0 + + # t2 - address of input string + # t3 - address of matrix + # t4 - used to iterate through string + # t5 - used to calculate number + +input_loop: + lb $t4, 0($t2) + beq $t4, 32, store + +# when a space is detected add the number calculated to the array and continue + + beq $t4, $zero , end_input + +#In ASCII encoding, the decimal value 32 corresponds to the space character (' ') + +#This loop exist to calculate numbers that have more than one digit , since our input is a string. + +# We need to iterate and add the characters until we detect a space + + sub $t6 , $t4, 48 # converts character to integer + +# for calculating the number + + mul $t5, $t5, 10 + add $t5, $t5, $t6 + addi $t2, $t2, 1 + + j input_loop +store: + sw $t5, 0($t3) #store the number obtained in the 2D-array + + addi $t3, $t3, 4 #increment the address to next memory block + li $t5, 0 + + add $t2 , $t2 , 1 #increment the index of string + j input_loop #loop back to the input to continue iteration of string + +end_input : + li $t6 , 0 # Initialize row index + la $t8, mat1 # Load address of array for printing + + li $v0, 4 + la $a0, newline + syscall + +print_loop: + beq $t6 , $t0 , end_programme + li $t7 , 0 # Initialize column index + +print_column_loop: + lw $t9 , 0($t8) # Load number from array + li $v0, 1 # syscall for print_int + move $a0, $t9 # Load number to print + syscall + + li $v0, 4 # syscall for print_str + la $a0, space # Load address of space + syscall + + addi $t7, $t7, 1 # Increment column index + addi $t8 , $t8 , 4 # Increment base address + blt $t7, $t1 , print_column_loop # Print all elements in the row + +# Print newline after each row + + li $v0 , 4 + la $a0 , newline + syscall + +# Reset column index for next row + li $t7 , 0 + +# Increment row index + addi $t6 , $t6 , 1 + + j print_loop + +end_programme : + li $v0, 10 # syscall for exit + syscall +``` +### **Output** +``` + Enter number of rows:3 + Enter number of columns:3 + Enter matrix(row major form) : 1 2 3 4 5 6 7 8 9 + + 1 2 3 + 4 5 6 + 7 8 9 +``` + +### **->To find maximum and minimum element in a 2-D array** + +**We are using row major form to input the elements as a string + +**same process of taking the input as the above + +__**NOTE: all characters need to be space separated, the end of the sequence also need to have a space__ + +#### **Pseudo code->** + +``` +Prompt user for number of rows and columns +Initialize 2D array +Prompt user to enter matrix values as a string +Loop through input string: +- Extract numbers delimited by spaces +- Convert each substring to integer and store in the 2D +array +Find minimum and maximum elements in the matrix: +- Initialize minimum element to maximum possible value +and maximum element to 0 +- Loop through each element of the matrix: +- Update minimum and maximum elements if necessary +Print the maximum element message: +- Print "The maximum element is: " +- Print the maximum element +Print the minimum element message: +- Print "The minimum element is: " +- Print the minimum element +Exit the program +``` + +### **MIPS Code->** + +``` +.data +newline: .asciiz "\n" +space: .asciiz " " +msg_rows: .asciiz "Enter number of rows : " +msg_columns: .asciiz "Enter number of columns : " +msg_input: .asciiz "Enter matrix ( row major form ) : " +maximum : .asciiz"The maximum element is : " +minimum : .asciiz"The minimum element is : +mat1: .space 100 +input: .space 100 +.text +main: + li $v0, 4 + la $a0, msg_rows + syscall + + li $v0, 5 + syscall + move $t0, $v0 # $t0 = number of rows + + li $v0, 4 + la $a0, msg_columns + syscall + + li $v0, 5 + syscall + move $t1, $v0 # $t1 = number of columns + + li $v0, 4 + la $a0, msg_input + syscall + + li $v0, 8 # syscall for read_str + la $a0, input # Load address of input buer + li $a1, 100 # Maximum length + syscall + + la $t2, input + la $t3, mat1 + + li $t5, 0 + + # t2 - address of input string + # t3 - address of matrix + # t4 - used to iterate through string + # t5 - used to calculate number + +input_loop: + lb $t4, 0($t2) + beq $t4, 32, store + + # when a space is detected add the number calculated to the array and continue + + beq $t4, $zero , end_input + + #In ASCII encoding, the decimal value 32 corresponds to the space character (' ') + + #This loop exist to calculate numbers that have more than one digit , since our input is a string + # we need to iterate and add the characters until we detect a space + + sub $t6 , $t4, 48 # converts character to integer + + # for calculating the number + mul $t5, $t5, 10 + add $t5, $t5, $t6 + addi $t2, $t2, 1 + j input_loop + +store: + sw $t5, 0($t3) #store the number obtained in the 2D-array + addi $t3, $t3, 4 #increment the address to next memory block + li $t5, 0 + add $t2 , $t2 , 1 #increment the index of string + j input_loop #loop back to the input to continue iteration of string + +end_input : + li $t6 , 0 # Initialize row index + la $t8, mat1 # Load address of array for printing + li $v0, 4 + la $a0, newline + syscall + +find_min_max: + li $t3 , 0 # Initialize max element to 0 + li $t2 , 0xffffffff + li $t6 , 0 # Initialize row index to 0 + la $a0 , mat1 + +row_loop: + beq $t6, $t0 , end # If all rows have been checked, exit + + li $t7, 0 # Initialize column index to 0 + +column_loop: + beq $t7, $t1 , end_column_loop # If all columns have been checked, move to next row + + lw $t8, 0($a0) # Load current element from array + +# Compare current element with max element and the min element + bgt $t8, $t3, update_max # if element greater than max element , update max + + min : + blt $t8 , $t2 , update_min # if element smaller than min element, update min + + increment : + addi $a0, $a0, 4 # Move to next column + addi $t7, $t7, 1 # Increment column index + j column_loop + +update_max: + move $t3 , $t8 # Update max element + j min # control goes back to checking for the conditional statement of min element + +update_min: + move $t2 , $t8 # Update min element + j increment # control goes back to incrementing the looping values + +End_column_loop: + addi $t6, $t6, 1 # Increment row index + j row_loop + +end : + li $v0 , 4 + la $a0 , maximum + syscall + + li $v0 , 1 + move $a0 , $t3 # printing the maximum element + syscall + + li $v0 , 4 + la $a0 , newline + syscall + + li $v0 , 4 + la $a0 , minimum # printing the minimum element + syscall + + li $v0 , 1 + move $a0 , $t2 + syscall + + li $v0 , 10 + syscall +``` +### **Output** +``` + Enter number of rows :3 + Enter number of columns :3 + Enter matrix ( row major form ) : 1 2 34 5 6 7 300 9 22 + + The maximum element is : 300 + The minimum element is : 1 +``` + +### **More Questions** + + _->Searching in Matrix: Write a MIPS assembly program to search for a given element in a matrix and return its position if found._ + + _->Matrix Addition: Write a MIPS program to add two matrices of the same size_ + + _->Transpose of a Matrix: Write a MIPS assembly program to find the transpose of a given matrix._ + + +★ **MIPS code for if statements** + ● Iftheconditionisanequalityusebeq,bne + ● Iftheconditionisacomparisoncombinebeq/bnewithset-on-less-than + + +**Why not blt or bge?** + While blt and bge (pseudo-instructions) are available in MIPS, beq and bne are favoured inconditional statements for their efficiency making them the common choice. + +**Example 1)** Given,f:$s0, g:$s1, h:$s2, i:$s3, j:$s4 +``` + if(i==j) + f = g + h; + else + f = g - h; +``` + +**Solution 1 :-** Corresponding MIPS code +``` + bne $s3, $s4, else + add $s0, $s1, $s2 + j endif +else: sub $s0, $s1, $s2 +endif: ........... +``` +**Example 2)** Given,f:$s0 ,g:$s1 ,h:$s2 ,i:$s3 ,j:$s4 +``` + if(i + +➢ Stack allocation (refer Recursion in MIPS(non-leaf procedure) for detailed explanation) + + + +(a) before, (b) during, and (c) after a procedure call. + +There are two types of procedure calling- + +**1) Leaf Procedures:** These procedures do not call other procedures. + +When a leaf procedure is called: + +● There turn address is saved on the stack. +● A stack frame/procedure frame/activation record is setup to store local variables. +● Arguments may be passed in registers or on the stack. +● The procedure executes its code. + +Upon completion,it restores the stack pointer and returns to the saved return address. + +**2) Non-leaf Procedures(NestedProcedures):** These procedures call other procedures, eg.- **Recursive Functions/Procedures.** + +In addition to the steps for leaf procedures, non-leaf procedures must manage: + +● Saving and restoring additional registers beyond there turn address. +● Managing multiple levels of procedure calls and returns. +● Ensuring that data in registers is preserved a cross nested calls. +● Properly handling there turn value from called procedures. + +★ **Function (Procedure) calling in MIPS** + + +In MIPS assembly language, passing parameters to functions involves using +registers. Unlike high-level languages where parameters are often passed on the stack,MIPS typically uses specific registers for passing arguments. + +● $a0 to $a3 :These are argument registers and are used to pass the first four arguments to a function. If a function has more than four parameters,additional parameters are typically passed on the stack. + +● $v0 and $v1: These are value registers and are used to return values from functions. Functions can return up to two values using these registers. + + + +(Note:-i) Register 1, called $at, is reserved for the assembler. + ii) Registers 26–27, called $k0–$k1 are reserved for the operating system.) + +➢ **Steps in calling a procedure (function)** + +In MIPS assembly language, procedure calling follows a similar structure to function calls in high-level languages like C. + +The following steps typically occur in both leaf and non-leaf functions but there are some nuances in how they're implemented, especially regarding the handling of the stack: + +● **Arguments Passing** :.Arguments to the function can be passed via registers or the stack, depending on the calling convention. In register-based passing, arguments are loaded in to designated argument registers like $a0-$a3. If there are more arguments than available registers, excess arguments are typically passed on the stack. + +● **Jump and Link (jal)** :jal makes the control jump to the given address while storing there turn address at PC+4 in the $ra register This effectively sets up the return mechanism for the function call. + +● **Function Prologue (Non-leaf functions):** Non-leaf functions need to setup a stack frame. This involves: Saving the return address($ra) +on to the stack, saving any callee- saved registers on to the stack(these are typically $s0-$s7),setting up the frame pointer($fp) to establish a reference point for accessing local variables and saved registers. + +● **Function Execution** :The function performs its task, accessing arguments, local variables, and performing computations. + +**● Function Epilogue (Non-leaf functions):** Before returning, non-leaf functions need to cleanup the stack frame and restore the state of callee-saved registers.This involves restoring callee-saved registers from the stack, restoring the return address($ra) from the stack resetting the stack pointer($sp) to deallocate the stack frame, jumping back to the return address using the jr $ra instruction. + +● Return: Upon completing its task, the function returns control to the caller. If it's a leaf function, it typically involves jumping back to the return address stored in $ra using the jr $ra instruction. For non-leaf + functions, the return sequence includes restoring the stack frame and registers before jumping back to the caller. + +(Note:-For simplicity we will only use $sp and extend the stack at procedure entry/exit) + +**Example 1)** Calling a procedure which prints a string +```asm +//code +printFunction(); +a=a+2; +//code +``` + +**Solution 1 :-** Corresponding MIPS code +```asm +data +hello_string: .asciiz "Hello, world!\n" # String definition + +.text +main: +....previous code +jal printFunction #jump to printFunction +addi $s2, 2 +....further code +li $v0, 10 # Set syscall code 10 for exit +syscall # Perform syscall to exit the program + +printFunction: +li $v0, 4 # Set syscall code 4 to print a string +la $a0, hello_string # Load the address of the string +syscall # Perform syscall to print +jr $ra # Return control to PC + 4 +``` + +● When jal is used, the control moves to the address specified in the instruction and the address of the next instruction is stored in $ra. + +● When the procedure ends jr $ra is used to return control back to the +next address from where it jumped. + +● These procedures are usually placed after the “main” procedure to avoid instruction overlap. + +**Example 1)** Calling a function with parameters +```asm +//code +result=addNumbers(5,7); +//code +``` + + +**Solution 1 :-** Corresponding MIPS code +```asm +text +main: + li $a0, 5 # Load first parameter (5) into $a0 + li $a1, 7 # Load second parameter (7) into $a1 + jal addNumbers # Jump to addNumbers function + move $s0, $v0 # Store the result returned by addNumbers in $s0 + # Further code + li $v0, 10 # Set syscall code 10 for exit + syscall # Perform syscall to exit the program + +addNumbers: + add $v0, $a0, $a1 # Add the values of $a0 and $a1 and store the result in $v0 + jr $ra # Return control to the next instruction after jal +``` + +● In the main function, parameters are passed to the addNumbers function by loading values into registers $a0 and $a1. + +● The jal instruction is used to jump to the addNumbers function. + +● Inside the addNumbers function, the parameters are accessed from the $a0 and $a1 registers. + +● The result of the addition is stored in register $v0, which is commonly used to return function results in MIPS. + +● Finally, jr $ra is used to return control back to the instruction after the jal in the main function. + +★ **Recursion in MIPS (non-leaf procedure)** + +In MIPS assembly language, implementing recursion involves understanding function calls and stack manipulation. + +**The Stack in MIPS Assembly** + +● The stack is a crucial data structure used in MIPS assembly language for managing function calls, local variables, and return addresses. +● It operates based on the Last-In-First-Out (LIFO) principle, meaning the +last item pushed onto the stack is the first item to be popped off. + +**Stack Operations:** + +**Stack Pointer ($sp):** +● The stack pointer register, $sp, points to the top of the stack. It keeps track of the current position in memory where new items are pushed onto or popped off the stack. + +**Push Operation:** +● To push data onto the stack, the stack pointer is decremented to reserve space for the new item, and then the data is stored at the memory location pointed to by the stack pointer. + +**Pop Operation:** +● To pop data off the stack,the data is retrieved from the memory location +pointed to by the stack pointer, and then the stack pointer is incremented to remove the item from the stack. + +**Stack Usage in Function Calls:** +**Function Prologue:** +● When a function is called, the current contents of relevant registers (such as there turn address and callee-saved registers) are typically saved on the stack to ensure they are preserved. +● This process is often referred to as the function prologue. + +**Function Epilogue:** +● Upon completion of the function, the saved values on the stack are restored to their original registers. +● This process is known as the function epilogue. + + +**Example)** Function Call and Stack Usage + +● When main calls my Function using jal, the return address (the address of the instruction following the function call) is automatically saved in register $ra. +● Inside my Function, the function prologue allocates space on the stack +to save the return address. +● The function body executes the desired operations. +● Finally, in the function epilogue, the return address is restored, and the stack space allocated in the prologue is deallocated before returning control to the caller. + +To understand recursion in MIPS, a good understanding of the stack pointer and how it operates on memory is imperative. Recursion involves careful management of the function call stack, ensuring that return addresses and local variables are properly saved and restored. This example illustrates the process of calculating the factorial of a number using recursion in MIPS assembly language. + +**Example) Calculating the factorial of a number using recursion** + +```asm +//code +result = factorial(5); +//code +``` + +**Solution 1 :-** Corresponding MIPS code +```asm +text +main: + li $a0, 5 # Load the value 5 (number whose factorial is to be calculated) into $a0 + jal factorial # Jump to the factorial function + move $s0, $v0 # Store the result returned by factorial in $s0 + + # Further code using the result stored in $s0 + # ... + + li $v0, 10 # Set syscall code 10 for exit + syscall # Perform syscall to exit the program + +factorial: + # Function prologue + addi $sp, $sp, -4 # Allocate space on the stack for local variables + sw $ra, 0($sp) # Save the return address on the stack + + # Check for base case: if n <= 1, return 1 + li $t0, 1 # Load the value 1 into $t0 + ble $a0, $t0, base_case # Branch to base_case if $a0 (n) <= $t0 (1) + + # Recursive case: n * factorial(n - 1) + addi $a0, $a0, -1 # Decrement $a0 (n) by 1 + jal factorial # Recursive call to factorial function + lw $ra, 0($sp) # Restore the return address from the stack + addi $sp, $sp, 4 # Deallocate space on the stack for local variables + mul $v0, $a0, $v0 # Multiply n by the result of factorial(n - 1) + jr $ra # Return control to the caller + +base_case: + # Base case: n <= 1, return 1 + li $v0, 1 # Load the value 1 into $v0 + lw $ra, 0($sp) # Restore the return address from the stack + addi $sp, $sp, 4 # Deallocate space on the stack for local variables + jr $ra # Return control to the caller +``` + +● In the main function, the value 5 is loaded into register $a0 to calculate its factorial. +● The factorial function is then called using the jal instruction. +● Inside the factorial function, the base case checks if the input value n is less than or equal to 1.If so,it returns 1. +● Otherwise,the function decrements n by 1 and recursively calls itself +with the decremented value. +● The result of the recursive call is then multiplied by n to compute the factorial. +● Finally,the result is returned to the caller using register $v0. + + + + +### **References** +J.L.Hennessy and D.A.Patterson Computer Organization and Design:The Hardware/Software Interface, Fifth Edition +“Digital Logic and Computer Design” by M.Morris Mano +“Digital Fundamentals” by Thomas L.Flyod +[http://www.cs.missouristate.edu/MARS/](http://www.cs.missouristate.edu/MARS/) +[https://www.d.umn.edu/~gshute/mips/directives-registers.pdf](https://www.d.umn.edu/~gshute/mips/directives-registers.pdf) +[https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html](https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html) +[https://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.html](https://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.html) +[https://riptutorial.com/mips/example/29993/mars-mips-simulator](https://riptutorial.com/mips/example/29993/mars-mips-simulator) +[https://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf](https://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf) diff --git a/docs/fsm.md b/docs/fsm.md new file mode 100644 index 0000000..5910a81 --- /dev/null +++ b/docs/fsm.md @@ -0,0 +1,798 @@ +# **Introduction To Finite State Machines** + +## **Basic Definition And Formulations:** + +An FSM is a digital sequential circuit that can follow a number of predefined +states under the control of one or more inputs. Each state is a stable entity that +the machine can occupy. It can move from this state to another state under the +control of an outside-world input. + + + +Some FSMs have a clock input and are called synchronous FSMs, i.e. those that +do not belong to a type of FSM called asynchronous FSMs. +Each state of the FSM needs to be identifiable. This is achieved by using a +number of internal (to the FSM block) flip-flops. An FSM with four states +would require two flip-flops, since two flip-flops can store 22 =4 state numbers. +Each state has a unique state number, and states are usually assigned numbers +as s0 (state 0), s1, s2, and s3 (for the four-state example). +The rule here is + +**Number of states = 2(number of flip-flops)** + or +**Number of flip-flops = log2(number of states)** + +### **State Table** +The time sequence of inputs, outputs, and flip-flop states may be enumerated in +a state table. The state table for the circuit of Fig. 1.2 is shown in Table 1.1. It +consists of three sections labeled present state, next state, and output. The +present state designates the states of flip-flops before the occurrence of a clock +pulse. The next state shows the states of flip-flops after the application of a +clock pulse, and the output section lists the values of the output variables during +the present state. Both the next state and output sections have two columns, one +for x = 0 and the other for x = 1. + + + + + +### **State Equations** +A state equation (also known as an application equation) is an algebraic +expression that specifies the conditions for a flip-flop state transition. The left +side of the equation denotes the next state of a flip-flop and the right side, a +Boolean function that specifies the present state conditions that make the next +state equal to 1. A state equation is similar in form to a flip-flop characteristic +equation, except that it specifies the next state conditions in terms of external +input variables and other flip-flop values. The state equation is derived directly +from a state table. For example, the state equation for flip-flop A is derived +from inspection of Table 1-1. From the next state columns, we note that flip- +flop A goes to the 1 state four times: when x = 0 and AB = 01 or 10 or 11, or +when x = 1 and AB = 11. This can be expressed algebraically in a state equation +as follows: +**A(t + 1) = (A′B + AB′ + AB) x′ + Abx** + +### **State Diagram** +The information available in a state table may be represented graphically in a +state diagram. In this diagram, a state is represented by a circle, and the +transition between states is indicated by directed lines connecting the circles. +The state diagram of the sequential circuit give in the [Section 1.1](#11-state-table) is shown below. The +binary number inside each circle identifies the state the circle represents. +The directed lines are labeled with two binary numbers separated by a /. The +input value that causes the state transition is labeled first; the number after the symbol / gives the value of the output during the present state. For example, the +directed line from state 00 to 01 is labeled 1/0, meaning that the sequential +circuit is in a present state 00 while x = 1 and x = 0, and that on the +termination of the next clock pulse, the circuit goes to next state 01. A directed +line connecting a circle with itself indicates that no change of state occurs. The +state diagram provides the same information as the state table and is obtained +directly from table in [Section 1.1](#11-state-table) + + + +--- + +## **Types of Finite State Machines:** + +### **Mealy Model** +n this model, the FSM has a number of inputs that connect to the Next State +Decoder (combinational) logic. The Q outputs of the memory element Flip- +Flops connect to the Output Decoder logic, which in turn connects to the +Outside World Outputs. The Flip-Flops outputs are used as Next State inputs to +the Next State Decoder, and it is these that determine the next state that the +FSM will move to. Once the FSM has moved to this Next State, its Flip-Flops +acquire a new Present State, as dictated by the Next State Decoder. +Note that some of the Outside World Inputs connect directly to the Output +Decoder logic. This is the main feature of the Mealy-type FSM. + + + +### **Moore Model** +Another architectural form for an FSM is the Moore FSM. +The Moore FSM [Section 2.2](#22-moore-model) differs from the Mealy FSM in that it does not +have the feed-forward paths. This type of FSM is very common. Note that the +Outside World Outputs are a function of the Flip-Flops outputs only (unlike the +Mealy FSM architecture, where the Outside World Outputs are a function of +Flip-Flops outputs and some Outside World Inputs). + + + +--- + +## **Pre-Requisite Verilog Commands:** +### **Initial** +A set of Verilog statements are usually executed sequentially in a simulation. +These statements are placed inside a procedural block. Initial is one of the two +main types of procedural block statements. An initial block is not synthesizable +and hence cannot be converted into a hardware schematic with digital elements. +Hence initial blocks do not serve much purpose than to be used in simulations. +These blocks are primarily used to initialize variables and drive design ports +with specific values.This block will be executed only once during the entire +simulation. Execution of an initial block finishes once all the statements within +the block are executed. +The syntax is shown below: +```v +initial + [single statement] + +initial begin + [multiple statement] +end +``` +### **Always** +An always block is one of the procedural blocks in Verilog. Statements inside +an always block are executed sequentially. The always block is executed at +some particular event defined in the sensitivity list. +A sensitivity list is the expression that defines when the always block should be +executed and is specified after the @ operator within parentheses ( ) . This +list may contain either one or a group of signals whose value change will +execute the always block. +An always block can be used to realize combinational or sequential elements. A +sequential element like flip flop becomes active when it is provided with a clock +and reset. Similarly, a combinational block becomes active when one of its nput values change. These hardware blocks are all working concurrently +independent of each other. The connection between each is what determines the +flow of data. To model this behavior, an always block is made as a continuous +process that gets triggered and performs some action when a signal within the +sensitivity list becomes active. The syntax is displayed in [Section 2.2](#22-moore-model) +```v +always @ (event) + [statement] + +always @ (event) begin + [multiple statement] +end +``` + +### **Begin..End** +Statements are wrapped using begin and end keywords and will be executed +sequentially in the given order, one after the other. Delay values are +treated relative to the time of execution of the previous statement. After all the +statements within the block are executed control may be passed elsewhere. The +syntax is shown in [Section 3.3](#33-beginend) +```v +begin : name_seq + [statements] +end +``` +### **Fork..Join** +Statements are launched in parallel by wrapping them within the join and fork +keywords. A parallel block can execute statements concurrently and delay +control can be used to provide time-ordering of the assignments. The syntax is +shown in [Section 3.4](#34-forkjoin) +```v +fork : name_fork + [statements] +join +``` +### **Blocking Statements** +Blocking assignment statements are assigned using = and are executed one after +the other in a procedural block. However, this will not prevent execution of +statments that run in a parallel block. For eg +```v +module tb; + reg[7:0] a, b, c, d, e; + + initial begin + a = 8'hDA; + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + + b = 8'hF1; + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + + c = 8'h30 + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + end + + initial begin + d = 8'hAA; + $display("[%0t] d=0x0%h e=0x0%h", $time, d, e); + + e = 8'h55; + $display("[%0t] d=0x0%h e=0x0%h", $time, d, e); + end +endmodule +``` +In the above example, there are two initial blocks which are executed in parallel +when simulation starts. Statements are executed sequentially in each block +and both blocks finish at time 0ns. To be more specific, variable a gets assigned +first, followed by the display statement which is then followed by all other +statements. + +### **Non-Blocking Statements** +Non-blocking assignment allows assignments to be scheduled without blocking +the execution of following statements and is specified by a <= symbol. It's +interesting to note that the same symbol is used as a relational operator in +expressions, and as an assignment operator in the context of a non-blocking +assignment. The following code snippet is written by replacing all the blocking +statements in the previous example by non blocking statements. Try out for +yourself and compare the difference in the outputs :-) . +```v +module tb; + reg[7:0] a, b, c, d, e; + + initial begin + a <= 8'hDA; + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + + b <= 8'hF1; + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + + c <= 8'h30 + $display("[%0t] a=0x%0h b=0x0%h c=0x0%h", $time); + end + + initial begin + d <= 8'hAA; + $display("[%0t] d=0x0%h e=0x0%h", $time, d, e); + + e <= 8'h55; + $display("[%0t] d=0x0%h e=0x0%h", $time, d, e); + end +endmodule +``` + +### **Posedge and Negedge** +Simply speaking, +posedge means the transition from 0 to 1 +negedge the oposit transition from 1 to 0 +In synchronous sequential circuits, changes in flip-flops +occur only in response to a transition of a clock pulse. The transition may be +either a positive edge or a negative edge of the clock, but not both. Verilog HDL +takes care of these conditions by providing these two keywords viz. posedge +and negedge. The syntax is shown in [Section 3.7](#37-posedge-and-negedge) +```v +always@(posedge clock, negedge reset); +``` + +### **Case Statement** +The case statement checks if the given expression matches one of the other +expressions in the list and branches accordingly. It is typically used to +implement a multiplexer. The if-else construct may not be suitable if there are +many conditions to be checked and would synthesize into a priority encoder +instead of a multiplexer. +```v +// Here 'expression' should match one of the lines +case() + case_item1 : + case_item2, + case_item3 : begin + + end + default : +endcase +``` +The syntax is shown in [Section 3.8](#38-case-statement). A Verilog case statement starts with the +keyword case and ends with the endcase keyword. The expression within +parantheses will be evaluated exactly once and is compared with the list of +alternatives in the order they are written and the statements for which the +alternative matches the given expression are executed. A block of multiple +statements must be grouped and be within begin and end. +If none of the case items match the given expression, statements within the +default item is executed. The statement is optional, and there can be only one +default statement in a case statement. + +--- + +## **Examples of Implementing State Machines in Verilog:** +Now that we are all set and armed with the required amunition of verilog +commands, let’s dive headfirst into implementing state machines using verilog. +This section will consist of four examples. Each example will consist of the +problem statement, the state diagram, the verilog code and testbench and +finally,the output of the code. +Try implementing these problems on your own first without referring to this +manual. If yoou get stuck at any point, you can come back and take a peek ;-) +Remember, the key lies in understanding the state diagram thoroughly!! + +### **Mealy Machine Implementations** +Let’s start our dive with a simple example of implementing a mealy machine. +Recall that in a Mealy machine, the output is a function of both, the present +state as well as the input. Let’s consider the example of a Mealy Zero Detector +shown in [Section 4.1](#41-mealy-machine-implementations) + +### **Verilog Code:** +```v +module mealy_zero_det( + output reg y_out, + input x_in,clk,reset); + + reg [1:0] state,next_state; + parameter S0 = 2'b00, S1 = 2'b01, S2 = 2'b10, S3 = 2'b11; + + always@(posedge clk,negedge reset) + if (reset==0) state <= S0; + else state<=next_state; + + always @ (state, x_in) + case (state) + S0: + if (x_in) next_state = S1; else next_state =S0; + S1: + if (x_in) next_state = S3; else next_state =S0; + S2: + if (~x_in) next_state = S0; else next_state = S2 + S3: + if (x_in) next_state = S2; else next_state=S0 + endcase + + always @ (state, x_in) + case (state) + S0: + y_out = 0; + S1, S2, S3: + y_out = ~x_in; + endcase + +endmodule +``` + +### **TestBench:** +```v +module mealy_zero_det_tb(); + wire t_y_out; + reg t_x_in,t_clock,t_reset; + mealy_zero_det uut(t_y_out,t_x_in,t_clock,t_reset);initial #200 $finish; + initial + begin + $dumpfile("dump.vcd"); + $dumpvars(1); + t_clock = 0; + forever #5 t_clock = ~t_clock; + end + initial + fork + t_reset = 0; + #2 t_reset = 1; + #87 t_reset = 0; + #89 t_reset = 1; + #10 t_x_in = 1; + #30 t_x_in = 0; + #40 t_x_in = 1; + #50 t_x_in = 0; + #52 t_x_in = 1; + #54 t_x_in = 0; + #70 t_x_in = 1; + #80 t_x_in = 1; + #70 t_x_in = 0; + #90 t_x_in = 1; + #100 t_x_in = 0; + #120 t_x_in = 1; + #160 t_x_in = 0; + #170 t_x_in = 1; + join +endmodule +``` +### **Simulation Waveform:** + + +### **Moore Machine Implememtation** +Let’s try implementing the above example in Moore Model. The state diagram +needs to be modified as in the Moore Model, the output depends only on the +initial state. The state diagram will be as shown in [Section 4.2](#42-moore-machine-implememtation) + + + +### **Verilog Code:** +```v +module moore_zero_det ( + output [1:0] y_out, + input x_in, clock, reset + ); + + reg [1:0] state; + parameter S0 = 2'b00, S1 = 2'b01, S2 = 2'b10, S3 = 2'b11; + + always @ (posedge clock, negedge reset) + if (reset == 0) + state <= S0; + else + case (state) + S0: + if (~x_in) + state <= S1; + else + state <= S0; + S1: + if (x_in) + state <= S2; + else + state <= S3; + S2: + if (~x_in) + state <= S3; + else + state <= S2; + S3: + if (~x_in) + state <= S0; + else + state <= S3; + endcase + + assign y_out = state; +endmodule +``` + +### **Testbench:** +```v +module moore_zero_det_tb(); +wire [1:0] t_y_out; +reg t_x_in,t_clock,t_reset; +moore_zero_det uut(t_y_out,t_x_in,t_clock,t_reset);initial #200 $finish; +initial + begin + // Dump waves + $dumpfile("dump.vcd"); + $dumpvars(1); + t_clock = 0; + forever #5 t_clock = ~t_clock; + end + initial + fork + t_reset = 0; + #2 t_reset = 1; + #87 t_reset = 0; + #89 t_reset = 1; + #10 t_x_in = 1; + #30 t_x_in = 0; + #40 t_x_in = 1; + #50 t_x_in = 0; + #52 t_x_in = 1; + #54 t_x_in = 0; + #70 t_x_in = 1; + #80 t_x_in = 1; + #70 t_x_in = 0; + #90 t_x_in = 1; + #100 t_x_in = 0; + #120 t_x_in = 1; + #160 t_x_in = 0; + #170 t_x_in = 1; + join +endmodule +``` +### **Simulation Waveform:** + + +### **Chess Clock Controller** +**Background:** At the start of a new game, the Reset input is asserted to initialize +the system and clear both timers to zero time. This is achieved by means of the +Clr output of the Chess Clock FSM being driven high, thereby asserting the +reset (rst) input of both timers. Each chess player has a push-button, which +when pressed applies a logic 1 to their respective inputs, Pa and Pb, of the +Chess Clock FSM. After resetting the timers, the player who is not making the +first move presses their push-button in order to enable the other player’s timer +to commence timing. +For example, if Player-A is to make the first move, then Player-B starts the +game by pressing their push-button. This has the effect of activating the Ta +output of the Chess Clock FSM block in order to enable TIMER-A to record the +time taken by Player-A to make the first move. Once Player-A completes the +first move, Player-A’s button is pressed in order to stop their own timer and start +Player-B’s timer (Ta is negated and Tb is asserted) +The state diagram of this machine is given in [Section 4.3](#43-chess-clock-controller) + + +As shown, the FSM makes use of four states having the names shown in the +upper half of the state circles. The states of the FSM outputs Ta, Tb and Clr are +listed in the lower half of every state circle; those outputs preceded by ‘/’ are +forced to logic 0, whereas those without ‘/’ are forced to logic 1. The presence +of the output states within each of the state circles indicates that the Chess +Clock FSM is of the Moore variety. The values of the inputs, Pa and Pb, are +shown alongside each corresponding state transition path (arrow) using a format +similar to that used to show the state of the outputs. The movement from one +state to another occurs on the rising edge of the Clock input. Where the number +of transitions shown originating from a given state is less than the total number +possible, the remaining input conditions result in a so-called sling, i.e. the next +state is the same as the current state. + +### **Verilog Code:** +```v +module chess_clk_cntrl( + output time_a,time_b,clr, + input player_a,player_b,clk,reset); + + localparam run_a=0,run_b=1,stop=2,Wait=3; + reg [1:0] state; + always@(posedge clk,posedge reset) + begin + if(reset) + state<=stop; + else + case(state) + run_a: + casex({player_a,player_b}) + 2'b0x: state<=run_a; + 2'b10: state<=run_b; + 2'b11: state<=Wait; + endcase + run_b: + casex({player_a,player_b}) + 2'bx0: state<=run_b; + 2'b01: state<=run_a; + 2'b11: state<=Wait; + endcase + stop: + case({player_a,player_b}) + 2'b00: state<=stop; + 2'b01: state<=run_a; + 2'b10: state<=run_b; + 2'b11: state<=Wait; + endcase + Wait: + if(player_a==player_b) + state<=Wait; + else + if(player_a==1'b1) + state<=run_b; + else + state<=run_a; + endcase + end + assign time_a=state==run_a; + assign time_b=state==run_b; + assign clr=state==stop; +endmodule +``` + +### **Testbench:** +```v +module chess_clk_cntrl_tb(); + wire time_a,time_b,clr; + reg player_a,player_b,clk,reset; + chess_clk_cntrl uut(time_a,time_b,clr,player_a,player_b,clk,reset); + initial + begin + $dumpfile("dump.vcd"); + $dumpvars(1); + clk=1'b0; + forever + #50 clk=~clk; + end + + initial + begin + reset=1'b1;player_a=1'b0;player_b=1'b0; + #100 reset=1'b0; + #101 player_a=1'b1; + #167 player_a=1'b0; + #215 player_b=1'b1; + #289 player_b=1'b0; + #350 player_a=1'b1;player_b=1'b1; + #478 player_a=1'b0;player_b=1'b0; + #513 player_b=1'b1; + #550 $stop; + end +endmodule +``` + +### **Simulation Waveform:** + + +### **Vending Machine** +Our vending machine is based on the following state diagram: + + +The machine has the following states: +•State 1: Reset +•State 2: Five +•State 3: Ten +•State 4: Fifteen +•State 5: Twenty +•State 6: Twenty Five +The machine only accepts the following coins +•Rs. 5 (nickel) +•Rs. 10 (dime) +•Rs. 25 (quarter) +Whenever we get a coin we jump to the next state. So for example, if we get a +coin from the reset state, say a Rs. 5 coin, then we jump to the next state Five . +Otherwise we stay in the same state. When we get Extra amount we come back +to the reset state and the difference is given back to the user. + +### **Verilog Code** +```v +module vending_machine( + output reg vend, + output reg [2:0] change,state, + input [2:0] coin, + input clk,rst); + + parameter [2:0]rs_5= 3'b001; + parameter [2:0]rs_10= 3'b010; + parameter [2:0]rs_15= 3'b011; + parameter [2:0]rs_20= 3'b100; + parameter [2:0]rs_25= 3'b101; + + parameter [2:0]idle= 3'b000; + parameter [2:0]five= 3'b001; + parameter [2:0]ten= 3'b010; + parameter [2:0]fifteen= 3'b011; + parameter [2:0]twenty= 3'b100; + parameter [2:0]twenty_five=3'b101; + + reg[2:0] next_state; + + always@(coin or state) + begin + next_state=0; + case(state) + idle: + case(coin) + rs_5: next_state=five; + rs_10: next_state=ten; + rs_25: next_state=twenty_five; + default: next_state=idle; + endcase + five: + case(coin) + rs_5: next_state= ten; + rs_10: next_state=fifteen; + rs_25: next_state=twenty_five; + default: next_state=five; + endcase + ten: + case(coin) + rs_5: next_state=fifteen; + rs_10: next_state=twenty; + rs_25: next_state=twenty_five; + default: next_state=ten; + endcase + fifteen: + case(coin) + rs_5: next_state=twenty; + rs_10: next_state=twenty_five; + rs_25: next_state=twenty_five; + default: next_state=fifteen; + endcase + twenty: + case(coin) + rs_5: next_state=twenty_five; + rs_10: next_state=twenty_five; + rs_25: next_state=twenty_five; + default: next_state=twenty; + endcase + twenty_five: + next_state=idle; + default: next_state=idle; + endcase + end + + always@(clk) + begin + if(rst) + begin + change<=3'b000; + vend<=1'b0; + state<=idle; + end + else + state<=next_state; + case(state) + idle: + begin + vend<=1'b0; + change<=3'd0; + end + five: + begin + vend<=1'b0; + if(coin==rs_25) + change<=rs_5; + else + change<=3'd0; + end + ten: + begin + vend<=1'b0; + if(coin==rs_25) + change<=rs_10; + else + change<=3'd0; + end + fifteen: + begin + vend<=1'b0; + if(coin==rs_25) + change<=rs_15; + else + change<=3'd0; + end + twenty: + begin + vend<=1'b0; + if(coin==rs_10) + change<=rs_5; + else + if(coin==rs_25) + change<=rs_20; + else + change<=3'd0; + end + twenty_five: + begin + vend<=1'b1; + change<=3'd0; + end + default: state<=idle; + endcase + end +endmodule +``` + +### **TestBench:** +```v +module vending_machine_tb(); + wire vend; + wire [2:0] change,state; + reg [2:0] coin; + reg clk,rst; + + vending_machine uut(vend,change,state,coin,clk,rst); + + parameter [2:0]rs_5= 3'b001; + parameter [2:0]rs_10= 3'b010; + parameter [2:0]rs_15= 3'b011; + parameter [2:0]rs_20= 3'b100; + parameter [2:0]rs_25= 3'b101; + + parameter [2:0]idle= 3'b000; + parameter [2:0]five= 3'b001; + parameter [2:0]ten= 3'b010; + parameter [2:0]fifteen= 3'b011; + parameter [2:0]twenty= 3'b100; + parameter [2:0]twenty_five=3'b101; + + initial + begin + $dumpvars; + $dumpfile("file.vcd"); + clk=1'b0; rst=1'b1; + #2 rst=0; coin=rs_5; + #2 rst=1; coin=2'b00; + #2 rst=0;coin=rs_10; + #2 rst=1; coin=2'b00; + #2 rst=0; coin=rs_25; + #2 rst=1; coin=2'b00; + #2 rst=0; coin=rs_5; + #2 coin=rs_5; + #2 coin=rs_5; + #2 coin=rs_5; + #2 coin=rs_5; + #2 rst=1; coin=2'b00; + #2 rst=0; coin=rs_5; + #2 coin=rs_10; + #2 coin=rs_10; + #2 rst=1; coin=2'b00; + #2 rst=0; coin=rs_10; + #2 coin=rs_25; + #2 coin=rs_5; + #2 rst=1; coin=2'b00; + #2 rst=0; coin=rs_10; + #2 coin= rs_10; + #2 coin=rs_25; + #2 rst=1; coin=2'b00; + #2 $finish; + end + always + #1 clk=~clk; + initial + begin + if (rst) + coin=2'b00; + end +endmodule +``` + + + +And with this we have come to the end of the manual for Finite State Machines. +Up next, you will learning the implementation of a Single Cycle MIPS +Processor. Hopefully this manual helped in broadening your understanding of +Finite State Machines from a very basic to a fairly advanced level. You’ll be +required to implement these concepts again in the Practice Questions. + +--- +## **References** +1. Digital Design: With An Introduction to Verilog HDL (5th Edition), M. +Morris Mano, Michael D. Cilleti. +2. FSM-based Digital Design using Verilog HDL, Peter Minns and Ian Elliott. +3. [http://www.csun.edu/~ags55111/](http://www.csun.edu/~ags55111/) +4. [https://ocw.mit.edu/courses/6-111-introductory-digital-systems- +laboratory-spring-2006/](https://ocw.mit.edu/courses/6-111-introductory-digital-systems- +laboratory-spring-2006/) +5. [https://www.chipverify.com/verilog/verilog-tutorial](https://www.chipverify.com/verilog/verilog-tutorial) \ No newline at end of file diff --git a/docs/img/fsm-image-0010.png b/docs/img/fsm-image-0010.png new file mode 100644 index 0000000000000000000000000000000000000000..fb31096d6660c22f0032fe299f5038bb95c66ef6 GIT binary patch literal 12056 zcmdtIRaD$h5H3hU5`rhVySqC~0>RzgU4y#>cXxLP?jCe-XK;6y!F2|f|L)zVy-)jc z&%F8$T`j-vs_L&RTtQA8837Lg1_lOMQsS2q49th^_mcYc9e~N#YC(Dbz}rh` zI>Er8^#4~rB-5kd!@w|&O8)w(;+}P$9d3*v+TLSr=4hY0jkYJWzoP1l8K*5e=d$Tp z5LQs_UhsFTe32Z+z-K5&I_e%&C$rEx1Tsp(?+X~~440+sW} zCyVOA>c^3A9yeh~Pwj~+*dl`%&%@anrv(nSd>e()lgz6jOqXQQnl0a?wHNt;$YgX$ z*H+ukI{1gy+Y6MWI@gqvlExLn_-n4?E?(=ebx1HQC7E*dbM{B>UlOngWOl7Eq%u){ z-^R75T#yn(?&=z%4e6Thet*cg!m+T19GaFJfd!{2E%G}}OTC?%=^|<$2GolWbnyQm zy=w33dCo9TJFo&7Z|6pPiXs~G_e8~7qVFzm^l zAQf_y94$rYQ~P|cu(`QFSgVvn;VbWDeJATbuP9H_E^^XDtx_~L9BlPSO282Ggz zzI`kQfNcvKZ&SzUO+r}^eDQd2vaQUb`oEz$<*DH!Z8kDMwfTBkBXDGHixtN+3eXLL zc2+_VNol7YH|EEl&V5OC_VRkuG>rFy-Xvv>A(;A~BZF;b@FGx(3e=oz_A3L58{_w> z;;^KTxZvy-FAo(y0x!r*cc%b$zD~ulUBpVsVSo_tet6Z8gEfqO7Rj`I`rknsmU3L$Tt=HH1E+V^_Tizj}% zCb@v;y+u<>I`ajqXfh}CcQRnib?pyL^twEByf2&_gEYK5n6hOS_o@# zYCyO$V%rR9yz_`F`GgPNlNi9kxAXh1-QmsG_)IwjeJ59&tvD~Uc+1q*HorA=lOE4{ zV(Cht2`tx*QYLJRwR`Rk3Rl9@T4g0}cj=65rV`zz9FdXZXIw`)KWR1mF851O+Lm~E zroQ?W2d}O&eH1o55xJWRICzRYPN}z592#3PC*!6fmTil~95&f8c#~p|vEf$snU<&d za__f4H)ukGVl?UpROhw=+U^osSN7YftwpOb>W$W|eiPFlid^U96KY8GMcR05Q_y#< zcw4JDYYbyq9GNhGgBipxK6%V9CGU;3a(MA@W5Y4F2BeaF0!p@7wN}5ykwW2dA7Eo& zUPryYB;Q*c-34tmb5iz#>{V_htWYRv}9%qTKj&!9(;-Y63|GT^&i^Lm7)DmC`l7fnDv+zp^G5CYD zLR9EUs}w!AH;H}Do@@_$IhYCZf@cy-`=6VS6UwtqQn_rdZ7R;5s!mf-sPRV~JwE(R ztXaH>jfsm@g?FlY-*$jhG1(}-q4|h^J^wsMWem_u3^$r1Z5os&b96aszF()p=`;N! zo7=&2_6!%OCL-#obuAU_CacNFGP!w{B3Az?{@d{RO8dQdo zm1Vy6ST~*4RS>~QEk(9*UR@g=+A>tL+fG++>mrP?=Lh-kLzCyc51fmKrqoGWwEKfkGKA^TAk_gJAL>2t!^UgFM1;kcD@ z%*fTNBc=(#%0ESj4NS(n)M=d@;y-`V#e3!(2PAkMX>w zZ7%Upg4Hyo@q)Y_+o`#1c3`OKcZ7ybxu*KT*l82mCA5heG+L3FOyqv-rANRJBvv~A1+M@|d%Gs>zpK``mAI388d|rLK zt_=iTi*+tvp<=q9EcaXvz^ju)R-?#X@dWz@kV@5lwfn?o+8i$FHu#21wp9@!E`pMY zEeNBq<7~`ksv&tGDYc|mVS{>S$ksto~ni?viToUbD`~c2aTam&O_S1JSr4NgWYlHUVG*phlgG+(1IHaPq zW-++YvUo|o-LRx(U{}TFzL3cL0hq6txcvK*(?J!*mH$MKFHN*)917E?G9iwP<9W_e zg6xrX@nRUC@&DS}7{%o4D3&BAq`8;w>maV<0{^0DGu>aJMH+NR^*<63Fc*JConQbS zSOtsC58@9ZlBmhb7^UOvy!IO3H~%N03p&z+Yryt~Tt^5mO?3Bg3gw4aEVUlGOnP-YP+t1J zn8d02mDFcO^w%B+^tB-WW4akM`Nqo!A3A|zVF~5>AA~KB%Har$QjUP{c{U?Y$=7Ia zHINFdfk#mu6?gz0=s&Z$K#~+E_lG3_zAT+XM$JN{oW+mkn}Nq3HQy(iQczYWWDH|%E)Xpvk zOTe{RI|+f zjeVRLPPeRA`5B|L1^0OiT{Ol-oE<+h_hx%JOC&VmOe$prc2rd|Bqubvg=ikJU=#6> zd)~sv0h;n4c7xpE?BC8pr|}qn2mdg#y!0|PJ{?0et#GI7D>2f$*IOt2S2+o!M}OE( zcKc|)zI^p_IBqCq*J%9S37IxY;atTcTT0=wlJHBecdwGO?h)~b(82|sA(i(1}WoX@%B^vzToo@u5l zfD<2*(q+ibdWPiP>dk~rA}&7kJrXKIi~`I2;!ld#rp`WUlBNr_6`BC8R_J;`5H)qmuv3%t3O@zi00Oat`bqxDCh4naXu`{eatHHujmJ ztZz20Jc!?F@1adJf__lpzOQe}2;^)d=6Ox%aIESmJOZglnD->-wL6qMxDw@eC=Xs7 zEBG6J*~}iqY?x zZ{X~%AGXQa;8yQI8M|c?MDE0`;<*R1n~L&84op*!JytGj1fPs3C;7x=ahJSaeU%2a zjC>a|B%0Qc&!WE7azxR!&CnJfZl}*uN81CrUm1`?<7{FKRtpx@XPvE7ogec%q#27V>gpCk1`5=emcMM zqC-vtl68H6ZVFeNbaaWYMWJniaf#@COvq9>xk*mo-CoqH@cpW^jrGMhi<$IN0Z0sZ zSN93_Iz}<^Ad`ymaC0*AIne{ITPtOC^3J$v$`2|D%;W9zk;&nIa)K6R>w~kn;(~o! zt&02%GW0URH@B17@(&{bV(BLekE={VWMHYtlWhG3KaNC+-_v@;TB#C*{b5d>Uko)3 zrFdemI1#WYyWwTE8ucoRW|7d8QpX_mEVk`KNj=G>fj5<=w(WD#l{kmw*)Vbil2PKn zjP^34aWzwU?Y%xk=Ja6O|AUOWT}C7uO@AUUcJOs1_LU)~5&Fd3CfptEHZgP3_wAad zN}n$_jdIo*F$oM`X-n^A0$BW@W$U~L^ob(^t^h$+RdJYTZZ(uZ9gJ8 z!aBiA{ByJTd2LY`-#~GH%oy}lVDpQdWbs5@AT>v_)@(DmT(;haADOfbcl5y48^(_$ zCml-ata)>mz(2{Y#~pN0n(Jgg(q8HF5eBlk7~1L~U8y1fugIP)4>01QWD#G{Y=|a% zB*D_R;@{t2W9rP%DFJWUT$TRnJoLdTCs^NATaA3XcxbcrttEK_>#aMaDD0J42!bjP zJo2--Y=YS{BBn!re-};(t}jPqXDmrJ$;a<j0Ntl;{CFHv zuCx2sSiFjRkIJAvcbArY=Np6BegkA|&o`{jysBJ3nVQi|tBYTl$0+9xw=>5fxt`5R z{e5?M#Gunob@(83RPD?URC#+~28bUr>70@N>V9?9gk~5GRaBR(;--9yt|o62t`7ZS z6{2L^UbA&!zVwy#U=y1u`=u$g#}z4c&Uv?UuRYrk-fHQm^4Z}2kY8U6@GmZ&1>A$S1i-z@w6^mVpOQTnTl;%mv{*AuF+@S2zYh)aywvs%-#)eLXBoy%?Qp& zwkkQwkB83SJmk)WTHji*oY@wMN3uU?{sG9sAzzD`DC7Y$U%n7qx7gc)Rde1|zW;BK z>@ETQR4ssR+$2MVyA~R_#E*DPc?Vj;VhkIuw028}ZtNGdw|u|?({5w<#(UbpUT4@R z{{Y3`^J>!BEtx>EEcz|5f^&B=5T#XG&`i`D%+7%Pu=)KAe1o-e;Fs-Lh8uD6lB)MN z;ShndD3030`Lrzk_H5A@&_J3x8MUXV^}_h&(?7`tgbCNgD$pb2KQc{92Y|Eo@P6k( zyCt)?X^_Pdq9C=zgAfq(`>}wRq5S;q_@QQ=DfJutVttdcOPFs_3I>EpX*cJo`q!>R zTl|aWa4|c%2mZkqZPuRt^hkJ1_mz*2;%0PI<-d@r|8jF5q}N>5PD&_a1wJIJ#(Knv zgV`%T!(d+sct~bF$&H3d(g$zu)Jw_e3lDZ(3Ouw?v0+2 zbYQed@z2&9(k{;51D#)=XA3AQcaR_#QQt&>cIakAY~&sQB;QS?uIkH%1vbZ}px`%@ ziVL19Kll301XcWz+CXfj*tJsUsdSPHmyotZzK_p4N;bVeI`Ycl>0#8O@+2ol3az{aCv}lk9)(DkuT%hKw~92Ev@?fP0Mhb9Jq= z;;_ALL|w^n9x!zA1-Oi+)?d0$g>NS3Fdme&S=y@nn*ixQAf^lyCEbmeoH^Vq=5PPE?i3~QLC$1oOmAZwddJ>ppk>=Kw3cJd7S9n7SsdmT zqp`5vjT$nWP-h6VWIt3wq=nEeG{61fr;PxPJP3~vpA2!hy7#;fL9@lsjI1~r=5Vp& zZ}VOCU`3CBnpZbwX=wB-Ym~dQATB#o|Bk8d96Y5;eeZ$r-zc_0pA%}d>nn<~B8sf7ze$xS z1s!=ai3`o+Oz;aByj!X$woU0>GHZB?Y z`F$%ZXq8Uj#U`UpCMK4{9{n~5w#~Oqn#38W>Fiwu3ELa}zC~r18J0-k_OScVS8W6m zXyeV-jU_{UPhS0s;*gJ{k@Ku=?EAC&5h#cvIL@-k^%9Gz*PEI+DjCj7GDJV_(baFWox5l2+)eF#m(`+?fR1OOHE8T&XKL#o z8HN-R26jPCj_R0W%=)>BF(qV4!TdWZhnZ1cuR(p~WlhM|KLPYIE^DGgFH!$6+_qae zcIyLtd{1a>8-aLw`r0Y0K%`aX7bEVqaE}!^G{w!3x?>}+$ym*o#Cz2I0PBYod!Ia4 zBjw8UWTz3Y>?6vGsm3Tn;SzncDc+`o*qN?ST&&QcUodTMb7_jv{?)_`FXroml5yX@*Y&JvbV!^6r7NjL_$x z!x;YVa?GHCiXmO?)JceBZ1BEm@U^JAnzWdt!@g15NzEH!-D5H$6`J4z3jvXylGcqC zIPQ)87LC-H%lkOjj})SN(@evd>`-AyAAHq<{vlCJxS0Mhbn4&pG$QtcO~vzR4TxLZ z?BA7BY&7U3QmF1=c^zEow`~8hAfiVEftF?FDpvLh_}G}FW`S=ACH)a8cV?qIuwMK~ zv^3?%5hg>o#%c)PxJIZ4C0a3}A?2h1oJQwn#fia}F12<}e|D>K#q6{b72y8k;q0M5 z(I>s%0DEIiYX)4=QngiaYu=n_oFH>Z*y{&ngWid%NW?;@-7q?hp#C^Lk z1jQ$y?$2vqjj!}&^x~LKIS_VeqSoG|laf|AqlR@OVz2!m1#wex#hF~wA5 z*PlF$i{L9!X==lbGnuhhn6lvLKaw10w5mVVI*01S%sM^~!F`ssV#(rs_W_`fP`)s2 zgxxvbnn+5VeRY_|alKDK&BUczLnX^VQQ5LS@(E>eODdc|qJInZ?<>l}cok~m@Ja4{ z&(rp7|FjvS{piVEg|t=so8AHeHKdzKM8Of{sM} z3t_f)F=a;^%FFle8oz}N9KrH6ZjT?ih9H|Gca$N9G!(4j#?W=g4G7<6snFxdg&la4 zcr@a;mQF<2nQQ!gBfR{iN%&v5Y#Fc}gfluw;4Rp4pyn4N_pUt1n$^*-k+&NyD1uhD zv&Klh5Eb=1U10V=^+$rnoqY*^%Vpo`-$&)!D>;tB4&+d=nm!{?0RZXf6uVD(P6#S0rG_aw}c~SuQJBGN7_saBw-P){tufe?@p>k=Tt&^G8nVcrm3#m z_GFc5eUb%E$SBsT2u3^7XT|XL?Vuj9eB2L3eZycm|?sb)M@x*q>4Wb@1#hq zu$qQGA|ec79*ATdh|`d})AUT`q2Qp_HBVehemi4`L($}4`IsCRZY^(3^*72x<;zI= zW8lvaMx71L9WS7)WNrGaFXQ&=8)-yK{wnT5k2q0373n@d=NNsQXY4i8;jjXesO#TZ z3VDf(X6$ZuB|fCZysB5=s;2PdBj zfV_AZunReGyc~Y)=7{@{aq|@-nft2_P3*`TYHyU3-jYy-2HiiI^4brDNd)9xu;BT@%N`^c@Yi0uw16<(8@gfe!@ z6ERBx)X7!k*`jy{!UVhYXF%nC@v1G)yUxS@?YX{?D-|<{b8Si-pRkq|+erMCwV%Qz zoWG8fOjpbTB-)SD!fxa>#k3ds-VwZX3Feog4}VkF2(sK1Z${4f1O0%_=cD`hf&gP! zv~UK5I9`@(&U+;r=dn`(tx6`Ya>Y@bDA=!gnOml>SG^-o`x1!h&$JkT=&&JE)o*4? zwv-Fua+M-Lb+=$__rBj)51j_fJi<^ctkk+I88I3ZI(JZL`wY^*Vkt`;Dmv^L5=9Y5m7N4Wd*X4sCiwpOZCK2G87SrXY?Rlfd z`lZI8!Lv@;FTdKjaJty7fkJ2W5$b37ZJ083<%`}D^9do&>D!M-B%5FBBfkTl1XkV% zT8|pgxab+E$<9b?UYq7ZcJa$!+39r*71y?}_&Cl09Sdx1U2g+n(es`EHfSng2Z~Sn z`Ca?LA$3f4$Jb?RGFbX*sx5|qf-pdPtqqL||`18scfS ztnDA-vV}`V&G7ujwKUNrtUjreQJCA(hCktIWelP^Hclpnw$e zWoW{QIZ00}NCf*HsE^{Ph7+$EXVx9pm)Egj&2hA7bTEF&2`pHkpHr}84f2iin@Xy^ zIpg7S$&!{fCAZ3q*$9N+o#u=lzw!cO+Uf@Aw6Y}{?o}vi;eG3JrAyygZ8KHX=f?@8 zQJpre2Zm{d~Fn9x3CAg2&_b)vlhzD(?9C zahVR0zbPhoX{LX`v2D#CIM0P9jiRVijIp9P|K5w*?0^Zn4f=_op*b4{vI@ST3|8sC zPTh&Hoa~_swGM@1Q8ukU!&K9y*pK747wF?h$>b%D!~_(CfD^DJK)vJk5fi4%O7T+R zbrVx>(A(n#=8q&hxp6_2Jm5|!#|5b}n?-Fo+^zdM#&jl=C9g%OG{RSvHO z9q?$K)%M&?f!=JsSk@#w1V<-ykzpXqk37BGek8*5foX#YKlH)xwn3h_`gHN{6Pd7U zp%ECh7idX44~2tV$sAH%yI17$Y@rgxEz0aLdFD;?e)QFSfRm@-*~Pa;p}5$6^9 zh390Bj|lo=uI-kHdo!fU5kkVs4C(2P5tMHWO>EAr5!4Aj#%5@th*_?4qHW{Ob6)!-n)J;ZvJD>&;|qTe_W zhAGMVVHO%gR_}d;L?^2B^`{2{`bgA~RN};J5St9uT_b65duq~ECv(Ptw=~%EVgUIR z{d#ovG^8uU)bR9$UyK#!pEwr(NdE4VQRv&wK>H9^=gmSW_-t{8*$1Je#(THORDkZS zO5xx6hjIAS7{-H?5f8S*sV3H&WlpWvH4*Mf8= zWJK|1I6uAe(Q@hNldb|a5qMUmjb(2+j6u?4qk3i+`KCVCBQy0y$^Z}VIKNPu#iw0B zPLCBQOP?IzEz_(~Y1HgvOTEd2vVVbrdG;q5vp~42TOh%gC8A6LWrdHL^)l>B*)KgY-Eamj7lLQk8X2aO-LdXCRN4pa zto#e$11vXB9W4vIZW*B=yvXhS&zsqBA*QP}BG0U?u{8WP#Tu+q^%(}On8&3%vW+QG z`Ym3E!fX{5<4KkZki1&&shN%uHHC_6`PWwC{;+~{U({V$;1>v5_!!&3(LOk28I!@f zc7rR+j%+L8B*bnepnRUQ2)y+B$9Rr3{Q-1sM?=B3f*7vLYKiSk zw3ja2hoxht;PtHtL~%>Mr+ffI;YO_)BzmJ@L9KYTg5fQX zSZT^ti@z-6A6y9DM!BS$TYgF|-Am62q27MF(&$R})ZA7s`1o^2m1LNboe9Vg? zh2&+=Dt470bH?Sa8 zcEqvi2s8@QYRb`6?|t!qu!k>W&8x7SmKI_Tu=i)A+xx2GNaXqYH0+5TCG3|xZi<$d z>rdQt7!nPk&8>1GX4W2tPSz0i=8u+7f20Wy< z5jY+S#;=p6T-Q->3*n7Di>@JFDD+7@0A;2B_Op^UHZB=+McE z37XuLee+plmUAw%j^BF+g@sVxJlw%Fb-!O2icLZjD3=BOE63htjgH&epPJF#tevsn zuND%NaOb-M0-0R&@9}Nn(_f#Gwd3VJZ8?^#I0-!>&mULQsOF+A>^3`y=nuczUlx1S z-&GVuP5@Db7uRR9dEcki7o)X|hE)1~xs!Tl0ekJW0=A1jy;FVvn?Uc()WMPgHM=*6 zjz*Kich#BlvM;`F(`6cO=DAYuxW)fVei17H2RBLUr`Fyau3meo{@3sEoFflXzfqT+ zITmCrHJfE{FeRu4EqcT$VEzw;fApS^lH{ zLlg!9@T!Tr+}JC6K4k=%JT!)Y71C@7z`iQR7d+y`kqZ~%C>Vw*v{KU{p%8!m&h{KH z0y4--p&Aq->3a5MTrHoqMO3TQXtx>Q0ZoT}Cy25GgnRit;EX|Zjc(@CLENWOhF6zNjddg1=vO^p(w+=qgUDP{5?VB?nI-WeR= zCWC-%9fNazb6g=Y$5>_cN$sfsoQK|MO04e@h>nF+YUP06)v z-T9-gAo=qc$|4e=B2#4ujQ>swM|PzmUyg?`PT&&f`;@CXy)V#ULA5CY&*S!L_lfrg=+IAew+8PBE!GTV`YwhSr+RSuwVu3cCHd*m<#DCN6BU1dL zGx&+#pOa>^rUwCJpVSa0tJ+7UirQDTbo!^v1h{NRE`wQU_U zgoCrTto0p<`2BW$O{=V?G!_PLGmr-zJgMT3GCZe1nUfP#ZB*#H0Nes~V+4g1*mKs! zmcC>eP@c|Kv@-mS$KzRC{3V9fMYES8a1(1z>~%qm|0@in8^go(H8XR9Vb-YnlN=tY z_w)U#{TE2x#^dW-xc?*RnE!?R();UYN=EFOD%SHmT{CHP zkBTm~b|UWp`)*$u4c9)g2pl&VM_wLvcIKP8b`@@0^DT1GUEy7YVGPHd*R^Byy z2@Q!(nigTvN80&D>sB$P-Qf%X3Ud%dk$Y9Fnw36T<<%P${0~_(Hli*O8mD*MoE7ei z1(nIe1kyXrWBQo3KP3QtL>COAPK}u!yo|GS!8`k-@8tl!sp(o$c0V=+s-$n8+$_BF sI`$;S|K(QwPjklq-^8o`Z{-{8d!AqXAiHPoe<|;hqH@2gg$)A!FWc6^IRF3v literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0016.png b/docs/img/fsm-image-0016.png new file mode 100644 index 0000000000000000000000000000000000000000..571de688216f38934f9dc7924a8bf66b1c5fc880 GIT binary patch literal 53847 zcmeFZWmJ}37d3iQQqn0P-6#l3cbC#Fp-3Z*NP~eOsI(~EAP9(ngp`D|v~)MpAr0TU zeV*6%J>ReM{~X3}FoxW5U3;&+)?9PWb-mP3Q^doe!a*Pqc*;t0S_lN{0s?`CiG>RP zLzGb)1HZ7Hl?>ew2>d4GKa^N5d};)u4_jGITE{bSEeYG5RCl!QVktrWv%BERbsqy% zbS%QR^o$8uAtCgkjP%o}?X@_Z361F){;Roj(*jtZIntkn=FX+2U&i|Ufe;magu)P& zLFzZcrS~iOHFdnp(>0YM$El^39V0lZzBo1Qsi|X*GM@qe|m*5#l5b z9UZx%I3Ba_SqQ1_Q^^221fo92Stom|bJlgGk0pREl8&95dl93S>INBNkH8lrkpAhd zAPObzd5v`(zC$hNHXLf|g86a;!VlF9g+9bNcK9VBg_rQ~Gzq~EVpaqy{vi%RI+!pf z)uEJuChOBD4Hta^jDk`WLiT!n1Qw%(Xxh61J0EJXPe~zfzf|+t6kS4~pdi0SrgTyx zlkY`|b@w@y@7i$jT%4JITSv$4)C2-yjJ8FFc#5w_{yR23J^lLKFLLNtW@cst1jT)R zUt?#l@ICsx%!`ibVcQ~`)@Ii-t@d=;nQuFocs%utKtf6SX$EVZ*8Dp@OPqqu{v34$ zg%<~#GZ@^BabbAXn^~h;W%`v%~uW#rE@@@~slFOZCUy8mp@ zZhhcG|IKbO+498^>y#vZ`=76_+_a*K|Dc^S8E|o?mQ&()^85Y!_p`~aVdPoNwrNpV zh$Ms=ivM)Y!DLuyC=M>}-Om;;8TnZ~=Uxjgj|^GxiJ$HDhYr1=-ccOtH{Y|vQ}gnZ zpHF?7H-ARGJZ0kQR&Zj{_ zmwqIX&~mgJReZs^8iMG7m73PB2x1a%5P)l}p8rnmq%;2Q*-zh-_42`d{c?kA&o1F< zwU-d$Go(v$I;@H3Y9M~o4v4obRg7L--&GYmnC1U`VEi`s92Vvo#IoGZh=TXFMCOJ++9UKB*#5t|FD7CQ1A$z%HS_GCr!tfn5@u6Di?axS7J>ruV?YEPtHXzQ8hQBiT%3MWR>UfxiD%q*WZ6lXcL_&hkOhb^{(ho~(>l8OxTgZWc_2NTt`si}b!H`FBmY@csv?lQx&^cUr5R!vRKw6ru*9?9cnCPQh?9S70} z>_+PGw`@D#@iHmCp%L@kYSf=e3#O&IWutL%es;3A(*O0bQ>b!{_kPu{!ml<*$vzt^ zx~K(}yFWy~KKkXMihUKXd;SHvi2JfsQR!#czJj~uYIp89{QPLxpM57GHv2;YsUU<) zo?!{=2ZPsC{B}cyN$=h%6_vg)J<6!4sAz3fO6dB2_OQ$9CN_=y9iwdf2CkKY3CEmr za>2cyMyO8obqfMg^8uyxCLUhmH9G-m>b6hnf@=j>Sy`ExnZ?9TE0>a^c|MXqHgjri zdllA>p`SMy`Ikgd?hkVc2$!1+j;TSS&5qt1U z`t0>8axA}rC2M$&J=YSR)1g`vE_p6glNDQf`-Nii!F;s3TC$ke-g2+|Y>8FZY#t*E z)*{ziT>W{&=Q}$)RqiV?j{|-r3Y((^TxCW`U8+SbmC^mi5MGjA!8DuTRI98;NJXXc z4Y>{JX$U9nZ)#VLj*i&Wlf|5;>udc^miHmVV4sCuW}8;}b4p4|y5k~ZW1IOo_S!ot zD=STXTkBiP9#n7jt4nG=_g{Q(Emn>#!!A5na5s+Tc+9D8fq%U6cBB@M_hpo6pJ^_s zyR9-}Hy;xR(FL6LI+iDNE#7siiOs(OM>8viv^Q?7Rg;!pj{B1)Kl)54TdeR0?^$%} zEixYG(cv!+34?Y8ni=0`8iN-yvy@h@tUIG@@otf&V&^SsW@Wktn?2r~yh=^2%8(`- zjL*!zzdFeDn89U+l0F_E-Cl~B=9}REbycum?4=?soiG!>ka!(_YwcIs;Zewhgb0Tus zO?5CnPk1m8wRRRm(?dr8USFm%Yqag^z=zVsh9KPPCrS^_#Z(Yai4SplaN2*$hilOO z{P^ip#LvCe!LSAdc6(9XdAkd*pkUm1^Nh3m=48?r4v!2^KmP(_DZJ4e*U-#3DEf$q zDOBaUeGDIb$g|s!pyhW zsLXD!7mid+)Qm3YAt@K@)b_Y3X?d$t2Tc%*XJC=%6Rk*N@(PIVckkZK&(CY;sI{G6 z7x;aIf=XEZXF0|bgHyAr{UHfKwcV>1F>k^uzT(qZ{Gf%HnD`pGYB;U9r{d+vOdZ)mR+_61>#7vMdON2QdHHbP_phoTGx%cCB=~`c@s^0ikYI4%07tpC4$T z!0mZ`5+aU)P)QQ=8O+nY#=AUtInXSy2tjCEuh03^SfnE0!vE_;a#WP-#&{*pF)t#u z{mDOnt=6#mcK81M87RexYD>G_l0`A3IO#Rl5fTvSQqCx5+i%8|jAK5}FDwienutnB zoF8t_nYW{MKEn#+`OjxCDQplW4IHjx()kjSlMC4PF&klPqtqWD;R^RO*W~uvN#jq~ z?b&AX>qc_&@>hjjRagiqn7O#}t-9j7;ALH1`{S2}*5ldTDwMiALOvpHK*hJWxH|KLBGDl$ zC+Fh$R}rLGA@9B16oTF!SVK$`61CC{#c+Xyu3tY3cCVRZFM53_#GYaQ92Ua$F-GV6 z_QPR+A&FE~sGCE%qv+1r7l3gzTir#H$iplFNE!cr!}{6?VfF!i2V*i7W^tWe4 zUs(DaOUY3v&oHYNpZ7!7vEOJ-F@$l z;Dkk)k^N9%S4#S%5$-fsY#>jFQUqW*z%x+5lFSG=1806IO9rUliL5k!s!o8vOm>K~ zg_ho#Xxg1Do)8sfUNYkSd+lh{zDQn9Zg~Co+S)@gBU^OeEZ0^|x5gKEQ05^1V+Ez8 zJNTuaGIHzt`%CbAs_k(yb8MZBYeW-4uSN9@B>!3GSX_Cj>W!_s+W;42+Bo z=EW8k7F$%IC;|x%u%!!c(ZV>L{lhqxIiISaRJtIVFCD5>wpy~q3|n<4!x0vAnl#qX zsONfCNgZa)sI_fo4tR@wX8+fax^Aq(hf=4h+L-IitgMR*3%X}BPwQcMP7z;QVL=$j zZ^z$Kc4wK}vO%_!H{`RsUqD>js#;?8yBiijrf z$!f2i<9pmh*F2aeL!+YjW>8}RO^mJohQwg9)+#vz1tZcTh}NVckqiN++ZS)ioRp8F zJoL?*Y$Y!MpqjIMHa+z14FE*wY46t5kI=~D3Q*tb`pUYz>hk{E3p{E#%bNUJX+d`q z1R{&X0DP;gWM}NSYA~$H=_)P;0hEr6xn(z?bQ*Cq`g>y{f$z!p*VJyBcWzJ^qg+8Q z=c%uHC2_M7)PBDALM;?oOnYyCLIneS=GAr}PyYRl%-zNLdGJM+q6%{$Z22tx6MI6~2{=xoVHB&2y3k(o=sziExXfY|G4;4<0RYs>P( z4}LK6*;2)s!VrZF&Ne3dgVo6zA5TxuB#}pe1z4?>Ro`Aq+mE?>cDmcW;7>66(U^<- zU=5R7S#f!Nw9I~nYjP&{T6tgUFLELxmm!nK99kkDmC{l*U1Cew@|AemF6JeV=1Hnv z2qe7z6?M4N$HGGNaO}(V00tcWK!ESQcT7zu_09Y&%g1D8Wm9}MgtIIr3AyRhto_;x zI<0oo<-^*~IDhOP7OxF_&^9zQTnf}*W=s+H5fBwU>3n~)I^zBNqq%U&2L1M+=qo(C zGRDT^uLUPPXBsf7@>;^_axhfJV(?c+k542{;>X90MO;+Wmbz1NQW#7kAbrN%tiIHGP(u7Bp9`C}RŪc)biY?iBww~}- z?rRVKe8WIPLxbZ)RqtI#$0DU?duXXpFgM{qax1E|&k2=ee0=Td+rHV**to*fzO(F* zBH>qka6q)MTj~tGZSaH`YITFY?H1(GOL$a#7Ok=b;2^kly63Y3i?)&4e{fcKL02`s0I5^|+@|BR>o7cGM)FHtlo?PW9}co}X+A za}8IxWH7SG?46)p_QkNlS`teC_Pk?)^KG)q%FOvN9ECa`IL=U z%bTl@$6YWN%-h=9&Lw;w%tlpJZJ~Y-%g0K;sICx#CIBK&OC|p%Cl4pSNhzGAJ|-N& zf|~uGcOh@RFMUdcBV~w0A|dhqgX|nUHG5i*9>4DH%TisCpeT$&seH+n;@by1U}Zdn zmPd}PXe);3y|9AI%ge|EPNx0ri{x%u14SORIQQ@0cdFk0o~N6C&9SeqZ(cQ2U|$Ma z>3Dh(T=tDuFEPVK{Elyh*G^S??dGq`RG)?#_7^KuUZ zPGPvjF?&(uSNx*|EHwODjc~D@kT#2WKFjuk@<|nSjtAdg&8P>_r(@$1A_33*;$piK zhY$2O#Nw*LG;bC<;==AzS70TTu>DBT`)D8?8R%LJ3BQL&(eWXClWgIynfo&AvF8gs z0WPt(IiE+$aN_IdlaZ0#Ns$m|WK4$^3W=Oe4bAoZws17Q78gwEl{+i8(fA z3}X78-6bU_S5Q!BpP-T^L;X|HXSCh|pnDM<3@P2jF>s-hmz|{d<9ZlR?Tz=hiHL}x z7fZ(^+m6VfTD^q8fDS^|JwBBtm{hKCTK`c-#j=760RiXtUA>4+do*M@MeEi+zJ2FT zfniBDrJpW8f;$(>z4>RMiM>F=y2nWePhBV1QBt7JFLhKqF=)eqid@|urSd?;{NODk%8yvxnwMjZ`9 z5A+8}Af~bqLAOV7-N-^90h-=NlC7q>j3l}*tgCkt=Ux!;>UOs(Ce_6@H<4c!SSXdY|{@B>q(3&fr@#JFtdwnbsO9b)a zK3@jyOsYML0|+>#r{!X!qf4NEFbmfB984BJP?VN_^3-KU3gbWUSJBjz)=<^MLjYqG z*q6{Kv}ueD>Rc)XdA;cT>uA&1K%7mZ*1C#sp&N`Jk(W^pJ*WH3M(S^QHURmsThBH$6cp_wM2ljuCb zv79MS5`F9;k|a3gy9?F#)Lq~bO6}}bZo^1w-JU_pn^vy|?UDo>;>CgpV=xeAz;qfh zxVm}Z;~?f)EI6S{x%o8>A+;e>1QnG08ab|b-S?IjNbyFI?PIq0p-tJ-bamZ{WKz_q za7_XP9+F#hdN>!(5&Okq?ClbP1E*Vi~T@uWK2v8Y zv-AQt(ziSnaFMhxzY+4QXbzno3l^s!Vyuri;Ov*#RYxcoY*c-mTCcm|zKKalm>3zU z1Rbvh5ZJa`r}!z{mvspyX>EUmYPS6}cVUJLS*%#^#B0Q#0@UzA(^P-%DuM7t)|DU| z+m17DWF0z^IBGxIUGl2AK{ok0H8oYg$W$$--@KTeCB0Eox^!}3o%gtTAG!y6X08t( zKDb++|1PiVy_+ni6uJ5mfv5%KcuRWEfZPubLv(@Ouj}RG=`k@MT5Ezm(~!J`ue>Fj zjH3ot0IXznBgb!OtIH=msI$HUa&C{l+@XI-ndr|R#nY2M-`xXhEWXPsp-aI3)Uz0c z2a5=a!UU_YO88dTQL959(a_Z)n%C=`rE(mv;2ri2>Nt)0{3AxI7w!QXjvrfFTS(&T z_<0;66%!Z>H})1}OLd2p1f%(2Dj>x*!0QHgA?5LEei5u34d94;y#h2$d}scMxLgj3 zRyR4K8BEV7vPG2b;h2;YpYF?kI>KqRRX4`ls7j2D9ZZwOR4D}RtlD|zX?`d;RV?z=(9+IsUF8PIWodY9_fJvb}YEpp%|| z?BO4&aCzYB>S|yxoL^MCDl_MZaK8lM@N`@(=Vj%i9_7z=kW^GBH6r^iMn=X~edtvRlo%OD)q5I#71cSGjIi55 z(utYeIZT@jyDdjbe+0v#Cfv?$YHD(-JJXB2 zWT;6dhk`i8f}8mf#iEJ?CvA_q;`v=4(+)PdKA!Zlwy|Lsp9|$=;Bk@3y$v~8FzEe_ z`wgBNv~%BIXAHe0VFPgfwvgJSl=s2+z4b9cEWe-;AVZ<&=5T?8whv&rTB|gbvz&+w zQE!dJOBE{K6#We(>SFiH$FAbHz!e>7SH^{E5nts98GR^_Kj;me&FIj{VOqM>Leoo< zy)LmwjO)}W)iU}*4Ss1AKEft~Rs7?_!Vtz$Q?t!s85tQXZS)g5?Z;Qsnrx`AHqN|}rUvO#d zg5-O!Ii*hV`ls*j5o?PZ^IXQVIq|uCJu~fBd*c%9309imyr}Vgfh8p4(o2;fLYx6{ zVf1ZKd}L&#xVU)l_EeFXWb;)qIa1OBbesDi1Mu?l&T|P)xRVr-mdRMkm@z(7c+RN7 zJ#M5#8x}MSLQAvxdttY1yPFp@=CO=iAvQ8!ZF@DtA32glba8h9C}5IU3oC+i@O6d9H*2GjYo4=JTPV<3{?cH-$7zX3-n z&qz!~b-Y&6&23V(_dpQX!4v4^CPB=B12^$#$YebIX?kl$xCCb~o)_gfUnEnpaU@DL z{jo#kxL?D{BRGccxME{Pl#NCe1`Er*8OWIFzm?DxF8+JCEt>6UEi^2wdDvwkTM!XQ zhs@c|l|G2qS4Dq1mWw8-^$|I)wK+WCc-X_`Cnq3_tE{XHut7HyhiP+Rw=jP|@38a} z#SrYH4oxnpB6LBLYdW4Q^!&5f$JtQ^LlpBCnjePiK2 zCgK_@GE*;S;^i&Y&DVqSs`98G06EZzRwzFJnJRJK#^zyQxc}`b#x)D>55E;5qhC)) zAdo!DExF{ZAhc)WlhJ~N-FxZ2rfVCP?C#s*qgSgLh?v5*5Jh`$-}d+SL!yWma8P+8 zQyVq=Vlt}s7J)NB^FClKL3@i4c4HuL?D&8cD~(vDL89u|5O%E&>`Dol$fxX1tOP+D zS7Sd*nQ?}&hs@HOEDpXkx!FU+mdp7>`!&Mi-7ZFb1WEh>HE0_17!#(}Iv(T|;WNiG= zXs&Y2m8qz1O<}(afoMcVi_MSbFbcAAy9m>W3{o;OFGtywKC3ml(t7HS+S!)}>L>^W zR0ysu>gML=d2Uy)ms*U1mNJEnw2eihbo2-*9%P8cYiC=unl=P+nTu02_Mqb8)OZ(@ z#BLxEmjV7GQiWZqNqep2BaKSgSsqDQZe+#ioQ0?`IyN^@r>0^A-h*}!v30dUNr_MH zNoc!KOw(b>!_EYM_s`gFsa|NU*GIBiq_Z4;f}UgWF* z!N$^Blnt;_4kScG(g3u(9ttQbC>UKM_bxE2J1^;$P@UDv{+vq0jktscx0tI^p-8~v zMluTMw+7IMT2A!sAet=5&IjXe>Ql9T0502Pl#JIrPME^QW{-41y|NHUbF`rzjjMb9 zF{>&eA|e6^KRQv5+xr1m*m3{anq0n$f|uhCOHdsFyMClVdI}c15{@z&8f1(PflYC& zJ+jh@fMy4lV^^`+(dGyU4HoT};o$)rl}o^1{N9}EF1q{Wq2Z@{DC?A0uR0VPEZZN| z&LqCv#HGEj$PWn@0;+3V?%7m>;?bJ~oe1{OoRg~+>XNTfssnguMj{AWJr zX{oGChA=HH^agKfdsClv{?SfQw3j3=h&W}b39j%pnHNXA3CX@8=1lVo%i(C;t-JTl zyets)=FLRe4Q3m=xR4={jbbV%(X)&mR}~c;?BEGUASI@bw7q6!XGo|89hq|&%)CJA zUbyc}E$~q31UeW!7mEDWt7%?SK;M;vA_as3vU4->r1o;AKm_vsd##GKr#q_AZE}3P zb_49D@8jZfVrXBah@$r@rUaZH0s96V38w@QKYMtB^_ zqPWS5EZNUc;MnP~q$^k*Zp}bQv6+Erf6lb}(m=0OpovOTw#ljTD!26Uth-2;)h^&X ziz7lZaW=KYT+NJ24n67exEN1S1ACDvKJW5~C_^2qY4bzW8V&BrV(y!gL z{*gJMYzUB!`0Fa3A?+j3b8@^bplvOS17N)L57E7JMr~#-P{{d8*zdwic?M{Bg;%?Kz7$pA(MbE zc3i;PWX)Gt!Fdu8D#&!j^v4X!>MupVdq+9*eZ}21+$OkD+NsOR4M(I3I3hwpTms%6k?XA_0jy}7{``H1j`>)9)8dhbdijrl@Rqs5 zs-FC?*udrtaIhC%cs~yT4W>2{3oN3b-9QMQ!k~=&Wtt`776wFp?w_@LA?BhEc?nbvkZda5mIl8B?6k2d+uvsG zck32cByZS}e$!Cs2C=+rmHs-+K<|b)Awn9N8a0|f8Ndd{%RMih+xWWqqpgi71!u++ z_UsyYSmO-jCkKE3+TnvCvj7&ew0)l1!*ngJeKb)N`qqC!sIYeCn~oA6t>-jKLKitX z`A_Iv056$TI6wKhw73Yyl4R!Z4+Wy*Kx%dafE+reV{oVS0W>!&_Q#KC<9e9^7BBR?ys^TQ!aM)X>+n-o|-QY zNBFK?TYG!gab|}yE0c&j?>Pd?3Yo1qWj89B^!oU)TY#dS^jb=)J6m@GjG3{Jp3YcY z%y?&Xt&)E9YpNqw;JrUPuJZgtgmuLITuv8PH#gm}eyemGWnVOktS!ZAt+&(&DMH{^ z5IVfBWZ{gjlNoW8z$;Zbs+8P)^f@8l>m?-z{=YY1#6S`8$=TS!*l6&RQ*za_?_3CU zVZdyGlJ|*-YM*-3A*9|TZ`5DbUH`o9;-n7vd5~+HrWC;%#_{KcGe65R6kg})6^ugD zmz}zbiO?WN{^8<^xVJk9jo_dmeT54S5L{&4a|*HI0(}0ie`UPJr#v7W6Onosi4_AH z@E*3jrnW9Nz^4%kl%7E#ERnZxm_o#)Fz(!l2O5XI1|9m}PkB!S(MJvKh|hsz3}lve zz`%$twsC43aB)FG1fuZZ06xVX2R3?I9l6M%z)~U(fh8WCqEDqqzdQpO zke_|3EOinZXzgHes@FavdDzsZ**d&jz;1(*iu32sFS#Q8f|zdAKsXJFqC`sapy}=JwmTg{frY-(2M! z9j&dNKn5axE!ID1yi{32e1!F`lMY`FV3Ft1(ABzi17)2ned1D$faCbE1s^cPpFVvG znQokM9)9?xr$-~F-wRYVjQb2EJyeJ7Wb8Lu%L-gK<+v9YQs@NP=dOcN>xJg6D$`$Z zSMazuJ3HIT+WNuT6VB&8O6`$j!~3(}-nw3%=87ASC>kiZdjQAI2ijLNY(7(eD4wR? zFDdf$i_~goH$~AdWBJO197h|hu#wF!*^}R{+T?bl<_vmINTM8#?VX*ZcU@D-OfE^W zRCq>;MRBv5Sn#!WRM*>IKF$fzX<6ynx@w6ws{dT1|GKKOvMtzC)YRV0sG`(JJ&yLx zQVge6(fBfl5|3(D`P2>_LlcEr2Rv2=Z|F|7=Z64Bv9PlK{GiRo#3W0K2I@$t)9+qk z%IFIPm$+YAE-q-HDtS9uQ{$8N>(4**T-wui zj}=qlz4{P|&uoY3tyZ-Ijc6uy|ECc-wa1xT3+D zp)T>6FXw=KB5^qV4A?=*=F4#dX3soVKjd73+;FcxaBt7uQJ*WBxoKApD#f8pUE-Vj zA+OwZ@_*NDZx>s+dCEO+whBv?G}5lA8i@#q8G=rI!mbSXWT)|p$OnPNvZ*M(K@4WL zkSZc5vR(ZMN5@dF2Ns<^N$l-;P}pVxRQMh}j|AgmuZ38B8y3G?Abn=JD_Z(fy-j(E zM`!Dc7*P%*?;^kUP7)-2?75hez?rDUW+;DiFO2HOFnWFoJP`;^6k2q zmno+g^5<)Na>p zRSji-&-81e!i9-LRYs-({5$mRs}-Uz>Ih0WpA=DI10{fesKU{dTNV=>6$4_bM)evX z3o9!tC|1gPP&?uL&z`A@-D%#EwXsoz57esieG`)fMjMZ>XMlU&4&@8r+DvmHo3v82 z+8xZ;6w*e-lz9YJFR9=oeR(tibB2F2M^)ftOOK}N4@@l^9Dy| zCX&_TbE=qm0Zi-5{Yf8=T>(-nqjEEfrTFf&0oOPM zr9Ws~lgwCDdYQ{L&Qrh5-FxpCsPgE~;~rxUNVDqo*S!Ahf3LAUaKaJ*BGJaVG)OpL z2PPG&J6`3=LS^V@DKp02>HKw!En`OHx!MY}F$d)t7!_SF*W{A6@HKRp74ub1BoszkF& zvXXH%UPTkO880yiFITr>wVX|(fswEFayuS`LY;B$hMpchZ=?L6IluU_DW{=!STnXZPi(*xk)ijT_EH`@cTvy~raw0|NtJ-&3xl z>IQqEOP%1mw&0UjRD`oA(ptg{s?>cQHVL2K4?*j&>ZCNv;9SS82t)gOcao`Qqu^WY zvMOnup%nKnWyEulRxiv^Pk9p-#uWQay*I92A3eP=nEl$dhXB7VESS|pa${(7Z+t2w z_`7F?^pcrcm-48x^=JvuYW#h%;STyOpdi)I3ONU&%0{!^0cQF8FAhUjPP~u)Keou2 zpaUBPUmu3`LEegg(^z66jVV@x=L*j>mu17o4!FYJby-#^)8TkQO#sH>C>FCVr{QVq* z^U+5Ky8hk)O4TLM1UG8L+!k+xbtgRFR2KV|;s5jf&7x~u5a&yWmg7DDdx}pzo`wUhrf24Ojq#U_L+HB@(Fg>Pfjzx{n#!mA5e|tTIWe- zn1&o^@C3|ecF7u#w^L($!w>bURHpId%6Uc?L`WC{a#pdL(V2E)m}aq>pU2Ne*xYoX z6q_$U^?6=&NaVt_{36s+_(kUYk`iM7MnQF zU8%`zNQ9e*{eu&A$}jR#lXTw&l1s4$A3NyGfZK1UNF)&xaD^COW23ED;TIGHGjUDn z+15-WXvB90C7%`@QdDU(=7@N1-ShSq$tnia5)?H8_Fa`C_ZHhTAl+?ldifK`^U)ez z00q>02IXNHSeyOm{crk#h1*YxoPTx_(B;L``@bPgSjjQg2?}ulOJkYAHIOXz#PXi( zMX%&yahefb{gJ?Lukg6tu1ujKjdIgnq_MHlwkzd)F9&>-ks^s=URpw-#>8&om>jbs zNM}u+F4u96RY@g~1MUcSO8nqw`1C9+3s9C~52A8DrU(5<677GBELTiAcZ#viwsBn- z-pqQusjjXDhNe#(4;wozh8z<8!KhUUSgLyOw(A332KX49<(iX06G5>twRyl$utu+B zCpa>eYBR9hrk*dMq2$E zD6ry{Uf>EnsnvLwK9fvJH39T8$9<)Tvm@2{@xY&1jz8>ff~Von!muv>8G z)gr>4aH!{+g6oWCLYt3F#mIe=-M05Ei$A7wSwf47WJ*dfekVdcjJ($>=7w6LP+GpX z#lIn)IOy%a4xPm^Vp-o0r0=}raNRdT82%ocLq&9!3NgLxwCh~^9Ncg#zTwcFH(GMS zoW&`C*Wc@7ac|!$EY(6P0*@qUwkhQ^>=F|!8imj&0bHfdT~S@p%arKv%w(kn9U zTDS zyoTJalrl3SjJ&;tQ=x>UqzSNw=jO7v2UPk7C5z>qUg(jfzh`cyZqLyxH2#3Dbg&5r z%WARnJt^C=FNY_)CBI`9&k7*8(gg4!19`FIUAa}{Iu7GcAE&`c6*(r-+Pwhut9X`| z_qk(lI)MGN!W&f!)TMejzfNTlBj-$0-g%C zdt9X-@xfDOk~7fRlw8CPc0iFywfEJa%Zw=`OK%ASf~lpdGW`r|rz@%3)|7q2O$K0!$S2y{4b) zYHN$UJJq2Z3L9Q(aBWX1JEqi3Gjl+Rx?}z0K6KT~R8xnchknS~$cWxd-2S0*o9Qaz zY^~B;z8s4pEvU2ecFD+TD^oaomQU{Ls<85lU8JF-A7kq4pkZY!|_GSdHGF8T{01awG?HUG5bH4WzT{ymCeH`a%-Y zxLq!h&p|;{i(bcFFZSN$`6^-4h)3Gzui4nxw0{p)x>*mtCLimUfiPs}z4dTdqu1q} z9@L7e@0fE%6`9g{?4~d$1LqN(2+;cli`w@9z5F)4Bc;?@A~qr6E-)y_a33KV%evWA z{F+9%59mi4GQYCD@QOosMrN+eYYUS_pa*EJrpxg=jNUpYE)3Ht(*{VxDSi^wxIS5P zm(;3N@XV;ctv!~jjd}UWU5}A`m(xQR5gFns2H6)n#-huuE05)ZVaJ^Yhaab^Xo#(% zo3Ol;ru{Sxo=I>lxSv2{)?zQFSUvvP61NS9V+9yoovz=HfGL1oC^d@=Xlr&PeQX~K zT4BUjXTJ!1OL-2z`Ptc0yFpG~UQLb(>@UR6JPr{qT)}wM3NCMU3@3ixHNlJQ$jQuv zb&vhJ4Xq6kh@xq;;2Y2%+zE+@j*OIEmBkJxu3Jjwh*iA+d&wmkvgaz#|GHUkq6yu3^B8 z16;=`6~!hzn@Vu3QZ_c_nNqfvqjp;&8q!sms2P3p(QtM^iY%S#T$4ZYsTNIvTY6i)O=SgThbw6ZY+y7XitLR&oV&m*T~BVo7+5jaBG?EHpOoUKHqP;E7GsHhcgrj1c<%K*0+YE zY@g`7+X+^9O{MvTHPi$x-I$~n)%~^8=ve6L-J=gd67dp#B1bq2J7Sqp5&?a#NvL#3 zvIOFCEw|&iZ{3RF)sj;1E6Wo@d?Y~WUkxw|_u(AX@`PJx*Lge4}~B+%I5 zFxlLP?S-liUW1p;Q^qQV;Oa1ju~d$BkR<`o7@m-ACw}Xn*>UoOj}ntPf&sDo-;#O^ z$@&kFBXtv?(I~Y@PISSRiUWo=?V)y$P?tEe0KXJFQ}(r3{2h>HO6mw!sSZmLIJC0| z!2AYd43kcJ3JRCPLwVhodmtM-XC;8U1X@lu7_eb`kCX(5&|Tv0MN%zLo4l+_gHYZz zr~>FmHnq6m!@k|aE0m;G$dh~T4*SI$&uQ||$S05J&@hgRPj^!;_NotOZA1GEo_}=? z^4|%)mBA3u{-~*__Ly_wVd=<&aB)4Bngu_!e-pV)9&2{|+NLIOJ|Wfn$mBH&<3zM09rK6x6Z3a05w3kS-g63*y zKV(&DTbF{{nEny&yv!`2)1f=y!(%?UFkk1pTrgG=r>z}KvZ4Nj%=aIXM zBeDZ$P$7RIUtZjGW+Y&f-s!oSp`LVrl+L_!_ zBGIk%7{(O+BmzR%*u3ToA&z?*qkZd-A71OMcqL?-$XSNP!Ek+UkpsGy{k6?TdxbYN z%3~VUBTyc6uY#;GR)Mkz^Uh4?y@OTCJcgg2_Yqv3*H#zLGR{~uuNIPQ(Bkvju_(;Q z%(+&TBO@nA!tS`p2bMd>#Fm2lsI$T-a02jr7viVWuK#1>$n)%cli96(BeRH|WvN+Q zsaXJKW9`9|0vcvBy?^?1WigcQc>b_iJQgut*5iHIDLN7oS=Qb%dwmI7+KnIrJ{}%* z(jUD!kS<|Z2U_f}k2l}?XzMed)$5-=6~j9LdPRIT7cyFY+-GE za%jVahul=?33W2^xHh(Dmjf%MB|Jz_zI2>O_T3^voIeihFo|fPV_>WVp8lpC|&>1)aptFGZ zxo$rEj`sn(W6+Bi{(wF~x1dxPiH?eDDDeq(O~uKkI}9}=6tsb&Fh2jLk1|JUkO^-h z6^3s?S&i@9R}VPu|7_VoYU>_=v_1XlJQ?$6Z(AcdlpMF-ba~eYcPI2Naa`Ib>vfcJ z7hz!n{^xN;<8N54`Kt@r`f8Ocv_*#pxeA6# z&X|wJ2@bLb|+W63=enNtcL<=NX}}q;Ongm2@QoFhg*HMO*Zt=#`ya; zcf{iIKbDVQfEgCoJhKAqyjoODY}vO1eSWx!+8Zt6cb49!%gW5GKHcdsx3Su0ITw9~ z1MJ5i-e8c)39>lQBQTIuZy3HT(v-5gOA66;nL>3d2CH-&SSK82n5&37(3+5T4Fc4o zkq4P^6ql!3L$`(1QT%Er(s$O^*y}d)cM)Wx<@*7S!kf zV3`5XZ$paxp@#xF+1Wee3bB+r6t%MyO-aNnugN{Ll$;tQbRBYw-10Dy{^_dk zb+yEVA^G1j3VWG$z;``2C0oV%YMtu|sy+g^C-9pEMr*v6{q+{`8_=WwoQ^{VWid`j z@H`wGFR6Pla3E6By+=&}x$@MVGK#zk*=L7GEPy`?N##7%FgC3AQP8^#}6>Q7)33FVgB_OtTwxq|v|E|F-DRy%7}VNcBCR;=RUQfx=g ztlQ{-EOJ1s{zKv@Mgr*8PE5_++-$4_71UpG@7wQF%gW66L|lDSWPj-4KNrDBN=kYQ z9=uRU7LLfia3QsT*UFu9RH`fz&(gApofe8o*~x>T4O0#X6Ew4J{J62Ytp;2;VW7-Z zB|RU(g?nV|rcO2D;pr$5@P;%B%=14~75n#=rY7Xb-O`eFDOQu`2e`rDLw*;{&|EU& z{w|&B?SFYA4ook1hpf!|8A~@*o*Wue!)yz>IV)B1iEHPihP0t_(TIy&?+jD?-ru#wf?1JRgU0h zb3;djACgvTq84~PxQAkPzk*$!L)j;B9y;7RpS9}z&tT9pjgRc1bt>IoB3(;@5pDJx zw#DPfMz*}?rHEQaS=(^yCk$`sB!AreGk ztEbPK&C`TLpnefapcN8IXap8v$!%k^KqjmL`a^twKs%kN(Aqvv3Z>Q@96C~>(_9Pm z!3xJ5@Jx-)75Avm8k3_X*6PPP{^|0u8nI|*M4yTxJ|aC65K~PdM0tDJdD)jq|L2C& z*XCi=Dtik?2a%4Uu;#v0X*ARBE8HTOwkW>xtb&4Y&6|&eXn;l}Y16uuo38H|Ol_b{ z^xq3;e88@mn`>4laYpKMuyF=NYR}U_JMqUGiHYKEE;9bV@T@l2}R8J=X2z5`1Q`n^GQP{{w~`Loi+Kxcp?MSA@UQ0nH*IH4KjVL@N5aurJ6 z2HQ%1efx`f*jHZS8(5us>wg0i2H6US05Fbk#Zqbz3ed0riVy8i+)|+3`~LmAmcPiu z;TzttOQy$n;%{fe%*~e74h_u?*3act-z4bGKc!;bnOT7G3xS8j5m8fM=^(SMrh71a z<9|NwWP zQx|7bFn*tt?5*PXVyE+Fw+4IJ$U_*atX6n6&VsXgx70@3@Kc*bdhP>^Se9Jhpo|Hy z+1d@{64BD01Ch{5cO@HN>C%7iwg&)HPCv*tZKjMy`PWRUVl09f+X1h{5F18ft`WOF zI3&#H0A?1GfHreCtJMVb1a-+X9S++9Mt#1Wr|*>5d;W6Fpt!tJC{;A9^%ZVbJw5{P z6DB#!tFR(i3HcM|YB*)X8_q?h?*$eHfW(0(tQ;-Y&sXOMa?67U4;mX902_D!g_z}@ z?~sA|SDDaq-l~{qWn)_ccS*6qHP+~t)$mx3#C$dxSy?Y7|NO5KHt+z2J(7j1co%z{ zJ~a4>03y8JGof@pnI3wUM>T((gcPt~v11Zph6On;y^3&JbI17m4O}5qG@6~~0G%Q~ ze2Uq!`BEx16K!fMUXZpH`*8tI8rjsnwTf8^B|N9E>jI_%7R*Bar-y+5$pLfP+QJbA zOW5>&xzN73-c;FySqSl#l^-xTI{@1C2EaEBZ49F0r)TmPEJlfz8&yr zO~SZJ%4c?C>?qRz-j7zui`U2p;CP~sK_TK|*_YcHrYv0mCp3F}pA~q#0g_)_{mPqW z0G2YDA|zPQ?;IIF%rDW>h1miQv3`3PGzF=fIfu^iUPq3)z1)H4|Llk);gv2pb0E^T z$%9_l#qiudOy~Xsn93#<;)tFowbhQ;Gu;-4h~DVWA+QVpGv5I`H|8<)<>M|bSCLP9 z5v@q%qaoU zWyd=!^Ja-wR3o6mff;9=d_4yMbkfPnwxR4_`Aiw!_7Lgra%Am&#P=5#NJ06ZeayW9 z)V4?yvGb4VhkK4t?WpA$fWaDdWU%_1jf(-rdnF^R5`PAEyah;+nBxmLxa7acLoKL2tV?h^v}61|!fO3^T>&R*P-&M*m=rAAGDWvN`@L0s8THdb4?+dM_jcv9++r>#edH-7@AVhtBOJtoL~ap0qg|tWr^Ja zWCLiDion)`1SH`6Nj<^Q*3pScOdJPMq4G*CWsG6jLwHsJvIK(hiMXehap)YhbR=)c zyX>wHtMmt;fSn0EGne(TS=iWsq}Jb$e7DD`G+Oo#hywo-bE=;_?MW((Rsp>OEu5yx z=voJvlr-NV|382a{6FA{xecKNh&ffsF5Rwf_M~xF{Et+NG6$bZwQzF_X~n%w3R$=!WcEcR6oc^VDUZuuoZickOCS7r$s=W>w22IApwYRd(Wky5Dnn&Ib=tkCMG6JyzNpbIX+?uY}>>Z*;P z(9zqk!oo-iT_8L1slXrLU8LW*@z8r|4#?jA--4vLS{c@8kUdn)`z8RqJ$?Fg?3JiD zl5!$X&v8@ep@cp4nES^4pg*rQG@|9|nA$E$r+uw!ph-O2P8fEpjASnidp_eaGcZsR z20rd{Kwct7ll}4-jK3h*9)r|SSf02=Y@!p1*c&`RdTIL#Z5!%W`P>gwcA?oMnloyz zcb#;r00~3Gc3>X4MKTw^Tw86hKNGjY&=H#Ry@{Ua)A_A;<2cKmV%;1{4S#GukWQl= zHR{?yR(v^2!-*D~eqEBHx3sBpqA%P!*$%|7oyu{1BzArElj44-UY7&Jz_qgj)#cn# zwEQ|T6Ia@;KR&;y2<8p}OR-gN&Pt)60%XOX;W=^>{|F~gi_HjAOUty~ucS^1HpcQl z%cME?%lq2%-TnbRafa(FihfSLdoMSx{=AyyQup)h)2#h-7J^7X0_HVl)UY}iQAgEr zRi@~-W5}!`8Q0mI=V}Sv{6PmgM(g@ITR%keIN;_qI|LR<$?tgf1-49w`P?Qnjy z(kWbq5;W)s-z9(}T+&YO>wlsCBlh3u0P!09e~bCgQ%ihayj(;eNiYMI-*^&BJ9_l~CyeV72FW z^NF=cE{-)>k5=q__RNM@>c72OqxLLKW|;qI1;J9;aWg&Cc%3NS|-17C*rs$)b3&cY)Il5yh9B? z-*9@^ReE-I)~?sG#x1l;HL%W{^?UbM=ow^H4r__}Bu zb-tj;fOD1X*XWt zHGitkjkKz%S49wu(lhQnLoNMqbwqd4Q7)SGK;4NemTjejSAMSELvj60y+jc8#(WG| zK!U?CdkUdlxT1G5hvhg!$Z^or{_60qZ;~ltde2b?RTz?lKzlncR&@b)P3ZC zp|2^L9odqd@Ev?Ev2b^z!wXs_Oajh!|SlQ$O!e; zF+LXQRd?MLYDBT1o}gP1Jo`jX+H+rWM9Q8T?-#j8R&5PC-2kt@EJx6TwaitkNn-

?=TJz9uo_y>R!?bhCd^G9EB9^i<+|3LqQ7-LBQ;~TRd%6F-4uFti>K3taa*M)Lz}wW(TOPo;{l< zrnR9dhB&_N?%-A3bT-;sK7DPz`Kd%VRx-ByxLoU%x<&8j5p+sUwj$3kO3(qKWNy_b zT}e!T=%b)}{Mm(a@t#kj~@|vsc6>x^UdiGhX4h*1aq)!T7eYP{##0bZy=Ci zFiCHzT8eghbGp2@A?jY}uGBHDjYyS^Oe0%8T0dR4@J?@(MaT&s=*0@jw%?!zwbK3_ zC+9zP=MJG%X$OR2R_8$5_VcC-8e5GFgO>dGFvK;V*wY8$dGuq&A*6%RugoClIsxi) zz%p;t)FVm1pZNb=*NPx@kFyRpPlt3t1@*n=Ut%tZzRv|CJ|=Jxn9cXCTk9$vy43!u z>YDMbqO=~raFQzdandU9VVm1<7~UNu*7Ms;_YJa+B139|O;*@a%?L}kx;uY|b!=g~ z6|DDtdwtMoXW>kV;`Z96O}j{TVVaqibcQ&B+MyxFv9g%6HH^NpfAGv%p4PWO=FWjM za&C4I6G`d6X|6;E7^BIqmAp+uaA7Nd&s&X=L~w5rP$w%fQoM?>IPzA}4lftGsjn@X zO?`{yft(bSyG8-TVIQ*!eT9*@As|(m1a)=B46+lN;(W=DP;LTqY_xyim_|71U;%4Q zJ@jNH$rhb0{vw!l+bSWUAY zysW)VDb!Aqz{JwV>gGh3v)@9BPjT5qmtl&%uh}lk;)8rWlREn&1ZH9N>ROlAs$_aY zh`A->7)H(i zCX)PJr~!XtF>7PUbP6a)G6!6S`>fDBp|{*nu!GdLuVsR-*6^Lt} zIZ&QJ!7NAjTAqK|6rBx+xtob9jQdmbZ`}@Wi(ggD*_!>im4jFK^vz(>#L@wB|MwUH zg~BYi`PJj$xEm>po(SZ5RAh_B26~pHb(6wCXeyU~HPdT3a3Tb2CR%)qSDUk!&e2+8 z%-O>y#3oiwc3yWOykbG=pf)@2VZgQ*1t;o+^~y*S$V5$+xGK`bVbSE1ftsrGibRNK z%!Zdt0zp95H@;k}t=WEIGNA9QHP9valM~TDn}u;Gw>keWZ*!c`*Ra(;WH|jla35cJ zYJ1~G@>PX2%6R_0dB*f)C>5xb+V&1I0D1Vj2aMsmxfp`O=J~v#D@EZP9eTu{Ot%v zg}WkUcnVpuf!-2s62I}8xUrsz1hk}zDwaB;+iqYb!}DmCRsQk=)xiDkw+EmA&>mjT o^bZZ@T==C$V7W%}U!=N&k`Dlv7H{(R?I~AXRr7M*Mf3ar0XIY(s{jB1 literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0100.png b/docs/img/fsm-image-0100.png new file mode 100644 index 0000000000000000000000000000000000000000..f71e7500f972507f7572240274b1faa77ebeb498 GIT binary patch literal 52125 zcmV)yK$5?SP)yPf0{URCt{1y$5tu*R?(inZW;7ul;%*!D1Wsu4C6Yv_bsgk*}XgVbWV$vOR&E6*VpG3TZn72Mc`HBMI0qUAzqusu9g3fB<2fJ#c z-ClZY^$pw}2HP7P^DsDviQR$XT!2RhcR7OKDC>!cgFO1<3@toS6 zB_YO0j%G)W5+fZDo8h0q6nAKkQLt=P%3)j)r?LiU*QlW}gNkW7wfc^LH-+DY?}G%| z&tf8$#K*`)OPgsM+d6Q2VQ&{7i`{8a{oDa{e&+<*Pc^}eDuf@ThHk0pC__1XD$M7` zplcwL*A!e-O`|HVB8dV%#x0kC`q@mvSu5bGqoy5(L6{}TR897d$r*s5QX(5hkWiHf zj}PuUli7o~hN$7|I@?-o)A2o+K>MjA9VBi$7{+OQH>eUZbVC4MXF8ZE6IqW$kiF}s z7QsV8;ed~^*hNjL3ACT>6gBa{PGM*_sH9RwLE{`}6^4v9b_QP9Fo14NH0&__=@FzesV1b`-gRMH`YX+q$=|TM;#I4x37t%3&H&a3QH+?|floMGXMp zRibp1>J$F)PTiDqvDwp~yM)a5WC28x6rO5%nC&Tnc|3Nxb~ zJVEmiIm4apG!D^j=pb2{A;2v&lNuqPAI@GsrEW^wDD_e5p|pw82KJ``+}tDSx>#I; zh=@tWM6~NC0(^qbS~5j+hPCiIx@p7gQPuG+qA>Ff;>wZ6-7Bh8cTkp>!Li zQ>uew^W1N*t$$@}?bs4erk5T?z$-fbXRtte&;lf?$+Tk#_nAm>iFU%E+aT)z<1&+e zw0`H^Qx`qEYUd|~jo%)LOg=1sw4>>v8C##7o}XDF9ig-ixjh3s7wJtj+M(UW_QT`N z%>>%dZsPG8hV2!%5XuG*hhrxJJ?z!L&Mkg0eZ^l^?3z#%`tB&5P^Q0}S9#yOjZb8( zUa-H$Lxrs_WEx?rqR#J#z&T?n&d?4>H9#9TLAYwBbb(91cWTbq+^W3_!?PPDE;xGI z31jK&>&Y|en_kP_m9H@--;Tg$i=kmg3{%NsW9(=G?Pog?TV2<65q7QdO`w}>=TuQT zue$ZY>5D(wQoLWGjmRomk=57WSXL8xK6A@USzC8WOj`_6rT|zrKW?x;gAKi1iuM@A z*$Q}Yq_v>QgqnU-%fH-Kao@D{SaUKz%l}#d1RL}w8m2g@9fyX8<*r~8TJ={YA z`nw^bnr-3B3Wq*}mnuO&Ojg9Sfx%P;kE>&$8B95^rgZ$iiu*HGENN9MQ2~@OoHnTW z4Acah47Tx#DgA8EvB&1-tn;#iK+?JQ7gTo;?qxeTCuS!Tdq2mub?Ee?-SO*f%NaV@ z0E@b&M{r^A5|zO?jK}Ri57a-9xxUa89NRQBE2vq7MyQDY0J8e@UB&lJ+pq@@1s(#S zaw(qZ4u5+B>gNCn(+p3SG$li0FY3%C3tauHgUwH+ui7I~TToZf6i+OrFO1AQj2mfk zY0G`-8+HV!n{Z7~LsyX|4E~+OahaC2$c)>lyRPExj`&s)kfgKF8Nvi5m_)*3l0zDs z=-CI`?_ZdcV=zHWV~ZzI76rj3kw)uVnAY7)IDkJZXt{sJ)^ft|9}&@14zvqXZ5RF4 z1pTaJnx+m4A;s+>VelmEip9-j^o-Tp{S4~xx)xC}QA)Eng<*NxfrkU&%P9S#sQxc= za?3$`XY!)1+B*<8Yt$sfUlC~6u>i)oiuM?R2bn91PbhC|%!z3bRl`s?6@{y{LHfYV zRjXZIuce18nrdYu9~T>ocY`YrQu^-N{hx0++Q1Xz6p&=9G-dF7R5f3&T)sQkE6Z z6O}0(hT$%rfFGdKi}sF+y2hq~XR5LZ5?mf;M^zB&HIzQJJnxI5YM5(&F>{S-Y@#td zj-|D5u5RB~Mf)5`1P65b*woc)8%V&OYwG}xz|C@1cv^zpeltIOMbJh2Y7NG`71#jwO@aVL}GCH#2W za$SaYQ@~rZ&`ur6NkolQ(s_jem1to!(LXbLV|G=rMFdpn+y-M8 zsEntXPta*0`$$JV%;dhuvo?Ho%-zHVZ}i$|nqqK1Yc?|>P&F42B z{bZlpNA2us%_?&mQ=rmj?Bb93t8CR3Ryu)ps@tJ$h9yUFRUFO%Kc&+Tx4yJ|r<*JG zcx|hx2ABa4v)ouVyYO&W&}BZ=2&Tztsx0YD7g&7M^K?dTDF)l314a{Zl)?=gSCgNh z(?Ay7CBh6kI|Ih;lQR$EehB$xz5~z=q+5wo&SY4NG2m{|)Cee#ATzF1=$$jR9ta6H zFe(Yr+DgRn&&HzNDsB}w)HODFQ4mtZGYXF=l?)-7!@@LDdjEIXYrM<_LJ*cR+E*f= zXPIGEIYc+@b>YNqsyfeO#mNpDO!L2g*0wAU_r@XGO_TqNF;Z57&Q@ZkIVO%rFqUS_ z%59SmHCC~xIBqI3421>ED0Oi}who;KVmLp#BYa>Ecuo2F^j8$1`?5OO>b#tpP;DuhZQgIP+mE7d1vuPZ~9 zo7>L`vGW=vHZ1E0x6d2G%ufD;S1`nb^d;--pvwlEk?1z*XbkHypEC+O6Zz%WXS zTPVG4#)^Xmdye@mI#TifY9Q?t2@pzEA(X_zE$ zvQMBbo^5TFsOZ#ViQB}mt$1-lr;@jura0$ps59^*+KI>(#spPOU=PioVVKlol)jpM zVAhfLI$^-m9A$$z+7Me`+ce5+UnJ<9 zAY5_S318JpPv;z{72Y!3fzfkc0NvrE)e!BrG_J6XhulR%G2!vWdGp&CJ26a4EeGM! zh}NPtxcmsa(%@T7H;EcoFo^C_r)5|q9v%Y7_nyC=h2Xil~Y)r6}tem_kR{QzPRyVGv8D~ z+9yu5%Zg!GQ8k3&K{w7;wA+mRcp{YZRhX0rz>=k*n-Xat6iy9O0u0T5vh7(&+RjACeUE3+$sMEVL(YS^Ihwck#?B+nn=X!HC1)S6ApcBz=GN)UIdnVipq#P!#eg;N_ygB^#+ z*f>jRAUt)^(%5-EBXPJ?EkWJDl0zE&%L-<{76hfor!T9(RVPFQMLW7sBg6ydf*BAe&T5N zW2DyCTMtg#c92y%(M8c%?mR>J+v-XZL#EYF3S;X<1Q)AxsA?=81Qf$>wiQp=!Gut6 zG-iYg^r}t`XHbo)+h~s?I%R0r_2>@+)tw+^%$r+PUoE5@guh|R+)r*`*&mp-9nJc) zGdGoCWU_9dQFpL!Vuj73^-i}f^Qu+ipSkNytR;j@T%}SSHJ{4fKD*jvXOAKbdzhS@ zK>OKDJe13aIV~?N*pOG%!c(L$YMv9by(zrplrz>%#BW*XWL0i--ev;+^AVX;0^(vGY{TNdLb3$gaQ>VHPCKig-<73fow~H}!@pnY`fxPf` zVbyzk>KWQ4uFGj?AdRotPteKIkFl`=+7D1r+Ov=NWr^?%XuIq*Gx1=5sN>L196W{_ zgNSaT2PwkKTEKRV(EGl~IDoPDei1gyF5!ae?t(pcjvSTJEBWw&gPOwZb1Y6Yp6C?Y zMX?@80_l6vxCEncP6nU=QmZt*^Xta8%4k=Q^PM%ZA7^8}>5`jUi%n#We|={24~V5=$&en>g2yI5&y-Uppx6 z3LW*`)<*Q9=?+IM!rQ^a33Yy$IBsGqki-h8B`AtMHE(lPD>Kpa=n;NB?D_fg=dWD3 za>lfo-OfAz@X-=h6P3i>W;>O<-5FW~f_*_jL2`0(ad9zm>e#mb!!*2@WAt!l{+>Ek zJj66ly5I!Cf?+z>vUJqMFuRbA$-=w!f*pI(Qc{Z!6{^gm z^i%$c(@Lk9w^K`!2k7qIySGoDJ_q&}(@xQD=rDJAl#ZOXrkeL>J9)Hot%*4OsHTt_ zaw)z2t1K@S3!;e(oP^ST#!-~F+sUL+4%`v#Z1rK$CzWe2Z7OfVI#nu@#B~Ro;oH%) z6JUNk!JWqzG<{Og)Mhak*ilX%zQ3R-H94j5;69B0qO4l_S&4Sr-)?jG-Me=KZ$Ba0 zk+-ig7}|M_0qgCP`)945p1KHr1a-?~7J-A5-Z3GoRuzS@;4m`SF|Q^1z@2H-3ECNv zlDrr0JiSVLam_&wkF2rz2jNjrwKA|fIBBnZ&HxSc*aKhH*cv?L|cp6$Dndi5^Yzeiy$YFGz~vlH!30@y2xvTxtM zzJ2?i5bczqeOj&h{Q5&JRLr_*o~#X1@OCRwO5=SydA9uD7w_EMj3GW8?c}6aH$gu? zog~^}rD0$FBd6?}V+x?1@N6trD%K=c{R!RyPCxk$-+=bD3LQOlt;Z^UCeY5~LQE5Q zd;h-uN=gqIcC2SSZMajZx2viOIJZUmf`Wn`J$i7oJ8beXX#eu4_w~HWmgtAar2woT^<2{@>9@mmB~Qe9S&?If;Rb5N?)A6eRFltZ=+p{Nk~r6nL)88 z_Y8fS{3jE%^dwcfmS+uW^TE%Kas-Tzxwkl)yH+{H|SvZA9^e3TRmu<&;Sf^n{ zlLHt{_4h^F*0|c+sqK5?XTq6{b~sGA`@@-)z=2VX>t(Ll@r&3D6F_ z{p`2Wff!G5^T89o9XD>=*sou|y}Nens;02c>%7twuQ$MsWmt?)3L60Jt^W$zElECP z$TIKoj4dcYZ~sSj{>qvb=7i%%I?+uUUm1u$nRs9_e-3lb{UGp|nVC6x^5nH^*V@QG z+2cXSz%g&GMyGrlYeT6vv*mR z@4WNQZQHg1&f%QB@4owZ;KLbDKZte{i%Rga(Ch-GnUW`#ZJXW3^ma*8MLrDkw<%Z^ z&Eb1L$)g|kQW5Iy)pD%K(4>bB9bUL_;mDhB@6$VJ#O*iDTQs+5-+{B1w;L8w%jM4U z;VfY$qAIFs=!Ve1;1ndpU{$5|H@>^OPDoro1?@unlH~||GOVZpjV(zfMoK@kXw_yn zbHhY1_?{KYA)O{aovGxM)!%*h-Nzq)+!pU2c;JC? zu+F}cVE{{iCHL?bm7yBMXGJI!5uefw*49lUmPmNAXyj1%fSX*K5F9Medv>8SpF#*$Npx98dG^$s39c>etP)KcuHPMtbz*f6eMo!Qh8 z?E>nc9@^GB#bhiScze6Xl2xhz?b{pPI2hVP>C)!Nr>j@HdBbn!uK`5rf~m)F=O0CY z_T9+an@AMx+)5{^Q(?@eV#*BgDmj}ya6HkK|H%zSHg6Y)DSwkM5~6)_jr{!jLs7KT zldU8r{Oy{d%IG?UbN$XG+lS1{n`qGAP1y>t&-T!FHtd_W=a3J#MLbRZPbAw=XH#BY zUf;fbH*DBo2Qud7=BB2mZr{HB=lFuBlw#0s5(i_49~+Sro(;*Wn1s~#XJmf5v1~o1 zFCV4PmeOYq>sNlaYGD-%stXF0T{rBw&6BsHd9kwPsPERaS|!r$TVXkAtkpt9og8AE z^!-maofh71Ki5Ehhcg(R6!z3u{M&>zNN=NmSzaKlDJPG1Zg8~}Hui^y(lG};Jr@@J zCbOd3l7`_MJcFn2zI%FJm8frdHkjy~Xy;F+PoLhiXHS>QWlw%#Vc~h_ows!9(x2^H zo+^p~jOiHSO;~!5tcH<$uW)%U&Dh-|vwTR7Cv|npf6uKLJiBN|hzH7BY9BM{v05q7 zE@MBM0PU+Kdf%*#p3eO3?5IU%4!oWF+s|4_-nj+&b3*LoR%S<&G+h|#bYffS76<4f zi}IM0jQkXTJHc~3WQ*u3VU77(sT1wFE0f+42!-rFoQ@;NC>yx_kPkHPrvi?mg^%bRP ztWPL{<-!D!QSi~kHi@U7S$kN zcV+qLgLAietQU1&S=vgb#@@1`MYO8MS21~d`nyL&C)lt%x^b~yWy`ZlqNAp+62Yww zy`7&Mu%e2l+PFhItT+zXfx@#Ia2X`4KO7t!8z>z#FaJLl)%^Q1&u}G_qLZoXbdpI-Sy=IC4c<-F)I}vZO~t-0i-UAn z`p)y0HU4{M%WvjYKe9d0%*(v9uvx1E6t6yP6)Lp$oWWW_v%GXs@Ki+Khyw-op$5Ba zYCU~sb%|H}K(rlbBH5i6zY+Cn$PX<0&q7^;c4ka6>|V}9Ol81Cf5umtby!ahg(?%x z^~`(8aZPx*5{5DGxzmuud$8gv%Wy&~C|kh*R>-)1`JVsIs`qeL@xyj01vGv|r^Q+AAUoNVBaBIsEEFp&frh)RCA~Qa~eX!2 z_Q8K5I&QBM(I8y6wxE7OY*>)$7;U7pz*h_Pie5k5!bP z)Xh(J2${${ejZE*emYFZkCu93@N7muXhz{09VknySSxa^PKRcd|2m_re`e_%1>Wwg=jL>?RaUiEyeL};!aRF+Eu(J zigt41$Bt+>9hQTnk33{FK zx$c;g&L-h|=9XHE)ZvtL0$z^}g4N@XQu^3#fA5)v7c3~s!8Wg0Ra()N05)|JTT^Ty z$3lSoD7qUFQ8ZRztU?bGp(nzQeQ3_cWg(Onu`;U8D^iK+&fETE z0_J1~#}K<4#))(D#j@quH{3Wv(}n7B!G#y)Y}jN)k)Ou#{lBQ=oSf{m62Y{cr=|4| zbzaIw$4!+HpqI?vdGXB6d6Xg3P$CSuhQ>7^m?bzCWmWNbJk=HDhYyt;K60$l-Ky!) zDJBH2R`Jj`cyT*WA3^0D_05ue++$doMn;z&>sN#ybfDdcN5FR_+M`wbxx_AGvRZac z`;!Yd9L81A8RzCfNbG{9rj}W=(;t28i7T%BQ=hc{J(5y-C8x0`y;FN8rCs*>tL}g3 z@j3IBmR8glCYG^8*aj(GTH(29+UD1eDXsipMr~H+p?tUoSg$^$P@hTz22YjIX$;^= z2mbY=f2hrT99#SZ*!YQoea@~t+{VFQ{O3Q%zw^#}n>KA3Gv<{UGiHHOdgA^)r;MDy z>wxx7xbex_u1M^C#S6A&PUrsfXu1m;GJ=>TF=}4k4YSwnqr6Xn&N?(2Itd#)^9$bo z@WVgfe%qi6FYMX7S4v7suU@@+^hr(bH)z1%i>|%)=J($HI45T#{3|L}yf6{VDtheq zwrNkzS$hbdv)pP^;$^6H@vAu6qwQ#Z$^myesmsu=i~7(ERwlOKLlG3@E}h;rXVoT5 zO1Js{x^+1tZoai|zd^lI`u0lcd+m)kKl{R%H{bi{lkpQj`sakV-v9L37hd`E&37aZ z7}hg&@cDgGZ@Kff^&8g70aULj&2I{hoUt~CuofR|ITU7{F$oW&x4Z;cY?z2j7_p4Q zjM<<+(qu(!=dF{spXvbz7coxwt|IBK%36j7_4Kp#pD@J#Ptpq55jLi^ii$NfYf)KI z8u(u=r4x78{55OKF_alKLv5<79{<6|mkhnMXOGmRfrAHMamDTT-1qWpZ+HAT_i)j7x=Q}W|{nGUp*j(4+{P;=ni z6uri1Sl3n1-b+ICwfSoRnYEJo-ap6o8_+*FDebzeM~wUY+x!D1m0o{KNOp%Jje$U$ zq%;P#x^}5OOg#~rUwHJ3?`B+g>n+`Tb{m$GHum-R+UotF@0C;f!lE_HD%)EzC=<8^ zF&Xn$P0|V4?L#V_=(MAqMA5Ebl}H;Wlq=^T6iq6s|7i1}Mumb1nw&NeIQFaWd~&p^ z(H&G9e34d}HU!j$pzatZjK+Z37}V=L0grARtZ97h)6WK6a9NL}0Z%{wk7G@3IY%nm z>_`egyRIl!I+QT0;2#8=M#<=zQ?-a-J;G?m+Xrf>#u?~|HEe{VfEO)$j0S&0r$)?1 zWc3f#s1b>Bp$~S6Zn7>`hIMM-MEwhoSOJmn#~+JRA~5=|{JXZ{J@(OQH&Z*nlFyY& zG#Hww3?~MkVT1K-&mD3+ z@4#ODFB*2`^4#JAliojR4oD3x+`!A~ovMTx@^~t@y&3E&{!swwO6nrsZipl_+z^Zt zQEa9G=c5jg+Aq_mzgl#~jd!H>8$9y9M|bQ$1~1loq^6M393hphq53eX3z@Yc6CY_^ zPz*qReIN|0RUOb9WxD4`)dNrd?fhP;f4X5rVR?CoQW<@!ENF^oSQL;|8&xe?3lPVu zA7E9J0D5a9cr2lv-!w?TwA%a8<8XpE5KkONat3kUo>sA(PDUPqoI5AG~v?AOFD%?C;Z#KZO4x{_6rb!uZ4GsI*P^%$&%cXHpV-jzss5 zrM-v~#2~7wOHQb3rt_W(O75&J+q)B{|Dw;p9z%yuT98@o4FUdvdpG%wMwQmcrmH=| zxJXE;3u(2n`wGBc9S8x>Z)*?Cm^S}{i>~?a)Jq;7H|@Qpo4kBOXxRKnXYqLK7_z!Z zbS!rtXVgV{I}y=N=!4Nkh>~XLJm+{%xj*Idk-thB@ab3If=tyMHtYOqwMVKA8g*g9 zR{};&7$5PuHOyv7uL(-EVOk^6+K5r>k4&4j@VDK154mXA{$qy}-hIY24HUXG)}4!0LPx{=b=CS4Mti-L4+JDt%;zIDkHj`_zOYWuXf<=x#iZ|`)ykzeu3 z)?+ViEcyGo;%8Rv`|HZRPcGZ__>vuuEXsRu!PfidZN4Xc!{}M-?wqma)+sCaxMlKk zd))l(vJsP(b~_I#UA)q{n;bXAnb=c|iwP(k!J`(+k$_<*i?5-#Tga?cc4xW7>xM=H@=QVB6zMcKvl_;fos%zqYO7?VUC6 z?WrGopl#eC&*w+{6U)Mrs+6g9=8Q%k!MyF!54mL zVcz}owvC>-8GglW->$jso0X#`uXW5DRbgPR2$?RG)<(`FB^ZcIu7c5y{ zD$!?v(X$|iJ-s*#DlV5G{gqN?&NlNSg zr)zI%^2iaZL7$?AMQI_0stV&gz++#kQ3j^xTsdRy$^eVI;`tSA$n8szSpm!1MQJW} z7hCF~>9yw6vdH+oO&@Kqer?^Mzh-WKVEVedzRe!>&GONcmOq}c`I&{eFE88u?)u_! z+m1~xaLqp0u<%IpYL{ zuJ7=A3pU=Xru3*n_j--3jp4O5fy|>Va}G65*;_q6uk^i~17nu&f@y)tx$D~%qbIR( z|FpGFEy#Oy?LnBv&-OLXs8llQ2uyE|O1GoKMd|OSgw1&Hye* z&tI^(cT(zz+wZLOdg~RvA!62e)kcX{c^G4_4wz+Ovphlo?MNWP4ldGyx_y#v~4?OVBQC7HMyxOO z|4KC0*{Z*-TZv^-dA%DeY&S^ z!hzQ5l~Sggt_;!5M2NCSaD#GDwi>r-145z=H*45ZW%cigMf=`C%;<2wcv3g{k@xTP z--;QO+7?d*t(qbHnfw%Jz@P8JsVKu~IZSCWA~_F)3xzIfrBf>+;|rTU*j@!w`rPt8 z52tUuW6Fw=-z>dr`s&B#ZFzQS?z`)^PuQ_NyI4ZisDKUB0fS4e@FFb*1U=yJx6GQ3*pms<=Da-FXVn(OpBT$Ppxuyr)L#YpF z-Y{(s($*lY_vuvurA#ra4GP>Ics}kczMZt%uvQb%V4K0#@#vnW16jgMf_A({CovjvL|qkKBYrjTMyb`QddZ6YL$FU2Qw?f1P+2m zFDMOI-ja#!?!2gj|XcFF3J%s6qRk#*#SD<6?~(pcFgvpPi61CYs%95 zCTHD0G4u8@<9ek2?v+NQE=CK_*O5 z50ZKiy+C$~5N1RT8Z{veMzcao-2srwU|}k~3S0@J9!7v&=8}zS$!G?_-mSLDwAyEY zBH;2HaDI>fF8zOc_5WhZob@~QPTQFGdhWi*Hx%EqsOZL7g_q4d)Ia^$Zx)sQ=c2>s zEk5wK8oCE}RRononL%CFPn`7HnP7|5=^N+Z;|V6{$Iqu>;h%dk1D5Bq4UYgW2yl@e z^f*^gANc$0`wqXdqS4nF*6SssTGlH=DzF4VHH?NJQ}JL6RR>kra!tTXg22hmGSK_N zMvF{p{gL{h+^UlLpvrCaT%{LsWmp6L-yAmTJ<@=Suek8CD}5mrQ-@LBqD~$czIaA9 zf9@>h1kiAHJr}cq-aEU3>uIH*&fD36hTqSIx3fSZe*%w+%7eU!ed-f*r(Av0Edww4 zeMy}g&lHWeV5ZJvlw%Uyo0NdY}k zbNS?^hykP88-YK8uT+Pa@>tOxY6|JCvRTpMZHh4VjyfZ?Ohp{I;@OD|2`S-4@teiS^>ih4% zKW*By0|yQ)Uc8t(n16eF`}FD4Gv>~(sjC&T4a^3sxIzyeI@&X>|KDDHyIC@;yi%1< zg_RI<8f3;&;M?#@rAPjHZq|nrr%uYqs`bk?KFKY^ixF5(_|(MoMdPMre3QPoye-(I z(kj2)3`^@#8@(nxfmN(i7~0`huYLSQkG{iJZ`%__)sdOX2uoxS5XKy;ExTGyefy?^ zl_7(#k*QEwW@wKuVJtyW!>=toqBY}*r=NvQwXd|M0VQ+B1JK-CgSsK?F(&T$WO%nt zqZ4N@*j9WLxJXq%bDNCWG{6x6XM{KW-TbWWhmM^H?Jh5q|Et}hl!3!hLN6c#zw#8TG)zHCwy}&B$JV^Zk#(#kF1; z1hB@Caot@H&0DzCtbZs5lr?@L>!uOb6x}JGCn#P>pgmgb#31b+->{1=y=C-0AZasc z5#;T#!PPz3T`XEgWlSE>zGi39??>G6ziETl?2MrjwZQC|@zIX55Yp%KG?|=V0 zf6MK5zxCEzGiJ>A{PWNM@P|KKdg-Oj&CN6pc{@LuBuN@NbSQ9jYJJ6J%a*}0#;++W zE4$!=3*eC=T3&eR#mSStWyUK-MoSMJdB@D{{(FXh()b)^l!{`Ucy#Hw%DD&;%=imD1wuhdCx2oGCwO;9_yB~bvjSpL8 z+7hPBZiila(Bhb#FKD<8S{G12YZ!6IeZq>yLgWnH)PysT=yu5sQ@7GIbZW=^B`D4a z^+88}QCOn;+ki{;AP-KexjAGyu7?yw{9SZ*yg&% z#zy!(yeHYnkt3gf{&~vP6_dU+=H+|tx?9&2&f5*mOzS&v)B_K<%O*^BS!)pZIk&(w zuUmwaDcBBj#yz* zR_nM(0_|}~g0~Z!D4jfIYWLpBo3`(Q)4xS!rW_V?fv#Ns2%CWk_85zioxAJT$pi9> zkJK~&u3Cq0wd=IrudK@5`K#V(+lop6?M(SU4(%+~D`e2Jnf4pKFv#YDCH*DBIV;MLc3M*Hx1R1%is><%?clzijO7g9(t^NA-8#r)a zQ&SUlw0wle-Me?Erl#iS=W{b7%*8$T-FwT3n}Mrq5}?PdtEunRJLQ#k-fs>nptrj< z%GaaJBe5p|6-4nxYq$Pq_taIJcLKcYJfZf8k+phbkF>!na&ps`tUNz;VD_fGX3)4o zM!jEJkiD)~@{m;sR&U$IO!pC5hq`@bTM+ol>a9Dvr43rQy}(AhWs?_ZFZZeqz)QkLjVF{maPT8f zJkHV1ZR|FZJs!{CfrDVUTrU0!?}lpIw&75K=RTir#E21#7A>Nbny0_^$VsE!K7L+* z{q;V5`doI|Wx&;`)lL|`@!fadJ$(3ZyT_=en^#?P^&Pj5Y z(HdBm%&x#;B+LrJPp->Sy!lHLgk*A8uKhRcv4?m}>YIbNQ zNZ@5{5$@ap-VT!d#@$7YOb<7hO+HNEIiP)A-tO~K2EbdLqMfPkXaHuXMo_u@>T9pR z=|&>L=`6>ah37Y3dp)I3@|9O!$pP>D!gY0ZTeof+Q_7 zrYH|S_+WB!^3_*gjmcx|t+uwdw6wHG9(jaX=R$2=L%#w2|MBt|%r#*V8e2APP3n_2 zd*R}mwm^$aa8B9jTaQ{+eL#Z+d*jmy=cNtVv~#~Z%%Bcq(~g4klly)A#iaMgO*}t& zz|Q?gTEk|8R|d|%y|AQD%CHYUnb;WAT|u=xVpK>b=wqes0IY6+=*fWo#M^X%%tc-j=YYRmTj>7B;W4i~e~>@ellJ{#@Kx)e}b zBKmc=+;-J<*D6{xayDv8AVigw2k(CX00Mvq9&W!5U$~^CBqJl^s;jO_NlAI`x#y?_ z^$rccPC5Ncv2y(*#v4KB9XWEOGdP9&tw5N+!6v;pFbaXJ3P0qf9vgcFT7|ti!`F98VSSWj{Kc{lKX-r4?qR9!wJf* zbTEmyBucA7C#5g`P4d7sTXzEk2f%~O50C~)erD#fZ|5xdRnHVaJBaa(aQe0f*KFC* zJ$dl#%xq8rD!odzOe@i!*B}$%?X~`hTh?aIUznVfwrkWg14=R0|L0K%FSIXX$ z_uJ(DOvn#1kA1bzfSbVnWLq?>SNUaEkR2SrlVDr^;p*$IzWzF=mCkOe%QRUO7l9*Q zeDTG=0t*TXqUx;`NVja+GEj%PmyX7v2M%cc`t|$?KU}9xo0gQ6G<)`JitPtMI0=P9 ztRBCvhr{92w0^VZ%x3;b>||sbT6t+juih!2PWY<2)z3nj{0dAZ%pgZQ;IqanZ`^b6 z*GU7GIT?sfNTEN0dtwW@T*=KLN5hHWhAuH3TB(fT0&Y@X&*l0l~0CUt!w=hAHxP)@;f& z0Tg}v_MJ0l4qiz(C+EoDwQCpP1x^HKi-glhCyn;X%F1`%d51se-w(jwyLa#0++6s^ zTeoiQ(W3{o4!L>r=KblaYl7hr3t~|u?tzmdN^(lSNB{aa;MmO)^N4V@3c$%$D9D1_ z;aDB=`|CcKIHkrD0R^eLJ^1QJ<1V}Y=86_CNKkz*`u!(gPviCK>b>DNKlyCXWmmy6 zH-)i$RhUJ{yTY^{#I2Cl5RhRLy!6WJNqth<+^xJ4Cg$7PUUg?j7yiQ>Nev0a#~pRF z$t$Wp{O|Z(>^H93ud|x>CCGaVriNUUgbz-1N@7xz41DJ57Bc1AW%_JF>c&Acr6kN zBikSV5Mbc>`S~_)x22M~bLZZB@4fa9i#xp?P(6J3a5#7l!>lRG8_Nf4X+~6@LUt9(a3w*x<2rz}xF2UXF&D z?!H~H?4oOKV$~@^I-J47|8)I~Maz94aYghg^Ojt8!)+`wC#be5WYA?-&Req?R-(>t zR(rIjAcZBVj<9M3z}xG55pP7l`uZC$yyQ|=%EGV)v9CSh5|M%;4H%!E)_G(=IhP}HfDWMmP5ILR3#C>|X+k}yiCDrt= zbj-}h#i<6Q!k*4HHq|yJ+8yo}t9IQO-4BPQxvWe~TPy79e8y(t0aA7yH@8pg8jq&} z?ono~GUK|;?=Yt)_34Eww{~hvMXw&cNLG$>$*=wm0hJNItnZ=pSM-!_JUnNXzFtfQ zaA#6_{|l~9ECqyuWs>0_tA1Nvawc*4o%pBlqyRZVb%Qx(erRhdaFOC`N4D9X63}d5 z<1cXG-vdenb3}O79?wIGx!b0{B&Ej@Ki{=@Qw=7LSUa&$k-Et^zo6Sv5~AW8pE2zL zl;*U}uJ8JKK`_!Eu~(gnav4cv#pzhbmnXncXe7aYnlH~ zEUzj8S4->NV!(#7GTC3pR`#dpy`GB{hQq@{EYat4SY^mpkJ%QqeYSV_J-PNIv0{kJ zcgIU-g|Q-V^+b6CHaFyg&6B>52SqcO;zgFm4r>qdVtoqnpB}88g6T zSg~cM#x>w}x!?-rF}*A?<7!QZw4J5RHipy%u=!rnS_s!ZvZ8JajujW@mcOSJjmFBu zUn5o3`0rhP0xFBX0I0bP3?aKxn&7BSNai=E@x)Dfc%4A6tkn*cvYLR7a z-L4RQzOXVlrd0H_cfxXL_t+J(u>uLzbuaJq`qXJDFbXZs&yx##&ZpyAv>&MQx`@XD zb`uYdzl!x6_g0)doEri3-1@)lQMyGJ%)?E>gN4Mb%`D_~<~+IT1A;Ay>cgtP)bcVX zY2ss$ObECj{>`GlF$%dgAB3hG`}TjKdBP$t$oz1CPti~EzHYGb5eATW_GHr8W z^(y>uX5iLf+(2YD&q&odiS>_9@t5{_67i&lPLGx*JwI_3&C+k$UvMRV6GEgWTTw~xN%L1f9q#}X`qHO1QKOa2y(R0c0lBDwpR zH-05fQ`0zIVh*gDcNVVrQGANcetUwk+IFMjarT0B!T7%tqB}gS81_1}#zNs{_gF_1 zC1RbZycQd=w=Um~O9;5YKc|UTqLLLg={fp|AT_GWcUDsbO9q-}SMFjsmkn)j1n(vBqp?1U7NqST4y zc(EC&wry(>{3>}wmZ5URraWz#zk>#Gc9)z}&e0>I^iV!DGIEm<(Dv&}^68Ojy*pyp?hW=ch^244v@cW8s(3DvFZd!( zW4-ryPr=~Epwpv!ZM!+kYz(YF1jQx74H)mb^{Jc$Kh5esy*t`8wbY9~Ks z$qLp7&Z2L8Rg^S~`W)hMY(oPR6BFBA8byiAuw*Lwt(4q3`&P{~Z$-O`grX|^-hV@C zeU~?hOV+Bo!2H0~=Hd0eYLozAU;}ts1lQCB(;g(@gj+V+Xg=+xW0np6pet}kQp?Lq z=FjWgba)d^hC;`;$?_&dAmrxXL`xbJc0Y~7Lw-EdFKtv0B2;MyE2``DI0jVJSf)=^ znM4H@j|M0J7YJ>G87O!18FG)aXL+q36m%>gZ6&$bs}%h$+kPs(OR|-9VC-EIh{s1W zjoItVh$AY=5Ph!afX=7LX9Ude^4}Ap-wVA^_5ftlDy9Izdp$v5trcgag@XP)BK_OY zBp-NK0^y7{uSEqT+sQ%%$((YCT`Y;-5H{aum zI@|ot22}b9=F+}j{xsNfe>0e8K=r;-7K)`f3O~s#rk8rHdyj`PSVe9Vw>QP}!2R)g z1)KbB;kqd46-)FrsH*O}tpQb}0JV{z_ni|qbaHkKUzmZk!ENTF-2x<9{sl?jae?~> z8X6f5&PiYBNJs}eHsN)PEIPzvsRp@PIy`cL{aoruh$^;-h(f?~J(~CKBpK{EAs}-2 zX(TgTZkaPai~@;(VvS*Fl_$(IwxjTq7WEA6LzkEAo&4d=;?P-Zzq)?rzi>afse5l& zSgbJ)!_PAv9_n}HC>==ijx{h+XCa~v6GAr}Zf^i?u1sW@I$RKR8JK91(f;hrRkF@X zFW)^hiv1Cm^hWfJh_*mWv%n#Q4NpMWYmV&^lf-i`IrHwM7qgv|`IKUoJT3Op!@{DB z)OmfO7w4}DVj$TIu9&bLpx4az-sFz5^D8GObXMS*mS@N25$_t_wBSq`ryk37&dd9o zazDw#_#e5Sv!;)$t1=rt% zgI4y%{WcGac{`rfV)OeGpYC8WmidfkKfx*wt|Ke71;iw+?BMoB$n4iGHDz{o-w({# z!Rb(Z=Ns2#E$$}e^z3*ZLqn@gFiZp`**>ul!OdYZ8>oFxC!URM1Z^QmUO*bO)ivj0 z9W~4riel%co=;jaR4%OVVZ05S!=R%Ty__zz{DoxTyQ;r>`>_%uPR4vR`6v(vI1IWa z+4E`CHDr->^OUV2u)IKIi+z*$ zyOp<*)`Vykz>9`8cdg2>RHggKDz+}9&Y)Ggp!%zRo6lIa+dA6ExBicB-}Usy^Cttc z>}`k>8BjKSLU4|!S*B}bX+FmP02OGn|J6&?CPzBnP-NK`V$PI+apT%wU-N%-Q;kT5 zbaLCSZCskT#ABbkw#ZuAF8F89Bj_-v>Bj0F##__KM3=NnC@5zByCR*~u-EyuzRWgw zL&9~xWJ%O{dqFGBU0$Y_A?8&|ivUV=+iIQ!HscEXkfX@q!h3|IL`*3{er&PFQRn^= z$p|i8YOW|%d&iuY)fnS|(?}tPnc=Nys37xiqVVHE zGEbT6rhjhKlMpugRY5lkJy7F!&jO;EAFlK0NJP!d!$*}!imNt~h@wyj4W_E+?t*Wc z3+HLPb=G1S#n#r(53-+Y$u9PjeD*Qg`1T&|HkNgr-<^{q&uWRyDHs_mg^ zhSE?5Ha9CEMJR}p3U+PAi3>kEcONycZsumMN4dxg4xUG_k(4frTu-oHD z?<-6hF|%71u(AD@#w*$ZtqGm|fTc>wj;<2AKh&Y6qwz7mD&T#^&#-@)B@{KA+%v-{ z0?DQ{Bk{?gEmXH8%KQW=ZmPb1Nx|Fm)yio=%5F`?6_Q%gxGvAjBTnk=!D=NeB(|yV zx$flab$k`hNyz%6o2lDg(x>;)MzC?w_WtJ)ST^_9X~bBq%4s^JQ?|CUw%c~{`I<+f zdxUNM#H{)~U1*D_|Lsm#*j_JX7`??wilmCgOEQLT$+X&L%}BrWHs^3)qM!6scIv~x z+!Q9cr%|j(xp3kfjISK#B%x+~l$qM?8nJ|b!fN~LkMvP_N*fzl!M-AL`fmC3`}eg) zuB>b~JZG7>FP%g8tF635{rl+I^?1r)SRK8v->*W^Dr9|O>pxz{t}RAn{1Zve^iXCb zOv0F#gB`NkHvaOVo-40gWfFIBb;u{f&vm^CPZ&bAs_J(e^1OPY+u2K->p<@~W(@n# zJJ;W@U9a|t&3RHB{U_%Xv64^xr2NePdj6_oM;Hn1k8_!7E*aWrCH)2({Ek$mW4sC? z`q1+oNPkX7i=Q$&%DlAyGmF=EYnN%RR3$T>!)@z``#6S@$zmTaKY})rhRFKY3OBr8 zVqlx?5W7?PEBI{#AzOl4&3o0KC!ig*tkI2z{`h4%pz}qmd2W^S79+R& zjkQbqN?6)WR>jYcA#m!iHS1T64PBWWPLS*LM)L{X2Gfz8PDGfZu5=-Lr>t#aTd1o5 zWVUMmEX=mr{}{&f42*q=$u7*cP#VG;I-|zQvhSmUXwolOA5fYZKl5fr%(*SLqKCK! zduec2Sr(JsDqWoTD&3XNEG7&XAqFLGL^aDUx!iN{zg9^X>IY1cZy#F+n^Y78l!fSe z28pMB)1u3nrB>*^nOnWed~>t4bkv&o%S2|u&G>05w7$EJs*%|!)?Qvbo+iz_|LVxz zF73mNDe*X_Me#ykH~wH|x4tUU)Tpnmz8am?S@!5T#f-|e6DD+KniL{l5vrl1iQ=O6 zI9gEMNL`iiANK-_{* zr-4dBR@OU&2}MJaI%H(;wpM?%Y3$A=GyAEsxcIHP;3iea1*=9_E1E(i{fB|kUIHWJ zbe>%XqOMP6gueda{Al3l(j{>R}#bmPLi0F@;kwHEFZDRE%#pO{5B&jKxe&$5XigkA6s-3FxOpT%bAf5d!E#M-k%Hxvtl; z^9Q&;ST6A!F`)fs{JDmz3i!0F3eot!I91>ov}R_LY}hvvOHjwTKd(-u@UFIx>CYK*G{2YRazx|C1} zCt`yy8(P_=J+NRXnf5QDfWjF<7C#Pl`m2%`Inx*SFLGh6{5|Hm1C3_=+I+A~6w5A1 z42zgq>4OYq`WYc$E=?A$a(+%igU_sx7Tc>Txo)P|0J2y<`|dBknH$->AwDs*rH87P zx;kKJX!UQ$mT|mhHI3l;+*3iqlW}F!P_J)QmX=>84lGL}N=O~tNa+vu=?HzxIVmfd zc_7^Ry+|BB=2*pU7Eqr8_UC-1P&54XuInCFA!POt#hEhnk5N*;ZiZ6Hz$}hh;j^Go z`tx)9-x;%;c2$p}I-2`zZf|GafZFlG)QNR9(}Gsa-Iq9pLVk~wJ}Z0hG413LsVfBp zh1cJZM8QWTkoI<9dkxX{AC1|uMH~VIZW`KI;a7i4Ke^wjv!#T4-VGi= zoMLOHPV>u0%TS>Si72FSJ*}0Y@`cI~p5)A!UxU8{)WFQ3EW8pv331zxzuDgaV`HA< zY~9|~XWP=6fQhw-$8DR5gV(^fBEv9azHtAgA6uQ{_!9-vQ{rGUHL!;5QP7OoAr$y! z&0iPWP18P5HUM{E#L(zGV|-b#Wzc%LCh_I{T06T~t_qNs^$fdbHG1%Y5! zS-)#)p-kqgO38SYFsSq)OWF&(5r&)@yn^7 zWvI3kWw7Q&gvs;1S&c0b`((r=M)Y|(dBhQ{aP#tLWho7cUrL}J2uUv1Ij z5>1)uwC4Dy2tLO0AUcW(w|(Jf@t7ne%M$I!a86vgjOA%QL!E{v?zu93Oo_$_k>w&* z|BEJF)=TX~As_(;t30RgwSVf))==7{^W!a(%(eTH(_C4#qF%ZE(;RnxK?>Qym7DO3 zyz^L_jC21dXp(Kd^L$_R&Sh*{oGK`T%G4g7q+&$P0%buITtLks z{`wdBL|q|ZTFUQ>WtG*hGkN1uDiq3!pJd0GS$*C5V^H(G6y~Sh-7%*shY-B1R&bOB z(;0lqm`x4~TuO`@#JD^S2O}iKNgEKV+%r-TT)5*wuae`r&4Co(_iTbh!0!9^&lQeA zAHxn3aJO)Nt`!Vs8#T`j>AdunSN`C?5|Y@6YMUU|IeM2x+7^(;JEJ|^)uZCJyTwly z!Oo$AOi7RThLQ4PD&R!Zii8Y&-^X8?iv+Fv-kKEiYHO+C%+LPJ{z}g%E{cYOcA8f% zI}PXit?+JY^>Om{h#vm~M#^b{Q8NCxjRgvJiS1`?I_aQ4x>4)-m1xXDXsLKzy!}zS z`@eZNPaj66F~_qBqyRcuEMuW$!j`{S+upIa$@EJsae#=_+T2|S(m!oVuhRsL1ZgJ- zfrQcx9<~3aXyt3;#N0})|3*+dD*NX56PIU%K2fBD&+g=3e>Ayy1hU)C##d%*j2?#k z!Ep`46MItqk%6=Cv4BUiWotdX_#vYsyyn8;V$a{-8D6JBUSF-&FO=ieF32XEb7= zP&(oVWfy1oK2gt@f^~5ACj`JnIf0isZ~ZrqlYf5J9{;XY18r6} z{k~z5%-j>Rm<*no5d3oTWu)MC@IeeY zmDjWWM#1C^_n0A<5YBlNA!2X5pjL>WKW+MtQ5V8= zzHZ_OCmrb23l`yEvr{)<0>qjvb5@K7s?jM&cdlQgiAEy;2c-{}qmlj*Xtr_;%KX^| zl^EL@&I}YthS_F)13awOzp;{!e5Up`EB6||)GyYyXC$4%2686m%}LH+6Dj-z7glBs zRlW!KdY?9{HfvsPPbd6gc0rc~=rGC40{v>Fy%6wtOHa$6tyZ^4VHrH3^0~;&V))Q~ zU6$;Au?n(*pa-jf2Z;WK2Ww_6w%3^R02}?mPX;uo6yIu4pq5OT9o2Ps6%?;I>GuVJ zbhPKZvn^Y{mou&*S#+py^ngt$bLB5Kwn?^qP1bN6VGHcN3gk>th8dNhTVqFF`FD+I z8^9JjA9>-kW|NQ6#Bi1ab1qy3I@NtbtkI=G`LO)_UK4E=LmXSuZINr+jQ!z;G%Doc9`7Fy=*mKyQRAYNFx5iP~l!h0m4DHJON1DTzrk2&7p8Ku0*8BHo? zb}E{+1PrK9*|lXUHIS%X7@Q^bZ()R;lsUw7eGmV7)p85pVSNkNj{w|-*x6ORR;gF+ z?typV6UDerK%ITDa5y-H>&2%i#%4E~4b+wv?8G6CKhQ9jb*jjMl{ucFsGPO0 z#z^BUyzCppOijb`{zLt^aO?a+l_u^E2)(>hzJ}Rk*pB`&k5o|`_kr5e`YJxX)q?zc zrDDPd!(>90=}9LjvxR_|SFG(j_jyw$2~{?TCfoKJ75@*31NE&hr2-IX+*EPgdm$iY zh3>b0_w8zrcwOe??|{L$O&Q$#Bg)yLlbh(^M91U59%AZff5R<@9O0;PQ4}~)S_4V% zRc1CcTn_ziIgvY?%{SFziPf#PJ%aHIxdu!Lzziz^rzl!c>AVcdLg-6&|HsabO2E+ZHmf1oO&`2hNBV?{K=Gz2oOO39OD|DxA-1X zoFgH4*zrAZ8sHVdIk}Z@E@g=lP|_?#0A)NRbXGlZ6;EoaCON%hKE|}e+G41>MX`xW zp-OEUhWh**1BfEI`Hsz;p(?pej*k2Wg>8>jF;nJ>EjmIeqgPFN;`M$=UC6eV2{j(dRzcW~x2r)>pwcsYc%#2k3 zaK-HHLR*i!u_kXIY-hW{MCy-~c%6|X=lyfaQ22~)Mq_$g?trSgz({v7+_UclcUz>q zC@O=xU44kID^S|=o(SueO#Kc(bpJf=p>}KXZI$lRT{#)WwC>%oSb^QA0#@?4&=)?Z7f)=SF zvsOidPpU@U{!emfk*}&5+S^*j3ms~saE<}Tv`>1(Y>bZn;%JZ(Da_Ie0R8Px`6ON z38?#7N{e#a+vQRz2{F3%^{6e2>A@wbmJ-LT35EP(OnR1Gg}GJH2VBWnWN-K2@k0^E zHm}OL`+GrD(TI0Mp+2pk@u1FA_ib{POp@q4B~;$c4dVf;pg`YWfKVQ745!O8e-N;b zD8FPaY>NYOPHp!6y-HH0Ptf+w(!}!KbtmOia2OjrrhD>DvOTT<#6U3c`!gzbj@AY{ z|Jgi$FxeWtLg|Mi^wtikDFEO+Z@)5HfS-k^W-b^9^m zi6q`$=29p9Rhe#hBu(u!-j?v**Bz!hfq&nhu+cSPNKFmR6$$I0nho2rBwXg59>Q>g zMlI&Z_eFnDW6>Fux=JBW=jeTacRF^dY`c@?Wc1M|Fm>mHB5I+&`V1wF&M3bJLuw8P zJj;}RL2fA&Yc*`fm~`gX)IJFCHB*GGHTK+{Ju>BIp+OtVm@Y6v@ekmvVt62m0Y!#B zXnI$DYS)o%lGVSH`gl4-=_iD&3T~K|~(+u4HQmP0-?r zB;rKB+oGS95RE7RZh>IvwDmC-J};;LJ+cZ0^aB!Wc`;s>fF&PYBp0LP!x35}N4Vx* zrISFHK*aunGq0d!{kp*}pM%D`^{!lLlSR`N%W|OyxCR+pRU`1u*k1;U!yNs#9cL+X zt|$FgYSbO>mCqO$hf3G$OvX}`ABkoZ$(-nkmJaWabe%xOXP%cA3+*hU@)5W{Eo5;# zjW23Gj5?+^=`|Fg$Ax9qj8F@Iy@}7GSj+qxM5$l*hvo1yMzVD;jmvWr)d(BP)j}uo z9?HSQb)SxhMK<_DjtO|R@^V2Q6k^wJIrl0@4N3Hb|75|srG{Kue+$vXA)v9DQQ4O% zp@oGs z*gK;eItsW8HIc}wRSCXacxe8nirMA46jY-bwfjjN)}$x)q^jv}-?xQQ1`xnP0recsRg9X{zl2B|z2bmj{{G1I z7f-Pk=J(ob?|MQNt2z{ZNsvRW)`Ll_xp!y7*Zwm`#GGV=c$Gt8);tI6t5WhtT{YYZ zz}frWCE4u1sLURcW)nq{3}goga?c{F?OHfmSyHRIdfS|f@>BT~-W{5(*NZi{@X!&K zxAbXeqS#*)0+YG&2TjmM|5Cb(aXov_!Yml1r zt=C_H?GM=hb{BtLIbMyd%QKDmoI&au^0-!xcmv(9gom&}y>BS7<)f8)65mNkZyZ!I z-z}7}u%%1wXxa$}&tSIwQc=DK@@v8lQg^#IiO1U|Mod^ytk!liH_@v(J!Fr#MzZU% zIHPt0zM#GXgp{eFc70M{W5EO&kz5@EWwk)qU!b0+Z4c9GeYB;fYWcx=Wdi=S zF_6K@|Nc1#d%d7It9wS#%{=@Aq0kT%;nMH!K8#JIAXU#pzuMQ!e*xjaE=S%j zGMlktJC!YVd@j-j6r8>&h<*(8QwIcIu79||$Aan#BRq8ZNb~}cx=FUi=_U%nVTj>ZI)IO(9oDeCq?Hw2ob~nkJR79XQnj6uncVp#Iu51#yW`L<`G%~d| z)5Kfv8*}YZ4RLD zrFu3qtfU}cx2f=AtzNMwI=t5PSQr~%vt!NpHZQkdDXXnw(K+;R^m{9*U?KQQx_vUg zGfSE}+DYezY~*iCt#kg8TQVLP4HXh!vYJ>1{-93`*=r8?Zv8EHCfpjG0nOa^6>;fCT>p{86@-Z=rX43B$p8`uNf>)My_9Nk~Xwng0-2;^(hyALe5BelaiJ zunoAS5awPJPfvlhu$QMhYi6a>s9KeOl?>+#r76qm(X|_zYODg3e6ihvEdS8o3pZV2 zAI?yZfbF8&LOjGJve!}-sr6;rUE2aa^#}w;Tb(S|udJ+`kM;&44MmX@-oe)sgU-zJ z1zGypRfTW9`}l~g#z!_6FB*20!Vr7t76=C0tAXYgp#vfk`|VSFFIW3OvGk-^;`ie-0&_5tFn zF|&G33W4P|-YfxgQ&K{{5BVI<7W1w<<8?6|No(cB(8brjDsHqU{YRc4g`LFtvioOT ziof55%W$ATIAnBnU$Qq~QxOp-hO)tzVt#mrRT2C;S>||L|I5M2=`&!Hio}q2Th!75QalZEf_T)&uzd9i5El z=Uj=h+|@Y-_-(O3R`6GY_roQuIcug8y)p`HJIQ&rp{p&0z`aT~mYVufK452LL9mSc z6Tcq67hJwY>X|UX0OuINWF=_|u*+EUzo~|U_gCLE0da)A#s^GtKD!N}%kr50N>!FBr(>8sEu1-%xVrR|~#!KWG^kR8>VK z=Js(iTW~A+@lm&Er_uuD;1Br319AVv=HZA>Di>yEtdW$^Pk!g5K_Y7zzw)S-6~0n< zuKPTW^DjGLk@NY@lePH1`LKr`A04rdi~!|6#E9e{pTMd;3>r+sZ+8l$BTu`YZ2iM7 z(X4nQ&HEyBtO(G-Z(0RZA8%JgUoT?k$%2TsD8K0awx2Ik+f`k?oX;EHKuZifD?0Q?TeNxkY3Td>!QtyrJzqFdtdG~;Xr1Hgb8v_h1IuKBm)3xJ2 z&!Kex``&7>KelDl=P6$@)Lne`{>ZL`XmV0?DW{gT2sZy1we=g#)L-L88m_IL`f7{J z&IpSEb&OESAIrm|?g#myTzg0moKr>PPD@q!)EO1k@40E|f^Mg7o4=6$jiv&9P*#a0 zY^s$hAfiImXgG5#1xw|~cdI1UhI@44vC}YWypz+7&kBT;gB$=sIqkz(0YBTF^ZlP#WUJ452 z&;jPy1jqmob?VFK@=yk@{j5t6gYYllAlD;d%bOxqt?dPC)CvF=4 zH+|~Zv?C2Q$GqjxGN74od{T6uTEsLc`f9Q^xFb%E?fr4U zRLq&KvZ5Rrd35m5Ojnz{kFRPl3@uamfWj4*3&3^VYe}_i$?RIz3XRbCig7%9l|N!$ zfMQ878>>y4hCNS=tD1ruc+6@~4^4t>{Xl=#VpHttK`!)aVIeO=Y`?M{=d;v7@wN9T z0Lv2gtuE`48T#tZ5K7g}>fWp1KqoWPRaE8R`bq*1wc-m@YTK9%*jV-iufPV8N0M%+ zP}q`=p&DsMMT!35Vn3>u2vcFq{vZNM+lfJMU+BbJLXjbd??X}ceaeY(M`r6rUlFT|vWa{%ff+Hb`=fPM6i}>lj=$m`T>%WJ$gG9$ zbwcM22RwA28Rp>aQ(v#O1rV6gXZ(aO6vj7~l9$yg7556P-4Mp@9&QtOfSvQ-u%+4Z zRF0JzGc0z4!?z2)CxxKFFY9g)HV#x0<`~y!`MzWJX-c975bnRq?uK%E_4@Q?`EV(c zM~0_uE>RL}+YHor6q;_SWTs!8RQ_CkMQhqc^rvwu zO!~rC&T7S5sQ)tfbvv-AbgJ~w1L+F~Rx4|RtGpDfFS$ZNCudh1qkX`q0Xc0vXUC5R;9#O?BL9 zN}6CSQHsw$0Ef;An$dzvr9zNkYze}k5{qnI-?h_cZg6Q@8Kyy>w+qTvt1%|-332yl zC)e_59`=~92AKbln#}?194b;C4m@zxUP#1D`YEvE%2b(g;Hst~53cm_iaQC2jOAfr zEK@%CTl@%3Nb3Woy5K15>{VJQy0?uL(A+rhN+u-@Gu?1D(8^K2L}Lo3lcF!4#_`N3 z%ZFqd%N%UB$NsFfy=AP_WuImZ!hwsk<2y|AJTGdZ0Vir}wwKK%vssbqmF^Y}_=!fd z&s!w%ww6hTC1KSS(3Vs7)atRqL)WPsI4QTxN7c4-ed-$x-Lhi|xsAd7^jU47p*1Bc zg`|Z^NQ#|X3eV?s`FWnboIVgB>J$bGSouUervDMtVFeV7A?D@9yR}r>a5J4>_4<8A z!*@>is;4ro?t3b&a*2+b5awwp|c@V&`Mi5-9Qn~l;NHK;tZ9BML zZV{Lp!>Zwx1-w|gN(dw8mA$~Cn*DSVSS{VU`0ZQfV;KBYdHJd)b2gpzoinm%gHoTJ?yyz(pM88NS^Fi%Z7 zT}~uq(ZJ~+WLJ?VTi9Rpl`O$y3eov;9i)kVIr^oCfRidVmrQ8$a}Df;U=---7OXNs z6tO+MUu`q{G;q1VbBliRE)QOx6iO48dd*Yo_pUtrANHNpFref{uFg-Ew4u)9fX#2e z>wcHWtKT1S)vD~Ae~uCFMl)uSDfkFuZ|V__(q_e0B-1&u(|BmN?!!JTvl;)Aq}!W+ zOZOolfK?f9lmuo-#T?c*nhkeH2{J&iSdFiPLw~}8yy+=f5<#obBzsni(?@h2ObS65CMkZa8VT$9B>fzpAw7ts*rQ)4^eLaOsucb^m zm*%4?s6W26Aa^72z8O=Xm5^0eVvo3W`En3!BdafEG;@(5SHD$k>ZIm{49zeIsB9Dt z%lVPGRQ1T8*2~0{70dh{3iCR4>7*WxaQi%5?zdZ6Je^E>I|7`v0&9KJQPy-ZM}i#W z(ADd9Q&(nwJTVKwWSUYg$YBB#6tlYt;PbzqhP z|Mg|t$>AS**f=B#KfsA;&smV=ArJ0}dCl0()9=#lQS)@nBjQ0ZG}qSw`rJb1e)iX- zI3b_fzE0N=63I0rh)RA2QV>efhla9FP1Ifz!&a#N4hom2-&&4)S|n6n@UUstthKl9 zZqFq>Q}c>dC@cjxQ(Mcgw;TRI5b{Y`Yxp3dZgNxXH?uI9BFk*l62}ZsiAW)ZT=? z)vt}3q@?P8$!r6ao>tzz6czy&GEm3HJDkjmS4CPqQ}b-PV^p{5yEUGI9!gfQ4HHBM7(gn zICm~z|NB@;Q``ofh<^4pF(i3i<#Y%$VvU5 z)kdlle%Y$9)9xI4UV}vsoqrJqC&{!oh=iN4jux>~%1@*{X@dXAPOBt3MMRvP&OJcs z{A*8Xt0N8cQ#nh=VZyf1#Z4S+OR1%>lfB-ndLx@bbVWAQ^Q7{IpVAKUr2c8(LKQy# zLb;%pE$Kxz2ojm7cqOB%#7;n8 zl%ui1KQDWYL}X!xbtai*F5ufZb->uQq|VjAS6GZlZmDhTJ=C%NBc09^Ks0h%(K476 zC_K)HQHtL6NI;{&>0wY3ElHG`m7WN!o)nSby2@w+$&P9w)rsLHdKf^xFDE7MOd8)_(wR)vMbi}t5$xN1JV2y1@@yDOOg zX-gz5^-1w^)F)@L67o-Z$AmM)SnZ!Wt$2K84rl{Ez{)xZXu=9uVA#&A{{2bgk_OD| zXP}$7RB>|VV4Rg;s@#>i%6YX)07i2jEG$B(UjjfFC_Y<%pJt`C{;G4cARmD}DIz0R z<$?U46J_KOJqTD*!c$uc#N|PY+1VCt7W^+T9r@QCN7qLDBUCt&W}+xaWm-~S>$kl; zop89TJPE$|cS>RjB+_4Jb`v$~Y4+X?)PCOY)e0~asRuqYA(hpR<*3;fe(;Vur%g)A zs=}U!VHp7vDMTMv_uGW(ur)OZ>EonWdxWc+w4jO#UL?}BhZ(VO6X=*pJuwKR8d=2j zX-1oMUM*BI32_uKwL61Gc9ojLo_X!JV1y`HJKX-=_`+OgA#$k^jY6p`g=AV*LUqKwm!^ zW<+eT3;Gy?_tn!#^7eX%rx8Z#>aipqjtUmjt6o0Be86I4;xaCor>SZN9$m{|p*;O> z)2xFtIm>L%>WWy>hjQu^-eiPJWO460<*s*+f1m*bgS6VN6A7R!$S7kJ+vm=ybVEm9 z2A+C6Tnq^3KMURvp?^3|X2E63h=^-g;Xu3F|LOo{Zf=)aQ*WwaEiVc+?@-@k&;aAD zPbv~afqM(%l^FaBEX6GJio_Z_^{8+q2LW1ac+*$M4JD~M(2Cj`y4CMj(&{q4q@Zp` z#1zIgOI;p8&wtHxs87*EpeDv@M)C4!S9Kq$yqZTS_2K1}qUOPIhbNXtpf?{=O{^3` zJl?*XHdUzP4!|7d$JZscEA~5H_XGym8)W0-+W&kSO~;(J{rN7nJPtV;)-W%&sQ{3A zQmO~&+aXNS;rIZNXOGO&*P=Iy7~t91mfX>zEQqRC%(PcMke1Ay9yA$}zP^M!17mhl zmZ|8H<#^x>=sjH2)GPiWBwzh^F~0Y@r8A%!%DNo42r81vztQ2w4V~~dMWMHpofu9o z6{k?99Vjhv>J<=wfR_#}x^`!hIw(iCTeWVtXnZCF!!>=AAHY+>Ngq#50F z)u4VhbsV`*XJA^WZ&*kAA4#y!7SeA+L$x9#g#YUT zVz4p5{I@DeRU9Yc&|DspfhxP?2MLIyHMuC-DU4z~DOG^ok>$x;R94hc43wDPh3G|X z_fdY3XvSEMudk`M0PZOLQo0%$p9qN~o@5wT$mI&;OTQ$J-@((WG;uabfR<3dzM zYz*Q4FL7=>DMr5-w9C}%Zu6T8P&tMb1cCOJJ0YQQ>kFrpIt{+MA$oy(-ipvMgq~iw z)x;@lgH%njWU14bPHLiE=b*~kkG#^EF@$8WmUCO9udg^zLn0qIjz{fV9+W!llq@61 zIE^hU=+S=RU9G5jEhgR-iRBquEtk#Wu-xLKb!LOFZb(~^$wJ-Fp=X!wf+rkFH4$r( zr#5J6qdlSdny97WqzGc^Shy$W?mR+Z2I@UP3YCb>%~4g#BAJu*8;3-@e<^?e0!}F& zO=!bcr!(8i1oYzS+?gc~r@>b@q@BoQp{{7+KiXgJQ5TY+s3Trfn$i(=WAcS&dQP{K zug%g(hK%i>C4q+=aF^jv%}-kOF%xP)GR&!iDdhN|28j2omM1 zVM{^JS6_0h-bJ<^Mvx7@x*>X@x_xq)ZOU=GTV0kCuPj-Db~PK9ifEVhE^dq*a8U$+ zx&Y649O3v^cH@lNh?lrP%_I-c&?`kI!!iQT#hJqwi*`LJoZ!gThx2 z%kh|;!B;ngicCy*SfU^7tz1|aZpE(&GAC)MCTLeHZKPB~JO0jOvx}oWLYnol97a3- zuT@0zs-#b{8o@5|2xw=O#Y$(tQb%17IZ5PHLs|{iU4(Aed@7Z%9#QA3k6Cp<&sXQw zY@oqcH-w5vRxr4D&#ykbD@@s3EaF6MJrcC@oQ8HWxzxi})}x z+8YpB-in^ua;hAmgD&Zng=w|46^sIL(tjG!T(W$WugsIs&@QAT+VQug(=ekXxXmdEEex|wdY7_jBSP1& zJN0iLx^rdr^Jxc_+G8a$hP`FA^^F$L?=sqTQyG<5g2q=r$f4&qVDk2Du~oJUm}^1Oq_MGi)inR!OG!w1emYxAbj=l>km&WZ895tbwmD>FeJXS zvfMm1r>a3=za^j@#r^iwM7v_c%SdmD0}R28-ycOI7abn3+5A7YIsV&D>s4D$eOB6N zrcY^wo@YNl<-f~*oP^FQuF<;#foxrlJM(BklyUGHHc19Ou zhIoClPPZ#-J_(mcccUHmq7&5Gc;d6ON`VczG+`e1s~UgA^ZTuJ|JTOq{@bcn+IgDm zCcOcaixy{=kZnVx`ONc1yPmHusC@MoR^==?rp#BTP)B4>p?P(Ja7kuaW4^i}ngkFk zLg<-AyDVgYFIHPJPnU|O6jUQL83EtzXcyEzvv6=YfWZ>pE50%~$}Qq_S2jX-?5z5K z)9bHUe{yp(?(fH_SB;`HKKPh0(8Tlbi#p@0y9rWX5g ze05{Kx*@87@43N?Uf){OqG}FKG1?U#w;X4=UTl4LcSsM^(#2B|QKGcTY#o+IM4gh< zg+oS*EU5Q3TffbwZ5~V^WR-U$Qn#v>+=^8FN?nSAB=FU95PEu5&cfmvyE^iLS7t&W zwM`b?s(FcxCUmDT`06PxU=>b0;hIot!|^$#w<_Z4?I%4=WNk8(T344;%b`bl&XPlx zl{_42P!|LJEYT1~SdrUrFJ>Ev~3b+d|&mvM|93^SY zT7=$PwQcXI+8{9j0PT+E!1f(`zWw@##~&Si-LM-5^dHi@&wy+C^#4QeeuIYJ@W>NS zfAYmQTXyX92LniC@E^oc0v@R;eNIXBW2m1&=*g9tlTX;KYIzSM2@2Om&sT4M7;;$& z1-Zxz3HOo1eG)K4Po6xzc+v7V-hB7Zci-Eu|KQ=nhxh5%w^!c*Lx$gY%c#4be0Kco zxr<6l%LS2vUlPO^WlX^;Y7xxPviUOVH_$c_V_YC4A20Shi{B=<#n18*=lYzJs55 z{NaP4wE02rXH<@`jsl z>o;)NEu-%G;@=Z4hQOD zq7;?E0+Mi(Zn!YtOrH6_23~i~4Y$7g)%OJzXYKxIkVk-RhcE07bM_zuhbzK+!lEn4 z!{H7IW>2`4K}Sy1eel`$*WL8TeuMi@p7K?g@zAE)HKsR@Un7C&X2Ed z0RJ)+o%Ien_w2dqd+vLn-{9e+{&L^K73*Lj`B`N0_{}XLcT{qQ1zR9y1I-bWED^~R zmFzL(ib!rh<82P@*u3lSe|w})|6#Y?`^cWMDmy|SFIrpd@U_xjbUazwV8-*L%BIaY zXQWX$QwZ&-6WvZ~iFppAk7{_qZFfBL#Iu8k-SqI7m-d%bG=(@fBijNj+!Y7x!BNo> z5v*YzUbh4}Tab5z1V>PE1_1dIJaMzADJU0}S3UID=sx|gdVKWX8=CBOQS{b^J@ZeR zG1N&dGq227=g*I?ZlL}06VU2B%YkBt8@zqTu0g{_3>k6L=eD_yNHoZT6ae%4~+?7gF zYP|#|KJ`S)2Bjw2+vLXL*)$wa6L4z)aGyDwx#6Mv|JM8JYrp>Hdy_ZpU{OPB)XgDh zM6!iAxF3xHrrsBIaL6N}rl{QL=d5AL9YOAp?C^8;kWk}i>;kd|gqE;0Y4T5f1`Zkd zw+D-?uFaKIPSOJyJOxp0S8wpuuVhhg+s`v+_wGMv)Sv&FS8~Du3Oo>N3NY5NfN>s0 zmXK%;i#AwVK_0X^EGkO`nL-jqJFL2xWM`z>mVkqmogB(OS%2%_pZ%`^w~l@LOR6?V zdKedCk-1@sE1XCwqCN3D>wqMIgAHi+dOdgEIcm_LK`U3SX$eN{Vcrtv0pU#{9x(6X zQ3F`=9J2AKJ|ffxSTMKXCN+hTEhsxfvX?<7KhqfF&8&pCfPmB*Kr1)w{a?dx8u*vL z*0y@XNT&2Vq!#2EeDy0^VzJoLWh?p(8v54<9zIiN@kDrgkOSM$9+j*S*#zESST;uy z9Hs~&I0rKxo*-)k4*X+dgs%=n>=LRC#p**WEWeZ1z{6wSy{7-oGiS{M^+0p!BJCTm zv^GpAxVTc#E)vnd0?3J1r{d=E%Ez?tED_DhNis+4-UU+sigTgj0}nhnV94-Q8#lYd zj6KYPtqztI?7?8M!=*O|1-$jL$ikw!sBDU%Mz||6WDZJR4om;9q!e4za4~IILtrHu_j+1U0&XcmMg` z0eyNm)YsC+#|#%!(H*bLkpv8B8nM!_tx>a(3LMy*^si_QSGrFw1nrSXgg#*yhGHAe z6~2{T;cFTm#hbcH6zzCVBUSOioTWNeIF4@x^C!(QuP zP<<;0H^TxT4@^ysG$ji!h9NFbzoq&1(wEaa&@39dh{#bUp5nDY!#KSj{d+pi6QXJojhs?V5c2>e_$jA@G_$j zJ9rEH^QM>pcy^Lxki*9`2L)I~%@M$V)D+@OpmJETmE|W+ncA=4fGz1;!4Jj8IWCFE z30)=qhRJZU7LcZDPa$0E&Q=c-s@PGxz2G;O3$)~;(I`^gb$Z?u^Bp)I1YoqM2Pea~ zBAgRe*t=!-kb&2K_5Dv^Xu+ii%L-g6FwsHD!$yk(bsVxsahM&9b1>Qg%y8R4;Wx{8 zBXF?ru4D=_=9q{NcqJ_3Pr_y#lN=Gw?c)P6WNKk1|FWddz#$tpY``p+c)RPkbbxka z1GpSSTWl0IfUAEz@#LTzN7gvJCU4LhWbGjVTtXcE3Co}@0P3K|0oz!Sz#@ssjlLK$ z9vM(DI1&sCDa7LRdw>Oyw?vSml{YoV95DpH*uaW)VW!?6sIl8``{SK|y5nv{xb2+4 zv!Wnq)K?mz=Om63I6UYT1Dl>IpgLiD(%#a)*nQGvw8vsG`lP+fq*?FqBkWSd4?(m6 zj9PmjsgxmU%;>TG`VXmh`v9@E0T!EBVPucs2wY>3HHSECfcbexP;!y*yFDa18I1qB z)`%0w=OS)K24KUF*sAx70Cl)4V3+~;VUuq1vo^org3micBX9f5{eOEH8&{IhWwaZB zPgc>)c)Apeii)nfrq`E0Ou>~JAr8O{3#Qf^wX-2FK-dDzzGySRJH)|? zG6h8Jw1;_ffCYch4kmpF*L0X$V|cR&<48U+2Y53hSVhSzp(!(d89HG2{%!ljs4}CF zBatad(VcQ3x}5?ZPOhP$VcD`}-+ue;%9SgR9zB|w znVE3LRaG_1mag`=eGDtosVum0v?!RXs=*fe=*zDg0>Q>8*Tl+B(A6!R%P%$t7`Q#K z^_u*Q)yJ>dvVY>wi&t;nXAg)LA7=$H2UxJR>@mK<8* zwFsy>B$#42k_W~kybC)>J;T=oLT0D$x9_UzdiGiFSjII*Opq`N_`$%W_Jw{G1!a^%Ru!a~@q zDl04RzWeSs-gtw)<#0IC)6;+W;fLP6`wky=V>rappanLhVM`OG`E!2hJ8;O3%q%-2 zSU8;C1e?kmM$I7vCVC^W!J53$`yL&WkyUJIVK?o~{`-?J;Ig@p;D`tf{_y@|<@Y`D z>@@?gKU`4h_9Ia2jX}PN#X0##c!YyTwXnelrC=206r8xW--wA*XC!bG&I|2^TvAc* zgCuL|YZ?Qi%2RCw&hp&w;lm#r{S3~oMOidk3MtN zz!ABnmALpClr|WKL5Z%norjBIDX!a}{g-hsRt4DxMy?6tbp_jmD=2`%w{UV@kZFm^ zFFgO|(7x9NJh;s?hY4^ZPjx!4OmW|~b=S?e+@7184=exV$&;f-je7t6_Ytvt*REar z$}6u-o;>-lfBkFUzI{PuCRq60Bo~PGa5#L^O*eh@)mKQhNis4r=FOXjbly}b6oMP@ z_M7hroTw%zXlXHe;>l-*-gukUPimVuWC{tms=>#=&9FoS7mr*%_OmZ1jd}SUf^Be| zq{p9m<=@}WaQGNEBhKEKzF_mVS<wq1Vv-f^+UxjCNC0YKU?sMleMM_#@aiiy~a5 z6TqeQBW}Or{zo3sU(3!?ZU(@UM`UHLE*#(i$7C{SNJ(y!{wN$RVntGJasJ?;L#EA~ z;|Q@$5kWb?ZdVTnuE>(+uG_X~)6QuNS6)5%hC@dy93dW7pqoPuKlkz1lb(I~9cNf* z^hII4Ju>#?ucpkdZ;8Na1lto75(x?6s2(666wk6%oBH+{w0HLbM7;@>VWm-ShYug| z{r3}*ieb=>^XJb;#N#-0=n#cTBocY(p@#q_U@s@suyluX8SQZDaAp>Z1@@#bzxtVhj z%z-iQ@MB=Z~vkj?7)!?pdt{NX1+I{?xQ2dP*FsN+0Gj0bG*KUj3l zHAD7hA8|8sV~DXw#qE0!|L*tw4i%Ow&V-Mg0iF!t;VYqru z0N7h1xbVgtl&}>Sk?le5r?LE~-Chy*Sdfj>Fovp2{ zTIH;^V}aaz@4b&b_LyqDOC$k?KTvt{^fkQ)%wD{TTJj`8B9dBVp(!fb1Z48ZX3tyM zzyA$+C8yxJ1NZ^$nR&+s4IMdW$!bhRj5G=@x?)d2HkhxeJw35NHySb36UT; zoldY%X3Us@;sx^(_Gu(3CpW*};Ni>Gr#Jaxwup$!8zP{Dv9oQBNub-UVSduoxq$Yf zkKi~kg zy%>nUIJ|^dxewOT&>J#~N?j4`^uh7{w7G!xLbBdG zELiff{9~uC?mPUaxyzftw~yic4o=14Y#h$mg9%`54c`0kKkm8jZ}=P~MSC+WuULvW zCXL388QZU4zXu+8z=fxTx2ZR$vVQ&gapT5OG!vqsqb(v!XV4x6`p9r*1{5f)r=@Y}B)IO4#u3ivHB3b1Jq!dZ8F5FfS(D0XCu zA~T`WrSHicFmTxB&71LjH{4S&CSnVa9+=ypl9=e1G)(#A5V=hs7@3S%(+qj+)vF_! z9{tDDfBN&oF8{Bg-4R2e`OUs)Mov-h>qZ_dEOCTba{$MNzneOz_mG?N%g*373PBe9 z`P^eCuj)PY=Y^}A{4Aio0n@Z7Z3a7u@EKfR zn(Vla08WI7G(d!a4IZ1(tE(*dCS+hBBD9KYWD^Q)x(^Xfftz->$hLqZ$!?~N=s|h z5{lVl{NC)MKlB^6EN!bj%r^MLwkUTfzvSw^LowPT9Ij*z32?KU1IXh;Euh3*Jp#B=!;?` zN5vfn^7;f`Ve*KHxEnP-Ce3Oif3{8{6S*}>IV!(g_t5>f^YPAr2 zX2ggQ0C+^LE$rAJObjH5I(6oBzrn+Pm_8f4CeXU1hFJpF9gHQyU6;X<&nzeduW8-3 zeeS5_V1%YXbj{X`UV}yyovgB9S`r8N&p4ES?cnPH?Upd_z`d)a=2p4Iub|!PC&bv8 zTo;NtdEv(e^Lq^*bhNCLOonKC$elEtH6$sd%`Pmf4Tb53O)_wJdA<7f+XIJ9n$nV$DI4a84h0)2REtn=v1h zzXdG$s5oKTyxZ@2*c}qhtufp#DaZrbtpWVUtx?g-ql()4Uj6%jH}MBd^ioY%KzozT zI&tDedg{30BDk&x4}$SqR8&OiB!G@-)21O+p95rSYikoIq}?Q4MtgpK{*5=@Xt&#u zx-EV5(MNy#+uvvwkqYcvLxv2guCA6z=v2_59eZE0eD}Tg{rUa}ZN7+$?5wa~*72jDKdG`aWx9x2PU^DP}&f<@(-L|{;(2@HJ%W>gU z3-1Xb7nt#|^#*Z8v{gWjQN|i$oRNq-7`XqT2S<*)5vfC*`mEoSZVz&1S~JUGt&ZuH z1oIT|xNO!J+m=<(XT;4LG7i{C-xP2Io4nCATlS8+|1nxlQ|O)+3P9735{hi<*~R=3-&G`g1MFTVKVo_p@004Xgk z9XodH-o1Nw?b@|(-@df8G_dErUN1HNldevF-MV$-#*KUO$tUN|ox5@4#()0vpK!Vj z4Gr`}v$C>a-vTqffB*j9fB!vvb6VSol(;47VtD`sL;2UVp3yjb-FCT|2s_;EFdj62c>WorODe*t^}SAeDwptm>08NIOGgU>;86=C(k+?3sCPfw z2E5c6$w&$YgE#;2j=%i$j>F7kEbv0YM4c`8h`nlUQ*NU|hq5(`+_Iu5Af} zaRv9Og-5U*fN6lUpdoA>G=^nR>{X0d!wY6Xv@)zC5OKFeA9-}#@SE;-g~_03?B?m~ z=_I9F7@W8i?=~-}j|Sj-MiM4))3qd#AiN);v?~Aj4aM)|xBTKpdqi}W%yMv(kpU4B zocG1pWh>Y9=|61c#+~k{1eyT6KWv1D1;Dw3!TSXcumuGNi*Wy97FTM6O%CQHvC=v0 zmPf^Uf6NrcnP5j)ge{=a8^ay}gKb6&w&V%m{Y=KeoW6sG{y23?yz?T4xlbe$K&-p*i~$aVf!(H*Ni#D!LotnR2Re+C8!NAhibebfVxd2m2*U$ zI0$nNv)X^Ibvr|CmASrg zT{lUW(N3f8xCa>dCRz&~eRB)_$n-X7$K-Yn3A`*yo@U?R>qg%9kEd|`Y(ObpzkH8q#0AXQObFuk zBd!SV@I~D|{_(MI{f|Mn|7+#Wul5vvf24MHb!cf5+7?222vs6f1r`^XFl#3pK>*RI zv-IrM?Lz2|1LkYeYyZziQ?ISg-*2-1pAGd_tvfq-L&d1& zxsT4@`ODtY_rIHU^^lvEZ`=lGw*^?rs)SVmt4j&X3y5@>7HeAYcmmu{J|fy#1WMc7 z$~t+B^V$$&;}NKndQS+HP(9d>u&!84jJ5c1IBDCy!`Jp7`r)UalhY(ROkhc)6s1!Q zUh|@?Z}fr)@+r18z-uk5P>(q6=)!cnX24Ux>l?G{ajvL$^3CzPBGKyDn8ztDcxm#~ zpZg5H;pc^`Yyl1&E%1?WMilp-5dia;6&JwiP3$j4@Le%6*nOh(SlYD;w;70uR$`>V z?}Ckh9W6iWiOHT2=V^^CS+VJ=e%F6CeNmAowz1wdyVCr1e(igEPd>jk_wfZgo?5Wu zjWq|q-Ca5>@9c((rrkB3V{WFNQ%uAFaS`@ClXc(tXv=BX_HyH%&^9e;7pJ;$To9WivX%|oH)okBRE?GPh1jid|DsG zrFfv&O)ejJpo4~9Z?icR1*TMI31rDrlUBJ7w9+KO;YDq8>0O3oNt% z{D`Q|cMu;8tT=ZtR#aXw{Ki}ExcgprARHz5^pn*VAnOSrUCW?iM`Y(Y=jw9P^vsH{ zb{qllKfQ406ALoNtHHr_Vp@{h>@ji&_egI4^Z6XG+$9>O z5@^||R_+!dm)cKY>?Lzio5*PG6Xbx)ScK344sG_LHCAcPW z(!EbF%6Mk+mbW%-{c?Zy)ZE%xb?BuU^#7$-{r8;>S8cDoZGGj0@?a6CcxT!a@bw$F z_U<#_wb$Qi_D5TyqS+I6!P*F+x-f&cgD?+(2df?wi7AMzpxeW^QIb0bn?kG!UI`;t z2+t#Mhj1{sIwaIaQ9YS6fxFxVqVIk5>41TQmoHzgXmxx`8MT04P%gXLrb;jNRv@usi^ng8Pb^ZDNzxVDxsQBm+O3PtlA<`9(1EULDiDX3vi5hVbxHb^O z9#&xvLfgY=r2{Q6NmDC)-yd^*cBuNzZKcnx%6ew;?x*MPcy{jQPqyZNwX*w?T{Dom|W_VRXWWik#fR#=wphFt9vrl@LnYpd4=%9qvbp=AF$xW$8 zs0^Wh>^BeDeEQlArH|(|9TxGw5+$n&N5w@>+kig&@Z(;622Pqj2VA)Ti>ok9KFP## zHL*xNBQ()b1w=#C`7zqTAGAjz_E6XyfVc2G0(-0I3W4#+S|!xLBP&?=KDH$+En2#2 z$grVLJ@q6uRar*jVk+epvn@mo?V^EpENvp;R1c(Y&$z1hz`s8+rpoMe1~_vojc(vu22mGs+NS(v`&=b%088~Fsx-|N) z)4E+rQf6`L?M%v<)L;6SZ(@R!!qlBs$bl4Q!Q{zoP>0ExyG%v4u+WyK3+mC*> zx$xDsho4`0;ORxXo}9lO9$#3U`SzBg4>QXCol`v_zi#po^Ni!pd1rk~>!Yiigf$L% zV-Tf>(bgE+Eubuf3K1$Ns~kMlQ^bNeN0vJrHDHX8Evd1iqoJdto){o?yPjE`F@E{pH`gEhczfQ21EtgQ&&(~UT~St-Sz|k5@tkXA zECSvjyy}Hbc+HP3?mPIlyY4+&S>*w(9u{%CXi~`l#yRMD(l%74#8?_-fgi!h2a^m(P01V&8@N~z zvB?)HuWGpK{)eyb-GA=FCCYY>y(3!xz-!sHIOau}`d9P}B~n6%XVlTSiwsXVx=qmC z0q1)}#s6$3>(WIOnvHgBN&-iDZ@Ju~wose7i<-s$b z>^||quCkXm7meSPKW5$Gr&b(zZ1L{L7VLuK@%g(z`#ra8|BEX#Ut4|n&2_o&q!qls zsrZA<#h-00_}BKlFLoAuv**Zn`%8YvET5Eh;>YaD$%iXCJf`HFoSaiRF{|SHL*?J@ zFa2iU(JwQKzt~mu#m>Udw&#DkHTT2x!|!c4`1aa;Z?4|+%Bnq2fg`hc*OQBOJ-%q? z6AO20k1wvzetA>gTicGjzxVj(S!e%USU>4l)5LQ3)EfS$dNjKUE%u=GL9~-YnFvQf z%ZXZst*TnxZW_r|ipIBEncBYexv0A-+CidNoJg>}skC8zt*?e$a6F#{`$b|DF;T=a zh!g2wB84~b`5K=v@{zIs)o1XO*$d6CkORkhVz7_UsV|-|Ze(l;$>0N;@VFt73>V`Y zBd9Kj=gF9Yj3dHWLJ`0@tUZAJl$rB}^}g|;KRs-&ZA1zMH$LKAuG8 zBu`8ReU3X5gxC$+caI!(cdvefU`DJ-DB#pG0IeG#YPC}OkB+}_qTnnKlHYPhv2~?;z1gxL#Q$+o$w1KEo_k|lJ5-W zIQ)lg{(a`a-o|i7U0_Fb>(;Z)>8D(274{7$Y#ko!Dw_nUL~wiJw(|yck)Q}Fb!d9 zUo+U&`v|FyLzqCFCs70f=EO&gR9T`InE)4udTCfU#ETL2kINM5xNw3MP=v$td-1)~ zb_bU1yt}KL?;rcp|L)ai+{(XYO8?T}&j{pCrQ$xrk)PB4?Lio_sgy~W-x!jepK=`4Ao zIh7F^Ja(*)l~>$&>!@q`4}bcF*9%Xa0pGj96KrM>?fZ?HjHG9v4fhogNY^0*?}E4A z9OheNQepYYF)zM;?VzC}M&6cRe2fv4^Z-RWVIwIvg{+*4tgDk%qGTc&EUz!EmN7)%Fv$u#ZaWq>gt;-A7so0y_%?ba;3=iXG?? zs~rLIkMg>r13~BY@a-Oz^1}`|h4ee?1C4_E8ub6_a_$=3_(_tApxvaPb^s=t0T)#p)eZZC$^6 zc*&=-^JdTg?6b>WIyEpfEL8M!(NK(!2sM5*dNLdny8S6^DbWMf&0(F`AC3)#!viDX z-ocS)man?%%hzSiFZ|Ks#f>LNM)70Ocw8>atpMBggB~!#rZR|LT3kX+o)VPV|LimG8Z#Z^t%q}ah z{OMiy2zfqt!RP<$-o@uf!{Th9c)QTA!@KEr+)}els{FPj8j45HWis&2+azZv?PMT(;RI6rgFXUJh4xS7qhDeq&+b>;+eS;fs&`>G{rM{bvTFXNRqUaO7lY?Br;u zKkS?hL;JwN2(*9e8#;IVWcQz+Uvceq|8~W!{A<4Sm6Dy?#LW0u#lcoNp@_N3h2+jS zQQ=r#aVBY{Pq5D$w)?DO#B~zl3WS_LdaV1$cifdfXMS$sy!oHI>L+*K`}m)q-?Fo! zy0)?D$ccu|N=KlPi#dY<~lH|d+r`S3=knE;|8|`R0&8Pz{9DQX7WBU|E=lxwJe?L zYwAxFNc~fCI3Sjj9i$zNMy~5HDCMkzhr06$u0QI!mr=*hlihB zzJ7gq?fwIuZH-5cH8*!vR@JZGxb^=YdgO+iuAg0)o0D63_0`wD{L;GNkq|-6=C{A{{G6`yzGKG zS^0(81#|P}EXXaGmz7(Xoi{JPaKR1N-@J6`vhMC4$y_>4kxm}L%Ss*-6uLl4ndHj7&ef#NDU-kI|ISW*mtU{_& zt)x8RDx?A$r|S>h#o z{`@&t&B~wmw|TkOUiVLT-u08+)%%8oy05>Y_c*_4SJO9k*7vCOg1ijJzex48)9Zdb^_&)=t52;LPsh;pf(KxzDZeLsZ#uykTi2P>3_NS%;an-RfInmWy zR`KQwYnDIx^y7~&dE}{wo>;l;I*j2lsj-* zR7b^9R4kHQ@bfTF%EJ_3U$$(Y7~{WPp8WI^Ib+jkGz_Hzf*C@-e#n^an4RL!@kk+m zyydrp1A-;IN|ninBtOc&CQqc-cl_)y`AtaTPqKJd8h(NzKQUzMf+>YyPB=+T3rf-k zMsTT;@NN^n?^H(MEWZ9swE@GCQeEiw?gjMr-TYi>1KJ$}Y!{1cN{RnLag&fJaSU{a zEfLNlVC}PF9J1BG3ZM*e;47KGv3b^(wg+0y_MrCxF92p54CU}-J3L4>48!&Wq+&Zt z8wgRNj!c-dtq4SAss><04#8(4%R?tV6KZ=ZW+sCr{pB;()n<8|q1{wF2T4x#A+EIK zf4E=ngbzPU@d`O44u5sy=AM(M#gqzxJ(u8O~LupZ!kUGM;@f@0xY1_ zQ*Cc#@GS_Ij&Ujk@7rg>cNLW%M!zQPMz9kj#f((71%8E2!(sv;7>9?n#2_mY<*^vI z!Cy#yRj-5doVBfgv!(m1C5?3$?GpxWJD5e~`kx8D3&Zwl09j2E7O_|-AW8dGLn!Su zk@jBNa1y362_)~TCIWZdps7Yc_OdCxvVeapR$(ZOJCyaiX=u4bnuoO3Q!XYi&3`p%*7>mxm`Bx55W5m2{#km#b?kEN4J-93Y6Tj3LI4=3cX?KNrR?*SF+SH%5 zy6KOnAeaxQ63R(fT$RIoZZm9WV>J0GfhsdDXXx*8se`F?L>4JitMj1Vn<&_^fMjY+ zq#maDHw{kJMVQGXGqP7YdF94HF(?&bXXeTP>b?CQrj1+Si{<3=4= zpy4N_uIt1o_zr2*ws2;ofp0|8Rc32r87$79I$>vT>ib0L$frsN{&Dl+3S7P8#ABfv z7<9RFR6D6}%Sao13~Wzc4Nm15@;ow_A3N?r(mTl|I{3!%(f0oD$@XbHwc~N|tMn&@ z_euAuyo-L~Ctp{~c3m|!HHC$Rt*x#4CeU${Nh(_jypKNf`y>5FH*ofkD@V6C^Qo1T zchBX#6UX@6C2uxt={R!^1CwAo>V*VV09lS2{uWY%SX7%vL59F8UM&)Y+yGNC-k}gC zEZkDr_Q?%Be^D~}m)j$My{hej+6$+YtxoI*iU1s^FGfyP>IpGypJd3u7={{`EL4=}D4l55V0z7x{5si1AE?=%la<}s-r-ob zd}*r&be1XX!03{C%#Vbc_(>&f0*L=^?gu36Q@+aA)G#E2-Em}xT36i%neBc8vH{n z2BXANwu5YBYT`(ku#lpv1PvzPY!36U7FS-ge($XZ2JdK&{Hl5MwxZqtv+m81x+;Pp zU9fs*Af+gJlJHbcs(6h{hxBE;!L%T`T~}9EP*AXc|9-jgV`xZ_Rv5*^yIWt}emKG+ z+Bb)+kHajXne58G&`hZbTA-_-K*@So8sh(ijrrhzpZPi zF9Zq$p3{}H2E`y+u`MJP4VUG*-QeSZB=)|2`*Lz}jvYJ3FF&etWP20mik@ zhP4}tj-T#xc_7>?ZD81*Q4q2{D=Vv`ql0U^-KD;wj};@BSAXNPC8wE;ke89vB*CVj zT`K;XUk?=#gufG6g^Id_LO!o7L$>SK@Gmx3Hz3uAz0h58AgtV-=B7h8fBX8}!o0ec z7E7MlF>E*ZXy7CD zJwxEF+w=1BT3T8-|2S%xrW=N_z}EH4Uh7k07c&wAE)N~~r)9Vo@S4KZ>H?$P5Q&Fn z)Qxy;8wf8hE^ovt18CcGAnsEhg4Hh^y2bMtEUA7V@!0n-VF?HK~e_KJ!MA=}H# z%P%(_UP7|{rj^@HM0FIF^5QD7EmI*pna*_Xocbd$FF20Owuv}oOvde8V7zWHBxL(h zRsAvsQML?gpJ56jx5G^hd-L-CuA!kx)-Q3>OuI5{&k(e?w-*%^EnK*;prByUqD95U z#m&vlmz#JOY(K>LqE$OOM{Shen(c0o?Ur1|vp{|!0pti9_m?}9pccR}v^GR|EY2NS zn6#>IzJ$5epyLGm57G?D8cp+7WUfJcj1?t-Z`eM@!;Tdm`anwX~L6ZFttstaVM{vV-gUrDg*5tmYqu2~;CfyrinXs{GaLKOL9$dF$(Qztc zY2s*;BUZei1H%5KL3i9#;y ze46t|%34dB`v)c4iJRZdvoumy;Xgq!(5iIXL?bfrV-nAE8ni3 zH}^B;m3u-lQ1*pxZ`f{N@R5L_Qr+wD|9I)GYK(Zpx^xgH@5;iJG7ARS?hq8?1eJD- zw{dheTdX`K?Qg1_i8F|;zHVOqMrRyMblAfi&G^*f1bROff9uxo z7j4{7Uw{q7P z&M(n><(tmvdBBogtB51n?pXq<*Ga>jZb{OQ9ER-%83bghVEcX5M;<*s z_8t~TK_k11b%Y&16DG0Hm{(1dU}n11>xnH`?S^?&{8yZ6?GV3nd+m_gUmN`Vk{$_H zkxmlbhhe)x1_6jj$2ebphW=pdfqrR+Q_>pyw{X80Mv#;^OHkQZMwPR{^tC9rEbJ#K zNdEhGdmpWTZ}^gZwhsY@?FJbH1|IqhsmIqyf>P`~ac z(I$GaZvXz8%F+vI{aXEN_l7uH#>0jEBYV($oH z>qW@8Wa(Q~{vXgi!k(8;)v?@f)8m zJ%IK(nzF<9%zM!_0(XyFuoFU<7+K;7$|5`GGx0?%&-mQ{rG_ zRB@J2Xc|koZ0?8&=^*eSoK8r5$AMClrDObiYbx3z&JJDbb zoEZwkc7x1;w=ma@V4S#1gh=1rYu)nF);%0dZm?!&5D;rIVsS`ig;X~;Cf57nz?jAD za9nH=Q^P|CPTjk`x&wXe%!#Wic82W+2I&H&kfZ3P7MSF05Y$gM_TE}lc8K!eA4 zBlL4ShK1mvq@v#r4BHJd2r`PsvSlA=7o+D0 zuHO4Of1;*&QE^#0{*Gy{amGT}2}YKKCvj>EMWEB+tJ=nXx~}4_F{n-jK6tEHC)5OY zW|Q5p-5{eND@;I18A>b}D=R&2!;GI2gg-KP<11TB4xjBMP}$}@`qf5JfDd7ntJaYH zMAh*huBg}@fq>blw9GlGHB5v$hV2FhX#%f9vmCOgGnKU>N719U^=y3cri!1f-|_5; z!ClxlPL4NizT};jJ6DxHS=HXkV1*yzx-Bf>GLN0xKU2x*f++(3X@2C=Fc65?FI(vfq-l$0k&ho zIsAtc=T;0k?5g?}1X=CIT{lcKM3tI$mAcRTbI)iPwi{#;sID^y18c}jh68jlX_+Ud@@EJ- z)@%k_;!3hZ79CNgtP*CBnGVBtgUo^K-5{H^v!K0>28LdQTS42q*T~uLCy^NhPk>>& zLFNG2?s`>aeX0j}?EB}q>K;Q9CIYWHgkigZ!OVeIF`6XEc1>kjjt&R&yS@a=&nIwx c=1&0s52T=w$pg%R4gdfE07*qoM6N<$f)x$vwg3PC literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0112.png b/docs/img/fsm-image-0112.png new file mode 100644 index 0000000000000000000000000000000000000000..2d9b163e6f5e9481547c5b48fd5e42da855b8bf9 GIT binary patch literal 5199 zcmbVQ2UJr@+m2;b1i{6EQkPYv39JIr!38xCN)T`Eqy(gdA__tb zy&3{b6Cn^v1Pmo?P)Z;qVhA;aFS`G?yKXt>-|w8c&&<6ucV_PUJnwtodt)svfCu)A z?gsz>2TY7FSOEaL(|GNx`*!k>U#ao)37@~Q{Vf1Mu>JF~1EnY^1^^u1VRGR|n~?0q z352K3Y)%5nJ8-tgZ1BZE z2|Bb%c6KvrDernuif*p%Y$!2;*Yi^H3HyCw+Va8gZikM<{-KyeP_*b~hjMQ|aG?ZU z`$G}Vg$wGC+Ka@$e*#DUv9!;k+lbX0shv(zP4x_`tE_jq!|?|g>5_*tOV2U!Quj-p z7sZvLACi1JEwovbRTap?L+JI^xDnY}$wic;jOb#E61Vq|b2Va%wxHL88|m!}pL$Q6 zn$71(8Fi@Cp1jtB>l~Wh!cMIF+?n#o>MSiq>*DLu*^D680-iZXC?G95|D>^=(=oF( zM-!1%xj}Sx)L5sL>K9X={wS%+EX&QXgXl_$;*Zq_1T75IWecf$QRJy+m`T+3)+^kI zQ}C%zQgsoLs~y+rG$&iLmRLwniJn|a+tN0wc0R*N^LlOkhh4@|6m)2EK8#59aSDanjbcRJ1`EABG@4k-_8Wh*B zo97PM7EkNV6oqatVy@@&3;TP zQ-nmYBPj5Vk!=k;+8Wo{)4R!~ve0eKSz}-JX<)M&x;fE@5$asQYir@Y-!9{+pjS_! zd9`Qxp-S^y_USt3?GS^73D(hO#qBqZtMM!-Nw#CcWkm6>LH{dK=z1xdOBS7DZhppj6;%CnH3{_j(*LNw}wVEY$tNIFj7sBATL)T76ZnP7sB(<81bkH5G{6k?gf9b433lp1KQX1*9Dd?*jp zD~%49#jjgYhek1Z66Rmjb+qY(+fr5kyP@-oXg(^8jVYTQLp*`uHeonY6HRwujI_#4 z>%yQ5as!`nK4mIxI#JS!tz=ByBSys;#!IxX@gG0*A_(mKvh2WL^(zs)P1p8Mq*(z@h z^da3cLVzVa8Q)W6DR9CEt1XNWv&rYTgFisb zJu&^(ZN-!}=z6waw2LB|ho*G^|?HV`kdWe_^T7uGPM2ZqAGv z|BZ@Q7H+?YgwpJaZpaaa#Tm*HDgC7rlC`a!(vzosn2}_Q~G#T)GkXhbtg_Ik7duRd~|T}r`=kWh+&xVX+oI$d2(O!}2 zJDwkB5s2GP;*4u=l%wfifh#TD-_+J^N+wfv`fjJ=BII}Fe{li?gZ{-ieuvuxSbgoC zU)>3NCqDdy+NhOp?+f*Qm!3lafM>Y}LUAACG2my?wa(qVeDMw1P*?S3vez| zN;+=QQ%&BGct-MdL+lX&N$Zk6~JnM zRkONxDuY)cKG%?gHY>*P@;iP>p9tmGcLHwj;RVOrGgtph-Fds;MbxkEC%?{L z@!Kd5YqCb}D=nRwDrOZ=9*I|QJ}nZ$zYFEp*3T(h;IYYKm{=(+Pu_RX$0B)62;tSM z0~AS9Te=85qG7M<7hJS9cX#ZC6Em}d zzqmcj7Sx#eH2R*_L$F^-T?j~1t{@EW^IG|t@B&nR$@`(O;EbF;zL+v4PtAKY&n`WV zdklIBf8LIf2WdVjSX(X(iz|x0ceZ2%=T0|NsGy*P%L?VFE(uelta+szVZrYI&q5BS zc}zojeA_t=tmB_17CYfDmlZ|}C6v2i3;8ucnlGOIb#<|y8FR!m_lHJHjCi4;YqbdA zP0kH1TqkofT}j~LJy_y0l!VI3?qXD8hoH1#axv94%(c;``{IZiyk~0Yu#9-m<%lqA ze1~=GkH?1=psK;rC_Cl>>a84s^(PpAZe+tC(#-oiQBV8j?M22dx1wmwxF&?SSWMB> zu*MHu_~$8>o(U7Z`A!wN$HnYSq~VPDM2g2l;)N501rPk)b4>BcWJ}OZRu&)4fZi|t z@-$MODo5>i{VPd$Y5K>#*3VhACW)rK1`K`%;qd)ekxE$Tz31evzz@h6{;FY@lX_YZ z&UeCV=5ktRAmaNrZSP|=422@Gum1G5pP4d0n} z!EV^931Yl@04BAT?jEIb9={Qp3j5K=a+;>}&JA12EvRIfox&a^f1B~%6_HPvf5Cl^ zdi09!09Jds>fyl56gtw^AJyYCF)LGj@od|`uzO?>BJiX{1x9AP*AWhr^B&e|@yBjpSRL~H39=1BS1X$!DM0plb(S=}d~S>}2Lcma z;iFs_t(aL<8S5F+aaWi~weh*d^A>KNnyPRGWam=sO?MzW<=k`7^T2lvWRCESdS98H zHFxYZOot0+B2@s{6mQNj`DsmoS7J^BSZ{Ow0qbOiqLxop3H^}wXH%6FLBH7QmnS0) zef;Hc*Y1Lf4#aDf_PDyrq@OTBwhA>qNnpNZ5z|gg&~T;k%5aG9bCqD;UjYSY^-L98 zkfx74y!6u%$yfVrw`%!8ngplg0;RRsG)wPS!xQVn*6>QGJE&d=G`u%|-du8NXJ!Hk z;s&V_KJJrPD#EAxagN^oA_(K6w^5!4)LJFfHAGQVKaOXPk?Cb45P#!pWyA z3KfRnAHsBxJAEL(3m)-rc;~FAjh@G(jxJ}*I6~tL^v|S3KHo4Av$nop+G;;ce2FS^ znun4)S;RZ;q^K~+(ds=`!>580&9%w!z#$Dgou~6n#VODQ9%Wp!Ul|)s48?9X-j+@C zPs1x&H))~t>er;_9Fbz#&HHU1)YSb1s-IVt&RtN*c8kdt(oVEF9-%Zou45%>;!t$6 zgz}x>4}J{um~FzSNR@Jr-TJc{*^_#5M&4vo#Cpps>&ik5%!;s8<*>qR-gfqpbCXFP z_9xB@nF@73&P@o7locJ`RK>!3V;*|=_biOhB45TH0a=qzOtf5tr=_`kGL+t^C*;AX zYsHS*mm`(N$MU;H_DPDXm>)R{oXY6Rm^%M%MEH@j{E?XVNo=7;v`L8b>7c8H+2GeJ z(GjdNWK{{%I8-4E^sLf@G{J7guk(@sYqf34vC0&vSS2g`|3U&T9;R}OQg$|D&im)K zb|GhEcv!0cZMf&3!UWXjzwh?zMu@dUUw66V_Uli;#~$p-<<{xFjZztJ*0Q MT(r1QYUpzJKMo6;C;$Ke literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0119.png b/docs/img/fsm-image-0119.png new file mode 100644 index 0000000000000000000000000000000000000000..2cf8665ccc46a8859a30e6d8728273589659b366 GIT binary patch literal 76618 zcmce7V|!#>uy$r*&rEDhJh5#%9oy*Gwmq?viEZ1qZF6Gd^gQo-{=)gtS6{vNURC#9 zRjaDjTD`;MWyKKTu;IYKzz`+Gg%!cTz|%k z8N|2WXYkY0(`HC%Y1r6)frW*^qJ%jmB{|>4&455Nh#&uFmsfr?hv=rhg?wIX=|+pz z2mtCJ`yowxzxSdG+Ru;NADE%yF|%m;AHk2ZdoRt;53_=PecAIDIdBmxtHV|gDKwF? z#WOctT=$kt42^9l$%$1q12+1Pad<;V~u0y^Z^^xCvzkr$@ z=456;`Q3kq+aoVLNN{P2jX@(=s8d{05{qL7B-3M(YmQ5aevA=NG@xx1(VA73l8M3< z0L($x#K0b|x5X;huTqUD+>Gk{`TrxjwU*E)H{M6L>y7QPT!3_{j8=0m~jxI}--?4-^_Ri`P6a{kPGnmnZ~j z=$24gqMpeGkfjjAlhYI#8HtI5rGTF;LeMQR!c7u#GA8pq(E% zj_OH2iwX-xtrhC$QF9GegQtzx87)5yBQT3Pq3#!S{}C0LQLKBJ+*E10#fY6TkLRTR zOfj$n0)hMJ141%%J$$rURWH$g^1R*(wN^R+P`vJ=iwMcgD)AUA3|`{EkS4!h9*wXf z|3Cf3lm_E@wi4yLjUUtR{%BdYYQSg#lK&kvBo|eYSrfZ*vYz;%+b};5LlqvJ_7z|< zu*&6;oRrxi*{l?EQa{_P_#aPv;9y{JX9s~Jr%S`>;AO1Tu+_=Qe~7Q;0ZJR|1nyZ) zs9`5?7^Vm-74_bW|Jf`!EwKp^CRtm{&q+^F>80*Rn$hH<(0}r@fVrikB`ua(Fn6QQ z9iCYCsWQl^xnxZolc)3hi)Q3-Non3=PLw2hxeu$}^6vMw&Uo1ZB_}5>2-p{5J&J%( ziXk#$>G_Rmlvdf&Z>U4k=|-u!g+hG}ns=<`X7#@Q1!RqMzh}dTD$n3Et90T~b5Dp3 z;VP~iAXu1JgFttC_mlx`qQuEn&Uno18?Ic1aK_R(PQ`2WjVvsyVg3b$XJO4AhAw4e z2NrIUL)rBMs)NNN_evH~P68nHt}Lp~ur95&vxS1v^H%B}MyiFyVd|~zDrSa&yi5u; zAR{kWt9A#JjC9uBQX@E4LYhw`O=>MZ`80(r>Ms@%&)o#L#!DuPrNp!hoj83?@S-jB zOdQq;oq91>_p5f%RSGR2+?uBD)G4Q=4058HB&&hteWHn39+sg#HW`<#9Cai1Nv>YW zh-LmzE0exov`B@%$h{3vw>22?EW0f!(} zay6Ny&4qkj3n1{H%)$iC6Vn5h0H;E-y>T?lg+Rt*53h!;oxm2=-Y9>dC=2tfP>*7K zY#DRwFR~@7$A%@!1`0)6hc$ujK=*p8kvk>A8Xg^j+P5D>!zRtiv@8+`1|Qj5GJszl zoVeJw+9E>0`^>7PLoOkPDG+?)akdotBhu}XRq92NLhwZD;gJ-+LRH`H8&Or=@KdId^_Et+W04K@igWq%nn2i$zp{-y5FL!!@59xnD6wzUUZU|YoZ1Ttmk9)=-gke=0aI(@&j-XRqX|TYPRIWT@Wmy&8 zRE#J15iFUX`jez-Ko^XP`)0b(BG z)%>&{R274i*m=8{d#JRNR6H`AF~ALtZC-ykm_@%GK3cz9(tfC6SXvRaURCpbhcUpNO<-<;Q$uSMgK_4#9pC6-?n2L+ICTbd*#1ubJ`z}Y8~8XKib%+8IS#JF z#Ii&qDHALpbtutL?+iSOn^aw5Z(m_ILD9qREwBxkb^>{y(5Iw@E`^!_YbYWtt?fnV z39@8maAic^+gZzXvz(S*kx?%Rl1@MT+;WZ+>cj!Jn=*xwnM;xJM_V7hY2xhf31^D> z&WaOZn@j75_WZ>o$F*xE$!G1EGTn-?`ihbn)|;fXx8FaOJ(jO#`UWG{A)MxK!?bU~ zMD6ZkZhV3T>BI(Hk8{kJ+Fu2I{Sg2H$t7)j$Xu5I{ZL)T+Uf0T@ zuuu+#kc?3+R;uaLm`vC%i`E`EQnI|y){2_NrZFh670@}RS3`Y@J1DiQ1Zys3#{dD` zc42m9W$*mhRwVx>A%tP#+hIA+j6V;xIJwgkJ=hpT-yeid#gULQh4SDw0=h)akx-s) zW*Se?VfsiImuJf95u%b6``k?o@A)|aJL&;A60*QH6JYk$`KL5%fhCP^0RRS3C)2>QQ{(&`e7E z6q%|@i1dF6Q<5ftIl!pWejGDNPMf=v=Zk7ofSGq}^cx<@IHh&@wKC|Or)(`edTIU!Ta2AtjYwL=taNG-a4R(;rR!p>-1HD2o4imN z6OgFtcu}VTEeCSwCIE#bGCKil5I1)$RbDaVS8k4%5ey@6@1tvmY(1#Zxc?eLYO*cC zhoZ`gm!n7rX#eR#=j@SP8z)r?p-IOU?V)hocn6(ij!JWrl@=JLcV7{=bylc()mVXj zf8M;b!u`^eITexTu$ToM>kyP;l18U+M}od(SL7ffoq-#!m+rJ!D`??G*39ki@Hok9 z(qxzD&6MK=tqIs{u;z(gqWH1T$3lABzWl9P)&-B&yD8F73Cn8Bq&u;48N)rVZxGFa z=#9`6o|v9ulKV3JRcLYG#?PUL{|ma+3ZjuGKSy0d(_Qc^d=evSOGg~AKX)z_5FK;5LIk(K8|)vC!{C_S?7 zVB5cQGeZ#KGRl1ljIvRR5p3di!}WCP4l3RHi8XztkBp1=$+Io=Qf2ccnm8#+;N1_u z-QHMx6?%ReNNu(JMNx6QC{i+gahg%4parUrs}$Y1y3KY=eao=-ZcgZ(@3TuYoaX+D z1ZFM^Lv(MZsl|Dogpt0#++Ghpl?@`m9bpEjd|r4qxkcFBU8d>~j(PP($O7>2W3+Yb zQ5LP-L9Htdu}=wQ>hVB)IQdO99OtR#Z~D;?2Q-pA$yQ>NQ^nd9yys-sI?C!u=B4GI z$DS*-(!oSw5%6PB%@-DC6$*}^zJyViBtN?ZGtg2%63Lcl(u$HfRWdXWPO22CgpD%w z4Gr+B1gGP$o_AQgTSjRi5^2ncmpS_}*r(*Pt~)qcrl1FsF(|T*28%VqA#)DMoJT3! zP{oiSWIQhlqM~)P$K8qwNDysYEyoI{cOsrt4=$rF$TzWQMJz`vZE6S>tpkmZ%P2FH zkQiQY&Ec&5hBa}#yDxFypbdc{u~mw_ag}x_*$u>-(&T)Ih(Vw-_^r1BBcyXY-!<$7ULjgEl*nU&o1vg*He0!uv*{iC z%*5WTME{$_80Hiq8;1)8r#sR5!EvfLHVsL3N$QfC_9l*7$p$|*4L^WMwYfiIFm=(C zMZ>?)BwA0^8DFi4MI#Cwv^Ztb0&BAHQppoB10KRT8@mypnJ-M6L8Ya&_>S-HGnkW>=+ zk+GIc(_)TA&SaH524)rqD2ZV{$ycuBZMmk%MYFFUA%2n#LumlzRllS(?5IB_snmLH z45n^Ph`U-xvp{BWnTZTP{V$K|wr$gp3)mAN7GnGiSQySCONfhbLks)!W(fa;C`b`I zS2`8_YiU6Xw?j-RDYi(C)%p2P(JT>7xp#=f_zVgI~lNLR9i#L1+*r2SqOz z8uYPcK#DD@l}ULTc*|xW($YAfZu>e^#@i@K#6diN{?osm@bfX|#?PsB$xoE(AG$&f=S*-Ci<4w6-562% ze~-|ox1Qm_dWdS%u(8B}*f2D|Y}FvMJQmLg0!UzGCVYL{LJ}?&g}^=R5*i=o(Rr9z z0=^FMetW1?4VQbGVGdcvu4 z@{4hBN$0Bh8WcpCi9bZ&w;UHTy85>#lC&GyvwBDk<{Y%MxT!em<2_13JU%|Ldx8s1 zrniUK6pEre&V7g{gO=QX4HUSE-rroOL^&{XTA zM{;dsvlPo3wg6}|=%4aS9n**^)`a!6fN_g3Nkv72J-U*@kC*OFo}UEzSJe)Md~Y`x z@JsXT{tFcvZwo#qa$JA^(DcTYZ-mG^uRDty*;`pc;isj2ff!`lsd^~t;Qs-9R4HZW z5aj(hd73Clz;&ITAgH*}VpATrH})RPC0O@)D-7T9(mU58>IMcfY)_00J}lQm z>}fG-x?IzGQlQ~fm0Pk67?Hzgx=*YaX+E`s_LK_(Wf2W;H#)C<3ipvOVC&XV>U10A zCq40gw!A)4YMOd9mmQiyLUG_EE|lmJiB2g%3|7>h`JS@4l{4$=b9|Gd3^}kVOJgR- zMvw%2dL9A$O+WqfOrW26KRKD*;);EMO9Ed-7S9Z`Z6dN!3Px0yhaEwC>bZ}k4n?le zgBs#Hh-W~A(avSNjy@%G)Hg8UMmeXoWeRFMCAWdJumcmv+c#cL(A7Dj+>dxg49tyh1{+c{V z-f1ma&{qK6$Z&xj1?iK=VW~1!zzhh5kl;S~R0NF^j8>aJpl&T5*QsO!-@2Plqknq@ zF;kQm-6!W!FN)wIocT<68T^37*BjW>cwTC2j-vSrg=t2ob?SZ zHyo=Dw7aA?F{x&ldb3^1=?If+JFaN}ao~fT2vy`)Gr^-w=KuIScvzfBTt|~%MjIp>1IHCy zRWi2;4ob>@a9zsH-YQnr%eGF;+Z*5_eS@ z=ZqXuaJ7@Vd$5qW3>X~JTF`@t)ShB z5i8L~d{N|0>s&eCdvK~a_7~9BCcnT5XU2_Tjot839@k&UK2<}fmVPCO`!M9BE{zZyWc!SfvrGKotY~?AG_HU{R0EKDM$q7>lLT<>SXawEUTbu^QZTF zE>hPyLX2^fM#Kai^=DM9efrD|Q`7^f1`hvbwBW=|wB$)g)Kha%F-wsL)HFAnf#>qsHwTOLsHK2Y3fI z2Hxwn1>immh$!SmiHpDK4t-i`*?`F;D4vwW{m|BWwSEuYYDJ^= zT7C(4a>W{vUPj|ZZDp~d1=(MokkYztQsVCjD@&nM3Sa2lgfH=nhM6ulW7j76teO}| zHCmiiKri(ws%9gch&wx~&Ng>E0xTyhE-!`_#-DxAfykGjdAV$VrdcH!9-m<{UYxZ= zqdyfGKHwiF7JTwZASFt!mdSAhbGD!K(Qk+v=|Uh7sEtoPSDY4_FAO__-tId&7<^SGqd5KS zV=#GY^)5%vNLl}o2n?jv3g~ba9>&3XnYlVW_H_;FOew8CTSK8LOisa1%_FV;^MzTp z@(gqvbfMmOm$5kHqT%iuFDN}uzSNb!^0?_qzj-UQa6*3==HD_}ri5rzhJ=ZjhY7j? z4GHj;|2aA!SeV()<|)yQrfwLJuilaK#W3s5{5qxz*I3Z3GN6LtGg}|dlFYxrD8tPg z&RY7}ZV#1Frmq7P%~RY+9cuIUVCz2+-79+1u0DZH7&;bV7t7?s5i(4Aam17!gqD_K zdjrvkF5$V-1H6#O;=M``%0s(^B;defUCK z;R|J2Ph{9Kv-nbMs;%-F0XC9(9iRxtmd|29zi6bKfU}4d6qhb3iT=7rP1cona#A7U zGBp6D_RXJqKdf{LULfqvZK+CLrZR9kJz0nn^RTe0$c6Mxa_>aCgC^<$6cJ|f!8>QR zv9_8Hi^c9VAH`pIF3V8zs|RP6`UqAnz=TDk+b7VZpwbF>kYBoJdZ<1FYt&>go#B%S zTnA$Hj}wvh_VKUrGZItT3TC`PR1vfquu}Be#Q`juZuq>zPkv;W^qw~!cB9rsUp$Mj zzyEA*ir3Ey>%HF$ZNU;MF~@%Ai|g`PhG#7|zZEQIuqu*adRk;&g#=X-fv9%0Hk`zD ziwe*t{4`)SvcZs)MG9>Vy;Gfq!(Y1DLR0y>7nVxB&ZrQ2ctJVMVdG@`nt z2ev%LqCh1G!F-4^ppdexpjW3}r9?pR5@;ORzz&r-pYW>nf8(>84;$$Ew)s?+|cV4%f;Tl)<~>% zf$fGnJi2N?7#XI*lwHcdy{|?7XCy>$91HK_wBv^1Fe7+r)Xe4Lq&2!PmdS!LzJ~KC zEh$KcLpnkFyhIcLxzm!xA3w4y&|~b}V8LH@RC3@;9sYHMelSe9i=nJ(CM^{7RqMG^ ziXsX|Q}h%~@$>uX-Ms#7#nbNbGHSzA(ynUDsOcQAe}c>E9~Ucyys->vZj~5{MU(#7 z<#$?(0N+~;i@r$9*_(-Pi*$1W3o3>fzj_BwXbdA8*{r=PE|9;W7@@}~WVAdpHfK}o zzR@bxKD-{I?-jLw2HR>8B!=DATnO7Ek9hTkoA4az2C2I;x>h_j)CP4md;xIFUA<|V z-(7i(DR4(3pEv^WA~8MkGbm52Pp0yy}X1wGj3^538R(Yu0|AHyWV`41eZWgCT{Mn0Z?MsLAl$qbVf!?QqYa>yVUzU=y+5 z#FN*n@%2I1>DYt}Ve_#uyfsO~%72Z`ydt_OJZV-+Topr&-9R+sk(s}hpnf<#*=3>(WkHYUXQ6UDlFM< zM|MEg1mA4Pc_-=xV{wwTe~Z(US+nm<8a8zc%MHn%1ZL_ zaDS*{IvRJ|ZBchH>101DAwS|Kit#|*o;J12ADwZ~_?<6s1hp9p~=OtkZb!S4-G7JSXnhm>9(4JUL1YVE_s+!S=&!lnm2; zRtp$j^hbx+L+1>|C@D#>U{IKaZ`RvWdTCJaln__e@waP2`-bS{)Cl0ZWr--mVw}qP z`RID-nr<^|$z)tqe?-PTXC@VY8Os^d*?wAKq%g5vv#zA)iAG~_7!VNj6%BGWt5hBr zQ_}C!;|uDCeuGDV5pqcyga}~er}2ujmc7g^D5{q^)fw^ec3VC1ct8w^CDB7%w*Jc? zmd$dK6k_uJ`aae9bRO~dh)(V5^>5e5)j)_%JM-C2+av9B?Fn_e{p|#xvc*o@?me>1 z9SPs=_MV2vyAN@$a5MYGUV5fn_ryF|*I_BX%KK^~T-bx}kZhlV6mDV3h`e202gSwa zI9eOv74(j{Wa!N3tl`(T9@voENSrFS1KoeZ*=NR`{a*H@!TZY-2wzU!?P9!9w)TPaZ-L=P#H3 zVzT#1s3JV+i}P|37ua|T8sS~hRu)P(kK*TxRJl>!EjOujwq`Ni&tF6 z;M|_5_0*W}zgXy***}MYt=~U|FI8f0z*{xb#ALpV zew8zxw`HLuVgVnPx|kfGtRbAN3}#F3lIkY*F-kX%I&d#pj}qfyLWpK_gPt z>vge@P3y_0%?3TT5DfEx$N71!;IX|UvLGXc12Pdo?&9Y@x${^4{g4DF#Q;1q&YPf! z=rlWtNUQuSTN)JpADUaS?%^6=wpd*X_M!Y#m$#n|2qxMu{|dco_=>*z>L+hL zN(8N&{U~{X%$t6Z<-P`Yrsb~d{7!T~Cbn1HC+|3);EEfho~=yva3m7TcGeZ=xm(jJ zSGiHf#D9X1F`+G-=i?a=_%&HF#|WRaypWS!#B1}*=;qMGT3WkrTNW)es&S3VW|5FO z)O}YOS_^8leOwL7K>+Ck>Fu>eXSTzhdLEnLl+B7shz^&9w}?Jv{fDN@2U0L(&Xyv+ zog)4v3@RQAsYoL+-ASZUj2M(PLo)C>&I6gMiLmwp(xzM`j@?b;Kq*IL0$a;>aHRJozslondBEjrr%=|b0Mq2`H`DxI1{&Bh zllBR9{kJ4xC8XW7G%{?o_%&vC{ExucMYEN|Zj>6Hc7ySe9tP6eOH7rogWY`u9~Bi; z2drCjQ-fRYB022mt@w=b&y*M5-g133K{M}r>(M*lw8?|N>$Nxzy4+zkt98hoQ1H6g z)rWqb($69HL9F}uzwMwkhhG}4*?-gZ+PP)JYeEc`dyUR`n}s`A$aQc-OgOklRxa>; zEh6jCOdYVse$-_VsY7upsf>yc+cciUK?>Xf3UTowphIPJnSN|sxIzS{jiTgPQ@OmQ z$46(KT=!>1Tl{jH)2fG3Y)7%)^_-a?K{be3Kdz9As>UEUFFIG2^K`gJ0$W@Je25X; zzYOcuOIl2L9cR*8`jW#sn6x--;d@**E>(IU<8eAJ@qC;zC*E;iL(!e9Ja$L7owwXp z^Ej_~EF-mDV0Aex9YJQ6?(m|D2~m3g)dANJ;K}+HO>Mop$D*~ttTFyj)!(t@E?>{& zu6nz3C&bn-KVu@c`N8K#_ont^C=L6C{~Fy`wtUd`NRgAdl#n@j&Fa;^#wsG0900xa zve+Q_KI(9#GC>ld(ODGVQrb7-PlHDYgd3{Vg~(|8#pC5y20qe;S+sQkZAhK^ql)i~ zglfz3`3f2TGD5&gT~g~r15TJw3ib)9&*$Z?%$L^ac9+<6y)pN@KY2W*M}PKB0>F_P z+2gduBKi;#1HONW^xq38iIuGs4rzP-vRkSs4~zD#7QxhVU;ofXJ%jv2qID!0Cs=Pz z`&DR#R)KbsDO=n+rjdYq2W6WlDSBLq@*W70nlP|As#=M^IR#etnlsmFM=#MMyUspu zwwrpp(+b<6sL|CZr4fuGW8+H^^9*Q33Dw7I8^gX>emdLQcNee%D|b`382o_={80f@ zJVSQVv8v*Qi7(DHeNZ&DtF&BfvO05h_p-Mj&aH|7B~_`#j8~~G_VK&c%Hu9~T-*ET zTT43r>%O9UX#e^P8ot!V=*C*;oy!R@ig${*ps!MK~*K z05Xr_K9cXiXs*vnn6dMVM&Ush>B24(aH8pi$Mjm*UZX9BpVTl#jv;J8n`>7p|NoqTwof{eZwDQ zBq=iz3O|E?6kdWcg+nohQ8kefl)$01%)SVwQJIIssGGHh4Q+B3lCcb43f;Y$kTQ|+>+@4JZM>DwtYoPB7S%pr?t0kj2jfl( z_>Ty??^d?-*at(J-Y>O~+TNn1ggDP1emRAqQU2BIsT2!FAtQVoR?++NdVB(WM8SsO z(e#C3k89Vn#`Vut5Gjgu;V4rNtWm|mctjGD>9fx;IN1VE!4+>e0~{MP%g&>M4N+vh z!8+JUvSaf4^=!IODVNA)cw7 zsu+URk=v~}t{C@L)nn0oX`YoppD87`zs@67l@2YRfXN_sb#^y_4oUMA4z%Vk5imcB zDOKmP+6v&>`Kw@~>&r;UqKvQoU@P5xeIR<057oz6jIht}{B`zgA5S$x>r%s3#$z6| zoj#un82{h+EoB90eC0z+L7hrfqbU>uOw-(qy@Ws5bY1;`=za`63}PECEf|xqcI~!B zxhRwTVO1w=T^YEL+l-dHT3kBcxmL|gBK!F2i0}E3E*;SRxEY0i>m4bG+RL7aYOV*FG?ds=ZHi;9q zEv9@3nT~RqXx=+KVVYc1+}vwYmd~fD?$ay$meK;GYt9#v z&i9|A>LEP(TnET#(mH;jY22lUCvQ(>!#(cNY!A+l#jWCs>16&wGA{X$s0Km< z=eYDP!iY0c$L9XL*Yl)R=fwDDM&(!eyx_D4)skqJmM~T%zteUk{Baw-#YHPoU+*K( z#aZ3f)MH?iuTABm3wz#$`8Z;{P0VDx>_t^!2p$8Pyv-{n0#q8B(v?Ynpiex_roh?U zsOp)b7G|;cxMCP@{JPb1(jNUzwlyw7%77Le*LXO28i>(dXm;NLuIaFF5b}%yXbe_& z6tf!0sJY57?!XM5FAyJj*E3hDPb>Y+It;ITzZhr*s#sadI}yrq1yVaW+H8Nn8D{D= zkd(k;+6hhgWr9}+gtP2r?KK)2GD+_c#9btPq7;y)rMJ-K38(FZ7h zuXqpMGctD6&?`2NVE7)YC=7@zvIgts=Hm}_S@`ZWG0?jo|7mscb7_r!tWF*p~JJ&Pfh!P)xLvtnQGB1X7|RNv4B4pfuu3)1S>DUzPusZupn9Vl7*lvA-W+h4NtcA@ z1Mh2I=g+5s@k*eUsTT`&2PjwU>++^ly&1im_Vf~MLECC_n8qFyjDu>U(BxqEU5nNS z-y{fVmMo0+gaFe;(w_LOrB~-AW~^|00N1F2BR-0x%Mw0D3gzowwiPYs~*iULn8BOg`G1biaBYs~79Y#E;tx?5iEy*Am zML_dWPT#_PauM>sA|D#SPM)T7cCUan59e-d!` z>YwY3iqts`WHYm2cgeT&cht?oBcjIk_51q1ka zw6!2Mfkk?qLlvS_C3Q5)&G$EAENB4#6$LZI^F*w1k*=u0?P&s1Mbx=}0;1|AdznH! zF+dVXB~WK?iqh-DVH8(GK#Oxok0x$sI&#zsoRg%g-`gRP)aFDzER}@SEVy&S=x#yv zPJpKEvhnk3&8Kez!`EMDBTY#`sB<+5O>I`7UD>(G^l~mqu-cG)7KX&UW_;r}^r%7f zq~Oba&llOF0$^hxdxri!eaD-jLk*ql9yx(3m^j%`Ybgcm$Rp zE+|4OOH|u&4|*d@Vzq(b={+2TFrQh1ePZ+De$|g0pPruKtnZ3!*YKJfssI&VG47$uCO96kk=z8c5&HFnY&Qf1X+=@_Uaf+R7!C1TL|$s5KT;@ zqnZt=PU+-UGN3LVKOdfu?re89Gca2)Hnu64=bO^LUq-JzliT|z7%@rq(8=n!ltqzc zq}aA?`*H_OsKOzO%Z6C@x^z}Yqfhe|c{7{W3V3U|nI~?rFXGjkY~C&rN@G&511Y~m zHu8ahoC};)X}fOa+2im-0U6+-*L^FB^*bi8)gVD%Imekm^KElWs>f3$wB=h%@{QiJ zGSo|7$9yi>0TJiVI~bEcQH)V6DdlMCkDJ%VV02Qj>aa=AUr628t%LAY8l^K3L}P4B zxg{%O92Jo$j5TI=CWxj_^0Uq6l%mzAE`IMgKlP0HbUAN~6_Q>PwI7qkMalygB_J(= z&?-@*-;HgSH8Kz$Y3iXnBL@;D3)-l#1b-ZXtK5cWYTUc+=LV(k~%j6@hS|djXg`>zEQAG8)gp4sN=kAn#w_E#+GDB} zy^ngnZYk*aZ1P8%p7K7K7jyM7x(7)po*9(D(X58IrM7*Z#llNA!Prn0fOmT;V(@q? zy9U8sH{UD9XWBgcby>m7i;}U}%N=FxdWcuMW-0uFznMv~Ppaz>01Mg~NkeN%F0kkvy>IvQNYhK?*B{4~x#4_(z04oNY3!_0_j zefBOX3iPYho7LH%4D)El)#xA>pzi+O*lgPWmI`7;60`R3{y7$7@N7+3%JYj#Gd_%= z6vqPVqv>E{L_Wx;as9f=KFX(utqXiwCEYC+UuXfR z;+{TpW={rdtay)e5LyLQ@w9DM3BPNWFc51GxNzsjvGDCJlQ+V!_r)?I%psOb-eZLJ zfv4Vf8;yf(^JO-|d&U3PCG&PI{l4TZiHd>r21p0w=7H zb3U9bR8L%VKZZookBA!0p)MIXpvqaMu%ek_Dv$Z6rz$uv67c#hfHOO5tl^ zlg;p+goWJR-hCa~0sqT^jKrMSi9>C6dtmlYVfL*5TK6eDR|s2n-a~+8zF+Z@t^zM+ z-AKPr=MQ|hI0ktPWhVpa`HBx)zf&Az4+iSXI0v!zN4IxarTfwlbuVI_TV-6i?o9UW z7VfNL^X^k`@4rn(q(L^?&xjwOmy2{n^Nq`{?hojqe}y@=ZoB00?iJUH?^Qf~#ia44 zw8e)tY;nn zXV6-=j=;11+h9T#QAi{}uFb53vcLF8v2zK+43Pu{#x|Q~l4RRD1&)`$F>e4t&{>=) zmK?-wV?dKxz&b|$wTSY44AiGdg7<*7k0>5{EQ9 zsl800v`Ue+Vn6tekd;<99g>BJi0C=4%p=uy`mA}yHUFYs!=nIIrUh)XFm$<7C4aT7 zYBPVnFXr=WVM4C4;pA6W2`B1eK9V8pe$k*kH*ZRo=h>v(o&I-!Jhcr%pM!&po2J)MMi;?{n4A+24&%v43iy+dU_w^Dslwi z+BlMJj>RXB6Q7ng$Bt1nmIq8zI(h7J?T}(*Oj_n660O2P;*O_8L0}`Q9SdWR25O|Ge{^r2i^N(24#l2IhLC|RNHlV!Q9n)kICO@nVaLhIPQkA|oFSG! zp7$?LIVv6^eotvyJLml-U4!O7pkucdB+uf58P`{xe37{t0@L}~q#Z6Mm8B-Bp+P&Z z5Cwoer|NTUMtX!e#6#>52FLl&sUJ{EdoHVsBPqDhhkU~e23t4`y3uZGR29s~e&uNx#j=1+>|^6@td9mmQ!)85o?tMKGyc({0t zR!=SmHr2`~T%>38W`Lm|JjSV7whg^g(7->|C`SPW%-d742#>dFs8Ngw zN&Be93(U?uAZit z9SlQw(DYGR6qm?7xA+*a$w{1Awv_NdEw*dwu=xWrX|x38!N=fC^#FL#tCQ|#7P}h_ zEnTV%HOfVkHJB_rocMatTm8QZiFfNoV*=VJ772sZF;Qe-uxQnPC8bqEzx+;llB!A4 zEx{#b9&Xl`#~#=w-EdO8WuBl`$dV@ix=-DS{K~Zwx^>;$TEuVw>*URiR8E5*9-Cc? zCRZ$Z!-HfExWh8`m$7P7Dq#IE=Q@tS$?$X$6LLjThidPfR_vVH`7R&SC<)saREMf3 zmq_(u5qAA49DqM9A|*pBnwCRxu`u^+3#k_`6BRBx;HFHSX;Kghuy7I=O<${?v-))5@ z=F$fO;~|@U@8kU#CAy`zCQWBWl5V!Rp^e#Fz98@wbDIMA;wNrmqp+G6xA~GR|Fte% zViyYwsz`t_=&XVs(G?bju$@G58)N2AZ$2miJ@KZb5B&&Rb-k4X#|%+di%HVt*Jj2) zo3;8O#e42$h;W6B4=GRm=FEzU^UbpWGU-_uP1h^q%MqJ_q+f2eyL1T~*8WU~Fa4pTDhjtq>^gc;mF-nlW>)w)+NEst z*G?p$|8mdU_TAt)FX%z%GZss;&Os8`im)EW~`0ty%8M?#33Vfid zoh!`mVA;ebn&o&C-TJ%pZ#g#~ZgI4JoTERBYOG%l)pcK27aAGVMc%FF>q z;6&7i`VrYyNAmQHmwe>S%d|Qbd*FPzxH8?(q&vpQ&Atarm;#rONQVOAhZIN@fL6UH zUwN3gZyZW{gB~8~NDGH=jZ{Lg@Jd}f6w>c@>VZYT&K`BX=vHc_UwN}32o`hdyY z0y4-H#qgm-v24bM=D&>x1~b1mse?{61qdWE;*$c16Gp>uj}F*BBUC)2w4- zW81d5v2EM7?PQZpGO=yj*kGfLZQJ&p_q%`R%;{oRS65d*XX#~w0F+TX;x&pBgK*Ih zIVHS(!4AyST!nq^Bx2|fc85D^qP~p&PT<8%7pwTLJ8sG8UV-!DV6Q6eRzHOy@WMMS zb#9^43gc<|n!6AXb$rLZ|9p6XY=J~%4HP-}(itACMcq0lxgn)&?>M;?e#^m?S zGzg?9b0r{g>X;}TYb}1PLjz^k*gX_sdOSH;1KJfIOoZtG*0Fy^P z3Sp783>=+*dLTZIw48uBj*b%VwBzSeu$TxJ;~~LdlbXPuuZFQzj*5_%oNs;4{%+ za7oU-v*yjt$;M-4#lj{&^8vvU%&jw{Ld9cE(zRlKFVkhS#>nAJs{|>MEcGGQPqr%4 zoMTjkB$2x;`$neqGr$BkyE#d&|KRZ@TDvzf1IE1zQ8D~2HS_O!?xP@Lw?GRI3?5O3%Nq@8ae3CQu!1cvuTR{v&9PXJ(E>elxH@2Y?7sz z%`erOL~ZM%bV{`nT{jo&v8cLoSujh2xt9`oD;o=NnuRz@K}*dIBkVRA)S>X?SjArP z73h8pnF7PeT8+~_I0`tnv_Pdf)1Qp{9l!D~5UlCG+L`**TV8^1-EBylw}8DfP`E3Z zDyTfIMjU0L+sGf(?9)cjX5{i#T9#GiiSpF*$;* z2NpFJyWZaZ$413MwR#RnY@@EQ@KR$bxc(DJN zrt^;VfOG__5w4ln%L2;Wgs)+SN^m?u5ljnb?J_n775rSOVNIv3N!nStDt=^Ut_s6( zIA@lmuda5hzkKS><8kE*C{YRtp-7B&BTczT?y}>2HG{cpQwggi`@DJehSLQ4wNfGE zq{W^CRmfa!L+>tLWYNqqsfKna#qXt=wVBoW0}1t@l79u8;Jz%xj8m2dN7M4cVP$6- zA-BVHrpMd_i?1pzxypOcVu0AvoR(p6M*%)ry%Ho(|Mn(n-)ok z70ruANCX|)i(lK~gh zQ&oPRz^(=JW{$BZJ-UeIpCIqxK4iFUq+p>y#YF^yBbgDCwAVC+Zo9&SSf&g=PY*|h z0oCLWUk4djSc=+tba)%kQvw^i4ssHgMqfkfM$=*P` zt>wF_yL#Ph*~v>Z@X z9mA$_ZzDU8nG48X+a0N2V08}n&U93tMRN*Ct7qXrJN^k%ki#^OQ9I16t zs-H3{ogV8Vh-v6oYx+B4k)3G}v`MQV(L>~l_SDx;p{8kOY6XXJ`k>~XE(HY1h`@UqEzNkc9xa@H^VbXi)HA$& z;d=!Z3lrW6OAI}c9^d)vbyaPh1hHYLs^EOZm*pI^c_e3*(foy#b!Oc~|BONy%CHB! zasUie7^t^)J*Rdqqg+)eO3xJ`hF)gv1w`KK#`@lOltIrw?2X$h)7MP!nPUBQ8iNXp zO7n>D%v(%%%CkMbwKctn5MdB~LYn}DPL~Y=8J80)+!Bz18}qbXd|V}C=g=3}bY~lx zMMlZqC$^G~!Iy`-c-AQ5lJYVf!hbG7xbs<&`ICMH=i*x0QE~e?Pu9IS=AlY4z(BrBljfWJQBrT@wP~B+vq>rmbV`pKW7FJ$E_6)hR z)!eOfrecZZu`jy5gjd2dNiMD$Sh~-{>>i0WoRG3NCYRlz?K8Of zPeR3Ta<15f%y=VbL0FH0S9^u68Yz_x8>Mk|xihGqvKr_5KD~F7-S$dbqD@+}9DZb7 zL6@sTQB)prUO2KnSh5q;*f>l16cVGu$We~Pqg9=TEx263XxeNYoO-D1lThEPe zCSf9Hn-%*-BMqMD$w{6XP6utm1XpIL@)7!edR}8x`34h&p99AD*3j6kW?O(Ocj_lPL1bY8rTkW* zSk-IjPBfTSO0Lkb!UwZ4I7}o`=cTWS(cp2Mho*iZ4WESeL8CtJ`Cmbh9>v$c%By1G zyEAHkJ}Z%#pDKq8_ZAw9L6q1R9yICEY$N*_Ky74Ou5RD~PQ9y<``-{6<=4Gjy?ru> zl!p$5eW9|7X0r)|`~hg-GQPPlHgU<}rDE1!OeQitQR{N}__&0Rk{Re>W7_NsYW8^o zD4V0)Z6KJ%hA8pFsb=!L+u!^BprytGP`tVW!T*uPB-iZ4Gp%33JKFbN-w|`0?vOp0re>j>l^|>#N zR$(i)O90OVeM+ZX_==gyc0yLqKBby`*e|Fqt#ik%0sT6wc4ECuxa_@&$9B>y#qm~+p&QAa~|h@dr$^?SX>@s(rsvu!B`?D zH+S^9&#IheF=x4EYeMP#C#Vj@0X?gYN(^K|?Ca<5FxU-077B-cL$&9rjgd6fn2zAY>$b#=8u zZ>o5X+CFcbQMOw^2S^65VtIOO8EFgh1)&4MpoCJNbc%h%yR^tk1e(L4CRd7rT0XAh zW(@Cn9YmNOzy&c^i)s5@dx0s1p4aGL%S&?BGLgEka`r-T z&oXAKr?gdIf&G*)8D(~wQChp?y-m#68oh#z%nh&HMBFug@9H9)eb7L-siQ+cH58?a zZHLLvM3wml^_HxrMy3z0JfW+QveFGMCgzd(Aul`9?DMKI(wOATxFhsg=Je<40gR5` z>__2U6|_-FR=4Q@eZhLhJkM!&@ziqZx*gG$uycBf93m`Bxr3AzRd{D*IqeDRIjk++Q` z={IqUr_o?89F zgQdhs8o=E^->g=fNE^8QM1h1=^`}YPo<;)0xWRP@^H+y{C)QKqQ*K`txxfRu{Oe=G@xZ)**H_Ct|Ho4<^`9$n)>`|56bUg_f|X5>a`O27WcInp zQFoLiC(<3wlzj@UH&ue7R6m_ z;!lEwejXQ%z3#+V^8FgOby7R0t1RY=>p5wRd)MB^9_ zN7zZrGuDRIgWfOr$+m6YpYuTxz6KZ5utDWcNy;__RY)f*T_Vb0F1@1J#vsAk+%2H= zalfXPWjy_QmRmgY8v9DzC;_qP8KG@i$^1G2;U!7e&3`u?>relFy07Z&1QIiitz)H} zBMv5=jFDDK?ldm*8dxA4UPrf$TTrduHA1@gg=rU)wz!AVCFt9NfQkHN=*;PHbJfJQ z)J^-^dLNw)DJ##;f%S0Os`jZ8R%)SQ!|U)_ZL`t9PgQe!=bZZYb|BPF=vV*1ZeWF4 zo3q7fAtJ;FN?z7ICn@S1%dZCxt$}@n#aLV2rJGS3!b^={ZvK$wJX;+J1iqhjfV+UiJQS3MY8Bf{ z!q*BMSdq5pkDQ2VPA4rb?Kd+~jFD5ZMf)SX#?PM#$gVAWbPfnTcnQQwn@8J@Y$N*>hozcm0hcs@ww}DveCfsSAlsFU3f|3$ zWv$0}nrWr)z7YL7@S|d-(TZKBw!p_m!WS&8pZVb1N#qArJ&%iF2Qj<;6W3BnC;4<2L7BnS7<6xh7Y%?nAIf3zaAsr-7uRCbOrt?@se9xMeM*N{wsm zVBR4_35J+-nh0t?ZO1;OIFRXbjW4E4)}SV2u&7i9WB-E6R&s;I)t{$BG%3^N=as1G zexhwsVdDGW`?KPeJozT`_7zQ5oP3BGK-e7gt>Q#~x}1Ikb58_nK&9^lS_Em*p9ucP zZSZvW(4R!lxa|Y`(Mgf~KX;Yq@98*@LoGK!%t{WBewFhawys}w`@cOnXNAk%+owq7 zZ}78}bzt(!A+S|fv~BvI|MJ{sc8Fz#>7jKzqGhhbtj&u$HX{2tE$P~R2gdV)-m8#h zrcKNv^H2R&ShdF0!mUkO@pT=)d?lv^K&J+N_|fxjPBt;`eN$w_0)qPfcCn0c9&~-v zO?r(C2=y$0V{@<_8zq8v)Oc{0)PpuXFmdTPNkOGRJsdxK?yg%m&18Fdct#bK_$c5_ zE_K^fr{DhsDn00*HNo;EjatSS%1zujAGHp9Ql@h48%q63|Bp#5a`qAzk_~RlsaM9; z0L-1jkz#O7JCG$X$!du9Ou``qh%~B{r0uD#aRB_$<&&8HWD_= z8zW625-LqgOmHZBZb8$tMt-hUDsG6u?kdfvm4_zWS}m2Y8F(0FX*zZA4GYYSeFbb{ z2rFm4Y40h9cMq`zd@g*L!VN=!=K+h*@o%S|9eu+IAO%`ZMtXvahJ*C_Jux(!IX%jX zAqV7=ScoRWQwZjxA=BrigYJ53|B_}7#403rqd3z$5~G?!zYfq)L~Q4ACGc@UV!A~X zXX_272nR;RICdC(scheQncBZ~9k}6=@`{XX0pad=K1BCpXVcucDr|a*R*yF@>39>4 z4nilN1j(rfkv)SYbeTK>?AR$HV?Uo+n4u?#QiEXydVho6y)xE!kXZv+!s`T zclZA5*K@kNuk-FZI7~tgXT@z6k%sFJ8Owj{xHQcHfSuchMLKv1|vo!}T%lisr`meXABDNgNr4^0jhQAPmq1isO` z{-Xb?uwE!gAA+D65r~vN`(!2$<8?^$S7hETv2a)lFsd#gVunvyEX>x2AAt|(y?p(l zO>-w@vq#z3^{P&2zX~)CWiQhz&A^0-$1G=3rh9-5Ko+WFsP>f$-*ImfB}Zho0`S0MWx7GMEI2>DR@2Yk2X1T!jf3#vVEkve>E93=EY zC?Zrekjb@Bta9VHBYZ^l zee~wDCNZF#99uMr?4^ul^QN>wZmSdrLEObcL3AyK_HVd)O|lCw)f)@z>Vi<9PI~b< zLY8;gm%ZYBnTP}?oTv_^3K{y`ubJEX%gd_a9B#e9jiv=^65Imlc|GR(t&?>&Pw^pz zrDu9VbL^j65YqHlu;v0!TSa_sJ#-NJheTJBK&Pb<@cOteaH37NWS z43kxAV@RQel%?5Sz3%2*)XckuN6B1)`z$E|#3+b{i~TEh%(PH+mtMf`h2E@3Zvbg= zwhZiDSY{=Xb>0kDAk+%J+oV@Lv8boW(VMqODU%22%NhOpPE~*5nUr>w&^}QJ zv5xy~n|F`@^jsTR*>Fa_mXO^QtGf*-&2yDQc{I`Z%c(YfF6gzz?eiCvzNlJbn6p3< z0!L>r>Yn~Z205QfS1yfFqN&jN12{9C*RqrWIzk&y&HK2e+UmgX?2d4o6 zpqxWXqU+*g`|jrQO@r5qC)XSHm2v4zJ27-rd-P|5JyN??98q@%-v z!H6KCb;03i4(m*um>xd@Q}O>l10^Kb z`U02lkke4V&b{!JB8g#Q@2CgYQ`$!(`sVU)FNI0(xMb_JAcY-$!$|*qvHrh*`0K{T z!nHZl+&QeCZGNF)vPmN+W%pvCmxlV<_XSfzf#kpoUCwG8_sQOd9}&xQ-MXo}br)Ca_fr#k+|jgAFi&D+AND~9dU@(Ex?u!RWh6Gdgs71s3%{}J^Z z%)+sXP=?A(jEW^2mTuKwf5FbZIVtsF3iU2+<^E-^-f7E43xNoyS`v*o@AU% z84zq?wx@T%B@g62=n2m%M(7cZt)$=&4fvExRBSDe^>3(#Z{d4!FMzq*6!W-n6aL;F z>I>s4SA*x8WB%C4AnL?8{jds<0P616)K#Rwx>Ff`i2!?!&7O!`XwswzLKy-(8ER=p zFO1^sIp9hs_h|-QYbVdSx9W%_W01_88hk1$%+@T`Iqi)Me5ySOchk`reY%+h?!Whv z^~eo77~Q|5c5kHvt$0J1RBi|W-2`Y72Z5D=k2lp zGV)if!w%A2#c*g%G5>TEq6})C&Qj_>okpo9StB!)d{T;8Bg>caK20q6uUTfqfT z_(}iC9wgJ(7U`InX2=@UXs?i)PPs({+A7gW?xL!NiESp1E3wlk4(ES2VxDtwgcvOrpt0qLK4fz;4-1HY$;N8~}Pn5^LTzLSWgGl4iV>>!=r87R_vad!w%(Ff2un96$gXll-ZC3xMjQ zMWAp(A0fEV94~Do^f?4)9pj?GZ{RkCTJtPq;h9@WS<6Lh5E4eyJa=iwzK1=ylfT`q zg&6xa(zs$ag8;HQ(+}Q>w}z$p0r4NNxXBIe=Ke6KKWS5#`7-S1{#N^0)8|(J}WIra1e4q||;w4YF z$=^9mvvtHB?V&Pv)p&onOsqptQQm6MN&!V;pUo|)k$ch~%Do7}26J#4 z@GR9%ssBV^_oVtq6DC@Z!OXw<+~_IeYn3#5!0qqaculqxCb)LU$PBV`P}wjAj800- zrEm<}M1%6uy;*QG0U+|PbzP%*7w=I#V^p|cgwa#+j#Uu=5g3PX(zsyz?m4~ zq-Yk)a)M%WtmbRiB0Z(3;^jAolgUraI9!qixs%$*PgnsM7NVPM4BOTfjpyEKUDv1%!YKPCv ze%aa6_kdgWmesYI(|P=aIC*vLKyaEc-$)-7iqcSUnjIsz+Cy08Gug;{@CYX_64HAe z6eQf>wWm@#j>^&?gWg17W*i)#{x?px$@uCjg)u0=_8)~G23WOdqNJ+Jhkd!yy%ps^ zrJD`1vy3c+GXDf%@8D#CfQGMTKc~&i{a}wkinyh}o$f)2X;Q>FhM6To(1+WNkd3qf zng0lJT=>QJ)PCJBeRp6VUk57?Urte{9)45+T)2`|xhpvtu>cL^*16Z`2z>0m(OEj&+~-_1WB84GNW;&U#8J)OCUvMx^C;C~14;eMeTSJwRsJht z`vU2orGgFUZfQU95t>86qW{7rcXtxC$FMX*$ZqHt50H33n&>sK#7?Zx0&z)hisZ3u z84Zw}$|*d8ddq~n?66j|G6&vU{Z!5+Q-hCsQ8)l-RJ%GPZk@Y9_B5L$R6qjO-*=X_ z1n#V3yol{03@O0^SWrM=z6& zp6d)R1wOSLS;7S{OtqFMOQ_7QM0|~R!e52l{C9@PG-<^yIc?36N65HWp%M6JBjG3c zQGC+aPqUlDgK*`9bjMxBBt9-%*Tiiw;4Vg5!jFQCvPp^_GlHp1P$5+?+b~iewQg(F0AC63{iYXM$r5^15|u@dP=HbJ57`EVad z&A^GbG#1uEMjheL|KgFU0=zU}D#c_;if`C=q)dqY`gcFMziY#xY|uRflM^7ZCpJAx z5yD`SMMrzG^-T2h5S!#d-(7JjUJ7%)U-peSOfS$0E9qL&W$6^ezGH>_HQ-Y`sqSAj z62S+jc3y^S4UmQeHo;)vKuQRx*{kh@GQUa$P>>@VQAm&l24h&8y<;MnH-+R31K<4* zWx^RtxUA`r?9o|I>$QE7bA8O8$1RHC(l1XeD$0oIf~wWpUV`n-o?(t%c>F|4GlNY= z-6qN0*})c*(fJ(&@)1yGf5d#4fH{+jFYEN6ceTmYuzv~a*b6hiQYHPvgexfHH$!ah z3dJl%-8ZikyEt(Z$p=H=ZGc0Ebr&L*aO#I=u%9i1uuz0_uZJ=CNlhgfN-;2Br%_(` ziO4R0APhZG8#O_f0r;9Rd&VgjvLa%pL-{*2NDNM#rDuwLyZB*^R(GbUPXI<|D1ga- zPd0RUJN@XP#&$-n=79jWAEq(AI!MRqW0d^?BD$d%Mh{W?#|@YP(9CjkM$$*iy#(Qo ztyFA0uzVI+f5}6w;ab5d_REODp9v#g<-YWbCIAzZ8X>X2t1RCUrn<*FH79lAKde@3 zm{VEDp+MpSZw8`~`EL&{)G=}VxH@;lS!rOmrDizOmZpIzbc7g>7v~w8i!HxwuQBC_ zjg~oZS&>0-li*~JqpDWNzOMUO9>Ysjg=o1=NQ;5(WKQIp3(7{bDYb{jf~f?X)7@lA z!ZP%ge+g%ZCfESEaqYCIa^;h6flu#t1vImmf(kf85rPc<{(ZHBn`=N zW=`R+3_Z9!94Aav3p9??P|o!SUBGT`P2C>WYkk@3ly*ed<&o08vQG(`7J{l%s%jD znMXPV60s@`{NPh7iI;Ih5A^a5Ij79@*2waV^!GSHTnq}-Qc&z23>QxlNUYLAhESci zm7U0R`QyaIa;raYy4JWoB1`MJPI zrb~A?GBXs2wLrJa98V;tP=ik&p-w#!#l&C>`snrHERFA5(+Tsy5A7tVzjFRoCes|H zHn`=u_30CFfp+?L3oC40geM-Sl{4_d2FbOS@&0G?_ExdUk&u6LfR%h$twKG!&x`#Q zM%&%@i?ss(GJ-8i#wg`g#+BN2=rcd|9P|3@wXP)= zX<{?aB9E5Ny#`azu&PY~CBh_xRz<29TjC-~@XszY#c5E}%{Kk(kwY0c9#pnS7r`4G4)cyK(_H}6gc)uGHv2uKm z`W2>{tc8Tim#6OA;NzOqP+Cy)Y=%_w*`?1!=e27Bpw0H$MiVNKjM%wtF7ETb_KWdd zseVL#zJ9?k6Gk@{oUcHC!N5jL$_0V^nJ_RFjqK!WfcoL=B@}?UvY+nMIPu}40Mv_m z)JrM4=E@O`=$S0Y(v|aS@vE%|ln@0~8U<&->)Yih*AVVceTO&fQJNqNaMn$oihlNa z@XUaCSv}P6$6Bxs$X0^SvpfLb@DBh&6X$O(XL|jpw+$jD!xVT9WTn6O~$IHBtfw>i}5`Llnp5z@vT3% zNnY(hP;}qlOBMI}->9-LD|FbbaA2!9Ppcgf9y|V36^zM#>vGY`vffsA`F^+Oha4pD zE1GR2z5tsHLr~TD68lWIAhVpmZ8HY6+exT5Pc)K;xE%k!lmj&IA8{Kxlt(TaLz={O zV>SvXgbq{FJmOuba%g^5O~SGQ9bs(usjj1@0BMuxEfRXNaWCl?Vln+N7EYr=g^3H% zpzG839f-om53IBd(nVwy!c41wyC%z)p)Lhu_Ep@Bt-|#?_;8dYLf|;d?MYHnWum(M zLTwz7(?J>0*tRZNDWtL#Ibn>pbiD>&_P@cJ*GGY32fs{P9-eV9>ehZ0-pR+Y~d=36pW|=N2+t!vLjG+< zx?WiXzhXPR9QUVGv%#?x|1D3Vz2Nh`dCnC`hI&4d&Jt&`%K`He$lHpSu9gxKyXc*WnTbh!fab{x%m(kUtbj&#``+(X zX8-5KkWfRGBHh6WzF2vE74X1paON9D75Q!rm9`r|I3+Kt(oy<63|#43VrY7`jI$T^ z{=iF;M=h>m^o|x=ZsTQ?vJgkk3Ds*C4}IJShjX@FWn;f55ZnEd5p^YGG6^M-8OtR9 z9dsEzF2;TWE3YjKH(|EaHcK6(434X5_)ZXNLq()@s~E1e0$%>k+m0WlGJ$CX65B~b z)qI*PoPY@G$L+hzBiZuK$~)itAA2QNV$zO#2#923D7%p5Dt6WD`*@INCu&aw5wG5KBy!I?kX zNh?Lbw8?*Reg2ie8k@6lx{<7GG!}d95uq{}=d@kbJR8A2>gUh~G|m8IU5|%<-*Z!7 z?#t}W4sH}?k6Mh^(jD8WAaeU>{cd$PUm}G`IGooKMR0nK{6=HIErBcvB@s8aZ#XZLj5whAj&- z)E)+-Q^8dkgHKS*{CGI9q?FHnn4F(h$oy3RNBdjrH169^;JjmoIISX?v#RXklH_%(x+N>`QedDJm?$S-`IF}S4rp9<-F@Sp zEcsMt<4$>clwz>kQ>1~GhhspBqRZdH+GySs=#r_)s#k(lie+NZ0!jSE&qYX2l$tTM&BV6gw&4@yglkZr9 zW(3-wYW%L~pLV13D;B&3zw1lsVJy$v zR6+jmT!Nh>hkJTHMNGi=K}%#^$4$G2whTh;{NZF(o>sSXL3#{c#(?lb{RD;ohSkmu zOYQQRKM}NSQ#21sFxxLAy5tuBGJIfB>`VaC$DVRuUeB#y@aM>`xJ?D6J|mh;Aq9=W zCxr-XX;(m&|N9IQ;Y`U%=3?PA1#-{hr3GP3;phq_g0XXmW2k#Y$SwqDUY`4?!|mO_ z$RVM`aMVuG@TiygYlFV)Ia7EybU+Jkq#^TH&i2Qkhh4u2BDP0tlvSz>yYwqx5bMip zZR)uQ%3=d~Z2FLt)q5HsE7^EPk;iHrWz{2YsZJ?uO>HP0ER~uNF4BH*`SwRTooqHN zRS9$cT@a*1nfX~T z^K)uu$*+>848Vs;o$kkm`6y?yE|{=GWRqh~7o!mk<-d^k(JP+qG15M6)$4i&tpvUi z^!(3TN~=y*p7>HgXz7i%=nl6KHP_oDL*>88ZJSY40)py z1ufS1-BZ|ZPE`lU!`-41nzN(7b?TT64uss_*GrOo^OCCzsjzwJMQ~QA!59c z#-J<(GYX@9Y3Rljhb%CflVYFH44^f#1Ab} z`E;SF4tFE6=F_E14wpI4;dr$yKZ2aA9n=%Gy%M#R^l36U!gh=|3eNRv#gY3`LiW0_ zt?-9I#mAF9XIL|}P-Ce(<7hF+=WZc>*6Iv1B?*n`iPGBqBa;Cou!0~}+*qvQM*imN z2FavH!3|uUMv_ZrY!0v zeXlBbx)jbuq%O($p6Nf@+fEu0qFSw5;pz8uFbo|E-)tdD^uBhT9zpFJ?z&i0zW|DD zd0_%hZQKhhzdYh!vZIQ)2+eoMhNkqFQj7*%9%0~jP(}@x!32Zya8&pB%#+1U_8&DF z)Y&|(;P@eO_Hh$++`gCh@I+|S8R6L2Ji~up#HF3?b_ErCWf%JQSG<++pb`q#Eby&i zqq5}-rjl$(L$2JP3VzGS+KYXPAny`NPa)?wCFWGDOr-Al(!N*Q9ktWmVQQsbdY8*@ zJce-d&GKc$=GkK!&J-Tef4E=AX)Kq(kq6y~G%U%9h>p(37qsl@pbHg!$ri2{Z{ef>tHFxJXh^E669OSH0;bA<9ro z5!jtaYT!4?#`aFoD4hH9_4wOtKP!Bg6@!LRxb)88P^k6TYh$lMK8mitb7`jg#^?7m z>==GEgj2$oepIo|`C~HndV}x^@g=+$&&+1e{?jcv=z&A?F`!WGRpv8IOM#JPX@nID z)V9TnO75xPm%*`ui&Ks8D7Sk@O==k~iCHxal)?bS_o0c0cXJeDok+lz%Bx?I_xP?^Gf&GFK8kKh%-GaEAw(dapJ<=Z{D9BFneN z%bK^)Cdrp@y?E--2y|rX=?0NWW=>eG%9uM}Q>di5h zl_7rKL9RLiD<4 zywto4RBx+9n42p7&JS=anE@OBM<0bGJaf zOzdD3w!q%tC6=1c5Ep#QuV0E!>>D$$Plnh$Z!ytaT2rVU2JO@asy*?i>G^2FW09qmp-+Mj(cavX1~BjsEPXe~ z_mfdA4UYTs{{2qwU7m)Hg zq9G8bljT4tRuItd62f#9ZkJ=6A@i5k%0W6cM;o{HPH0t#-B6L6Os`@Lyr?&pKYWKsw-An3&s1$$VLa`9W=vH7G19Ge@ zcr{**&quw9k5}3}-b6SIlI1Hv=Euig=s+K8C!jHGO(#t6{^5vb*`Dq z(s<8yFzRPesGW~w)1yk;<_%Uvbx++IDu(itOXB0(0(yH3Wch#}7lWn#r{pYyV#V7l z*8#e|w;ibq&fe!Be$zA_hgC3pZL73CL3ZUg5QY^?b2;2hM=@QAE3X_O3p>8Yeo1y~ zh+=gJB8d2GylRZe0u8~3f`Q@MH+@&IsfdwO8PxR0P)*OOF-c!U3?q=n*ab>2(tL`p z2}eh5Hg!C&kF6hZQ6eDp8j6~kfdkj86lf47O-#QYJ2QqG{3kJ#k|A}Q@ZRdk);97+l#H5*(H6V-#e(OAL(^t}F4dKNg@y8;@?X7?>ag{fhIv?O#{ zs9?#KE-=r}e`K1saFEzpm&EH7eS0jGkKL}a0D3mYw)-Srl`g7piD5@PdlVP%n)XUi z?9H-Q`YXG2P(BVB#WEQ7!}LlN;}w1w&z-_k0YtLnZbhkx>KAQ@iV*)@&p1G=k_F-? z>(Y<@&W;r{2}iFLia?mA!D+{U>!saIE6JHRv)-(~zdG0-QnYB>FFqad_d`Bp`#b?g z^U_%|p!`v4!4}lR3Ac0Gwxd`lJ_9|vbR^ic)AQHbl3Iu31F41xbNxa1p*jUP4$pWg zD>kE2YRGHwX~S9VXt|1UasW50al{+mPj^2Q9IRF&Dyz4L@at)R&)JJpE)HJ+ zPSf%`hfu2n8+2B`H~fg(;{(>K!YBwgFvp}@%Q6(bo4QW2x=9-iv$l9Zjmj?7VsCQ5 z-l{C)pd+i=nLsEw{2o9jhcZxNk~VQ()9ix0GL2$ zzw?|FB_lx&)QOt5$qMdN+j2Dz3KSN1Vj0PfqlR5>r?wr8%54StG!s(A+3M1ply`n- z^JT3+~g*Dp82k4T4lrGFYKuDV<&)89h!n|ojCyDfE#`nl-P~|AF5n@ z#54fpS!?sV2E<;ViB%-ftK}C(E>|{romDSFswrWqbPDG5V++dLTpX=+wg$j~7UtBl zsO#W>ijBrB0WP(1dMjocZ$_^fPiPujB`MXRiFtCu$9Fu@PWQBX&Z+pRsv<;K z59HH68Ghk*Ip*&m*W7B8_=Hts4}xsT75OUNb)Ry&J@10gx!4K%!dJ3&fb6U>%t`aU z3*Z}jdoJ`ROh@z0Syqes@h`N&d0gfcur9 z_EmtdB@&CleKu%qj;-a&m7mzCYvyrIEql!QF8Nk@qy!yt9IVE_%H1PO3MBMd@J97=6y+77$I^pr(IT#$F~CM9=D*(DHRWKjpS>o&84f9*jIH1(Z6)i2a9 zWi)(R`Xb^8~0PR2YQ<)2-Jr}GElmFVjjmDqLn=4r;6UsJFzsw9C_h?OmmQX87;&ZtQ9Hp6i+F(9_Ej!eW}@>XhrCpP$r}hJMM1+c_+_N0nfe&w=E~< z37Bki&Z6p?FrateqXzWq(MB^M`$~HIPYoteaG!sgF1e;%1TOfHRNc{8u$=N!&IQ;`~elZGn8z5;R@Kh8XUwYonZACA^eW@4%x8GW`4VT+sTiA_}x5VHUUca-x1y zf>Ilrw&SEwE-(|5_~!S9tb?cQQbl@qKRzIjxe$KDmqDGhECtO#7&T_dS=XS`Tx?xr z2Q~pbNY@ZAfbp5&J3u>BkS6m21^~$kEz(@4VChpa4zw54-IYu7G_~u+WC->`Nrxt{ z)m%l^lt-3*62R$ao^sv*-K8D*uTUX+!g)^WjcQgY&UG|O8by{Ocz9-u@tGn7E;pVQrdj?)uWl{hAp+R)sCgbo0rIC46DRqf?ak{HWdef&trxY8 zP3HmhDWd3v0NVg?mkJkp1vI%M;e3stmOd|pVJ7LX$DP!l|V7B zr1*jATFo)5tze80O$w$*TPxs_y+hNpfr6RY*`W9Is*>C*4Q&GD)beLN@C8ECb!`Zm za|9Mz1>Hcqga%SW9iifd%Dqd$=fIsYd+72h>Cl|0y{t-$lt)Yq24g!8eLxhq0qtT7 z{Z79FJgj0l9tV#cZo3fR7A1c=T(dx{jbG;!rd3m~ro7PQ+MCQT;TrNbo16`Ttc@xs zSBSz1jiK5VD=A*dith1BF-k9JhO~Ce=Xc=J*+#@9yFSjOu`%5`qMWBsSI;+Dq zn?o&znhM28R)^fZK-1nNbyd}={vI&k3c&*Mn^rxvY4D3YSMeha z^qQA%#YuCrcT*rky26xZ&?E)IW?mKbYQ2027{`CfIQKitgNxztQxwx_5Sm+1{KW^q z0iZszP_apeE7dUrU9gn#LIZAML1?~Z24=eTG0xO7@dLQpN3Sw3QQWZcf5Cauu6p#O zJ&C?k+_1$KUJ79MH_0{5!EwoRwe+KszZ5|GUczoC7~|A89B8(Hx_&CEWt$tC>oAck zwpl*4%EziNfk0z4yt^+7?6|D~)VTRyVX68uJZL9O7fLs1+QCpvlN1Z<^-hMT@25N% zh;EDi+RkX-#~%`!g%mL0wVA&IWDVy+3ar(Q=GaxoTAHm%Xo~Fy+*qn>axn;C1#Jgd zw=vLC)0Re^XZcxgcVWz)yIe{-H0w2|P9RZsA$u_B+t5x*(#gjF?j`c*5#Tyw9p}&0 z^MLlH9Il;!<6TDA^gMFUga_`w_m;s@!EcH9idH1k7X2WAd+9J`3^1;)3%R#$R>^2i zdS0YV@6@IAf+pUmP32BiDNAHxANSXw_d+KqMDCq=(WI83U-MtC=9y!#kG2Fk=x`lQ zUoUn|s^^l>rESb2a^xB~1Jnw>UI4ThCaU=@yg*wbO1#9h5!9ZA!0=jJo ze%&n3=;S*~Un8~47wLGcj!^gZ9cd-N?oR0i&E1L9*e~j5z{%e^F6IN8hCPm|PGOh+ zX=CQ4WPpX1!kIkuLU6Z4@5_oBpt*Al-7`&t@BHZ3yp5BJ@;+qE5x0A^2f{AA-VIq> z!WJMYLZz&Oo`8TLrH@sZCjje(T^{?d~C9P2TI4r5(5p_<`8_@j#}WkS-$&Y zPs0PA&Y!Q#hNbfTo!KxB#a~m*)nTUmycJ~YkPR-V-SPbIc`5u!-m&(ujx%wt{+$r3 z^5r+OLH^Jdi-@I&(}vO;nqrrV7<#`Cz-eC!G&R5xWy%Swn#7?8L{7KsG|V6L;X1%P z!(I7c+$a%ie=`6eAG$7Guj`A2*rFR4DJ#D*&kgU`2LtR3XOjcLm{@vRo3pZoh;ZTF z1`Fcz(BYIkXp(Ag{h<#l`@X7ai6fxMB(E_K{cTaXXR2nfb^gbzf+s^=R5%4Ig`*RO z?6D^1S_cUY@e#~Hwiu^u!{yRQ$Kv>#PnnP3I;G?zmz3wjkj1{&hGL9@W z8&u~_B?j75#Xue$plY-#Di_NYtNxzC3T*sf_8Ng*05GE7wrNob)m_7MdVmJk2fl5J z@IU1O;^B8CCc^aiKYj89T4fOb5k~G2=a0DZm-#DP6%F=~^(sV`R!n4$q#A6x;iFOX zso1K}2`rU0vS&#)>vnA+8|!ufWFgap9QY)m?J#3b=?zUzRZi9K_d0=o(yEPcYFK$p zl4V07&s@bnJ0)-NZ|6wrQ)@XdE!BReR04AvIf}3ahGz+P8JLzRlVOj1gFmB9i|x}! zqU1r7)2Mt6SFy6tM7Cy?LIQ1dW>}dnTXnL)k@u?t-NLeLi{s8IWf!i>TJnHnO7IaA z4uoNwMb0_$iSgQkIc}_|skvN+ZU7u9c*Tn)rXHm?G%+NHb1F|PEZ=*bJlX&l68<@N zrjjXql2riJ%mB$n7@wjFc*`?Lc=>_=Rn=*If~mB5tT(=!LBUk9^dTxMVo@Z@RQ=i5 zK5QgPq8SL+4gVa*in0qxj^Iy+G;i9%q2_IxH9e$p)7HVin>8zF+3}!)R;^mKZPKh& zlY$lntsA#F_@K6}nin*0(V~5`w#^HgHEY?tZIc!S&0Dr?(xz#f7LD7sY8m``i#AQ0 zw`tU}?ZQ27$3Nb9x`_rJ!vIaAYKaMnl#CyxH#GgKP#04LgG}p%R@u-!jGJ*1JK4I9 zt((btZ&A>uRg)%7TNbov*`{U7rUk8=v}@3zWy^wQEe>kkq;1P)Ee>wiq-pCWO0Zk`3rr_ZfTNoAN#RhgIXb~QKxQhe#7d)KWo>k*1(hBpn6{QnvHAb)vH~- zLACmIJ#}m5=he=yomaa?Ud`H)YUI_eS+7Q3etus4+}d?&=jB%meZO|i+BNeVy}$eY z1jM6oI3ZF)Rnx75u6OaLmA7O!N^fWi|5KL^A3pTd6Z-e>-lcPs=8dZ7K+mxL7}R1z zSbsHXAg4P&zjh5d&Gl>7%CAwqW}W;R^=ej^%cfRMIp1}w*Qi^&dW~we>*m+4RkwEC zTKV#wTKT!PYu0E`zgpcIb!*nCU9VQ1;QFYUU!zXVy7{5M^XuO$%BVlMIlR1|Lz4vA zZ}iJV8LuW+x_P*t(=Wp13pCQHPbmvm<@_nPSm+F4h1mIST^N{?PqGKGp+>b6F1hlC z8?L*3{DTioczV*aQ)ZY6DbpP%N^fX#>un7E&;X?v6k$9A;LPyHF;&H1S}Lj#pXDqQ z)l;F=DDUKW(O^(7A@2O)57{+JWm?ijt#IzVTUCElfv35ij?-g@Q4$4OQmB`C&Lh=4 zY$#9@bSyd1UBArfrK;ut<9;Hv6Jpdd%2Yg>`b48gD?)^W|0cNry3Psu%N!eT+Ai(% zg{DapDFM@slA_&|MsGl)Kj35$40#WeW-QY&_(di}XqmPeUMm#Cu-lQ?DvV4W%AmoV z+QwzEN$C>L>Cf-`bMB3j3Qf6keurU%s?sH7QO9xA?yd6b8WK4b*qA)lk7X;EQeGn* zNwp_}9dZ0BOLvb95-zSYrVholOe!X9%ZW01qI>ZnL%bORKZ)n*lCwF6d}uf*z-!2AF#rd zT!B`If8k^vCVP+$fs#F0UZd6=ELQFkNBE$M2ayVh_$@TEdrAJG2@IB&-kt1AVVU^m zEP&n%l%O2+@1!fGCo~-y$dA>#`l=>W+4y6e5biF{s`0b3Ux=!9P`l)?BLehqk1($Q zqSh&a%b4HMj!1g)i|{5p7!z}=I{_BLq(ReG94X-HNz!9z2{GZc7R74bR@B-%+zP6g zIB)^(FQ*lS?G;Y zs=Kx)qxC9qG7J*s42ysJMaZ-menB~?=fK)3KVXF^nc?kJH@i)BQ3e6FH)z?xUNJ@G zewhxT0?;^|#oVXPxThJ2PNE_ZY-N)(BhO^3JmRWyGUoOK82UAHDv75nr6)9*Y8)gd zY156hJZPVlx~AtjoV31Lu`;hq;m8aH*0Jbq<5)3M{WZb^@5;M0_tNLPEag(QkV*JN zSr-DH=_q4Y3?6kal+>g!TsedEf674fitOjQ^*a2BK1X!x*0X1)qsx_4CLP(cQ;%Lf zx*y)ROP8L$r}}&tFw2pCFRy``rti6ab#m(sdX-$+Euc9+LkQpK6e%oBr8+T~}u0BQbvZLXR zJ&xN5r;t*i=9z!c3yg?!m^PHI&_qYq`WteM+PCizE2+YFqiy8Jx*KLWvtx?eAVeBO z?RHsk!o5H%MUg44hL%*0PnY8Ew=HyN-n|JxuR?j;CB}@hRXEUXZgh_MbMHw> zPo;iHd)0AZ(g^$sj|Pf16#hE{I_}u|@3taUh1s;ZQy@09)QWa)*|zO9==ATlt=o5+ zSHjDbl0s?%6Je26d<{I$tUq$$j8#%5THI0Z7Z7ZeF+*k23lvx=`c{0PUsqO%`Dy^b zAu}mvlGIg|_L4r7F3=3Ns}{#;vOK7Je=(zsc}?>ypf~~hHF(T1KQPPOx_#@G z>2S!7O>+Kejj-LSSSTAITd7alwPowptux@T!p&Q^Zn4ExFvC*mWM#~O@*1n)Ss{MO zf&cw3&+}d{O&C3lXxEm2l4f%r%2F5AIZLY}L#>leLnRjk#JE{4`jU zMe(vAXqa$CW^fVxE% z!JFOC;UO#lHA~owpDKRulDmF!%Ac@N@IzUyi46Of^K_%dvs8G*WF||eT9;`8P1N#< z-8mxB55scdpodMUbv|@)J{SX&S3Z2fL*LUMWzc+Vf#9D){mo99ksAu0ED@K(3}Jow?8Z+KGkdh8fQKyMwiv-SH^)5yJ$=N)u3!}g(aVhAo>V|&EPT?)fHsx35>78xj zxntn`ntDwQ?on1-e9Q5?cxzlK*i~?ba+pvBE=#H#)46?(3-#5gS35aeCOfgCiXsQ_{LB|Z(3yyX{v*}J$7q?l7*xGoxlkmkw>pEdw zr8q*fvlHkuQiD7V;LzgI&(%y7)fuiVtP9|9e3O~tS{PmjssY?70%dkuv!wn=VUN~_ za=%~YyC4!r=+lL`5+(vvn@9=#^1`RW-w6n=PChh`bZvC>OdFf2Eu!6%FMzK2p&U|I z+wVo;XYF(D<-2vMkw-~^R=pmhX~%8gi<-b&jyHunb1OcAxt8du-62sH2*%x(Tbh7l`qUZ4^U_jo$D*On1@5t=>kT+=^b~~0pqMMOc6aK zEX62&ph>!;_vr&5Z_uYcXC(-=C<38CYSaZ`Qdmm7E%lksjN+ruhfneN7xf`!O{s$2 zlwC-QB|{F2oG>76hNgJ_51{pK87Jd3$#gryTTDf`qc~N_M#7syO0^w)c5q*|H|WR2 zdq9wq56vZTnTkx8|N9R;)|r@jdN;?MvkLZjIF666P4sC=raBw8g#fdf9Rdj1nM74PvG_t*x#f z{Z=5IoGIx6O%-5ZZNI%HRQuoWmMShvol)ysIDKd@>52AOWbI_D`Ag3A1BA`F>lNOU}%oSpuJtbbt?Q;rgxi7E5sK} z|77gV9#WT?mPXYt(*v3`x<8FDv^ux^t5yL4L%Bn61y~{&XCTbnmdr5&(Y8GYx~kP; zi%;%5Z$NbylwoHQ(%a?qn`Hf;3tg0#hF@*GgQdE}F|a7yb`bc-cvznNNY_+qJ*^VL zEIvHz6(+{QL?ww){h^VtC=uh5d}xXu@DK4ffgPro;YiD2nGM}-wA%6Y5)tn9=Uxj( zt1{lCLpp+||2<{L71q_T1V1u|z}!$_J*t#86@KFA9lJ)!E}U(DZjG=nhc^VjQh$*# zRld&>focUzM2#vD{PWWdIN1VPiL%~F_W zG{-M3fMz%g7^L^4)>_!3uvp<3#YzRS?0Lp0a=Vnflqs+FkL$7^_oA=lOCPE&v8wM z%Eh%#uo*qT&v3dw5yP5vXa>ahaEf}#dCf~q<^14pLDvAvvl|VKJi`xb$N8OjKBkIJ zAR^{KHwC#d+D-B^?{-ff6{+6gLRLzOxv2?Fl>q2>3V*FyR+P(k!xaR;1y%f9+Q#^pWY62+f z&=g+LJU0+PnNoZSvy_9&5+9KB#1&-U8B}1|=S8G1ptvfhbAhL`>I*g6 zUF$139T&Q;l?Q+GmE3|UwoWY4lyT=3xAvI4gl~IaXuVB{(eR2b6$q(SD9wgjjcV;E z>pR(l934ln_fF8E?iFjC5QczS{wWy3m!i;gf+$guUoZf){PF+NyH4C>`Qu2wltZem zCsQ0P6Z?J7;gl4;*3eK!`l_Mc6D>$Te(xhwJcgRQgZ*?7%Jbb_RQ9cXHPl=w*U~7s zUfAZc?~7He1OE~ubR|cA%gB1f;*6~vpf}$o0watWOkAgza!s>q*2V}a`?06wLsM8c zl54{+_#N7ICnC784jB8` zK&|OcA+~_)H+;85PT(ExZS*<2*MNgAzUm~+Rr_DL&yFzO5aM#<1!g&(ttD`l*sX@l zZe*(}31o@-b6jnJqvy%(Rz-BCW;KKqg(kWvOC&P?op>N*9Wh1O>=>2TkKTz5;rK8- zuEZv)7CRdbrC@l`E$6W3Upu#U0kn~SZPVP#Dec!UZq3?CW;`@rXoulBLSku8eA_(dr2-kP_?N7oy$hk2pES_T16-O>d$hN0&I;2iHei(_JkmVQg5B7lK=uLTVumM?6?P`RoHC&rvO4d0Aw*fyI-7eARD-UzIA{AK% z0|Y{EKom_rGz*$Mx+VBQDjI@P6Ph-a=P^G#H4Ewv|5nv*!$GG$2X^_I0V8+DUt0HI zNR~X&Oer)`49Vt!U_iZVb{*>aUg{_SzpJ2YyC-Fc5a!?2NcfefxeDGL;s*VU?edUa z9{Al>HB9;(N|&+Zz4ZtAdEQkU@$XBuw}p8l30R8mhet$0oM_31rX1Nrb2s}?N?G)? zC~<1P;LuuBB#&+V0>?=4rXB7=l{CO{E`2!76mgCU5lT&H z;s|fJv!$lDerTJT8Xm0EWky@?0Wv{|qqDzKN=N{CubO=HK=1tKZ>~h;LQyDrB_qr( zUV#zrbX6+sPH&^EyM1|y^2cn+DpYgs{d5J1skA`{e);UL0P3OGKgG1e3w@cbv$wP8 z>^(-5bZDZGI1$D>N?*V+h0+$PvQEfcz@0)`lMW-Jkc#07R%5~nB)Ichs)+@g(ou!G zDy7CJg0(FzwFE3xwNC_VY03$E4aSjV6xGmb3zcMQtC$AHx8B|ew6^2dQ-h|+&cU^~hHw`~@xF+~BCHDo+qyZ7PaO1qxtX$&^Fr4O@h^ zOxsBsQ@+8Heh3DnEpzrKr6}n(CRYJ;1H*&n6$)aRK z(^laCs^X4(?ZrKH9Z-V-ZJwKC=z9Jo6Ax~=bvCG3R`^a6BUwqRQ$Fj z&eh))Wzt{bM#+aJvb&)$-%DGRul#4G;Vvon<5EI}rQ)UVavm)vEF%9V~`IdhCuDkJ0tK!SlT&_b6+EiYQDXHj@iYl;kZn4t9Wv-*0X0 zg2q?;!j(C6A8u<1%nL+W2o;`x%XS*y3di;nom5cfwgc+zBJbUQAzzczWW57}DTFK9 z2w9l=S^)*zC%CT?RvG3Wp*>&m!@~)^WN7@O7`|HyG-!C)lG6C=WLt)k%rz-46&JlF zwm;VywA|C*_4`GzDnXTrf92_6MMXx%=3kj*$tJ*}In9E^2*med75M}ixgH!JB86r@^n@Cw6+)o??(B&67#QIA||Vt+JRZbTWi!Qq!_S7%xu!3 zN&A*p%+rsxqFUZ?H}qFvL~QD0T$%c@XJHyprN^48>@fvG7R)a+-#sr^gSHb^TB@5( zQtd#=h9;V0w!b&52Iz-AxLKtQnU2{uVym`_ot25Nt96O8l~#EE3-O2LxNa&SjA6X( zLvRObEWU)ePm&K!%00J4Z)2XlNAK=13i`kHdO|!!Wqc>!>#ZZz+21Rv1Q-*!C;Ck& z~_2e{NH#2xmcj?)7-F-c^6Q*h{Ey>?rBb4AQqo8_p8s%q23hhm@R?Uat9j%SNgc z*<#x>y{Z9pzVBD%GC*Ip>fWp7G*NPHVxSq42L1Sw1bcdC=d8190vvGJXC=GUhuwEt zon0RrZtNxGHb%rL)Lfnuw&a$aq za{{CiC3~Y2Xek_=$kuVG1IGJbzi`bwjNZaiIeD{+V`c4bTe4 zeqpJGIsRbpdRApct8$beXxbrny?S!7b$b6A1~j?;8}+!fwqv^Yi5(5IzY|msJOMpv zP+JL5Y+r%4D3Q5;sRKW_W(4!X#P%G zn|7)7B5Ie87*XQ5CI-_;^%0{jmfzRIW4N1K`yIhX>YIKZ+sF$l>+>#j+lhaa#9yQh zfsxytyov7weay~JHp(Pfit%@nXs+2O& zM1g2BbV``Bf#&rjxJy;DU@?RWEt!B~lJXB5-}vjvQ>tr_cjBXeBrbX{QC!m&g^R8{ z9CDyw?E6K|o)cu7PND4>YS*}r`fV}GXjQ11AHJQ9?Hd~$YxQU{afhNt}rZy-w zaHn%$T*y+<=eYTP>Z-RPcxA4bTu2Fw!;+SJ?yAQIRWm?uJ!;-2C;PtCLK1vc=J&oB zQ1%U)Wy9Af8j%H!BEgT$Umra+&jm)C(F?Yedmg9sWGOjm%KJo|n}=wRIQL@4vo@2; zuV0<-Sr)7`pD0QhXv*o6g8aADYW;>;d2v)Db~%;Zt@U-Z1tBD=_x2eM<&)*qNGSaB zo}(m}(Ysu}V5=%CWQkDC>mVSNX=NYpWZ$_aHmD3>=7<$?@T<&5ys=eN&uAo7=%&M7 z-w+iS%qdjikjizedNahJHc+yzj{8$8217L%t9-t_5~;QwVX~@d`k&;QDwS#~G}#o_ zI1f$PhJ`AS3VTPPp<||dE zeS@YQ42)CRZDzQ;$N%kwGxKva{eY7v{~8LQE05T2_2>A$#};#%r1>7XjU$;+s(tM< zAcNG zm^asY=@4(&O6Ovq7Q?kD;;1i(j4yQTJe^Q{OwA%nSjy^^|CBEu5h67vyeYQ%y z$1hkZ5@_h-7eyB;Ktnv4B9M?}|2pOTc3F_Ew>agF*{cJ>G3h$eZ&JBt@VgRy+m}tg z^swpxuIfi$|G}0rp?CCHQMlF^y=xih?4v--gO^1A%uwhZ2<+nywpV%#nysN12~H$R z8EB$+TJ`BJ>Z6~tGj5kL$z(8EZ@106t9b32@4sETc;U?H(igwTmuu&O74aQpn*`ZWMegNFTYc=>k}w^dW@eY#?dpt=3q$rp9Z1p~4=oHKE0 zP^(i_VU-UzYDj^v5xCZb9kH)wpb(2L`g`%zhugRYs0J5tvm5fKsvoorjZM^Q=RXNe z3rmQqMtfU3xz388?jUE@x)cs-0y;=CAV@ms8f3S(gZwJiq?QzSY#6l9JF84pNo9Y} zy7lB{cvm&Lj-K-2wtW`T_R$O^M9HtO-|)XSl2?MK(?I4sDlL{A!L!X4qR-8LdAUblkahp@#d=Da2g#>vnLY7!ZpYkr7ar2NC zIkOuFIFRbNei@bNSm3k2=0h z7Vc6t4wQl-}Lc)-VJT)t|))4 z?gYneCcW>sLnT|#+-?LVlKfeZ+BTR;Xp~T@g;Kb^9E758U%K_QPA(Fequme*{JXEbW8k^I|a(Yj8TM;2AXH`|c%p}XW9Wswr1>8<~!_)2q> zmj8_^k7388ffqU0AT;I5l3VVbMzwcDfa2$3B&6Upn&RUF z-~wgeGXv%|xj4%x$!2*BL(csOGk9pC$&o_oCB6BFJ3{xZst{%Z6HY>ua?lJVJWj4=+#pENkTYQJ^Vm50<0D$` z1Rktc$if@oI~ily<898V6N9Y4K2amV~XV^*aqb zefW(No_%$}m&@0cggadXA8ztN;0y_r5 z0dt5I*iIzvra`W3&~qd<<%Vp)wJXV5YA0cr9@Y5UNg^SSk~Y%GLIu z!u)MVQlrdA5?XDtr+C+Wzi8gH$8Njo;?s^gyk*n+c^>%~nj|1ZkOWM?H6%9NH5;{T z-*v!{i?12~?DPfStSodIcsa@^4h0LkU1`BnU0oUmD_Xbi^2svIRwT{JJ8`@J4tKm% zid4PB9kn6L@pnm`^8z0e#T4malRctj7}S{Ri^#nP?`yLU&T z1`wZOLdoz%pZcCTV9r_02xdhT#Egmw10V>3VnRTDW=u~pf`TGBU)bFV-Tik}&))6c z?cMF&ZJ4>AQn+JxXLhEizpm;EU;VN#?Dz@QgNc}v4}ZNMq3P8%yCUX_abTXZB2y(| zn=Xff;g0gME6xl~#ea(qKqsw%&wbnr-8IIO;@-1dCl13Ev?o$?l`u82?2+Qm`LO0xa9uYFsB+--8|M3L^{Xt=lvIuF{U2RU@05 zZSN_Q&nX&T>2t|)m?6n`6F+zNQ)f!`cDpvf7Mnl3y8TjCw;%+vxZplAGP<+g?D`V% z3o76c6ivjqca=}$bNcO~5FE7-!;qM%n@&Gl;M+I^$X!SKFQ~XV#mbCMefjcx zy#Nk6HFp?)0R~5TvQIMIu54XB=yemm;T}4DKJpo9f-?2{og(LbHzI#@iTj z;%k>5{eGyf4_u_4hkOVJz&2mHDtWkyW$cPF<$<=|?hWvdhsvZu8cj1Hnl?Jlypsx` z_1zoEw}AGr>*gn|Jube5rbjO9FpnvS%^svf+lxMq2gYkXL5UEbU)%|x_Y|6+L@k`c zX%|B`99lD~jGe2jT?_RxTYL?~p*ms9Yp#@M z@hvn_eQ`(Sw{vQP82k0QR$%UU$$!hz;qI|Mx^6oiT3`7cg+YkgpSwK8h?CXDRPfZ{ z6(wl2*ZpK4XnOr7mWz&58JGM*lhbxjKBt@X0g7RiiB!X1trXuDHg3#QTh%`7&s(=Mp!J2b(oLZfG9&0M-W?XTIa3bZbn2@QolN-12RVGff{ACq(t|X(Nf7YzbE|Jy%`s2mnnL0{p-t5xM+OP)Jt8vtX_Gb@x^xBlOlX$cH8y{E)C zzIo10awwY~e!;{q*I^N+<jj6NY<4Knt)K&-B@!Yr8WkO}JvQY(E?5wpTg!?DM6V*y%#k zc9@EHNfm2-bqE;OV47_G?Mg1n=3loDm{73aMUO97N=yJZi``Ahqj> zFZ`*}8*tq#29C$t@%I6HMb zVX(^a?qCWp8X6d#_8zqyRnl4r-6~X4O}(`yK3SK#e+l=mlK@Uwf;;^Bhm%>%K`VIW zvZfBpTz`G-?%(aB_`EKV4xZ2 zWmRjsYj6DUfs2mbs~KpNA%bb$a)veC`{>Ib{&<}ts}mXVtD?!~OxxDn;f;i3q(De& zXnF~`_G89LLi7Wbph(n6q367*so1HQlhVBz6@7KXz-s0Ktfeb>QXaH247aGHwiLee zw4rIMn1x&XSd(zK&$)M{^%t_SWAY}peDl(EM|W!{ZLN^55{fYQf2V$Dj-J1U(Vo&O zXV>3q(AG#gM#j2QN&-#(f1+B15$8L!OwV#yW}uilCG=xDh2TkT{cmuR6g*5##G ztlmcVufV=O1Wc;J!?z(;# zxg>tziZvSCu5xcuXr1);nhq_$A9jOw6IASlHK0tFN_RXZPRuQC$=_einKtcYxcb%E zU;MtB-f$i2;la>P7-v=TjRXgp$<@u=iEoAE)0-O}PK6ruB)Q|bDxp0iBkf}D118}f zY_xr$PA;2)G8NdUn)TMb=of6!^RsfNAeFDP?l$si2>>UX|SNI%f7Ii=+N>V)RSAq{n@);A#o^NJ7%4Lz&gw za_d*KmNf|uG^MzfqNAYo4Z+FCS?E3j6BIdtDED^9i=Z-MpishA$z9>_(SK&*bUBjh z_L>6k=6yIwIPOsflsMF^SMai3!Tdw0okN@`B*jd%#9=0Ers*z+rK}@pOT;6#sMD_vjqh(8$vcwQFQC5Tb3BaGs=>qGVp+$4=Ja9hGnK{lpR1kDR(JwTspsEb**(e&k`pAT&p;0k}&nfBgD;-cF%5 z(}X4^OLvF%4|%zftbzT180{cgyvm`x9M#^Zsl(y~gIwhSsc0!}uzh3|1qPZmh%>-g zoBeN*PtJOsk^tF2j+zh4@B1{XTkTu z2u}wen)2Ch+bR3LQ7<^8Lj zq1!it*qk!OkeeN;)}WO$^BDbEYydo1gDsJ$En>fYaJB|8M{c=h06a^(cK24zoL}_@ zV*uMgB)_5`=%rB!zu2Gep8_FxU5J(P$9y{V!vL?aNK(wI7HHBZt;bF6@L$PEnr-35 zh5vAZfXv`SQyOEBg(hUbo|4>5>?+0uN0&`L2SGvcK)4sGCjo4bJRVaPoTUuoFy!mVW`eQr^K4 z3YEI1g$j0ff>9|HM+PNF{5EwU=rdBRJ!~*BgBnn10_-vY&HObQ+$l#Q%Fst-R`LVY z>IPzCTEHQaQ^3Eu+yisOY*T)AJ?=;XxCGd z^*)+**SLqq-aq!KsXr2ZB-IJbyW;rWb?n9kvr=FsdKBpQjvjOWJr7QpvP_;W#$y|e z@I>VqbI&V)btOq#x!Sbm?+5EGCQ>?1PQb~;Q`&=p$Q%hgG`VoQw#q@4j+$OfvDb3v zYAAZt@^|#4y11sq=0WftDab(prHYsZ8?q_>>2LSKoMf$8|8qhxE7hj#a080*r{mF& z3bqd54KGJ>IGCG=0KNRX9F~WHe!DrG zP!?xyu15!~>`S$YdSaDP5F`5c!6AjRgsWfDIRt%2&ia*!X$Ux~z)b3G!G}mZCmg|q zvqICK!|E{k(G!hkapFTyDnpZ7Ue{Dh*nCMZXgis+9GV|;B?|8q1Y|Y_X#S-gm#Ty} z%i?Z0Slj(a)i{VNvUQ(Hbskz*OopRWaV9!j@udW44hMLgVbd8l5wvNp3g0HgP&k&W zIEiQDVk5wPq>e3dARHzlNjvaL(6qUT6R0u%Tyyx(DitEl#2u$2(&pKxi4_EF1|ORI zL*vSPuxv-1i=g$(_#5+Q_Ca+i2V5Kv57Td=zXs&zNx1wy3tQt4N7!ee)FTU);nvi}HJ_*P5SKFd(Q#&YRTlPk$B1v9oL z>g7WCXqcXdVjjjE&OhHZbj)T90{YONt=6E8d_MinsREl4k$eS!VNTs_6`!n`SBOek@m1YGHlA+N`4Ad`-)+0O zUD&vo9Jfe3Zbd&ekP2>doF|wjUSa>Ig{e!_1iy za;5V6{|ONF`ec>Mq9Hoy(C)n7#8BAQ63!&hu1Y+CHLw6mE3k)~QK>cGp56saXnISD z$2(a4UK8Jn+!xZX+@icoJWc?eD{*6?{r$O^CQI<4$$FYB50wPUb0KHclVKQ>Q#VGs zQte*z)yayU)%IfiNuQGMum57sO4n=biLu7QI}#hllP#QpBxM^*L@u29-zf9OrTVxg z>gYd@h4yc9I+6%aa>+`-^nN5PXi{;FRR^~T7tUJ~pPcZd zCr=#>1H7Zg49Cg)YJOaoHIu`oo)g1KB4$d@+9bU+8918UteDb2|P6Uc>TqELes&- zGx^`NLKCedA35bS15FjeOn=Ei3cGo)PoMItC(|a+ASy28U|!n~cKld)nmAvACRUd= z`TPE~CGgM`BlUOl+hdP0@=1Qs&K&6{dp3hj{8*ioTqQmsIXp3k82CQu3`cF`JRb?q ziQ+KP2TJmK32902p?Q_|MPB!K3>5_?1pT}n4D%EHcZQ(pGDTXF{>K&Tt0xSzjwq@2 z4$T~z{E=U0Lc&0}DVA3B!eb6($DI}pjL{juTn2Q+Cg9PvvJt=F;? zeZ+DuG*uqgC*=D&YM0#{IB{ z;#8Rr*ZW~$YL>u5lkd?|_@XZ&CkMmnu6%bL4DE z@S$1I-5+%yq_?Gp7^8JqWch)f)+f+(|Jq+Wcoi|2M4kLw`m~Jmw%dye50y_P@X##L z`UsJtd2+5rwfe)EcYC<`m-)UL6n{W7@^vRTy$lnKrIqi8uHH0NdKO>`mmjRH>Lu{d zTw(l+`(OsDk_ML|b`P1Y=jHoqgkPXJwIz&Fj3g{6?LBWoPQ#;Ni=9L+=0gcQG#4AE z_#%oJ7tEZQq_z4;5PfSt?YBHZlj4P{t*ji2+o1Jp%<)tI2@2+13g6`4wys4256xfn z)BRwi@Er7QywoQKhSiExDqKcEwS=IIAVQ_=a^@ab0eK@Y<3k z@X(wCclnP3R(KwUhhh7laJE|cSUYm8HMt7mqd{9d7!LeX*f<3Ki|aGW*lN3*59ds> z1Rk2RV6;DX4NQ~?XDn>DnkgE$oJ)?ONe)lkS+Xq$z>v-44Duf^0plgG4L-tADlS34xYH!DRV(nV?5qD`9WC|M zr|3=JB@!0ff2ot@qTKlx!nZuHB=WUO;Gwy~JklR#2}kCeC|jAmbzJ3amA{!xN?dJ>v9!v|ZTnPp+xq5kj$@|Y{uHi5@P3`eCfqo zDXKYM+hv23)9cKY)|9raE1U_TW*^h-xwhlCDzHRh%Ay?!@B12;q7gt-wCE=Mu=)|N z=_nJrmU6Gi?KM;bKD@(6mWNKjIRRyNah^+yvt(r@^T?)h4-uro6Ywn8)8asY^$`dP*Uj3~@a^J#E2Ng0+V#3LT%u~SE+*3pQ9+d)FJ zt0hv!^_Ykq2)EE#aun*$GBh!NU!qVBRZL8QHs4zb1MO#_F>yy}bGeUoJ4*1OIRrM< zJON#tJ}$E;UnHY+6r_4n2M2vswWlE;%$sc z^2n&jO@V24p@}5uj44)!nFY-jmigN;n<%K-N5Z_V(9E__^Y7}%nAY*Q>PzEMaD`v_ z*kbBH+C7mPrJoiSMyH=WT-Z|Cg{DU>i(@gz2z}(e z>g&0lARIlkTcMd{xd9f`>IQOMp_qzme;Dx?DJeFac`tCU?lx|zRt32u*0*T_rVhIH zVm066x^KfazYELdZZ0gpEE}}08Xm`ew|TR7Y{diQYlb={UdZHH_{1Nra;lf$LvsvF zt8s|ABMq;3$x<5b38KwVd{gpQ+w~5L(GF2UGUn3nmBkWwXg~(7xf^$@ehsrC@~=mr zODtCtBD>IJHhG?1@kuLvrZ=v>WHqQH9yBk9gLD3R&dp}v+9{Pw$Y#fz>wg4rJ7UAUFHQvgXA8~%|(1O%UYAE`tZ+N7_WNW zhPXdGwghSl^)Ld$0EM7IQ`pt6np@z|X_?hqACV(}N!EiWn907Vll}r{@ffZj zN`Pt+RlFunhoAF(CACT5p~=3|FRm#Ys1KsWkhW&1b_6fU!qzGcMxpwv0`X1l*g2dcGg~*eaEpa^k=JIE!r2#BwkgWiedh9Y&=@>i$2mCst?pL*lh``lZ!ht}8~u;HaP^+pJEE>_9W_ z=Efly3P(kicg*J=l|)e{Q_mvR!`{ai9(ZVSakyDrLp)8w%O+2&BUowMLRcne7C96# zx7A7E7NV4&1<*sneXs@*`UFzMZEF}yxxLN()1u^dLPg5DneVCU0UO>J~n3?12ow=+W%^p z8U0P8wEPjA4e&0xYQKHrj%#jv?e}W@wGO{Jbd!8=64oW?@E{PL3GhA>E1~EnvGR%G zcYhFbrNW>SxRl_7T9~(o#hg2}?7aBqo%N{#8{4sLmZD?}nphr-sf@RpJ=ahW$)enY zzzAJy$L)y1Dc{#plLQ``!oF8~wZ^?B=GY>HnqBzsyDH_Fabz0DtJ{o~L^f}}R_0XWUO`^a5?O zE#81|sMqOd?+XT8Ugh(c2`_#(+m*=!2I){@skPM+dl$mrLaZ|Mj%H~83ws#_P2M6@ z#t8Hlk>ZFB@C)S()u0U>M_Rj+p<6NjBi&M%`bc)5$yI%L>=V7&_j1jWH%py|^FdEv zKcNxXtPdmyy`Qyx;GxOHmvE_5(_T|6qntbW6rO|dPE1%GLoF8Jb6MCHiZ)g`Kmsu` z368~M`a;oW(sR;&d)|cIjZsR0dgzlgP3m)w50GbRlvw>|l0Cz9fF^T)-zNNdHvO|%GiZeq6(zmlwusm)yyV%e z3Ue*_HHr6OHN<{a3@LBHD0N03qcix>w8ga3v|Hz_RP?* z{zjDpDoyvyU57;CL6gb}!EOFi8eny@5GE`4aCE|?nLWuuDJ zWJ$8;p|wtdQ%K+Epv@8&$+c$8;SJK(sto=a{bBX5tB~e)S6@Nd+5Fg z?tSLfrIZ4Mnd zKH-Z&UsHFj8J`YZTy6Y2fF4>r;qChV5ziRUdWN1{1OK;ur=r%aJZayi+p1Utvv`=e zU!TgivbSeh4}TF;L8BZvX1T+!grgJI08Fn>QTdauV8Dd2lVO?9f!R2qxmp`o{WuTN zV6y_2>(y(XuZ6}c?u;i2VP@se%eC}H7%CjBKRgmNY>>+1m7emUZUF6W1f*2Ihrxcy z%Xgiii9L&6H@a@F%dOT;vIEWNx}D)=L2Htgn|vC#vr&{ZObse`RlA7;!ew#31K&#E zp^3irP93VBA$`G!A)}s3XGf5B*V}NF+k7&FrlvUKnJ`Yp)eqN=GM8o{6Q1*7g#mqC z@&YZP8zZnT95j0rBB5&{b`xy;4<6@eZbY z7G8~0lqdZluPmXEJG%ow`!Y8}y>#j8qec5S^gP*@z(W(C-)$+vlX2-|LX;&={@9sX z=$cZ&Vv}2fsZW%z*pu6|8d3g!KJT_C?bv0LsiRFabatj}46RkZMk#@L(noLE6m}qEP81ALR zGQkUmDL{WxGLX+D@X*Aueg|%>_T2#{L=pkS#nKiAwCQtr3kW}62p8a#dk(bW@%JO* zZn#9HK08AstSgSBMSK;&sTyc|$(6j7tg@gro!?r77RD=8 z1qK;y+hL+EhHcsxqL;b6LcOXEd zG+{=#5%KE)0}N<6><@7WY=;x>8BM#c;)q`6>x|Pp=neXoiq{F68$h2qty37xrZ-k$ zgRh$#2+KO9{yCxGR#qM7bi*XG&?JJv(%s=j(tC_2S7~NG5D~}VvsfYoQf-Pjp<%-W zuhV&?a6&`RlYI$1G$~}cU8icD>GX&b7j-np)_wiv@0{Ml)B^d)_1i1XH~A&nVA?5r zlUVf9m(xFV8LQj@zHZPI$XYEegELVG6y%^5xRB@Tf(^9 zqXFeS4Rf|aI8Q#J@?KNXr$yNAx{kbQM*C7r@C;-);3C4Wh4~FWQPw5!&?Kk~(w0~E zHm}qq#})CVm&ZKbXTcX1yp;F};bl-q6IN-a95-^qWfAj}LUvN&N}aVPksQ1SowJil zn`x~nqK`pOT;yU*MAZmj2ucw;)YZ_eeNT!{&c(e35)~Q%_hD9JMgpm}I005=;zZe% z;6oGno9|AUI-sP|q`@8wxX#DaW447|Cf0QCP;R&q?~OOx68XI`heX6VkfW$EEOb=X zIfu4FY{b7j{#x_Yv_4-~$t*N2Vf`Fxw@j%0HTq*>#J=Kq9QSqmBF-yoO(802U57I1 z_XvY7aoG%@^9Jnj-SGRtG2f?&p9?iT2|P5B4};HxYpQ#nd#$|^g^XYu=avdUzt!RM zO4}wC1x+@fyy8S2_om`M>K{B|uAr7-jwtB~(*t18ijqA-lU2KI#E?rlQn44G-zXxs z9kXJV?WBDd@&qwYDqeGKI}v$Aob)2LMbxCyls4^nTnZZwDfty;&3bHwX7+`iR<y z>QY|6nOL`7QVo|sM z5QMarhg`cPZu(PRhH~bzq_vSEQv;FOH!K0Cw>;n`HD)V7yIrM_aBp$6MOPvaHt@sH zzEosjeDJ~+!C3(y&J8>?T{1rpC|u9fzv?6TgeFek+qI*(R1wk4(s*SCn$m{Ej-bMM z4tj{h0Y(qA`&8&;&IX#&;M7gx;?|o!jmB+!k>N=49!vJ#C}@Vs^C~e{6awv89EDX7 zx-IIhwcI6Jf0Bf6tGzpgTJP7Y0N}wC{VM7qE}wx6{JxjKLsQMY>tQ~XKGxH>o=>e= zEDfv!n>rle1^P}GJ_p-#Zk$-v!OjdPwUTP8S2W6{4W2>GwVO@FQa%eDJKDLMF`T7?(nlC$b&X3gue64;c3Y6Bocqoc}a& zP^TKoa?=)c7u=y$NuBng1Rk0+neBJAYt;@ipHe?);vFn0YQBO(`k5@{$P6@NWQ@A* zp72uA>*ORWX|4Y)L|?rrdaAi76Yho3WOr`>RMTPHOgc#=4n$I#FA;)u7FZ$S1#{$zJ7&oq3b`L6gbJ z;*2dCnDGvHnQ{Z6Md4hjog){H1bdtEX5WW@V3Pt(D-#xHIQo%o!Tb$d`#QGQUB)VR zx5dwgM@u8v_7bAX_;z3{j>)~Ic^hY5D|$4d%e)Qkg>bB(mtz^&YvLT)wS}MA4dN1b zXnGUiKyxK|5b{ZpRxfC(bX{>s=%ol+ff-br8G@#T4I>tWcidE&9MZ+!&NnkkxWXh2 ziAAaP&jy%FTf*-rjlAlP=}TQP2!|r26=eQw*$ZUR?;c^0g1$aOc}9JCafx zTnX08%%f}-RL%^dHtL6D;xyToz(bQ9_Ab8{o)tXXT|{b;dO_303}1^aEo@b7)1UHZ^tRA6{n9Bx)%`%tA5J^aKZ+s+?`A#=%u4Y1$v#8%pCE`Ie zXrYvhOI%tn2YoJbyO<;IGNA>)zQ0GL{^hFZj60Va|Di-di)TP<$}RE&xUq5~mmekY z&=ktK|FLmq^(~2VB=y{D+Ei~g7LLblRtE1OV+Jj=PuFiG+cE)RxC>kXO>l$X7pOo- zg(!OhtQF$*0!=^UjMIAoz{N!No|sx7$PE*a0CZnir26 zo9xWS-jvpfPz=$YpVgwcj?3rgZ>Pc*0Xm|MxiNB zzgzP>%#*rHqk-mRZB+H0^Rg(6U?~5lqU$#B3nyUKS^sfyC!AsVFB?dn;B>e*#d%`F zY6HKcJ>D1db-=*X9tgu(^1VOiKCL=Zh*vb1)%HHA<>UQ(_S}EJ{SG+rz#a$g_YY0` ztA6IJRGU_J^PNnt<_(3WGD9u#rnawDH4R73?N6ZxbEL5_P$DyoxYR?i^Ak41=&W3; zO1W4xX{cdNtJD(3)0&D>-;jUr@+4D{Oh=D{DA3>Bok)%@(FO z3m1`F^{Xj8b^yQwcaI%2?*0kWepIxeuCNzedd!{$CZcavB@aM+ulc|O4~`pm--Orx zax9`oTM00cKH#_)0&KEK>tz!X=r{W&QBNHxHRqZ7$VzMu-Us*r@D8cdAz+loyT~)u&-aHOU`Qjku?G81F{16<6@`Ex9&DW6QEdX21#sf_z z9)mlBG3Kd-+Y;FSGM-cg!wpzuxR(|+2Z->fp3DGDS(&5Y5`ngQm2+@$nlV-tnGOMP zE3^=TYcH*h_rhtqv{E@0)4CMgB8BCOzM8hN(n(iqa2UR1i6sT(=Z#Bdps5%s9DW5X z$iUfhAdLo^E?d{6dvMy8R}}~IxqmNgu^#_Xe^zux$v0>i&em_G00!2G)GMweQM9C0 zyEW8&wko`x?cpbCt`O^Mz^3w-DZyt?y#@6rbiXr9cv&c72uxpyp;dwW1w)_!U0xOL z7-p}6kUopbKu=40A-R zVI2!-x68#FCK|M4DAy-xp13@Zg~=A#>{Z5T!Yetxh}tbS$ha=d&nUz%%Ud>V0e@xoov%<1=8;^rP2pTXvUH-QoO;0(?M&# zEog9Xl2XeEG`$Wd_JpF*jvI)LPosgRoPcGmwv7c~$Wx2zC?dp%uwM-6BS} zm%R^nc$_>bG6rfDOrG7cqxr29Z(mqh4n-Ej2%@$hm=%Vp{LOa6cgF(gMw`=@IekLy z<*OwVi(oic%9l%1mkoq)iAYY$`CHSb&FBJplcJkSl6EE;fhPAd7>4TK3BJ`sn^Tc< z=`46Z00~&tiCoMvN&X~wiV0D<*GgR^MmoWnQ*a|SKVU=yQjhBq_h{EhtZ|WQCR;OJ z-k`McCLt0tpls#vDO)fPGyS<#q7L4c-Q*@>KNJ*Tv5A;FF=g0M8n|rXYwx?25~?z^ z*W_r6L|b>kd)aEx=1^>5^N+ExFQ^jr@VKN!v$YMdw-}H7b@MgX-EiHtCx(09eB(7Y zTzBJFZnXB}Qk-dRvrc~@WFo2es*H*!oN_U^3y(a0(IdaouZ{2I=Rxo93A6S>~%c!qVw=Ekj(0k!Lw?T6!QlOcj zYoHkGLhwbs8j&Gk0p?fPm6CZpAzBi$Pccwa;k8owHA*L7%(EhyySjVJQk7HWxT;LY zq1|lxeYzCzan&}^78!Q~sjLujnfV4?@>YX3mm)VZ55Q;<3~QwZvAV+7mY0K250Ufz zWF5*yM@MR%CZelS1R_7`yJe)y5T?mT=XIGU>YJ;TDGx3B**Z0k;VwD=$ZR~&q+;d` zg*&?3RZDPC#pZ7{IZ#2OK1^O1kC;pqTArBK*NJ(W97hy5836NAd1mV<*sxYwkkK;i z$I0py#>reZki8&ul1g(5fV;5KKvS_ioepyuZD4}y4w7i-7n-V)#p~(h-_kr(p*9PC z;B_h=OQV6NYQWA9Fc(GgLPU}&A%pTF<5o&xtp~3zc}r&@?SK;F2JUfQrGElk z9;U(xJQI5|N0E`rzig+TF`2=k*6L6i9ZUx#5Bun)q}S0}D5R<~=7 zNu>4JYZ4!NaWk!4YkpnFsfg8WT-aS({x4G*$(&PYRYgwfA(`^fI?l!6H?~4E_d>Ze zw!NFNLDVZ);1jVa;x`tqpf(|Ox4Tr#?&NZ0?oLBd7wyL}u=q5bDTEh+Y?wq<@WlgV zeI87PvVoYeJGCs1b8`D%8Vxk5PEyXm`?ZnW4anf96l#4J+Z}!qtX^5}6tJIB4$T`8 zUv)s3ODTFKI}|O+P>4a5u_EB>OWl+iH;`Nurt6og3(7B*c$(5^pc$7fE!vd?*`QTT zW!+iYk&d9g>~$4t{bFIshpvGM-&1>^^g%{G8}SreN||)IkN>f_Z`u=SMO+5QH2gwv zT{sTD4ba4BbkGzxY4-{@xCX7Oe45dN;FFjm>JbN|F8A*7P(*xnT_eilT9*ruOTymf z(sK5JTnkqXspArLFE)pC zI8V~(pee*f@K_M?u&Na_>1Y)GrW*FyiUn4#G%zgTSO!UdD|F# z`sy@Kro8s@k^0e@$QN{WD_9q#olc{JrX^MxJ2*k$8BHxL9x?d|c4YMlHh6BA<1it} z*o_Qgr!L7r^0TwxIPYTeu*S)aGT#6R=}ZP_S2i4)j(87F%fRL3P8uCFh0Csk`|^De z4G^rUwq2>`E(kwbpI8I2dbr|sIj1$WXX|d!M)z;>m7L45di8F}j68eiXix4RJ@&qb zmS?~p#fBDsYHih;`4rn-+ooA8K#!o3s7xj<_@8FyNPVUx@%8X6EkV=ZJBKS;-1Nu8 z7T>^;BJxp=G;ej~tg_QH z0m^ZwY}km=RQt+S2{`y~u82WuKhLf3Sb)m|DhmdaBuHWpz&&+yRX14Fz;jP1S*=dh zd5sR5bn}rt!{0@5N(a`iC9mqHR&9$FPe<*LA|4*w+o#gHbQ)}@dL*gMC%%)$aPYDn zT2$s$54JdzVYN%>&z#mzyD^bObKrHO)QE;2rf-4PB zIrQuiqAFE>g>!ugL83-afo`lWsa(RHq^`p9`n4W6!|F_-pRaiSc2Fno}vE7w!Mo zTGML_*B@RFN;P|GA$V{CW`GcKGGN|XhPKr736p& zbh>4KeKO^dF(a=(XaDoAy7jgRugw2-LoDtDs$FX?H}?xjWk#ApjUgt(nR2h4)9GL_ zk=!e$u3hRI9W+U2vWU18MhPCIl*!71D-4gcZn@e`3!3`JM$eGJtKC?wf4mx!308je-^U7G=thh!8 zO|I_W-qgP7A0ohYn_h$KTVZT^PqXZkQHQmWh}7Et*@ zpVyD3$!+s`5qaca&~;!JH*I4nR^_*4#qC0K0dG5_I$DxACvQO z{nrz&>SpTDr0>}B1&ddF!eeHZ>)PkqziHpvc=np|rlNbo&pH2MN1OrIZrQM5^OiN6 zHm}*TaZ9w0>s{l8rZ^lX3*wt#PB4`KdQ_d^+CxraaTrs?bn?9jk)YeW?1g@Y4!fQ? za}6~(sT92A3TYAUh?05Ncb96l=lGSvb*!i&G^j}ABQMc~@Fo|H2$>XxdK}Kr#}~JS z-J}tX-RZgTDizZ4w8y2e=Uo3tid4@73Rz7u5bI^`?-?LfCGvcMcuEBin z2ZGk|+MlrQ8B_Equ3ZjBB^1uY&X7AQ_5p3@(ZaFg)nfDmaqfI(p46CdiQ@7* z;o3Zy0nxo6Y#f4AzT`N^r&G6!XuQyLg}t9Xox0^jgF4;tY5pGG%}vPFb7IuLTB^F_kvaBeWMG87-vRJw5$!x3O(nlv3K}cB9R^d93ol%y;->BjMJIgYrMtwq zi@J}yDO!3|B-i3!Hl6Ix>x-b%m@RRWf_do~+cn>!a30*cfJ(x|S?2wDxE-sT5dsJu zLi_o0H{RC0CW<30em@M2qB00nF_0(afcn|!#VhCzuxTvPdVO{PgiicP{uZ;#Ctmr3 zPk^S%(L-C8T93FY5H4RWy|XoRnIPh{Ug!`KU%-iSQU%lcuTH*%{p^eseAZ1+X-aHr z@2F+Z;dM?DY_!niG=&d`XDliK3?evb+bE$l82+1R5n)!z^3y`_pPzZTgNI5Snfh62 zVdkz^@4)DzygbXAufMtwdXP~kDQ-?4Z2NOYJea5rV zLR0CGKU%c>m0P*Yoy@o}F%O8gDvFL9n-<@vJLr49-monpt>xbi1M{*HQmTa6Ltu60 zE^l@fD)l@RAWi(Iv9s(?hW3jUKZU*M2g)8J);cJ58xIVSarX%Ns2; zX$R$s=V5Oi;5G|_sIv~z7CST>P~*8`*%5m4aVmDw0Naze)Fbn^({}tQ-coZ*sAq;Q z+6Th0lwNFT+48q|QBIO@;<|OI#SB$+M$z$IJ5O4~JD3J6DxX1=B2eYt07pCZG-;)j8>rKY&f+2v4Z^DtdF3y_xq^JNt|eESY0ZoQOXdEVZmA)j zXPmIH$W?|u0uBCRVNVZVA7Jw$S-dK&Gqn5Uou#sxp4>e zA=c?o@B~v&+ipPGjtY%Ri;gYWMmubooV*cni9R=T7oKk^ljGxj4BgdEx(fTLy!+x~ zq|K>OPqp^FZdgJu*GfXv2MWP3Ow)ia|Da=Bu^iy;a`dSuvx^RGPS?=^7}-3uepf|9%D-}g0pE+QHOGSXQ6wI(iHjEZ~L9S5D?#WU{R zG{B_yRfRjzF4`wzOUgc_%;kIlK!F5M$TV~bw+W;_Ym-3;I8=txUTvmL#Nw~b0Jm3s zK(2QDS=?tfYX)HxcbxO8E)3~NqlG5-0)9d~3wsm?*PcbPhDb^K_7Sqt-fJH^d5f?V z|CGxcfXuk@7R9+V&Vajw*r4^b8&BZGj=JI;xXH`!uR2ij#l9Nc^Ux!Yj=TT<^Y)Mc zeJ7ozuCD>(#y|MT!=p!EyBlc60y)!`8*p32+K(w5-MU;jYq>QPZgdw-J*|UOo2B)* zfkq2Wm7pe=v{0@>CtXm5ys++B;ny%a`x1m7wp7BamjKj&HOF@4^d)zOBR=kIp6|?u z;TgMZU&vfz2S;xFFuT9%n-Wa@z$_Jt{ehjM!M<)9*3|$VN>pYC;}-yQmm|1bgS#qn zmgUp>W~O|#gq^HkfUoe0f7R{)5cWQ+>*WEs1YzNpb3pBgbQaw7xQb%Ura@HEvE+`sB6WJ*KpJA7=oo~ zP$v!0^x`-KG&ahm?_k!)vc90-cS{3#&a9d4J+`@e$TAG}~Yp0nom(l~i zcxvSJcRasbW&Kxy)IULwdM`3@259dIu@c}8w&IZ+?ta%+=>?S5!NoDqpk6JF2AZTw zq7|!t6!oQRt6<<)MWK&zup$8rajLNF%f?w3gm?Zen_qJ*G+9N~ax8&7J$Rx5zS1^* z#@yek;XWjWd+VW6;aHU0&(8z+RO-69-AyP0UDM7iNtv_QyMW+~>5(ow4~&nQ*a+d9 z?kxgMhpsSzc{-Bpxs+65SEA^y2(cgwVcAlJN zGn>I)G1p6d-U`jQSmHbAHhTQs@#b%LJ+j5i!r+c2Rp$l9!5f2^vga-QcWCW@6rzl0 zZ4*e%hfalo7VQKAXkL^Hx?N)8e0^ALxQGn`eY4H%h`VymMJ5>U*z8S!$8p+3#H|G& zw~kd;@+0)Zjly<#bjdqshcs=r{IZprS@1UL*9l;};wiTM?!fu9Ek%~D*zm&OZ zyNQ0X6JV$n$cVZlqV{BM`#*)7-GwD0{~rpc5RF1`4O+E!@h6~LwB`+roC^am%@uS* z{Wx9Te`LX9WrD@H;8OwPoKLZJl=Zd&9YD*Y#%nNDK?p9#&bcS9|{7O4MO!@Jp4BM$vvd;r`mN`mUkCUG!Y z`+2C%0LW;rdWqrfcdf8Jw`Qo z8*~_fZb&(PM?rxt;#5mpq3OvJa)9?v@cNUAu^5$VQp9=VArhN`6P!XzI~wn&q87>U zH(?}r#ZEA-F6}sdDDvX}+z#GBLX{dibD<}7kOp^-8}q<}W1pJ-8;jR=&ADO7?jaNF zMrNnlJq&`--FJ_B@V+q614($t*5XM}Un&v1Y)qBx%^*>yw zg9iI5_go6Z#PUvH9CpX6KQb}hfSH`iq-hgTz7eVnO}Tvj8G;wd8WzsUdI#52Il+OZ zoM%KVg=D$q4I^uK@|0qSb^UQs2b8HM775wJ0>gf;yPK*8cwIuinm$C1BbIlC>n#xw zo>e3c!D%rC_P289T6V;6IHCN()U(tu4}vC{LtOo0c=%u^ojrLaXkyIhB10cX_wGKb z7rZJ|5G1KotSU6c3LQo%7H{`p*h`%(P+F6WOxrUJnB%cCR(tIPu2_Xz2czu{B`CT) zmS|#-78Q@vc3v~~@rWO*o|m{Hmh7fof!(SDKuu*UjytiuRpEEJ%$sv!J+$XVz^qvm zuM?O3q`u4Qw5*I|gO+B<-dcOy?#SQH1bCgcUNqI*^1-NL&^lth)U*PXru~-=m&98~ z1_+v5-5;hJ6ZwB_nJq5W0VIVx%3Fvgu8A=Wj>LBI%IM(L>e>Rh3<;{%_Jp%jM5}x# z9AoG5b`LQgPsMGMy|3jz7PVQLtFOzX$jK3P#arR#U!_-{TN#>CU37yMj)+Ms_H)C8 zSG>kzj+L;~SAnK*SL-@Vr>3SSh0X5>*ntY3pi^2^gOHI#>rsvKjmE`I2!>GYj@(Z; zs^C9CEb)|v!KMO<&+axF!PTsq($-zG51bkiQQxZswf5TLNo|13oE%0oW46u;aKbmK`p%`@#=j!Z0EsSHg<>Fs9dMLV+g z3=OUnah(|)Xv({b*>+4sOC>BEH=(h4wSwvBWid}w?;Jv1X;dWIvvMT1>;Xu`qTzp2 z=+!D`cBpT<{OZVlFrYq_tvMF1GWM6XZN9ih!#~r)aM!fIF_TE$bN96k7u&^^TL4$!X6b3&ygD&#RRx+%tOhvI&|yyvjDt#8Mf@Qs z(3Dp@05zKipldDr3||=$HAXR9wc8q0-k`AE0k>c$He39yhesuBzL0EbBBuSD$oy$S z_iCaeimg>nck3wFbA|usoP%b&buv7{MGfJ(EQp+;KQw6A_++*WMU%eX?!UuMF z;sLQoVW1#!`>vn~`rQva`taBX@4RNGP#CcJ+y-PxvecH9TB+Ml>7l(Z7&{y~P8@Y) zYrTh8dr+O&jExGldY1;<^C$Tbn#u*SpZ2aAlboqdMp3Ix+co)vs;d1rFqOph_T)HP zKW+o#W9XA;K&T0+m^=b$5_=I$O>67QT+R}cc7p>=dC~ne*o2V_T-7cDZ4U_{a_Hb1 zr%Jb+L`n?>O}(JRTeSGurg;DtF=^W0Mjt?f4_({#Kc849KQS9)O0Mx z@_$tvzGAO-n`3DVgq!q31PPk7Z`3UB;vH*e2>&9;mZcYLYE9x+Is&yOlqtO-$EO46 zzj3iXY>dLO_sGlTvx$Hy;?CIR{;1=-21hU}7ESM3x%d1u=N-j1slVNUXR1qYh) zo(F(dBCSbE#}Ox+@SdPWYT>v$ues;NH4(&SV!%>C)0Dezm-dw0Jzdw|;?4KEyn{ z_xC9T&46&h*_$f`iamoCER}fYh}ii$e1yJg{niJ-)J@oD5q{2Ah%TFI?n=j4HJTp` zdG@hY&8DMZn=e`Xi~KhQ9TDB3siFsWa_FL!79m-Pq8EJAFwVZ*3338XX`w$O9L1|E zvDJV+O^hX5Z@56!t~HsLhFbnYaG)tKa)1e_F*$ZTaP|YEMDDj|XaECGIrR_#xEjYe zX&9Ha8Z8 zCJ0CP5tjllYNl}tqX?3fUI;xyUn_X29QfGV1t+>W^$gcflry$$VpC%dD^q?w){q6o zGfK;~gqnG^pAaW*()R`jnsU$vf?1**kBq!(itnk^FKqkmo-^wa8zOgR7ke zj9>1wW>g%BOb>P%DPb6ifV?-cXZxO zL%Zr&(51bWOdA^a(2jZVo(IQ1{Pc`Jb!{K9Ji3!Hce8pMO_~1lG`K`HQ)Y`aFp5gN4jYH+O(V2(w=7kGhYfT#X~vCs z(2C=l#35IsTK*P>`erz)2(nwC2hg5mhVQb-Da-R(`7QN#EP16n20fegm)vPhSM;Uy z0amfi#-L_T%#RJ5N1p~T$K_RXXd%3rd%+WWjS0*q_I|yl{?H`LPwlu~YN_}BjXLG# z)ZJ2x^f4FLtg^89ZA)9dJK46b7zG^wX5t&n*<1*Nam>g(_e>GT+1zQ{J8(04l3@xJ zgR8;*b41M9H@v~W*o_ zgdG}9_m7S`9D2*_#mt!v+H5yTOL$t-C}ygF9k~f`=>G<7G6s>P!FCF?s<%PwQr4sV zX*(}6S`1K^ao=zk%c>h;KFUg7mx?sUI@lR!j;=ij(ce0 zRH8*kd4SF-D#QwPo|r0HRitfvD@@GjJ!MkVO8x7>u*VPhTX_v|{;r54hex87bEJM{ zL#`)>9$I@a7-iA|@rq_F%saW%fX4-G8z0od(~}Jn1x*7K(Sf4Jks5%l)Ui)1g@F$Y zcc)L*#|@-B;+}i<*-p^?OJB7UcmYP&9CCHZZWv=|^GGdjZU)mXh8<}S?cNKph>o>| zx1V&edcaXH4TEN00I-Jd$659h49M(FWl|jGx>MW0Sy9VlA7pu5RvmJUuUx#uli!#9 zJP{fG-pO zd;IQeM^0Tz>{INc|1RcY>AWFY_`~_jBk`(@)MBF!=x&x&_kO-b-p}SUwb0XCr3WZ) zb6x|~a*ED{1Tp2KX^2}fhdH!-5SYaY|3nLNPiUz&e4oiXc^O??R9bH-W6Qx#qfnY; z*tu*2K;aCAcs@xh{JG+Ub=8`cuI{~kpw(lpDkws7wskX4r|hC5~iAQW7Ev2*fJsv*Ocae$*7Ph z=ZP$HmSSG6|M_xRdD0K7NaCXEbXOID)~3%9?V#}SLbyo2JQ3iEm@>1F5S#TwBRJ9S zVqycB!vLD>01)bxNVwNPXv(o%eJYqo{DjKNM#UX>E#z8oU`D{3Zdxk)Nr129h5iWe z8MkjMD2m$L9b=fQFteazxa|*EBK3KDy=awS8$r7XSs_k?wwy>5YW@aHGittT`S1A_ zLLtW%8C>q5Ki&hneCUVEiVLf}lH>I@$l;&6^mbbe0HdU06`|0DvutQcn&r*U{Y@O) zqO)A+p914rK~^0l{P4jBcrDS&WqA=ynY9h*M*AzQ#KCA7G#M2zeA^e`ls|-Wq2#5{ zBvyYH(Z; z5i37?YXkM#i>fsS?k2OqRYmr$BO;Y(t5gJF;oI+)B~$V>5SmP#`@^1KoI$l%%&XVV zv7ndQwwmoheuXqF+MVGvM5A$}{M~L3=gYObopvM6;dO648j<5FhwxRzh$_`u!bt&;ZQ0j7D0#|h$}lxI#sgM`P0lej^higTznS@I&y zSX4RqameNKFQq=)fA80{SVcqVMxA&o(~9F_@gJ2_CL0LNsAAW|<`0v0F3?Y2gxj%v z*h{$XOoYeNtOj;p(O@N;587f}* zvrGdsKv+B-A+=DMqUf+~T7Kf}i%oN(!r)%63 zuHbD$uTEg}zGM0#AzlM*rV#5xa6JNTm|oz-ZI0@{f!?)`f(<;qC{D&jIZ@nr z!)$2>*wed&n%}oc6@xW;@f|c>^+f71^)m}iF6Ivgv&CI&ROlwg$hgK?63fs^&B#Ji zcbj~>TU3J8mkZU@#qgzDvv$pr5P3Xjj^~q=-*0`iKNzR2sdt2GufvJI zItr-%iJ4?idQ!mIB1J(eiqDI1RS@d~M4kc(1VSb==bXLw-D~ZACNl|C3z^9o*#GxQGOu&a z#Pjd9_geq{R}iUrkf`ekt*7%pyzH3)5XwF8uR9%ow`m>45tZLaO?;7nai0BfFR-a;Luhh(QA;alW+N!(@!bvJRxTXx^)Nv0Fq#$qJI+zT zWIGK1QC^RA55J|V*miGg%ertaE-0$B_y3VFpEcAxAn?X?(D6j@O0jNg7l5OFHFF0J z;!dqR`N1Hr_SR@}B%%OG$sdL1)Po;01~_j5v{o#a?_XhsisY0JyXCUAzkr4fpx~l! z<7e2dE5u%~ZlQ9}{dqQ==+!JV*8-kBZlBKU$HQH_iY*&yHEJ?uo7(739h9l9oQay* zgu2eqYEKE@O>)g$KeHfwa>+6-ejwcZ*yG2=;!7TQ^She47#Yp(hSz>{b3sRm8WYE0 zOszCg(#Fe|FW8c(k*E>HkuOxP`Px-M>44vZQD)lX4Nv5CH%<5tK;@EMkM{~g*SmK6 z-kh$wlsa1N2OL>TO|KR`UdTN@y}GUV>idgqfVP)DyID=O=mL0kv4=tz=c$=h{jz?W zSN*hn=3TV?Yd~Qlxr7)>6|CX4N)HuR`}Ub^=hlG%9yKGvX+@n(JKr z_YFi9D!);_Lf%)Ha#h6SYMZ07V9=jcoYiGpifLj?7 zs7UXI=6xjFt8HoArFoTV`J+2%p*o9-N!#e%k!=zPz=42#&dFgChSA z_kKJ4khRpTHNf-v;ZA9cDm+o@u6+N#0R=XMI*oknGp2co*k7%~pOIGYAjfTz>Og36 z+=TjQgN>fq*zUgDMGr0AD#RGwQXvUU{AHx{H=#jCU%mW} zmFxfc=P5&b77TRiq+7PmxT3Dmi>Ve8ac9kKCt9IK7cHfC;7D*}hzwGZwbT^2iG1wH z^L@jIONRG_}v+&rqx+nN{}YeS;f81IRsf+|1A8m>5E51df|OFn_^0_r-kv zzj~glc}#DVOQx>cMkWNBqmc+SI_A6{xu4ZOf7dYNh9Qjzhj-VGf+t%-myM!p-}p<( z`E45mSU8f5r7u$#QInHNy!y+?zwWz}J3vl&@cbyHWc7e|MC4>sk+sys{-!&dX+L;G z2<+R^o#m&jty*DvJod?Bqk7<(8+05ob?)j?HL}wrJ2kW8$5lmArXQjloe#^k?GQDM zp#LIx&qLm=W8l-;=Uy^t@=YaEO45W|rrbVh=6<46*QW6upT2PasB_zgY&kH5Y;~3hZ9a~5yh%SoQ<7c2GZjl!)YbYcst+L1a% zk1J?jZ-?YB5jgtxz25cV6*W&!i`sO`U>NmJt3|f9M>UJcVGplO4ILr*D-I@~a<4AD zlaPOE!%ykr;%>QM9ee5jRH$T_i&hQ1dN@47kiFE5*Vwk{`#YuTPC>ByB>A-=Et>#x?XTK3Wt(@QS@+2s`OHE$GxW`TN|2|jiQ$&K8T7I6W zJ@WE3iypuE(gAG?BGe1bwk;hKBl(3#w`*OPi}yCs5MPlbZr-hvrDn1=hNTD;jKjPq zcYg574-aoAzkU9xlV9H@ z|DG`|k)liS{8hlApC{{(wbTqUsy2SO@R=v>n|#eB7Y;e+tp0s^bnSRtyACIG?>%tv z`4?R_`i7f-Kl8ueTfIZxZ#$5XVGI8OJ8%4UydC9@`3TIVTQWuCiv1BhQs|Htpyoi7 zRBBW&RjG0fPgTya#D;vGTXB7-70*dwCb@ER*Dk^XFXXbFBK3^nT*s+hu*HDjtqooihU zl{uBLrK+)wbHrS^RxKE}1&Kw4irL{ALKPCLKaW14s>6@kKVhhL4pciy{S zD_DP7h_^$ouUkL0c>Fy<%Z#icosA1XbeN!v#}GQDjREYWfpyE>sUz z^>PJGy;I^Qxzls?8|7b=G?k)cYFi6*O86YEz?ae5@WEUaIpw@uUi0O{x;L{hFJ$Mn zJaN$Il0VH`{PCCDVzs_&BJfwNU-i!4XZ+VSLw?p&4n{Z@opSlT3pU6RMZaCHrH{yo z>w#dXS;wF{9IM^%IpJBNf6}xrE`~-z@vaGN^*QLDdInhRD@Ejq07D@*J@kW4IMkw_w|&j8)u36+;MrYRg}TJ3VcM;BL7g zOeNS*2y${T2e;{?7ap82{G=8QBe)W^P}r2)qD|MngNBZ{=)#d#U3=|SBQLyo#QA6U z?$V}3BplK!)qBJ7y}h%}YHlCf|9Lvl)V@oEsMQtR^&W@Wc-_=**>hJ9 zlFXD#V;g$v-knt3@V0*Z=G@2bxc;*9`gUvED&N)xAxb@mh>gdz?>1n>HIt@2w`ldo zs8VI9kNc}jroOnD(N@Dyv(CXW9B6F|(=8%UQJbWL7Zj&(x%sECecgI|Sd~J=!nP_c znM9RNL+-I4Y+GSU#n`pini$-UA3PNc960BcF)GB^M3@s#NnXq4CvShgJoQIi0owI# zX@^cPmyxv?o_FJ^V*!P+$*@f2N*xNod|@YCZRWuQlrU3Si0cZj+Qdam+{&`e-)>z0 z#iyTsv3}z>n|G@QPf4>?wX22Z;FZ|wp1ag)=*UzS91w<@X@Y;7dC50iLawL6lf2j8 zFUE+eb0kWifbTdhyj$J9i4U)XC-;_C>?nO6dhXiweR*k3YSI|+h5dA8>7FfRb0Xcg z?cTm?uOo`>=S7O)tUSY)_AF1VZ4ARUOExd>41-*cjSasfQnx`WLZ1hlbs9-|H!|^f zGc0dDDq|>5@*Gob$2_j{uiP&m6SD_DO+>q1y@c}EpXDFa-}>-`p=KITt(#4H zuEwk6rspZ!TZ&JZHl^3_$uaOrjC#T(J~hMsitgM6pUdAX*R-|qH8@33 zvkGrK%<&!R5*PB6e3$40JXe|DO>3{Ku1=l@`d2aGaLj^9g=)6vaxvl@n)K?9NVad=9bWBXk`2Y07} ziHocwxMKBF#aCWG*Hi0EB!qWCCp9Q6gj01_h6&c}@9w{P`bvMxC0hLt=_vTyR^xqK z)gz~LepK-5ta(DbJNx@Lue<#lPY)OJ$h+Z8o+$h$u5jb!Ih8dp+n}yts2McX?E({$gIN=?&`hNz}BjYzYm+FimJ(fpDXZCRB>+ z>|ZP6XWfftEX>0N=k$Tn3@!N{5^O>9V3aF0E0L4B^_a|KC&y8!>d9Jgy~fA zq+`0myB_44bYf2afG4il6-_k%^?q+XuO^`>VN)&?gVP7-u!W&!@PJh49@&#fX)@0h zlkzLn>ddF6dl@Xn82C3Bs`h^%4;z!0UJ5mHI-qmj8_<`>yQ04lq6wa~N(GHvH>?*lryEPZO26YcZ&A@@8+l}_JIB`j<-MXG78H!@p zMAQ_eq@{Zn&Q?||@~vG4X}hB2s5zDFGdsc-uKIPdZf8nAiCzE0_X!5b}Voclw zH^sS^uso8-ZPAKWx=D7NTqy{nng6>SX)x3b8dU9J0ZdWFK(*T35PDE|RP(9H9P$1= zR~5AY`&I>X@T8mKmfOhMEDz1MoEWyI{Ib^HKiul6ADJdMD&ZF~|B4oS{mP!fA4?>Jjfr z{`S(7(BHysxJ2FAFi**Ixl=d_!?Dv2o_1-2#0_l2bEXgPoN+z&oxVimkZk$8RtW+9W;_X~9_6Jo3-sX57-lXL}KJO&S#RBXM$ zP&26L(Qu2Bw95HxiM`8zdO@hCOAQeQ!YQ+7UDK>Hv=br<@Qt`Fr;N65xpLNPos1t8 zQ9e1RS=-6ee`&R0l_HvFeeT|BS5onVO}C-_s0qJn7-{|u!i=O21?4%2D#3``B zP_y1(s2NbOhhaJuMPi<)>fbx@`~qBS;^cJOxgpCgoKSvJ&I++72R;);C(|2Hwf7Gw z5-I_EBP{x5BsVm?oH0=euwE4PbF`#((J(YH$}QfD!Beg^tHjNbc}eLV_v;2l8U4xz zDKOLwDz@cz7UXmwq_fA~BbKd?Gf{<#@tBLNP?rg1 zAh2@t|$u1}`)C?%N7!L3F z`!$k%SgA3yP=%1F%K9+(*&c~~D%!ACtK-R5UQj906VG%{9L2ZiBby>R8cQ5q|H9i9 z>@qcy`h%fnP*L@I=u)9niGyNl~R%Uo1j&-&_yB=ilhGqR#PNMk&HLuj(0j2QB|^btnpJvRTZUfG3^h6PwzrPF!h~sQQvWd23@X%qXEK;wp40TJbe~R9 z({uY-cL)y9R#c?tB6kzt?Ns9EnY)C@Sda;+Q=7dUR(h>3L*%m+`)KX%7H#tk!r z07K1y!$o3x@%Xvc5H46%8qT0~vhv?QLX&l__8l^)Zy0I@9t=CQi@gKkf(o9FMIhH_ z@&1t4d@Z#b@=Tk)0KiZ);9%rvDJsS8Gm)EVpp4c4@%zGstI3Nm&P`P_05H@HIMA`O zirVHy`@x{yX*dD-NxR;7yX9J3W0DV1Cgt=7`-h=s(19r$s-WvjoV`iiyzjJ>6J=`nVs8t(G>5R${9ARFV~ncoFQ`~YYz+zHM0ez z(7{ZN^UvniiOaQ??x3lJ2AW(%zdIZ32k|jibs96F4Gau5vj=Dl%UmYn(a~Uy+8`Wk zCw;J>mVSHgYZU6YP92&v<{D-O2!@*30xUYleSzy+U9Ezt`^2FL_vBo7&T-Ikmf$W` zVmx8G4+RQ_npujckG5J(tCXaoP!~^-3i);54k^Br9k*sK=>-k0-;s%HH8>a;YGy0S zigTgu^eU`(paOw2zu7cGMndj89P@3M>pYvlU1_x!m+rTGspIk+YhB|^S00^s!3nt*K;z!GzUS|e#_}jT1PQJ|+F_`9m;jAR*8F+&fcyv& z)B+oc znV7PZeQcARVaESOPN(mj?|*&YxxTrcnYrKReV%*2@8`asa6O&NjE6Z7gFqn0t5?+Y zL7@Et0GxSnFYsGmd_DvCJLG!B_znofRJZlr6EDKV1p@K*TvfYh=$$&#=VxzfmyDZR zVXoy3)sq}lcGSOOsQ=Zv=Im0xx)uSsmxQMYg;r>9IZ@lhwQS5u3y*0-w)Cd; zD+A2TQOHyitb&{p8H2->Qap)Z$I%{__-`yG`|>;)cgKN%U+|xkQRnhtk*I1eq2k;2 zC4Ub2iK0K@UjRF#>>g|Ph2md>XR+(Ak3Zo^RBz3p8BUX5gNZWz*TXqI<>`MB+>X0UKgwW&iO6M%(q~1#Tw@;R>aePR~Eun-g zK$yqGL(uvAorBy5JG6?+-LAJz9zVIROs(yQq!N4ds)*vs5RZU?QAhG3u`02(ZL;CI z(-OvoToF)IJkalTyLx<4*WP1zc8Oxk*!28nZb`B&L23)hK$)lnBG)>r3ENxYy>Mt@ zUn6!FTk)QJu0`v>>(`I6)0C*WYqxX8ErR;x-8af|hFVAlW9g}=TUcs;1TN5baGBhs zdvT;~RNPaCif_WJI&nkPaemI?{dUnPf#U8!kvv)#O?T686T`C5oH;?@2ecA@d#UH1 z%i#m~+e=r-efhj{)T4Q&UESH{=IiyDkZ(O?6Z3LMxp#S(@i9C46}>DX&fH(b$NrUn zjp1Cisf$bZtG3DH>$g3ON6Ho;@>ohySvs1?i1|AC!Vcj%ii=5zk>!l~0_dO$rW@2B zIHSGL3`y@@A?26Bq@Aa}iN_ML2;*Yicvz8WceV+pDfe7NBr4ZvcK-Ga`RdglPuA8m zvllF8QaR^jt{c4VO}pV+wz}lPTzNELV|kNa&_ZH!gcwm#O&iIXKvh|6dTxr0!uy|X z_GgoMmi~iroywy%8YC+F`p-M~Y+l6h6!cEs7#LVua#_)~z4R@xXrA_-YKz~Lde-A&0&7h-WgaY&TG7uU-cGO(qgl6W zguuSNPJpFTma20`?)7Mm1t!C!Uu$B*(vojZCQ&oIC@;bgL9D`6m6?E2JYiVx>b5jx z1K;6^iiH8}Qp+`yzJfdp>}zV8EkWtJNmZz=TaUvn529@>*x-26O(n$zs`%Sh6qe6- ztea0xEXFrA_w4nwHt}k|JKsu12=M$_tZBKxQ*k=N3h!#u#xS9X`Au)09_g$HL zmV^`PX8>F#3F-~d>yG~M_O!GL6nD}0hkM!+M;`h-02UW2E_j%2w@wz=7!?g2Q@VF@<_F z;#;~gYJ&Rx!@{Np#tBAsMt=^)-ZEAUa#jr1?yu^QKyb<}t(L^BU}0$~Cep&iWzj(gzLdk2hZDj2faHGU;PUsY;E;PS{Zx)}tz*Hrv2cXH!0})Hps-Eagq-SK>mJcI&~}%*iBD zybZZz+93Z;U_L$Ieq`q*y|?4D<6|a1?>cGC7PF?QE>o3dym@EK9%d68H<_p=!ZZh{))6{NZ zE@Ea%N(HMumTN`_`d5hl6B|8Y=HZo3$`-1`-;N2rea$#f?CTt;QII8^Cxsor1z4QR zkH?bVFJagK8FJHzA~0nqEesc|gqU^Pn+79s@_ruhpXf^`0O{-Y5}}=MzjFY0^5THF;eGzYn6isgA$M2yYj}gw=nw>LEQs+Z>UL5 z)tGXV=A@j%eL76qIug-^E59K$QfJntP3FXAI)s&RO1762xKIDT1lh!3ik_Q?Ozz(1jv-k zgE=)EM&Xi?b=%zAFS&BRXsreB>>Rq<0KOhWIDZtkJ-@rVM;Snt=l^%vCl*|R#NIYD z^29sN4NCkSE3g~C3?S1N;d^2A681Yo6a{X;nKXG`o_YNI&yky8ji(1HFAykr2L2NQ zalMW~9owD+wViK(_D@!K&FHaI9Fky&(jF6hbPin9)@kE>zw;>Mzf9~*_WY3oM&967 z7*F+=I^B?vWZW33jSvYhJdJ=!z>#Hfb-+duRAHmzX>e&BUK8OcaU+gB1rIw4*TTPc zeB7MWC~{QS{xsz0OVg{70L3_t6ny-tf5c`}8pm#Gji^t$DibbL9LE8`ry)|PyxrAf zn%kId8U%~tcWIklwRV))!EB8`m0HJY(zr`yhYw)&ozuHKcWGKXhNI%~oi=Yyfp;;3 z5l3}~4&~YWBTxSy3E3fsgytRsssN<@8&5k_b_CiILgeb7x;rO}nBQ01*cGza9_qlX zk$u7)Cts!0@vmfdXapnm8wL#t+>o;`Tq-{$-MVdt7P$!LNzEV>X>GFpkSNt=ydLK2O z`d50BK=>+ENGiU!iZlcxg!?~@kVl4@fxxY^EsxvrzyGO=5rK5vZ)2szVv>H+cos45 zrIaGpQuko*0quX|utZL{;DR3{3bBwVkyEI);cbz)h8#<97h0#2e?TCGeDn^=X3@lp zH%Eoh$ye%_2>mOC#)A#oLToom8lWGqDr5pq{|~>9Md!dBFE;(5QzGJdE!3iwSENtvO0P>CjH%>1o)7q%8l;OXm@}^>#Bca)y1<6=%IZPazL(XD$RTDd&W8U`Ed}>u79X;DQ4u3wwvmC~5+b zXA^haC+YxZ`gC`gO}_u=clWO@sX#?mcRVwDd6T1psw6~r9ucQaZU@?8AYlvg!|{v$ zp0|8mYiBgSf|2d)kVf>%4rLYO6EI>@`@|S)=8`Ncz|y5(yR7jxfi7r*BTZlMLc$|H z@{~l-mtOr@n@wgKf3m6Q%QR+Bxs4xy&f2LvvSpk-$N}+*1S1_&`?n~A-UovX&H>aK zeu~M!4j~Q|Ennsl&fm1n%e?Dra7_K$&oSx`Mr^hCfbL6op` z!KD9xsQgTz=jRdk1&uyV)#5}!!9-S9PI)oVD>k^G{rxESfYqdZ zpaS2$I&j=R&=PQnX%}33!^~F%0>N}^ZcV=jWtan)4@rGq`VKzWBcd zN`BBNQ}V~}1sN&s$u1n;8|(`P$aJ3h78YX+0%3#(B|XhRdg3=4pc;dg3h$D5dcTi6 zP>}&JS+&!FESKn08=@INlcQhypc+AwUXiFDH@7xxGymc-@gyAG_q}67KTskz2|woY za{sa;H)QSPFlp6QA>cII)CIYqq)(eUNr6(9(+7{FHrqzi2UHc}4TS?G;;a5(r0o6P zsOl!ilKv?x#>0fq7N;_#D&Q#)UlBL4(#64-X8FR5PfGDGqPFxl+Z@qhGtlMCdUs!F z{4qSBfs)kt!wW_CqKzwLqrl><#i}DXNFbwoZ{eI#K^{b+>WRro=62*>XO)krZxBp6(kpaMy^px0cp=KRlED*ZMYl7ZgLy(L1qpzMW3=3 zzs%ZRzu{V=Fc_|%|)m(dV<8g>cc)?nT%d=RL z^_nyK_zEwCgNm%5W=UVe4p`zlj{MCAa5y?-!&_1=4sQm1NEY9GS*F}_I{gskKB3oN z3Bns=apssf)BdJ*{(&OW23O|j#aMEok*8oH3fd5M;QkElhnm%Q{YsbC69%U?W1&`3 zDV$MR2sZax{%U#TUB{wU#?bLwA zuB_fGL6(=}=^oUQ@HWCeqDCG9#}!hqL<>n9Dpcao#cgzd)B2b*8f`GiJnD+#vTdpD zKDY9D$}S41mW;~<+r zjj>t(o~Xiu4v)dCAj!}W#wjC>?<`_!!A0*Y={Vsm9mn8;Ap0W>Twe<#Kb|yu1hRAU zIUv~}@an8|1C%H8Ls7^_F8C| z-)kfwi)tP?!{cb`4|>G{NHZr#|C<_R-J}gHWt62kg{!Q%p~vlp@0Birk@-zz5$aky zX{f`J^zIq%Ekk>(j_u+zsD>w|Cfwicd26ah6#lp-nV&r!vguWet!SsPkM%)CEF{_3 zQ6f5vJwBLYppIZbtKK|&V_f<7rq+-0w6RBIpt*SX1z~RE zOR+3MU!G#%HD7r7V>qEemxIb$KXg|>iaR38Rzf^1G9KIxiz&1MBE3Z{J`4sL6g(mI zdf4OOZ?<>ea7J?#E>)mBFW*^ce3BU{WKd6v|Dg9{#LP{Ji+mx-uD@Bb|DDqLTqnGt zFLRccGdvLVYTrMpMG_&bBlNs>uES7pImu;BsQDbUoid+OL-CYL*N$B`9{ad_j!pOJx%5Nk z=}1F{6I)R+;O>)sCLlsx&)~Nx-457-JwQxse>%60+j1Ar2$6ih?J5Rjas-YRtSj27 zm3o2l=g^$v&LO)3MmVS{q-^i235Y}`|IxaG#g*@Wwq*zho%!A|lF>SK%r|!ZC)ToJ z4hY8wILexzRTQkoadBaLO*V2nMLGg)c%y+Bq|UnyJlm?Xp)JX z(e__Am6KsL!+Fz7UrQ>!le zpXeGy2(XK=KN`7MT?BSsmM@od^&f&9kndqY?V+g{PK~mdgkIEDQ zfu~>p@!#1@kJRYBO0Y#eD<`TtXzsf0dfxY(J6-pq`=T1ZU``ZQGp0>jx0>KT1Q*@w=NbQo7 zKv{Pm$e?)z|EEhUIUyXn*^d(i0u%X4t^tm3A99>UWq3ysGu6QdDOsv%D+hl+f4D_@x$MazdT=2#1|J*eCq+7S2clIDp>oGg)Jtxn*mKK~x zYU&{7LsUIQdHJ-)4~HyT1(ALX7T#Sp6Htn6j9grk!dCFmg)@YAh8xamJ`ak(mIC$h zf5l(n1@X|xKNi>I1ySTqPYK`G{giCA&Muf(za$zboZfJ?D@1=6CGnYo^{3yTBd6D5P)D zc#mmnU84MBA;Ej`av?PHi4C4UBYs==TbW?XOsWIm_U=BYDTE>v(RETOYlFZA=&$$$ zObryZb$z44k>YUqy*xsK(w`(^rm3+>IuIak7vUulOI4oWOE-OgY-;N8VodY7o8c)g zm9ZAd;VXSE^f7kxH?}&^=_{}9w6D+eH6BA0q<`Voi|_XenaC8UVaPb3hBd38?VkX*vN{lon)AiEpe1HT;r1}WY-27l8H=KOs4R@X4<1DKe8OXjT)ko{P9Ajg`&^n`{C2e8tt zymjtE0^%#+-O0y)e&< zI2O~F1fVuKk5FaZxr0-=Bo>X5yCmeU1=JPZL9O?^oxxmlX9wVgz1IBl2~geE<96+H zv1*e;W^RJK5>E+SP0*|%A%iXk!r)jeQ13_?;G<5*A-guBIxG{52po_dnRnF3Smq;* zbUS}NH9E-)D4lZ#P;f!p#nTLB_PBYjwF&2}Zq@KL|JRu9)}ZvRn%#&#cxePJi}aCh z|IF6BPh;#cE48OWHurD?^f~x1OqWNZ60)`YG+pS2i`G^Ley{sC-hh#AG8K{+J|!Jm z`M70)pWNJ%Lr{8JKHV+!mu^>dWQXk2Z8_e5P&PRgyrbTojMw%AGqBfR_mpXpR=Pj` zM(bQJ-?)D3elJDtX0a2RE~|boy2KeswHG%}#^TA3NwxQ)an@ZZGlT>OAMZhDu=6B$ z(kI)7p*e!#m}(`qwremFwPVk1M+y!F+LCbK-cYgu`lq*CsY_~{h}!aD5#NILX3)YkkM|#tL|jHdzcqE?Lw9hTy`4XV5eW# btQ*QlpXXMFF2nt|{Ozi`j@lbl%lrQi8m-U* literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0135.png b/docs/img/fsm-image-0135.png new file mode 100644 index 0000000000000000000000000000000000000000..44a5c3059fad97b25d7ae8bdcf11651d8be26c86 GIT binary patch literal 51897 zcmbqZV|ON8udZ!#YIn-1ZQE||+O}=mnA(`y?OofpZRXCD)2Pvp3MP$&?iJ@x4T+cjx-85}C6W7%FamoL2d3~*ZDL7;Y0eYs-u0J{X zVs?B5HWJhcL;rr)3!c8!%IN=alThF@UZzVG*`q+5|4l?g|8&JoHdH7# zf}HQ}Yvdqu)hhN`H5Igy6lz8*gCZHuw%7}DF_@(K{h#)@=v1)?pSwS!FY}oP;m_GJ0+1uLN><0|3f!|ljF%A~h=N!m60e9AaL{Akb9MH)YpusO zG-O`x7E7Zeoi<~_wQ)#pEiGD?dji5cxql^>h{V!(UkKi-2Q|&lBX9mr0225aN4E19fn#<&$ z)KV$v-mfy+`qJa;>~Xgy7Y1rYYus2B!iZ5D-ufm2{^KG6LtBDvzV!Rr$VkI-_4wna zhte^~27*A00J1b|C-Mj6i3s2n@X9p-gzjnUDwdF<^PU+e)aa#Z3#PF<_K}wRX{{fW z)*NkTZr-exje6cbBSOuc6d^zvOv1*;MPTNnhG6!V+4JSZ7h6@%%^6Ty0M$XU5jI(! zI;zo~%-y`0@gf#GTY$Zfoc+%{ijQOZV%3we)-#@Y8GVKq=%sER#rx(J7+opxi^A-& zk3#~ye_vgET$oi@@o&cBGj-b%@)N%W3`bNH@Mh36K+>ez<|p(3d#eC@;&ku|aukQ^ z9btQG{J&1l1{6ltX*g{OBc9%(dmsKUCtvUNe<=fLvlw|l4}mXD@c3(3cAZpF#t5bRZ*IhtN>}57NXa(B7g+6F16=((L{WhmO4@gw6-fB6TWLj26DEOO3f(DWA8KswtL3so*7##18 zj@+h)n*}?HJ1{)u5u(Pgj7kW_B6;DogTD$0Ri=APx2rl_MNoG?-hQWy$UK1%&2YdS zZOxGepY&8AKugE%DlS1SG#4mHQq%yrP`)UFQCY&?&2*Ld&7$W`w26(f$q$J?%lna$ zMB}{airLD)YEBz>2-0mdq5T2f*?I^gS~I9!6Y$5&n_3Ej^&0^hqiXOcLqhLvaknPE%6Wmg zquvELp4fg3I6l0drH8nd?bvsqum+N)_PjaDgDszvoqLvK@8`T|cRB?5OH_1a;hzo; z&joRE_$_uT{%2222pm{6AktDk11m$_0-JS`fzR1s#29t-hzxJe2c_|Pe$4XBU^?WB z{lS5+7p4kFxT__vS3@wE!CJ`$Um}o3UhCHq-GxCgDz`3Li17Iot>_>^qyMk14t-XICZIO|cMTfGAB+x89{k!MzN0 zT+J`jL@y+53wLGUNS{{hlG}lVakvg34)hZG_oA}2L@(-%i?y?{5LhAGUs|i>b7Pw1 z>vZQp!*wHJ(=HU8dwO}p@hC5<`dR3ysdIuMahBt;E(D_Mg)Gvv;C;xHs=J_2cHDZmhC+iS87D0E!Pd&f!7W1t-Qqi&QtVbaZZm03oq^#Ij z^x30~mu1yVsoQ$k*BF4tts7pcG8y*m?ztA`5 zykAU~jic6;_6QszB~r@KUjB2dwKN+zJ3p{FJiI;E-#<4tH#I#sG`+q&K0Z3SI(IVj zMzsSRrmA{FJMt%&i@%jDhr4?aJ;2ybVr;S@s&-U+?cmfOhhRV%vS*;7=_HF(vrcJL z8>&*X^U8t06Gg@^Z2C%KnDm3ZajV`2G&68KniGEwBGE)n8Z)-GHZpAaX4|`HR^Obq zh*6YYKX^%zmmZWiyy~I7;-vu)j$;}$@UyViQOG3u&I8g${Jh=WjAW3VWhBZ-^Nz9} zb34p#&Z>|Ne36h45q)1i$7-BAHDvMUE0rA;u<4xtl3tnRed7H3ZIOoI@kc`5rfhUi zc?4sHc#!{@)+uzMo*~4Y9gjT{YIpH!k% z2wc0DN!&J)$V7edbW_Sc#_!!L1ASdhwHrnQj|>cq3>+H3c%pM2I0l1KMyVd!|Rw|LFX&ad{huLPW3CV_0q9P$~Fo3zjt^o;nLhBj7AKIC$(;pF$v=P0;cn7Ca8kqhgW>N;`yOWhxFZXsW zR;ZUv+q)8N4l$#jV0UK#AQ)$e;C)~Hjj(o4stz5l>movL&?BY)Ejm=-a$?U8$vBU~ z=nEYzl@`Wxf8;n){=G^FDS6u{e2DC&A>TO}zbnRqOEH0?%g5i-`9I|}0lvCC`V!XU z5VcQkMsuWmjIcIAVvX_X*sizrFE+E@do`L#pW=AV!YNkqs~Rzq2lA46e`=So3UF^a zeI&p3TWV{ta(^b31>hOqKX5=&Nnb-$woJD30~iR|Z}B3k_WjUJ+iaNTLrl~DmGtO+ z87reY4)74%C8HY(C;bhLe~TU(c|_u=?h1)-vUbED@dx5`JLF@U<7?x|#K>LR{j_p& zlaXe~bu4c!dmF*Hz4<=qZM8?(o(T*SepeTe;@r}vM}iPF3}{z3p_o#s&SJ>+F(-Wa z7&=MVXAcmn>%oJP*Nf||3C4IUL9Gm!3e1;x?pavbsEo9e9?#nstdj*Hhk3Nsy@q&F z>4PD_4$($f0#TX+7l;S^o#uHiA*g}&w>A#BRN|m=sA$*xWo?_|{gME{kGzbXgfe?k z>r#`wpA@A-c&m4KN)z3(+jgj6Tm%i8he$+PSLyixlBHI<1H>LGd>1r$ngf<@4r0%* z?2V;`fBU1|_MBYJ$*AdF%vile<*A*iuVK!5f8O<0(QB~?QxsV*A=F1u;yD3tqfe=F z?*=kZud;WpqX{{A5GX$)9Utfq>}P~-5$5^okDDV=nS!d(q%6mN$mpz*I@Ho+7_1~n zKAFmmryQh{p6Hz;fCzb{U5A2hX#$CgBU)7YHpbbg}b>`u+N17n z+GYDC_oF4wP|eF2RV4I*42VdNe+`xw6OD(_gIzY`3j9xr9v$XG=z{e+oTsz@&ec=H^khV(>vfd(IUSpf3VpUJdEy4{U)c)mFafD3 z2)~$8aJ&U5sR}1=-$_#Y#v^_o*@nYkVl`wKDuJ4H00{A6AN;em z%BWp7UyO=O;o-7t=mL%n!|1>;81#$I*^OcAQ$6Z@Gx{@B zF@6*#FNyg?81cAu=mc!%e@y6R+ENJQ&D%yCa%O}cAYVA6jQ^Ugob(k*8VWzFOwoVR zACWtYhaqQ5oXQ#j$bO%v!Y660|7`hxfXNE^;H1I=J&(P-RSlue&1*yz19IpPx8O-d zv&_@-5b>Fql zxZNLg_6jL4nh%#Y=ds*7Udhz9+p45(Bj`cfafvc7+I>1Cr^}x6<6-dY(9t7=J3m7; z9+KB{LxraG5e)hn6kHizOO)=5J>6aH(|KBU3M{_VBrAx@v@!+LbN^G51rU6Xnkbi_ ze8&hx>rIayU9Pg#7?LTCc)iMY6(q!H5}Y4DJJ_lud8{xfJyHj_jskhg%+z-e6Y&L> z65cJ|Xb-i^?mg71^FM`~CJc!Vv0iZzIVPJ$9Bc8`( z{z(#4Jjb#9iqz&3BW~d;RIc~1(}Op!$L;IF;t-yVIK|xwsJ1z!FWV?k5hVG9VV^%t zQGdHQrKUadulv{XZ!0V|)_RAkZUG@CABbcScIE^e9O;-GS_Q9aQQQviJDPbL8X=yP zYxmZ?JDO;Ydvnt6!8n5(&SV)i7eQTj6lP?6JnZhAh{d;z0IzKaUSdB3wL$H8i)Khi zu>4xuGbi)ruW?`%G=3DUY1GU0-mh`JldMjY;KO}(*iQ5)q8*R{YC1)Jc5Df;#7I+;eGgVIwl)cJAdV># zGo)g8d=lQ(+3s?Zk>mrsXZzP}r4^MS1L?Heyb$>@YE-4uQ+71P zw}sV+&^)p4)O$P+oaYPT;kq)Z-gs%YrsWFJVCZqwmLmmflqwmHko@TXlA&Ta?OsLK zD_D4^PSvBGQlEujB5fJkrqjI_7W2w@pKsrni7VMt4zCJ8>VTdzty6W*S%Y()9$aes zV?w@^EV-h)K&KA0t3sH0p?woo*FBAY{N6g@KOol3*tmH-Va^$;s8Am9D>q*8JCMZ*49qj27_WyKq+8^d>xm(Hi$LEoMSN zagl(NZoj*ADx#%do&Uo){M8jHcwe~z@mW1{y=Q-6zK=?B8s7+(y13lg`$HTWaHn!C z=9m6gIL$?HSi8^UgdbGYrIf=HwHXet++Cjt#>ULvFZ`yV3?n|?!6k*=f4Coq$kPVfPV zsHK=ew?x%8V|dsVvx9)d`dpa&{Z-vH?Vd3rN&xxYr!%fiRv$Rg^d9|JBUIHwNvmZY7*-V0~n6dc{FFp`?sP%mj{wSn!qx zvVz0h2|ouPSl=4Pxx#U1-VLgQRFX>r;VGsT5Xi0a^A4-P_KDVC9k;L9XbIa4ifY=R zC^IHr=ODhh2kHlCJsxTf*Vv?AgKw6U=- zs`$*{Oa29cHC=H+Xf$)4X%#`tAJV4~nv$>zcG1L8isk1xhU>f%y7$jz+ktnLI+H)M z5{?qP=_IY_eOT;c6=Rq|oX;8$e@}_rA_AFNukiJ$+|wi+o1=GxDl@YOn$XpGBoKDeNksK__sBN(gCgI2n6I~f2-Focj`Un3y_-Ov$HkZQf@2f zyuXiTf}BQ$QaT*ujJ3=9jzzv3Egu3t6OlV@C?j}ippe17DD~68^+0jV7T1$b!JHuQS&R zt4k7NW6ifvsf;C|k^J{cYwg#YIX^U5I~ymS48-UElH6~(`= zvdMW{$x|->D_@sdu+41DA`pg=0|s5;Bdpw&{IU3{)z43`8peKBXV7&H_j=IY#rfK*BdJP&0yLnV?!nErN;s_)>*&PagzHxT0{I_> zm6hmqN_gXkP@8A;=l1&nXV1l@rBT3WSfn~@xkB2%du49Ex6j|SmILE#DnWDq{Ef?6 zEqXw#okdrjs4Y_ugw@CbH;Zo1kMhCQf?*lj+ugNBXs;M84qL}Fr|FhrVNP|5Yao*h za5Top=9kS~1$~ASS;0(i>x<564-I11jzCu3|8K#-A(B~0e9KF zu6bD8tKc9*f&E`R?|${#JI#Bv#fofiW(rz}Qw|aY()=5}Nu8d9axeQNGVKLWmT<2W zkmLM?Z;%81eu=8(yx=^kDC-tHxf(|$@EvX5ZMRaBZT@}JiE@kqTd`oIy>kHnd0TGB z&;v9z46<|>2x+|H#PiA0U%cvvUg0s8NbUjM^!0nTPtmnG2{7>>)PSUer9a(Ow?pItM;fY}^g4dRTd@i`7dr9?Y=I|2`ml@15H+B)mZ^%Y2hL4I?gqhsK+ zWKV0;Xk)#~b;MEz!V4Klnn?poRQN(RS4TWAy29q@S!?iUuXZ3N{e*c!z$@#2J#4~5 zOOa%9jvE-!EkuH|?dU^5QFszn79t~i?Qn0eTJ>X$4-a;}xZ&72Xhmo>Ktmw}ja^=| z;oy-9pIl%QFF!V>4YR#DPK9+H@plwi`T#kv{_5g@_oZoU9-2N$1}23J0ae|DQTmkV zi7+w7*6IW8lo*L{x=W$4Iui1aJyE^igYP8Wly7l6Ym0IVu(pC|=GeqY_xgWr z`3!wg<#8_G*hJLA5?bux>R+ca+?s;49a~jG3`F-#JS;V5H z+K4<0-2>9r|DK^(Wp#)-vYB;ltYJQJ;)0))wUc19EYfT0_^hp8{NIeBL5TCjC;f;z$sUY#if+h<8(0D$zltiAOCfn*FBiVvVgM#! z4$m_rE9QJx_{`PMD(m6z>84LrR%#kN{ZSe2FXO#jMcBMj@v=y6rc)@OLG5E;egb4lX?X5M-y<= zC2jM&D%ME?D*hf8K05mo3n7tUr2yQjI>B)FjRjNQL6`rsF&&5H2gcmD$NsQhpC*mn zyo;}OYLiFFI5~xY>~eCiSh8c$Nfb;@as~q8w#Btj1QPDDpz5Tv-gvAFj8rFoiIuuO z{hzIQ`$erM!18IbN!#VSh#)Cd?(7B8hoh0z4SJ0tjuVApV1j(y9EAr z0I!LYZWxtc{G5hO8#9v3GB|{MHia+5k(-@bQB4x*hS}dE_t~fNN!mGSLD7H3I5bF) z$^195{}uEHEpYY=>X;G=ZP4k8(#BwApn3(KOB7HGI|Un5=~tx*?Xx{!-Ad2lWG6=P zYn05c+QQ6?nwcIiOmX#3HUpyx_0U1pxri7G$gOvyKcUPU2~tQ*z5P$vdRHYtY{_*Y z$9R0fh&DIqPngfbV$U)xMLT#G_i4_*(H@2sIs)QKL?3YpI0qNS49|-BDsbw==>p%**EJ*wP@hH4-GtwlEOdy z>VpET#qQK~_j{G4sD?;5-F2#axtx%AU1xht_vk2Vq}f?2pi8%!>hy9+(Uvzrh&QJB zigBbl0T{`^7LW&p+^c1{u;G~QQ5S8&|NQzUh{uTE{%KP16KqFrG+ z3!RA^A`mDEzo&XeyaE1)P=m=-xp>NxvwaZxnLr!H{;?W2bzgltRgr=KX$-*gq%*Nu zwtIgn3okBsA%5)ENm!xZA}P>EN`3DwHwo-*_?+IO2EiR+oxUk~TBZ>Y3wE zWO>Z0_Xx_)lL6Ng;{TcpU2Ovmp;)s^o1=mrjqF60|Gez>vCBQZnm+=laL4Bo*_9!Ege{rsPep-?_2Ttj7nPs~S zhlQ4`*-qlJki&Zbl^X}8lM9sMAX=v_)rE2JSGtPv!OXH7KA|9 zrZtx&Wov#lz}AX1@lq8LOsyx^VEFvS)d05`FAye#RT=mZSF2cmMMJY^jk4R()&|M& zM6@?NUg2yy+DG+je`hPbxM%dpA_^B!qJlMNBQL~DWD(r9@S6atN6DEz!1Ng_dHAl~ zb&E^FD?GPgni>?2cYbTB>1&TlJ`AnXQb;ru-LEGXM{#kza{<^aVbA;A>3_5Ah9sS*k$m+i1<*bR=Y@_AJ*GL`07IussqkcQb zF_38BciZy%ah--HIOv};lz@m9%AfB~OcSqg!m#wu_1%4W!WT%|8>|$~Nq7o-m2}_N z61Ci|Nq8@{YNax-RHwM%yx&v?Nb06LN7Ii`1I=g(>U2!^TTC*8VWM1r#gRk?=IG;I#f}8Op5(8xKKU zn9)VX6R=;JA1$y8k9*Qzf+Oo4=W(+7HeM%%JW9bXtYfT{hY@= z0AprVB?KKVUS+_i*`MH@68-64QbnZ5@D+TAbEyT~^#|`H){ULvcrA^V_;Zl1|1w** z^p5?Bm;&&2QW^UBCF~p>n3Vcw4@lk)VjGr9+hS zW6BXw#JoM8zIKeoPSGLew4S_=IAo3|7p=oLvrc2uI3!D591o?py9y8N&zahVw~y-Gdn$Dt z@!m(|XUWQjH@b>NeGyXSq&WFZWT@&C^DZ3LyF&iA?IK04()7cF1Y)RFwP~V#%qGot zk7Qpih)-3}x@Bt@iV+oG?TFK$WLzezgYvk%k)AwEXeVI^y{e%$DNaF>MXONBS(f!U zRF!$a(v7%(HkU0QW_(EEmk!>MD@-s8F|WJTB&w^l@9tF8WA(; z+}5_eiRQU*Q!pcUBDKHtZFNDN78hEH%; zbN{GsI2D(9W;egjR-j$rAfjSLwa7TXsagKb!-2&>gSDU8f1Ec$LQYfGxSBGO#tj@V zWD_$Ntx%wSN-n*2*mEkGlJzP@kR<6Kfi8X}1Hnj3XLHH-Sr;yj7lLgiz(f zFUEo)=`JCN6uhT?lQV~&u%s_~ivi%FS{^2hBhnYeDCDYT;=jbp5}-_TxBL}4f`%Jt zm_IkO!i9H;JBLe$R6bvU`WgEP$+$3X|5#euyHUjhSEq|$Dln^T<5How#M5hkA_&96uWwE~iWdWH@j7)+6P*Twj>r__!YU1QX0J|>LX;?UafwhPvrKcL4lyoL+(E1w$wFVrb z>dNjKtlbwI_~a*yM~8U!>YTol>g3JholspXI05%8ku5dUl2?VfhxiX$$*A~&@-zW= zhmg-H4j@#QH?DIX7&=~Aimxwj0>*Wgpbl4vZKdJX2`ggb{sMwWI5uCNmFY)3i^)x7zQG*2HAZt{k_=w(9b`yNzWx>FF45r(ZFRG z4en>TgC)85?s5 zE7Vgn;je%``I%{s8$RzJmpIQ> z7YYg>bMi(gzIr;#zQUaBgKW(_vSI^e&Ne?&1a3em-^C?QyW-K^e*z@BN}yj=?KLqT zsx$RZee0=KF1EHb%&8f%%NVtNzo;&GLP@B?yz#u2?biI~FFhB;pRM-vW{d7qvW*t~ z>rOZ&;vhyiEm4P_x0}MqWAyV^ckzPz$g+F9XTN&ZkF1Fw=e#lYNp-f9n)vmtSXLs@K{ynKQT0jOkdbU7*`!hzp-pca7kx%XRGz; zk}@-=NRl_9Eimd-;(?*~Kh6jZ6X0w3#RM$}(2y>5 zG`b)dU=h2gqTAt{VvPr%2bx%22e0z}a-lpU4=}V)CPN#xqYHn_1=>`}4%(%FRV5po zoho{Nd-va##e%6NIwss&m|?DbBRf;k@t}{pte0T0FlkPx0gKzJ8Cnlq#Hc8VnQwy)Cxe`W2yOgVtJtX1USSbAA86B8R;d#I0 zx@}3`O2Hv4qR-H|bC>Yk$3r)cEG$0M*z4|AbA0YS(?|U1&%?f!^bPMxKTyj@n^Kjs zHA!)JcVA&xG4)cnYuqVDIe?+Jy_t*#-{#C}4}L=G-I)yc??3QT+9p__%lYo436=T% z4QF``me`TG1ZN}~%fTf~$!)5xAn(^#vQF_bbauNKiAmVe%wJ*4@`o0cJ}3E67#uXD zR)Vcho~bicq6#zPT}hso8g89JF&Bp1=j9HhYM8^1%}(MbS$T4s*b*%T_SR#G8HT^g znExIAF1TO!_t{EPK)vB7&)VWDHdP}hJ%Ba8EWFMo=WcG&2-WH?2g3SRm*Ug7^9_jOG5Yl5&sEY_d^WrV{j(1O!wUG6ioPW{JcLi z2MzWDuO+*xAb3-Mpl1U_DD_%f68ts2`NCywQln+fYSJyI1WvBf6#aCLCI<6zj}FRK zlk&$$U${*jDHAVQrviDr)?HV3@vv(gcZOrM9ojt=7YtD)j{@?zg8)vPJ+#&ntSI$^ z86KB(I~32QK1wZXeT`{!d6$KhA!LM$X8M$K69FFG-v;ulJh)Ij=}poH@WPdv8f?~} zcaq@D@#~*oWOsJ{&XEoMI`8iH3j+Q;nZ%OTxJZ_>_pB^_9DW71JGZg~v9EYvIvb2} z14p#)jTX)v6}i!8MjOOIYKKB*5+Z8!2sZ#N2Nf_|^3vaoD25!Hx7BGY$igT#>dP3F26aAMU{n{a8jmaVU51Ow>qn2#atgW}EG=9Mhq6o!mx zFKyv;|E+OIjIpiKI4VNLxX?ea+fP>%8{^L{RZ3)`_1~&(mBu0k2(@3xioo8WOxx%#0{T&0pAAm#>n%~lA9fMsoalYyl zBl~(&a!TE}lYvk32)fug@=Vw=g5TgGodrV(;cHn~nE@)!0`P0lnZ+Fvrf z7?uf6-bG!LlZM?BccTANn_Redt8F^4d~SRmXLnrrGIh|On2MZ#ZH_Vtk13|K@(l`# z{QY!gVtK%Jj#s2L`A80`{L4~fbkTX+IwvA^SNWA;oE}Dq)Op}#dEGq@ytgL-Gw-6C zlD*0lSUQs|)X--9M31MRs5GG%uB(fdgA-*`HpLic307GIY|rWn)z> zlLkjyc<#N5VFp3$pQ$=fy552lCt$dSVE{6Di}X-DhzuCC*6K2PO#8~Tu181s2xR}; zz!P~&?8yKrh|1Jd|IwyUv`V5Tyl(Br-4|z~3fq@$w@R-|WrptEkgB$(l@BU6GU!UN zNFn`~`gU7TqipW`9?5K^_aJwlTJ!C!)cFZJd&+SYbj}E2KOMv7EIoYwZ7DSLl`H7b z`O2n?W60#_F`^jX%t2^Ud?_hOTU#CgG*Mze-^!Er*?YEqak@CDn!E+^`E2QsuaW2R zlvG*2HuYM5$*C$24?N^P0&5NS=)p?LXE^cQ|9};3m-r`yLqldhyJ5Nw$-Sj$QZW+% z)A>MNr+HydWABa44L+oMe&eI?`o~G>&go&tJd83R@7bAw!7@HrAO`C-zSiPF%>D}3 znZT{+-~P6nn;uHt%j1BmXcVy}N!$LZL#Gq`c5pQ=H+iC2+a6azx9%{o&cX6k6k*Hf z=B}y1-#cXuD_6@R!xYtnV{NRQ12)5#NFL=WCFdv9NJ3%^IPG?dJ$gv-p{5JMD~LML z^;;)jiZa%xvETw_%dU72cdL=Z^U=0^u-p&;FiB%Yc6<(T3DqglLkLtK_QoK7wCz!A zh+emvhNoBm>gXUO$>Yx^YIn|HI=4`%gYPDcmh*?aMHr!fQ-Hk`$IU%-0l0zv zxn809CxN8JY)wiwz-Ro@nZC&w3ed|m14zP&Kl)5S&D=@G-!MAZ#a~HwQ+OW-B@C@# zZLWJZ$CM3nKR^j77YFQ?S@Kib%8ywDs;8MC1#aOS9MFShVyb+mV@NhMIFK2L@}QXd z7=)mE>khjTW-4Yk7>E+9lWd-^k|bS|tY@-gPkEnSSsrp;!XPIwwBCIGI;xPR@){AT zb8)@XybWY;g3`JOkWXM=e#MCnKx!sg4T;OK;A2<36i&JGY`pW=Jdno*F{2%p3bupT z=5Wcbo7z8~C5%S$qN^PBB$AR~gHGYmzUrHAuZb|rboyrz+6XNsoeru5Ckz*VX#7L~ zSLdg&2@r7cF}gvYe2L^+`bW8^YZ4B>+%2Pg{Kqd|T$(?vxvO#zRvJ6wQA$0%(LBk- zzrQqmyEuNjUL}xTEx%n>Fg#M@T`LvwP~~0Ulrixbo2lj6zS#=Nmt^a{^%kQ9NC%c^ z<%M?EUSt;tL>CYU=0|j>Km8;Y;<>RZ9l?%c9|JH(v;0o^LRJ#(%HL%=)hV@O51`aR zKK?fXeVETd+b(cKjcYe*-$an_+31I)jMYZijy5-~#8q0{S zR#X3Cphe)Ib_D|qRt0vjKlsR??mJ^;+V~jQZ-5IQ-_Ag9@7py8!#dl%0hsnO{aQJ+ zgv1{g)>O&rC^ka>PhTH1ZebI|kESkpWKk+K9#VfgyujJ$x#;vbWtSaDzs~U(UDV!! zkCFO%i1}UJW?G0AG_Q_Otv!-&`aWlSU>1-lc3tS-s-tUBZ5Ta2QC~ppFIR)opQ6#g zOeJ}1Tz%+XbO!kM#n!|A8_PMv@;9r;2Z#|5poDIw^3dpDr(d)iq0aa5m6^(u{m&sn zw0NKV36!+j;C&7Y4RH*$)Bf<7>EG>I0_-(^ft^=+oaUtN<*(7$aONDZQ_AOAO5Inve*2z5`k-u$znf@A*?A1|k*>wAU znO7A^d4!oYC|8DFojbScp@4j^y;hrhY^|~PnEy=Omeu!Ffb4(i zeCp59Qz^dko-a=v6t{_!?5Nji*n`sE9kK5$Hc^nvdz`@+#b-AfOpEvX(_JjHb(FZa zY6+*fTjQjIBBM=v-UW`dRdwl@_QzZPfW1`t*{$R~{}h!|Z5m`IB8o_Xl2h5eaP>>& z(%E~x+g($9q5G`!o(sue>Pe%vn(gv7bw8`E*tq7Za;i%{N_Q0P5Y4wNt(m|f7oAm4 z$`gr>b}~ZXa*pYqM-SFNh4?PO@P^KDNr=Tu%?Au+4heFAk>UF66EAM*xXZAysW*G| z)kLq~lUXZ>aCqJ7;@ybA_9(+@1Q^Gss||uOCR`TW9O>FmSC`k1$PJ#<$mHXS7HBIV8mH&($n8@g?mm#_(Fi^(>zfx`B{o4)orRF6AS_*{G zkqeV$VCjC*gsSyhZzHRx;!CF@65%E?(}s=ZY=l5UK(qr!YcB}!?2(>@4TQUhbDJ#t zb&#<|_p$Ngrp;vj_a-Jo1dpVg;nXj4oG68>yBxS7SLU#gkXzmW-#1tbA=Ld*Us9EQ za{7>{%6HP}iVHKce#FJk63we8xKV?ar}1-Og9Yw(Acsr~bAZ0g$x%C)HDzrV`dcLa z+^5Zj`lwdg5-S>nSGgHR@id`mg!#ajjU3XDWWtU{|0+~A{>XcMP`-^AE2rwHJ*5wB zMFOQ4_O7)0_gYtuXZOYF(?6?eon$rN0!WwfWz3v7@1G;4>rquQM^5Al@DT;M{;HFl zhD7Thhu@DYnJA>=jm`SfhYcSx2=Fs_my+sVK7Xeji{oMxp4hi{1jm|FDpzo$(L!bp z-YF*UahBSi)qZRrG{PQMPQHCv?w+F#R5T_YD(T99u3um2+z0=6i0E$EBSSrBSRZ`4P|Lp|Sgw_K`Qm&S2j71C3oeLJHd)M{zIezX(V;OmJc;8k1*OaRtoG~- zJoBY_%jM7cOuxtNq3XRv%s>_Z+u0Ak{*16g96R>@wcSD1q^I_eLcqAzpR;lYdVy;@ zmmD87s*9t6?+Hi^T|{6w!r@bN-Ss+OPgilcJP=T@e2M!al+Rb+Wrkf^?3>LUtAeDj z?~lssWzia?)4ISF42cbhFnKyQI@ktI@4D9XsrmC= zcHb_)byi($cKPn@^@6vZ>Qjjlk%uRlX<FZeTS(=zF8qCU3p?QiV|FAS0iiV&_w8< zIqI+$6#PL9O6fH>Btn3##L&A^RsTGBR3t&@z)Z)gL#uYL`Ew zmzlNt7FwocY15-06QmX#)Keu&*IDtbo5D+^W}HGO>46L*hBA+p;=s`1>|ZYxOBP=9 z+uVrjSD&o{QK$X^BgW&m8iV2(Ryt7bOKF;G+U=^Bbemx7>#TQ<_;j6~%v`N-&E!`` z(EUPE{)qM>v`hS0s1D|wx6!&SLleuB9ZwxPcR^BP)MzDlE0h|{P5&%ClnRN9NX_w- zW{^6_ zTa-B3$&0s6(3Ia!E@5rk@1;ytj-34-6&0AVoE5b3#CHR&tXwyNIhPTn9qGXBl8Tmnt;r8WaR&nG z8b}(SnBeHt_>kH^jD3BO6lg2MmV29(*&9GL<(!eRkZ?KSw>;u_Zxh?%gCF8&jLlj; z2Q_E9wmvrTdae2+^V1Z+!(dA_wT;il&aoOwb9q#i5W@d=tJM3f%DTtb$3dQOG=VLW zes`o3HmCCAK+N)l+k3&K-`Aja5<>%tg+akOy~d8|*U87l#MZUiQXFXmx@5HE4C$cT zMVnR7kY~aZkCJe)ihWiVja!*k+8T^QVLX6<&fy^8Z9%*$UJov8KEa=i%gl+ZCXOy_ zw#2^NT+iI8(z0-rGUg`-`5gfS2x(dP1=31((YwXbrI%t_K_0xVS7JMTO-~np7}3<> ziKZ=w!`eP|`ZTCoqgJIF^{e>!w3v2N zCMrcqdUM!`q=O~<)})yx3zQ5u$Cn+@)6&(x&m%U~Cy0(KR8m7Kd1o`bt`G6&S?o6Q z9THd_PzKzeQr5A^A8SIWGXgR>m+D7}nzGStoSz}BV8;?6KyGAmDq$zQ5xL8u>!(z$ zx=CyQ(H5JP#5gBfA7ognzOppxhp&wlbXR;}PHYVTQDE2AalAe_O^1DaK! zU(!AU1>Q62#%aJE6$`l2)ojC}Ge1Kpsx9O$L81!N8U|sbdtGeW-i25kW;^W2gGzq5 zrEgJtpFxKsRk{N(A%uUYf_xp*Ot>E>{gEAoG!h zd%4%6Ym~|j$bJz;V~yjdv3=( zHtCRoQb1p3QP7z@W!qvVQZ1ej|UaLk}8SaM=q(=q3+7E`f_b2Amweo1c z^p5<8>hQ29mE>%R{1P8QT@}WW=&y$TcQd$$c%+TT7{)j2GGWY+X&;|2rme{^G^ijw zR;nRMxPDfcSqvGn+ZzGQkt+;2|)LS8dEDa6dF_EXAJ+sdXm^ z*ZW(C``8uuW978~1$F9YncYDZrZ^JbCFqvX)B&X!UFS4$pP^=F#qnO>A)bx@{VG(V zquv+lf)&3lYd>m9%YWIgJvT0$^o+e(t;|iLDW~k;x0L5c9o8v8Nr#*|g=o9Eo=6u5 zN~zK>HC#SXff?mUt3LxXk3L|Rf$;tNUamWT)Ln^HMOGE}X5Z|a(5SGa*6brMhwFA-L z3HTj0bv|b0RK@)Om6)ael91z*+7vM=Gicj=YS|Hq{{x-x0efxoxS7uZ0}1qqS|O;S zj5L`BdugQ_N;k83hW)<^trT^hjck4$K;^FHCx1^~F$N7@#?7B0Tj+ECz>e35ecIIH zh%!yi4)?NHf&1E<01UIB*ScV)U+ zP0zXkFUI1C&c(%?8`G$$xkvYHet|$;zCoQfSw6t!PKPgS@9mGzn3}^_A>gbs+YX_G-){)`YJBWmZD#Qpe(ZYbikZp2W!}n@a1!UbA}xYaJJbPE zEHi5%)t=ow@+@~U4|c*&OS&XJz4EN~MAMBG-rH)@JQhha^#`efu^j)%wZiisNkI{V zYspuJ*D7k``p1R`!HLwf10Zd60)L=2H`jKp0tGJ_o4Eb}Kku^Q+Tbp$6t_C99^Tmd z)UEMDMkRh}yJnSe^mNMU2KSlqTJabNBbqd9+o9j?xRcGKOQxWa%caYv$YK|_>pAhf za9yE2&Hh!U4qkC6ytdtBt?yTK8;h}wzGt$3mvn#~n>gNXcDi>-28Obh#TgRZ&X~)j z)H|^JIP0lD;y@ApXQIyzty0v&s`Z*DUlpoMp;Ti9vo2#|OMQa8@_75G8FNw3?b5)H zcZ-LdDYf@aziIvh54|3-@`dG=P)(rTQFvmEc8)v92fY9AI#3RiKP#fY@ez?IB1VDY z-+ufe$M(>Hl9j%uD(1n(Vvj6K@6h)AN1D`);B|1g=!bMDSOolS*DAO0Yd)pQpO(;_ zbEpo~jl7UX8HZ6vOFa>M;#B*Cm|3QISwfJ;69ECq6Q;iey(eHt+oEnpW-T|ojL!D) z+fgOH3g>vfRnW|Z%%3~BgcC~~Lyk3(#!p^(p+U#d_1nKH9FH_5(Ht(-FIJ~KPZcnr z=zQCxoJzIWXYM z*N8+m)gjcmLO_+9NPg+5P*w*^R^SAaEr)y~@`YLloH(DI?QXh91r%Hw$Z0B2R7VPU z6xQ2gqbi9&<8~>xiBD7AF4zjp{n)Flpw8&cw)f2s?hDFVXZL7NKMb?(rh zw7Z>!ou!S9wY8;{t+m9u(k;1|IHDdTPl?*wf|kMZfcw|RSmI`9ZDL_zX=7_;>0H{U zS)ZAk&c99I8D0fE0ylz4n4<|{nUQV z8;aTE4p-dqsoITK?oSW5K=E^DeCxh6G%osCY4G2IRzi0wH2bD|%n9(K1*BkP5l{C` zY*p1-B9R!GSUK9*xfQBdsiIHK>eWkoxD__B@H#0~Gyi}0^Ap$sZuetcxw+Ba;mlzi;*=+GMG6osP z4RRo&&7yjxNu7^_xiuyY>Unt}LZ{mwe?73}?<)d)kVe_`qH^_MU35wg z($-nRbC)=E*|+z1j<26Hs7d(}E)M1f*44*u`xp|PjO{w=2hx`gs8b0K zF2pY7u`~jb0uV8aQ+V_vY&NDaI|4}!v3Cw9B|@sngmy1?RAguwAC9Uq2quPn@!vVJ zqOF-riP|HMeo0m*(u;@7ZsL*R;-7;b;+ihN(V7O@y8`EI0Z4d#diqkM zPY8N^Xl!LONdfng^#-oF{6Y#4`;TcmBClCo{41tA#jY&nJmDoia{5LZL^Vvj)x)aF z87xbQAW}8$rSV;P=#|~m+E*#!Y;Rg+=$1PH31WUwo)@xob-<*ZrEUItBsNq;lqWGQ z4WFvv!_yId8n1^V^8y4&MlZ8pLUU5mZO8L;{gOK(5Bv1^^vXfiY^@7dY&?3SAE!E` zV90PJhg644)s6sm1#`*|394OlNC^ngd0y2!N>j)5=z1;zI;omG50tU*Z*FR1XYEm{ z$*}d8!&PcN`c~8Y45I0rBwG>3oVkQeb#0p*dW$0^v=o#Q%_iWIo-QbE-QkHsrOFTs zgHuSM^Dw0zCvoY}1BJs@BuF^d)S|}H$G8%Xc9I1steSn8 zdOODV%7qJ;BGP>y$mF6-6z+iXsOd;Hv-(+{k%zsyb7sS+cExP1i`Q&Dew$x7ejg|O z@M1R|nuenFm1;{$TER^m!W;lB;diu&4Vt zVe9Tj(aHcK3du^<3{K>0ru zPm(qb;l#pkVgJhK+eWpn=4t0MZ2!}6R$mEFx)dyu-a3f8H@duOi}Cw!y#E#%5%k8_ zH||ZTDsk$qVw<#|Frvfqbi$8)c#9>qQusBxX4^xmHs$|AOw6Z87mqBR)WOTfsz}x5 zgO?tD8c*>}vK_@dI+$@^{U0Kr($H1udStx`9EAgjOKW$grB((nGhp%>>Ghd~&8_Te zx0`n=RAo4+J`xU7&2hUNshyNK^#xyR?B2HSt{pet4ooHGAxe64YF=a8ihZv#bo7Th z&k&|pooViS*o{>~Yr0xj8@vBSg4QRTt(27k0pVxIRI#?M+I7(Ik^TOt)WGjvp{9(Q z>qIoW3Hi&ODCzEjgRjxs!@I7^dH>yqZ+sry@&yv>xgmVy(J6$a5UK13@~<-D&4bgs zmrdwduY{9Ti5kBTp1tjQuvC@gm_wux6BwwT!-|SF!4*T40uG6ps~lxIGTDCf%VI1Y%O+#x~*tMB=DF}$B#gENN(2aD49g7>T zhF@Gepnc7fg*?ku?=bu0=~5kH)t|@Je~1!Sw^BSBuxkE%zhxuWALBy%tXn?z=zzKp z8Fc~6L$CH9g?-^C$Z!IM|t#-MY>yQT2z z_=bN>9N6+|(!vJ2XScgFy7z$u<-QWTmbO{CW|*oTS-8AMm0ATci6O83uAbbte*XCW z9h%pv;AU>&QN7uqscZI}y7yIv$Rs*iQks*2Z9My&XZ`w$OM<5*8RBbvcm-|aqc}Eu z?zFYONHH@ZXwnHBv6>PD=FFY5c=kb@6UBnR?bm8@9I1(=43dAks-uT_&6$@2<($SC zHgz0ba;)c%(!?VG5|f_guTCr(*STI*uTrJU`851<=Gt??YN4x5wLetKez+@;IFu6gx_b#T!k^1rg0B4JIRNH+hy2qE6;!j-_j~>Nj)k&STg8-p5ffN3S0sjghEq zBoV(mlPQFKJ)YRixloVw_hRXws`QyGSp64HAf~U&lK)CQd_q_&;Q*iT=85n5GiNW} zdHz9I@o_kxo>9%T(&~yuHw#caJkYC<>u>WOVeLVYYfWXd!0mVyTOb3dh0C~IrfIbL zd_$Yu<->c9Oxpg($K*s=Y{bp7{&&4z$fR-qjG)#8fs&t7w@(x7Ju1}g(0kOvEr-te zK6>#vT0w6JFNSc$z^pocm_rmlXT+FCm%UF6nEl}Os$mNvBDy^oJ^WJK_W84yeRwi+ z+|oFP$oS|n+gUz*R;T#F!PlJnTta?hT<*exxJZ!z1)-hpBa<_Uj^TnW=s&iWUGYI@ zzmSeNn*A4$T2J!puFamNW`?}zq$ch*Y|*QuX((o8wOuT&`Ope>qNPNg%7_mYY?r}5vB{niWT{kT9}fw0@vCe4#BpHZb_MEetenkWmjyORh-l~)+c!$2D!hXf zipqUON*tqe)wJ^eijErkFo1CGp?78!u`M_1gP6mg3#2=@gB&M@CrEM|4whE6`KHbU zkp(5-9spnD(H}m1js5)Yc{qUK?*cx?Bz=kENSATpYx3PT6I9AM6{3LURjCBT(h#=e zc+v99(H6Uk+Lr}m;##%O$+M;=JlRp^RFM4_*{SlA7J+NSme%`oPQxBieovmg2#FrH zML^f50x|B9*IV!JePiB^mN$6MBmOU*C(w3QcS|-*%tXp@@|mUWiv8^$1!-iDurE!Z z!+tiwR*^m`RR2k8HV?U!KR7iT#D*^yx74lTc_retwg~79EEU5Qo?X<_@vhG0=V+Q! zkRz1kd9o^okDHQqFnp5ce2GgJh`vaciUqYfiq-1GC63FHsue?;uhNCg19eygspLuy zQB>VW0{ggF8zsz{v3bg^+7~`sBKi5^ljneK!)J9m^ziP>wVqzZJjaI*+$@~L!A1!l zUw!|0HniQMr;To-g6{#D3W`nH*JUbY<^((7fLr2^F zo#L0Gst5gtO`~|=6!^%$t?E1=s@->im+EfQG$PTdmH1+il})X)*`7$InSOYTv7pPs zX_hNlZA;CGogUoL>m;W!qMqx-_8XVPN8YT?E9Va#w=}Tk(a+Y1IazmC?NLp-pJ=&T z4&#`pq?l-F!r+Yv`udy_V0XQpY-WK?(T#4Q!tZbzBEn6rK};p5nAFWB#N0xn&GOG% zxk}nRl4;M61U7uFc!P(czb=y?AS77(I@Y4w%O9%8<59xXHYSek?$g{gB-UpAz#cQB zAD^BrNX|1Z4Txm~wJ3{*_$Iz8+VHK~ho@)y(yv&BOBb7?#CZ$%HEjKt&&vXcz43e4 zjeUz}zV_{0sn%LDj2$J6EK#L++e=JbxsV6l*_S7R;#=N9#fy2D_Zs+)b|Ch#3{52z zDI3G)Fvo>VaWXG)5PzEFhGiDo=J|S6m9a2fE?d_7C%TSYC?cjdSMc(kcS zO`jD0ezHssu50#$Zu^BwtzVJ=X3n>t6CMU8V})JMx4Jif;kQR%QtA7vS#`W5MMHzN zdrY(;QP>VpttCi&X;wHzQ{zqkVuaH7-_LhA6OlPJol*CpSLg9K61_%}jbX{y2S%-N zGpjzZ=e@#m!;ga=cy4*I*v(;#pW3O{ZpatQ#ZI>3#T}QsF@h{cv?nfVtid8_KGX*( z|79Tke1xOZ@(=2%ZF(UQJX!Q49=C7&4QkXRl7*@VcJcs zm*jQM?8FESKw0*FL#OMycY0C?g?zkZOnp#E^DKIkmG{iN`_Y{j^aT zU5jnth&lATyZa$RLJMg!ERo0qE;QOCZTW=>I4m9>g5Pm0!40wmAWa%n0C>T*7x?To`RAUj~G;^)5 zMZJR=Tz35oMWKAN9f#qT^nItwcX5|f0J4ww0Mh!8znD9UwTdeYzJ$ocz9`w4Q(J+k ze`(MxYxj-8I(auZ|8AQ6v_30 z?9UrRvmbXbU!9xM_^%Rx8I_94iCp4TPHPsCQWd02PDND zo?@Y&U~fG)bRE zFDd1)8I8`=B2f3sa!7}$;CAP3az>MqG!l+lVKj)P^7U8)p~#VCn2=>9-FK!!TKvLL z5tJ-((O6~13&OU-Mg>n-^G8;O7NR-3IwVP^Pgv_+F^G6Bk|^Lh|Rt0}O`%3JfP zIVx_6#V95}jwgnW;?eI28kv5evi+h&@hu_|*iPL~TFG#)`V@277?-^cWuEsovtAaN z=2c{}x~Ss+iYR!{aw>Z9akyEtCn})?Q1|m>1sq>oC5sOl=uCzL6}bevG9RHcr! zX6G)Picy@dS!`a6=r)lEN|q3b-^DL4X;^wsIu0SwM3v%NEI@smX)9@bn!gdDZkGQ5 z67|;*vhv>`3f{Bm!JpN~o`(Ith=`VCmzj+cToX;M7B$;!f$&9$y7Wd5H_JPuMHooX}ibq|yNA4Oc3hOwpWB83P_HXX8lp*QB9p-`R1rHC|OGX##I za3eU3^#-hFsY&)hvm#?t7(w2NgeAJNd}SdpR7y)rcI5 z^rD%IKq(lC$WK56lu4zJW)D5T(ESz$lT%3nhggvm(b$f(Wk6eF{au`)G5~##;uMfo1ViDPGfb4wwI=UHc@}-_DOr+2q@+mqOFShj44$B% zYNl14ge$8?wrtR#cFo%L8hK6L{t`bWKq#H$GKp^qR2Ky+Gb4cua-*<8A^lp_80Nm|^~1%B0tgsY~2 zs;hO~FDn0k6f7bxZCz2r-slV&C?-w~0pU&*>!rxGe(XB|NPRBfEC{2dt8Z@>5>$)c zF$zAIxoG*r4<%wI9HM=vte(5|Wv4qBP^f`vC-i6aajSTO5v`%1h-`=FMgX|eRbpTy z@pwTBkbl`G38BaDDB1QkDlq-;vmT8eEM6w5wv{Mt(oy{NQ2^vy-BLFoBg8)P`aBak zsQhURo2&0XWy+TtsvRTfrHkSq7iYI&nl$#$Zb#=0Iot8JmNf;+HN`ydkmM2rG=cNx zN+Q~J8CYt6>siE5A~7%-tz=+!<9w9D!#K z={l>ij8daw6<*M$thN6OeNI*BTWI5z^{8E=(`T$vKoC-V_#zNJzTdgsho5%&7ix#c z07-Lgy1r8Po6fJ$6I0sZxZLsb#s-Fl5`!|=P`P?Gwu3N{?nzt6U2<)@t`=HiaiQkz zrsnH2O4uZcy*h4Q%m$QcchI(Q2v1%TQZKJ`)Ns*M|oAZs% zccg1a1S*mfTHnZJEJ$|5L4R+T5h3u0Mi@GiXl$p#qK!)!DM4gtWa6Dl>Jfe-p>G|h zJnUZcPC+#o(jy5L?C0LL^CMvshj)571QK@>?G|euUiYio^&N^BREdEJL+Z=+kA%v`Odw*{P(pi>BSg5&%rxP4hGm?6nlN58&r3!yM_Rbx_$6W`l(m_ zt0>;~DFrYAA62nIGz@?BI#T(JmcNq0pjYn#Qvwp9CRNQpkm^iL_Y?AbUcWlf)K@G$BdLudD30O3k9;XREOrDXGg{~GZ(Pr2Qp;Zp@<|1{ks`s!p- zQ*^H~hasau4GMbBW9K^@q_UtIflv{LTY)m_Ua{JyCK*Pt)6w$9DE6=hTR;y?jU$haZV_^ux{euQhNx`JV^g;lTx0>bf0A5a7d>)5JdlmP*q7W+SAU zwC+K7LE&SvLdb)uD99>FA-RGf{l{4rmx6t7>ZuW7ZjGI8G0qA2lK zbRteG;U2{bZ3e`qs};I_0-C@_dFFUr*UO*GJrV8j2x-btFmG?iAJ+K|ey)M>1&(r0-E8f`x2a1;t1VW#s{}gfRA?QidZH6Zh z&xliwaXymSmRRKDQHSluM&-@V*v$;>Tl)soE-sfh;ju&Mno~{ipu2?~0OXFC)ha&*WuAcqnZ6n_=NTUpId5PcH`KpbfV`lY4IEIVA3-OShIcQNtV4vF=KmT&X^81AvH zfUhb#AI$?+Tks!J_^3x6V6Bgrx$CrSEmFb@M+MHO6eGcwN^04kWn*6p@T}s-4Wi z+y*r3Obm=16t zGh$^{06t0wmN}zM&ra=5@I~X=&xLtxIo@vktHgOuM>Rs{=|KF&VfIQor*KfSPE^^F zKC%=n^p~_(3!J>a0Kl`R4qIipHzd!SSkjqG{?~4fA-zy3O5MR9>j&h0F)GA_W6m+~ z%UbBWO$lEwALBjZYU~&p^J-fZyKTszkz=NS50g+`GY&

rOX)S57Oad-N*VIeAt z{bLAx-+$t*=|bdQ+i@Ma!)UqG>)Zmz_n>YdhujAuC&`1z-kWSj9>5A`YoL~ltdRo zvCP*4I?s7AdHTY(%Mz{+=(;iW`iQMU zC!UU*GxKGG=ttwXPpDMJz0_T$n_uMzPdc*)Cw?8hUx|u8<1I!nkn%5vyOeY*zngnj zQ(Zm}qilJ7tJ*Pa*MzhY^E*CQw76V~dTilbq4c;gNUyN(sbas^{h@!plxP{9|4eyy zgySLeO;^bB{3HuQy=(a9p^J4TmcwT8Q@dJU^I=Ko$EBcFSmQc&4MInFm322LI4B+emnxyrd&TkjWrGj&ON*b>xBm;cWZ~k9Bl|bol9-Z`n8dJU)3>#J z(HQO;9X)(M>IgujF*l(Iq;8rz5U9< ze_I5`r_l@yuP*sO>X*rR^2R9_+OFmkJ=yZeD!o;+VIaxL<@_mr+wVFyeFXCv8OVvS zK2oI(v00%ufOQ}}#O+Xq^^ECwWUJAdYzymR=1vW(<9R>GITN6vf8}JzCe7Q-Ce?q@ zeD9YMVQ0EQ#rOfe{>>ONZBzTOz|hyjEp4rBF2YjD@?Ku;;Jz{Gv*yL3_(ycbm(xeY zbJT>5=zg+~>rgla!M};JS3)*y!KR%PQ^uXR(53B_Po=m!o#WB`aBiX56d6vyasoh{ zKlhlCZm0B=ra)scRGlS{D3I$2I%MZRZQlMB)DzorxGg&U$gWwC?$4JVTnZKdF4&+s z4Yb0?&_~O-J)qRM4jq~v&@i^wrjV$Ji90|{(>vw-d@DXZ*cYaZ-7wjEe7!mEYdnq$ zlj43fkSQxyK6hvfca2MV)N`$WwBPlpYEfS%&4>rXR)s`GMlg@dCWl3(VI=rB$KbG# zjs3#5Pfj0pQr4ns^82#%!&a|iBcf8j)U|x~{q_r4S=l=KRYJs1WWs?^W=rvr@?0%o z9FDwQvHw>_Kk-8~#f@`ZqhYJEY)B7mhXh8nTlEMnPda6uYa5~Niq$P?p?EN$#Z13V z2cfiQ;L4WEW=*^{lgU_dBJ{$Y5gVvWJ-sH~5a6~QWH5c>VCKpnjO_8mmMy#ANotn@ zA5S}|Ska_i`z{?nziZU4bElU^BsoG6-S_S4zbBgA#4EDu{-P{PB$AT61z4qK zP8sIVIBfQOvzD!z);q3JpA#>M@oZleAVNH_mCL;!;hY$(|HQmk8W62%LslYzp#DXV zu(@V`P)25#)nb);G@70WM0vaH(>Y$OC$^I>ble2A0@-pj{M6QRp_HT&wZB|svbhW& zpAonS-<_aky?Y;nDh0yEl3o}bHhLJG+`#~CGy#oL*BddR_piMQM~uh{MQ(WFEUnH+ z;%O%gOKo-<5uWAltKIgG?rj2SJ&bz+kmTTwxVFi)<2c%J@}KrQq1xKi7p0wZ>=C2u zm-Q021AsnZHeO2)o;QCZjsJ#t)w!uj zuUD-Jd)6Eq=V28=MneExeMQ0{p*W9bgXu!@Wky%2UQ~5yfqy=rw&}2zDq=*hDv20YgheWaxLj!2UYm+8Oxwn&i;0-@` z`z;JU?{go%|L5)z?|yGXE*-y`nzG};eFWM1+oRi;tQTEK27>t6uDkUjWf+cR-bWj6 z$@Q}Gm*HJw&zE_Pq0KL`FnP1{bY0=?`al;WimX=WjaHs4mOso&8_&lO4-wXu&^HjL zap)fv9>`1M=4ZT0RAol&F)RyPYB=yoV_8PyfwAOqVdNe!`FBUU$1hm{dmoeg?Oob3 z`9it%d%JXgv~cOpAs60Suer>xoiNsXhax)@RKCYzp?>Z+zgGmD`Pbf)&P|%~lKzJC zkXXUa)h9iy-sFXjUlrez#k|HPdRO>|)ygwKZF<5UHUGa)qvWy$GOO^cUeDRPg^+VF;Z2GtB9|ydL ze=P2gnD&0d`tN=kCPa9FzGOB&Lk~GwO4u)yRki+*i!|lWEA4R61+?0=7JDDxkHf?+ zu-~L(RfRrW3KYMRZ!up&5JsAQ3$uhGwu{C1I9!s+V%{k5(jH}X{t3=F*gs+6a%S*e zYQ2xIW9YdyP&#|Z-5mGCy-SE5w#}&@6u8iE6&}7Aqx{hp z!I#V*aBR%P(ueeF)geO9*wIo$!vvU@mWR_5+i}ztv*8MDY#hOTRlTe2xGY^A5EZcn zu*Nj6UmAQjYP(|DoOdnuB-FeUV)^A-2OwRzIIzd@SVi)ivS>bp_1pU$7YRD#Q5at3?|EQ2ws)`^DPAawd%h?uPMD=J>Dw$)V0DDRt>Gz ziu93_#R!QH*{lnwNX-hyfFJ1{6o(zVj9P2ItVaCTdLi6Z-Helxj0X0|%@I}}0-(hn4X{~Ok~$`!3u4YHY4M~l}yrNX&UAWBm! z?TCR1A0KUMH|<5*yKjL>Ao6W^$oqt^3``76PYVrvlaTfaO&(laY>g^=ToET*X}w+# zSOAu21Kx0D7h5b=oT_ZM6aH{~9Djs$t&gY8vHc6XWPoM#SND#TK6SBLw*Z}d7rn3@ z+C{=@t92UHQe=Kd_$ZaW#k9>G%y1gQ;>D#5{l<~_Z%!}y8# zoBP$<)|b^KNU0~b<9))jCZj2>n1<}0SIaRoO$G+y(u&_uwN3FtmV$B|YZh{4D8{TJ z1$z+JS;DjSBO*C<0^>&l@Ors{U-e;C@!R5#RIzQ?e~G)PnZ%&YQ{2Z={af-P*2X~M zW!NQ6{kV%cnC0i~@PC=brmJNdO+x#L^bjrv9!c#QsEds5p+KIg{rVU#a?zDE@(1__}S=hvILG!&yDW*3uGVBZ<#AvmHLerqwR$CDE@@bwSY2v(vOlwBwb^+ zLMK^;Zu^b;j$5TD2gT{B5=D37FYz_I0XZhY0hBJc*rdPvO)s)BC}LnBu~aq5XuHbT&4Cn1r6l`Ne#s2$u-BgpkjnpOR=QSSiHuC{)tO38TD! z5+C|wJ8;TrOsp;?h)9T}9IIIRm=gD^C}dp6Q|d~G13#JcVI(9Ak>{SXoSN6HO~!5JaNKG#kiyyv})>X!t7;CBNW zgUFa)D~I*Qb{u@&*z=k8ECUD>J8h%0$7LDJcCy89C4M{MUhY?N`^dG5LxS|SYrsh- zT1(6%bqQty-+ZT$Dj^t)2r31%hsv)$Gv{(bviaB zRREj)Kzd_4{>)`7imucCsaH?%;}>{Z(_(>&z~KrH-+|YTEplNh{&530YV{7(*Wnr7 zKa^b?QOTn8pw;J}1SP9*g=k9Pv&%kXY7{eTe?-o*>V`0SDV+RHWJYlBA`g(sA>CVT z@thgU{UXc71UtZoE#q%3y07$^u;7o=$ZYy~8~RkT@Kl8%A!K5UF7lo?nXi?1SgisY zbr&cwqEDNR1_UzBW!LhEzZL#%YduBm-1O#IU;*S=8MFuxwz4zh1MyFVg()Bek(F8_>e#Vw>oSfQb` z<;7Rw7ZkaAU7;%rei&Kx*M!ZMi=1>gEz~O#WN}&`bYwUv* zx1nM1C@&1sk2Ep%*!eP6m02~Ed0Z%cbJ|l<dr*Q zjJ_-XyxG>a?|V+IUuj`a`lC-3DoM$K$XFX>mJ3A#%=eLVKV2w2vK{~U9w|90np|A% z&m2_)D4}ADQSD3mExgl`B1_i}N4=?X1avI2~YGD5E{!%KzB7u{7tBsN8eSAou(}mZP zq7PTc(DZoW9G3@+O{Qc=l)R|&qiDjRb?eDRCi|y$23<_0{I8}mjDq)htiYG&dPZ%i%&{UOr~L~3Z^BZ%aM=>>A3Wy zlxUhGeZ#)R$HYq^r&Pkkc*I`GN*JG*n3|rL6~4)fF*@n}%6dk{B&OryDG15Mz@<_k z%W(li<8Yl4O4pfHLnQ??ML;Nem3Sv@he7z=GY4u9n$2uW@GG=lpDqP|UB0w)_0zI9 zfSQoWjUf!+(_oAK!J_X@M^f}Cc?pY|xVHDQ`#p|^u)A#Mr9$Xew0YyElkUnUZO^Fh z8o^(UdfmBg&YrD2yM$v?kJ{$k+_S@ik8GvKl%#zIWY4>;gT1PHwr(^qb3OhpD$_r# zYiDLs(%s5pRD}8{g6)*`(3ze!4HK5yc6pZZD3tM#Dg@kTMwq_< z1h8pAg^P)p<)#+fnx1W`i>8F6reCjOQ%Lgt_m53UukQX)neP8KBBJ%p&(C92G4DTq zgV8~uuLIcF;J{aj^7uDj66u@nop=U$Qxufi)sH%cJB2i&;5~$txA|; zGAV+3P|~B##1k)L2;=FckvH#KyE<##pi7@h7G2phRa?ZPz<|y!0YJ_DZ+Enx9|<|} zt53D3G%NS?s6V|2`h03PV|0fB?6C=6OXC-GUD$Du-(Pcg=uZDeR zT>STD1QHkstCsqBOLIeqdPC19(`PHxzM4(M<|h)Kaprkv+rx?nO&eBoHgz3!DOgDf z#USF7ypn0Ew%^fXP8RE%*p8<6^J!b40+xRpoDX!px21w@Dk^DF6O_=e<3t z&E$6vdTT?N+hVxe@r1@jF*XfSF%|cGhn6wUdYtg62Kwla=Ad#0RRj{etdu;&9 z0(5lQrpo(D0<}SP%+143A^mi7%g~0d_Ffm!>`{u-OF*Et#J>=91t26~`@np=xmtAx z_?ZZRhq+eIX>GhJA5(h7qFZLY>A(ACa7;#<+iaM%?BMam?Lz0SV^SKck&U-aYj7Dmhw~qJ+uN_!QE-Bj5or$NCQTt=*%kq<3hU@=*NvLN*5-Ck}b zrao3udWqt(aHP@I!c$8@EJ^URsz;R0Q!@~;_^?s%I&w)OjxnBu=P{+DNb#zFeP-xW^TX<6mA8o(A`5z+Ip?NF90F@8dbig zk#&}dHGbB@@*!?!#VDh8H@9tfF@wP1MJ50jn(fhcS^cpcOT1}i50lgRm~sMfZj--J zvSaQJy9+@WWr_I?7&v3{nrk20J>p`lA{(suqvNspt6)O2n;REzY`yCMV?dn0(ZuB& zkA%W6wJS%m0p@GgubO*2VZt71!+;F$KNRP^IiJ>luHUfw3yXTaXY6jVYQ-N59xL7b zRIV8>hM!2CwGw*uUA1Z5#!o)|T%G$L2$Dfusl%pjvzm~onJOc!rGo4d>#?+;bkbi; zDqiZ%Gn;$v6;4rG~VhM4L=?} zazFZV6e~L{y|(Y}#hZ^_LB&4-L4NnzUS?h$JLW58<(&IFLO($skEq!{jERGX? zt8VF^uK@`dJH~J@`Jl7w-@o0q>*R17r@a{xpoMCb1>|1L9N;}E5oPm{7F}Sq1?MJN zp>JsB5KKJl5nMd6|JdIb8)*uL7(AbOaM+VvP_h*zMOQAWksB86F!4d6{J@p#4L<`F!uGt3Z`w3MM#BU z2>xo?C?_9Q4Pn9x06C?M5Twn#>G+{!NiQ7!X?^D4&Z^<(9F#6GYY?UG0xsS~0o;4@ z=GuNs?`+3%?;YJ!^N(C&`Kw87G57cz=Z1cZYk^bC6=i8Pp9BPxbve}H{*&5Yg%QjQ|+<1z42JvbR=awsQES0{4K*UQFw z#(OzA7J)GHubG=h-g1($!RS16`iSW$L6CBs+H1>9(&7osvkf;3h&~Q&A;it;g3z)| zOl=!uQMvF0Pz2(y+9i|L`7flxcu7vax|qjBJ(jxXOai0OMs945#hDIgEjJmTnbp3cZtq`^ zBtR>qhVC$1wj)J(-*JKLd53Ng2j>9k;*ER5qelnN*`)<}gkb7;DhqmhwPVqeYZ5Tq z5TvItFqBE}UFN)i>EYMI9pY)VY{hsZgq`BZPz0p40U4eF(`;ODf)|qJLA0vA{W*+= z5>H#8bFr~6bS?#Yur?VF6XQHN1%HwmODsIj{RWqUROG-oqgpUqC7T70N#4dd7e_Z3 z*>9?}gtQDn#AM1DT0N_UcmPlpv%<=_*9WNNnL@29F?f&XR%xZG9|$s;KZuM@h|7p) zAu4L2~P6#U<~vTm>P zyABx|poFT_d6u4+bdLk-etgV!UyVGox5r6|SQgF$Ee&52MpIrGUcben2n_T@&MZUn z)oBj|D60y|wxpLWOloaZ(AfK>@Jt|%;8gfU5^U-wv4Rd~`!=m+*{tgUn!s@YspvpL zlX@oOGTEQOinx>gJ>enY#Vr7IT$u{5(W!{&lJOgGwAD6_UjP9V{%TLNpPlc8lu*zd zar15JpDJ+kPUvqJWZ0Vf!DIbSKjA6CeACSww%%_HIm5&cpHC}3Kxr705&k}cO8@*d zlW> zj#Z=tN4*Vs)8zR31Sn5Rp#>=lz%=9IP$(5bb8}(FIM;1zDJv53T^W|#-z=jts}i$kW`=$*8qv?Wh4raw->xHk!Ce5d=y-3_lr4cO51`Lo8Uetl$&P}T2pV;h>YXw#K&pl`IN8(RUo0eH5oz9ga{%&2{uV%OKCKz?7}fBjb}%8x7$@ENo?d z3%!ufUX=<#tW(_Ua`0rggm;IJH98mSnhc-R3LevK&7ws&+D>-0AN+}d*`{1^?CyEd zo`{ox5aoMZ2eOgN=d7Cb#}uExJT|PEyMNmVR@QHOo9F3`Z*5qMuQOr0WyO4mO-i~A zurR!wXRydxf@O=_7CKKBTf$sif9G9{II(d&lrwUneeDaXfy<=9-e%`$gc9?-4R_48q?X@;M9Z~;*Z_S|Sn53=aVBFBnjUU1d-mOCzA{Ei4nq#?-6iITJ zfmtrRSsg!-0Re!raVT_gJBV#tY~<{ypo)X=wJRg36m8pC4ArOFSS6H4>8UQ1l5P z(L6F;27c>O<6lvIF|?++M`2_;^rXp7mh}26N|aJb7G+ZwS$mu+K#ziCO{IoaSk1x@ zG^F~toaE5Fca&YZyXh|ao{EMvho2S&O0~$&x3nJm2pQyL;>E9}arjV)O)EUZJ0OM6 za$ML%0H7RdN}lk5QQyT)SO z$;nUFv7Z!3ri_!)>TFiwf0#0)%%+J31ZY&%TK11nB%_1dGA5elr zV=$xOTS;0$03qz3AOF|ZHBB>7!Hb^IB8_C~*WdRCGr<7KM?D;u26MSeAFQS2TPzl6 zG8+c4jL`H1D_Qo6(V*{#Mf}Kqv1^^gi4i}|Bo_Kw_Sg1H1;%#pb9aX$a^doe;DVyu zw|t{jg~Rbb;sQ|R1GN15PAuw^`2q6P>qYvjqj=&FzuFPA zDcXLkz}Svu_c-Y$gd2$^p7V2vYbm1K>%3rd#oef{sJa&vvVo;_ zjJ`MV0I0cC+DcngZX=>o!Eb!6*&1z^D>!Kf1Nzw?5)T-R^eP4E?GDbHDLN|`DWQN% zL*_S%S!Kox(XFL12np&w@S>CbzfcplLwbz8U_4N0)SqSFYINNJE2o_lZcxJH!Uoti zVAH4DZBPe&bNE~qMU7waQs395cIM9*EaBp7SGol_QE_7ooMU>l&>>JViGxQdaS|jtiR@<@@0`0X+L8Y z@<|jNT;xun%TlO`zw_UQS#8HAwkYS!%Q6(1ppRFy_$%T2)5h@vHU0074I6EtiJ?c@ z6e4pkE><#gAwODwl)ynhhi+wDBbs%7~KhqSExn5n})7M%ljUvrTbq{nPTN4LMV z@`U)Wy)Wk&_X9srR6naVWPG3&E9F4|HkAYw!T^ezC~(HT*$1I1VE$LbWd%yq=diBL z?C31N$xxl_<;NtnT+1d!!MFrY5fJbdQm+$(mw890M237xWBn7tbUy9@)47`U-A9g$ zbcgK%R*1I=MEnccDT@;OGArQN+&bz2jNwT?W?hD$xvGM$HQ^*(1g@fOmUA4xv6d2oK zX!X6S?tTKiTC|0Ev3IGt<>){fn{eo=r?k1nOTw^*pzwV&AyF`E2Ja-#;K| z`h34R6aAcy>z*zNH1gCi?ufK!SflDMI5{bXWitnGQdg_lt|3@UjS)kt-A+CI)$Ezu z;eik*iW^})mrOclg**klR?;p`)8lDQzII)-=HdcWvMd9WW;)D}VP8_jtQK#m-Rx#E z^c}yd5@!UIeajLG6hd=K*c-6wCe&ynHKYW}`^%PC4 z1Z=l+$y1%TqIW{5m*1QUGSq!fo299^q(O^)1P>_jR|3F@0Xnw`5C?EOHs#hzO~P1p zO%c+o)Wy=}d_~9X0Jz_7yw=|;Kxv1b`DgW9eSd9ipC7{U5awQA^X_-hD;27mSvKbr zOkFZgb-YW=q&X?0H-E15_H$ONniM9Gdlo+OEPhZa_#-5Cd9kkf8jTO9#lB`) z`sDqwpQs=`Srlp8V9`C57Ln^nw19>0ha0rhgvWm#~kgYW+7n|EIQ;+(9zl2!I}yQ~=)05yT&UR3GuUa+NDV#U$HLrq47q9BS^ zDME&pZ!6W}DTB50uRW-<62;5ZcU>Ikrl8OvIVeZT{%K&dAW;vnSm0&+SnDqpppwNE z?4j+=4v+?^;w_7FvH{bIHa^P=#aZumPb<jU>wDzYlF~*zmxKkleJ?{Z`H;8V0hEEvn{9>ieA!0xr5u^|M^e$s zjio*RrBUvVMmy4CAAo%~%(7>I8o`(&@xOaB#nyenfsIRMj~LRqP1Bm)Px?+Q;r>^Q zn3p}1-j=H-FT89=1|2a_I>u~l z_i|)$*XrfUI#?JONDPWds{S$C!@Ax>73H zNa*_GshN;U+wJK7R~*jPlA2PI?Bs;P7wqUV1mCUu@tHX1I*}U1PYC0^?^x$H<`E2s zQ@n2Nv?d9v=hSEeK;)1g{HBjp{bRW|&Y|Jko_1}|&b5vaoI$r57VHc9Jr_254dQLYSRWk)MI%uQz)L7?FZe9_hyh)wNK@v;_A zcghm8ZG!L4{|OssG430IrfW!QsYzNmGht&bO8qItEJ#Yh0O`RdKneLHr5$KkwC7uS zE8#RblG5T-S>*@$vAVsjm@O_djFIgbj4 za^Whlv>uF^^cl{(N#h&M_I224S~RYJ9G`~qk5YdN{UJ(mA&?bq={eZq^^k!YE&{@`|$s7kaq0-V%6RV zww9Q}2vuoOH(N@&`Qx5kq#QsAR`>?>|U@uTGF0zu7e zS0SOqYVo{b6akAQ8AXb4q${AU!j>i#e@hvG{UAYnuBxvIoK z;z1K_s2^hC607wY+Bdf-c(&s&V04XA+fmseCuYGRK;u(+?H4)Bs^T@=Nsd&?h)r}v zi<*x#Z@vIHAKSSJ*r`dIRdirH>iMM&rd;iNsvwskO?q{9_2|wGE0nP@Hj!A@pMEDX zF-4V;k)AG(54b$Ntf57zdFg;>Kr*HqekfN&QX1e+o_Z;Q%p;xtc#Vz+P!Q|&wlG)WR}_rPz#p1;ach`)Q^tku-WNTdSU{reK@ed*$xNI z==Uv4>`NDSPA85Y;3=jYv$a~$g`c3f9ktX%gV!b7MrbZQ7`V!0O$OlT$)-co0q#4j z<))NhPj)l0soZ(e@lY9tpD-|k%qUPsJUX{;bkQn%?uSUBP%@{+4s$rXx4-6XsJ-W` z836#8N#=(Xq(((6DsZ+VPGH)Z(oOCIsM1HahF?>Dv0;&3?*x-WTre%aVsOvOS4q@a z1CNw9t*&@<38%m%s~T^!c-9O@R3WY$rtk+bZVNl8cqY5>P%@v-^))HgZvN$@?3*UT zSWlOeq!l;J;-?8^i_D3OoVZ}(OhV>rK)W(87-4#`HuM|fb{w(V3piIu`&6p*Fq zHetuGbA7BUkKT=@ID_m&EP%>=mVmESEul34ZC$F>If5Ejt ztgDx{_88qj&9U67Qw{2GP_j7C$;!`FY;Z#l9ZYaRAX+zSZmDuxk{BY9M6{{-rQWq@ zc!v}~k_U~yRS`!e(^`-N%j+#TuX zW1yV>7=5}A2UG+A|Ee?+fx+|2mzwcGL8j_)Ot#E}8mK&T>GXx9tFrQ)`VFa7&*xcZ zL%hp!s@$Lu!0I6GeuI(~_>K$iXE8TT4@clwlDL5hCmRBni6iqeSKGd81QNZr2% zALf~DhIx_N-yrg!vbNxPXEFRN{A;^({(G4E*X+g55B7;W{fv3Ne&(w5R~2|}_49UY zyd##vJR{gPu4SFuoM!1g?C)+YVSG^eQ{fT?{c8G52%Wn*sr3Um zVczw}@w<9mo);WDYtOy$yWf|F?7gnWUbmIlI)kLyc(!N3`xL4Jrqkshm%FbAm>mkn zziw6Y9@&DnRPaicRht4pxwTZyx8kp_{=>7I(tn73-D3(-bF-)eaKcja9m0UGygrUQ z9ImpFyd{}ZkmcuZTLg^RGRG&j^|SQSA0Ws$>^}1fd%OL}Kic+v@5bJ1XgT_gf;1|^ z&G1;5waoj66aLq&TeLj8dRyFEP*0PxX!fAZq$q;l{SO3C1mZ8HY@)eW8tN&bP2rw~ z1aK?WjQEAlB{oS}tY|eTZq|Tsy~c@>gF?`f8UTv;^Ym|D)Nnsb{g5H%_vhCfo*3F> zTYS4GN%l#QQ|_xg{2%Um@1u_&z3?ybvbNKND4>Z=#vdTStNIoEx&S9ns$k4Why6NU z(%;5*=%&#zo>ntB93~ZA*$O&`PTk>VGc*~&0$5HIZG*i|f3K2vkr$wmamQ`8scqGj zK%ld-(Yv;C>02oTCQc-_+woTj(r{JwM{OQel)9UJ3=Fz3+Cmtc}{zC8V;l{ z0`O9WPP)$Y`hC)lL5%m&#q}GwF+5z|ntQgFV1GDt1Vt*#)kTv+} z;|zS$yu_h;RzaE2NK6zBU$unbVbd)so&SX)t;)8!cDR4~lIh_u`_^i*=7q$1pp@e1 zyl1Q7h8)}xlX)^IZUJ&0#Bv#{%onP3va_w>ng^&$jHB0x6w-2LEA$ve_7@pWhI{idXxvj@<1TSH z!l}`N!ZNoV2gG0nQh+_gpEam|OIM}-+t`lOdS<{`MLnbR!FK8uQ3fitXL0*oDZ-TB zd=ly84`at!9+qknuj1hQ4kd3=7aZC!KjtVX3P=7_q_rl4;hMrYY<6eS`oS18r&$#^ zA=J_;mPwTSrc#6yxK76QPmFrG<9<1{Y|A6S;Wfjliul6oW*?(fy` zK3kv@*qDIM6_4xOeEj#Z9V*{L|AaQSU6ihm^#QMAu`7tWrrxM--us0@93T|dh{3&` z&&xHr&;Fg=u4O=ON|7z#hYnvTdxF$8g`3I)mSqW-vG8ld;%m`6z-aI^VkAEd9a{N3 z4(Ak@w4=@x?VhYNpZ`9#W7WlYx82?LDMZ(@nPwE$<{bOFk9oZViTOVaN3c{JTzxr0=-OiPH75`}%eDNX07eMD<{t?4xv^s&B^3(9NkM~4 zp=PmA>0$99QygU*j#Gz|A9$3ytGUo3E2S)O-1l>QMqh+oos9EV-6EjQ5u4x6c03;> zEp}}C5cdevtBQ!0jma|(~H$$un;dFyLf#kS;Jx_uPAxznH$l za(4l-9TstM&Fqdd0O~v<^tZDe9u=W_?qxqWQm;xDfq0cLWMvtr39lfRk^co*_`$u@ z$DFM@q<-mCANz3%Y)Z0lo$+jxlm|=>1}t$d?$)jS3ThKz#N3wp2e0!AM%dxu3j0la z$3RZ^l;&?|I|89m`Zg}PCY1$ZqR)RK3VSVyXPViyIVZ~SBLHWc4$kGbD8~@nru-=Z zslt6KRyRrA=;>FJkbspTGskXuibkp4A1G;_^I^6?OgkK^i}tX&%Be&Cy3p@uI~;`I zsLK`HcIYL1mr1Di4a=$g2RKyRne7Not%$d9xF~n0`#ByBdFAX)tL{mFAsyPK9qiBH z97MJQ282w$f5)Li@z*8X-4|Wo~+O} zT&rzhW@SUXYa!&5UJBBGBqX`tl(qFIQv1K_$qEGMbuMM^DoCH8*?c9kN{z?D&v+;OYw=nk4EuH1k!;(+VM!&O zV{kyKuDaBSIP50BY1`^Kz`aTl3ROE{sTnc2@CxF#qMb|@=99e(4coCCwa~)t34VMt z^!m*5Je|l>nU=w9j(S?P_ZK{>nP&}b;^mE)(w10K8q6=e&#iKP&7nfW zc4+X{5{Hs+Sv-SS509&w`1?x%xW%?u|4`HslVf#6M=Eigt-Hyei09bM+l&kPdBKBn ztnwkwI|w@gJW%3-q|?{QW)_#3Y)+4aKQ34B4$!g}B;p3um15(wXl^lkd}NZ^NMVB- zNG|4A85J6~L;0hOu@>b&K{SC-f8q`&+&L9{r@mR^^YTm(p7xA~+vl(^9m+o-U=e|Y zdF+@6qU>sY!AGD~vZVMV*~c1H`WKOST+fj)*iGgu(zQ%-A+J1RYtdyGsizRqi+Tt} z;rLnRw$IxZu>Mo^()J3IkUHr~eLv+n+pMx>Mz3n*x~gX7Xj-jAkrEThE*U8?0q zJ(GXF%>vRyamCmR1M7LY=hL_2l=&-L7#5L8>fg=|qJ)KF+EnXP4DnX9*a*u;kF0Q< z15lWo#{fOl#gZq9Vd>*#JwD}owL-&oxN=#QKESH}D_&#$S`R83aX1Obvs>EU`j#XA zO~ZA}y4@vy$8>nzvU^orR<^|>3NTW5nB^WEQKc0yZCMEk&vJ%FMGRWJ%+B({_|A|% z9yf)l_F8S^ZQbUtguWI?^D`n&n^6-dSgo(xS|5_<8$ecg*ba|Ff@wp|Yd_P&Rm&s> z%7rIaN%^+3tFtfg2RA@-!s9j>s66A`&@YQ@q7nPhILVjc;ufw@qiR&!OG}Cv7)d0O zE}wG5#XWA;$q!0nfi^A%&#{cVRBb@8?84va+Lg9~#3fd1u>gvwIC|=Y;afwl z@6q~v@*+Ii1IqT5ZS+QjiNJ-2?F0g$V;nrMarnA3`i#0`11S1P8`IV&MN-cZ(W9Te%dsCYElrQFg0 z@?IB^DF;&zmu-2U%dCiCc)LEhv)u?ks$S8zFy`Om?^rw*k=MtEYe;y;^B6RA;E1ua z=B_;C`zj7~Sm(b=p!cf%)}z+C8r|2X6o=Ok@^dyrVi~kRZ0UFi6Snl*JS}B$Imjwwg>UVSTtTO=N(B~?Fa z(}J4kp~Qhscvdo0MVF7}dE#h?ts`W^7o;>_CmX(5wxa3D`2(*}yeLzu{V7&XN*ifL z@W(MQ9vAZEc)iiNeOqkr{SgpfIe%36*p7c}BNvyfbutwrhxB+Lp^5=YpLH~DurvzS znCY~R>O)uOwTY1Xz9igRRbuEOaja@?;(mqynIib;nD%8%t(y&KE-@Z`$@kW+tN$F? zG{?I^3G*tg#+^-IxN~)@b?iC5JF@;dMgomGn5l_==u$TXD+d_smH<`hE5~k}nLP3s z)8;xeZEf0|9!E~@{eq!A3aB1zjI03_i(@-zt}TU`j?VR<0Hz%*+whh`5bOD)LdSMU z8*t%&x>P@y0p)sZ=>fh6+vJ~DRInLv8xRXiYoej@LX9ftI23tgDAKq4T|;97o`VdE z*sf0k@WZn1*92a zqwp)FY;Ww_F%~e-6zM;y75m0YK&m;0wUvkv%|d*X4ZZ=s^}E5-=)Tgs^HMWIKo-T-gba zV$-Dhv+aay%yI-D0@Ba6Hm>t`x(*LAu6}&q&U#TA11>o1A?BTb4HTkt+IhddwZrho zsk|LU(@4ItSjYij*)n*grHNdWIog*(^K)Wg9oJX`(qwoej$c3CtxyneIRP5Z$cIiscJgKb&` zaO(VZev{^flkE^uH!4~7Ou|=D>v5&JP-@sbbBj@dthyeG%b}e0XA*A2ztv5SFsca# zE#&w|R1af`k;%ZN#f|EpVsdXthSRo+$L8i&lw;zTWW9#i_SCo{UQP{LZg&^z8``Ak*nsTvAF3ccvp0 zjJ&Kz!B^r>^K#F{OO_W}w&QQrr%DD*gT$z5)r5FGB|Tryr0i)ax$*;5nC(; zkCwB!lUT;6+5`L}*2h*NG3snoVq*-izF;mTLxAM)>4e4RX6C$}osghW1syBot!Ef? zXH(8Mu08$X$hrMXOiJ}>UUv~(*LhaO-tSKh9$n$j_=T;SZBisFQWd_Xz9m*VZ{n96k4`Jj1bYy zwBkJ}L@`eN7pKVi1Ex%KmW+>(;aoi6G}I&{$OhnQo8nhZBxdtC98P^%zKF54LCY6{ z*3M-q0U1s+Co7xsN_MS+VKrcP#1{<5UA1=&WxjO0$tqKNcYX1?OhT;mUhUv*)h~p4 zt!Vw0oxJpHt8cfbuJ(3uE>-$IW&fu6m1~3H%6U;8FC$bS^m87t2KA!pR@}kG^}OO* zM;u3{vZAFCqe(BBIiA2X?=`w2c~jwKJ9N5fW6eq(OV?(`xz2=wQ4~j=@H8xQ_8Y?r z6JYZW$CGdZjjXn*7Pb--gVtY(fmO|@nCYl!hz6KyGCx+uuk@mig<;WSDxnrNcLEq) z>R-eBAjkSPlkv9Qh}~RD`ERd}%&8r@VKV(~(9piY)a+S{s!ku)MZYt z3qq*^D8yqKV#NfHcP%>jr4q&PGz6v)$BtE{J@PP+NQ_G#MMx@;KFHK|K^jMosXjmch>Mi_fQK@}I$#d@?1GLKtFLpplIKk#eGuaz$O)`{9M7N+!@*7in3 zt3_+Q1ERzHXL&Yj*!Ux3LC215(jWe{D0Sxi&%6ts{HB55oC$#p-Tn%N=D(fpgrJNR&T6)!UU7PAHvS`F`$opFW zu^pHE$#+&26G;(6iRsvMgtj|WrsQ%gGE@}I4K}ath(e*305E2rW0Q->u*+M#^QK++ zIMYtNJf(xi{Bg%GqSr|fT`91_+2c(vY?#ZXcl&$J%xO(lyy<%Q$(snA`)7d-(%eyy%R}l`P9Txr`$@cN#i%F5Dz_C zXxR==nMNmnv7J?$%ld0l@%?a^(fC8E0>@W$9&|kl|1@tK3xn7L4|TVZ6p^5CuTf*( z<4gUgX7M$0qzCCds+hA3&Ow9OlNZ{xxWTY_a~;p7;nVF}K4K_-$FF8i*crIvr7CG^ z($)!E*6+G>OqsUr-(cUz-HyudE}FIu!S7H8W-Nd)`?s@aPD0h&RU^kNc^1DUo$*`y zFm3zjsnf>Ji+wzN+Kg$}!4KHxxTBTIERzwqDl3+?Q+U}9-zj7=JsRp*XMeQ54+n(y za43kc*w@9r{K^1%-rvH+(9r*{p-n0xS@*y8t^=&8s|^PP1R_&G86qk;QE?Vq)c$U9 z*S)LOI&jqWTie=Np-$ZTD`MTVsA$!?Drz0LS_hyYf(#MaBZJ&^&VSDcj#7&xVZ^*X z4+cVVFS+mi&iU5+85v7#SF2Qiw5q-)52zb+-rY~Cev(7WESEaR(eHkVWC5PdcKYND zZm#8_+Mtr1CeAnraXVHA_IN^`CUe1XAdkV0HmMe-@j;&4blBVz6aOkpm>t}#PQXk0 z@n{Vdmv$85$Mg6n54W%51@^T+T)V^G-#Vc9?)R3obQ%zflB1+^<=;B9sXzplNC;6-%Y7p8MHn^5^`oQjczZ5>|JOfW zE@iboxm_5@XKbqPxh6yF<=z#Zb_l5dz9fhhqg**6qEE26nCWX#_ykcclxio~dJhmfl?`tU>)?WatDA z-*zJNPIq(7)w<4_~x>5s!LpjH~ZaB`VJQ;AjU(pBIyMz^kUu zSrp6%P!|&e)hJS^R2IVYUA?4UUuOwS354(kPN1srsk5wS;{qYJG;Pu>WCi7Cra!8u z8L)J8pFnD5P_~te8C9dfk5T^?g)XIu!l^Mlq%FLQb?*oz@jJAf6tD2KQ}@LPiibU{ z>-~^RSfRVp0Akx%9E{_I$YqXJ9YW!|%*xv@7LIs!xPeQ@nNpo6+R5Ln;ekYTac~7Y zl`KhI;5M9sRgJ8C_hoVKUc<@XQ3~p*4WxW$1Do-YnDo5X$E;L*+5u|uAop^emEEv= zN?2UKpB9ftDr~8p%6%|g;yNI%WG}9Ue!g(gGoScb%#YR_FZd3jqyy{c7hIi!T%ZMkPl{fzxClsaEaVB+v|F zHuZ6{>XwC`V`0{IZ!5{Ldj*gLq2JNfKxqH|yAe``ph)Uv?sA|2kdU5GdJp9vQ1NL; zLA=T2`PFPkKOmn3TKdW$St|%HzO^v>^eiLrIAiyZ@+A1;faH-*n>b#B6GK{_P`1K! zjJU97U76p)QetXi^9YF<$nFF*G^^qp27&fv#MBL&_+w_k{st!QQ6(vaDJ3cm?eL9P z2$iAUX7z(}MT5YgeT!}iRz*y(z zM|KQxmY5kys!B``Guf$^m$bDoavpF2r`&XqqZW!34CEPK*i`jbL7>vvU0sQ2M>F%u zQ)^Zqex-mToGXJ80c2Ct)_GaC2#Q0}cpUxV-_8#&{dx1HOQ43QB>)B}zn554F*24& zB<2!HkAu_v>RDRV_E{D$`Y8gZ3)Mu9^i~uCnEOX}>!t@dB!Hx|kU^!P9ocWO3@6A# zJK8o{A77}1x=mLQC`oG-d^Xj^x@#zk9@B8@TL2{V^4P1@&5{k({s(YaKo&4p93_$} zRZS#SBxY5NC6?A+Js02OXc&dp#n>2n6Ek=J>*6@zE)u3-prEUWL8YM`1k^7SciQ(S zH!&R?mQ5=tbqt1F=|c9{kXq7lmoxvtts@~-?icCkd{~@*T}dI37DD)WbuvW(-@%3P+x+ak*Jh)7dE!Xx;!u@|cH{#fxp3KUL!=(Fufq3` zmM$%Dt1gTGC2Z|hwf^#3g|PVk4s_kJr`v9(g)F57GHASL(^r{RGZATrni$P}S0-^R z^IFxh_7^|2tz+`f&akU9@)|=M&UELpRT|onIz@;CS;a2}NkKj~Emp^t!GWbljRye9bwEE47+kJZ)e4D!ikKaZV>2x7+5sq8sQq|3V*7)| zt*rdErK_;Zf*NR*y4pKe8rl)fW;!$k#qzGqYHT^;aFVW%3P`X<0NB)UzfY@YKlD&a z@mGU~_o{Ty7fMrjQUq~dh{i5MA+Doqb7bu#CX)S-?CBUmU4Em3J(uucRTrD)0kKMQ zd-J3SLr3PW64CBW2r%RL2y3^gr}K*DAtUI#p*H=OA=Y)Kgd&+923sF;ZIGr354jD} z|I!tZv`7;VK|S{Z*OKt0+87sF@|epE74pW>U6K$Je3TxW7Y&Zl zMpHgM8A#l375<+~2A^i_8>2rUO?(VGRK#-l>vU45vW@DUz}ED|6*r~p?EKp<~h_cr<^%p+6-j7#+d zM!i^(s!Wd34e#QKuLOANq%hbBVFP~Ja@sCWQ=@$a=O=iWw48KIhI4h=a-szFVZ>YG z*~Z?^*8TS+Xh9vegs8lXfD}%TiV&X`vl-p7;(AQa4|$1NC8W&u~#Oy zv$Y<1C{|TQc8;LZ(vI*8ZJ3n#zYnig&3i`y)Lm5l6#y>p6(77f+279Y)05)9?SMo& zBN<0nZX5N1RP6@N=+e!r>yXVjtB1;rQFj8-5J_Qqtnw~W^XNw(`}J86)#nA?@)fe; zk9ZYJoRX+PZO<}`dPd^?9#io2Sa++MO+P=G2}uE-CVvAUieoT+TX%PB=gDW2RG9rb z9z^pRDlP5E7K!NAXZEHU4hg-+(A*+lX? z0B{9K*X`4uWuP4%PnvMBzMR0M&J87RC0^xC;}VmTbC?Gl%?K3I%roM3!q^s}@b0>J zDu$-wvQ7-PwXts(7y~)YbpH_T3L&^M(cMy7Z`H#*6|Ss-?-cXYE-EkW5Z^djam(@Y z^Ic3Vyf(?oL>>wkLZVT3%r+Mjhc8x|NF?+b?_{xw&?D*^Z zx_6qGd!qg7roE@vZrJ9heE7{Ck!O3xrHiX{YO@z3_rjzlXCF-N*!K)=k>HZFP|Uk2MXqWJ0eWAbWYb>=#+xT${-`e zH%wvu{l`Z}PhT4M{3+>~fG~Aty~D-)o%pN#+$=v&drLc?FLqvj`uwFF8?slo7I@Xf zK@1<@Rf>$bduNvpscTuSX4Apz9@7s*C&euP4!xlrj=w4(A33*OO{?x(B2rN<8)c(_ zz`o~T;1U6l>}bq?B_>7^iz*URN!_c3VI~tOFHjC3#ZJ837aM(>H@ETimwk6!i-+=zyH-JLe7gs7W1Li)!9o#>@@ebnw*Ki+^0das9^4 zTM^f;UitlW*p9UeCk<@xZewBZ(Z;v`_eZ1U>i#4Mp)R##-U+>-9Z9g`Pf?Y8Y*IUO zr@@=AWIz^APuGoy#=`875#z>;oj7Lv=+7rk7&HD-s-zR75jorv!{I0~4jlsq?XEZ$ z4VvXaT!$n9&yJ&~roh@J_#_!JJc5UjT{~PY#xX~kHf#>5K92ArJS1S+;7{8(6E}Qj z#x3@BJNh$_O$`?r;QywY*7E+y?XTY z>pytZgem^>1A~7#d*`{5_*Wc*yx-S09R^QUGXOR5NTG)SV-EWNr>151nLkJCsH}oV zqBx}P7*dy6(XhcI_4ho{h2;^a5ZyS^0ZYcO$n#056Df&8ISi=wz9DIf1n{);=wbsL zZ-m!Kd`MlyBJSn#GLoLeJc@c4{WvZmIYXgdOa)prh?2mFrZi1qpq;kC!@O%DUpA@g z-rYYW4ppk5RajNjt3bn8TH96Q^{Q~F^9lByUDG9dAM`)B$8-)?Hp3Ch9{Nl>C=@1z zuNmQHqK>Ex_8jwPB+9wfT8OJqwUeexQp z^}SQ4^E2(;6%`D6OFMyQ1-d&&vDM^<`zJIox2o4_^!6y^V(=ua3OHg~v;h>!%k1Z} z50CuP=zS0ryR9ZE%VG{pxj=7eM*zrX4iyS1vy;Pr^tCjzb80?uA5{)}C0(g8^N=J9 z3*{lwDNx<=Q0j9=UvfTo+N08`qFQ-EZ)ry)p|D^NuBk*B^Fl>-+>y^+BvKoz##6RL z;uVa9OtmxTL$s~;tAFxHv%Y4s=j5V1+QvZ5%w`3K-qKDzlL?Cg@QviDHpzTciW_y>BJE=PPNf;5)bv&*y%Ct{sAOxCr{Yn z|50swsj0-F+sqADlhQJCWn{7$4XwP2$G$!$ht_$hW3A{ZAn>Y!%oooi4lWwxSw&)N zD;b`piR>d!x`a}E>YmbGP_e?{gQFcaAt>{ie16Tij~luite_fd0~?mlz%_Fwm7o+hQfNXy91 z%E^-{luD&SE|V4HWxjlooDdfi`RC1uvwK!AoY2e1uBu73nsuAD9Xf5*$ru@gc^m8} zrE1nh9gK zYyVM`=X|#$X!W{{o3{i9Z{D~rXnDY*Z>CQg*|$?m&)UXj)vCLAHgDad--I8w9>1SW zS7iY{=vw!n25}GkK8ii2eY1tv3Y7E>A0F+n1qs~1ikJGfWp zkK1`S@pN}}s%~#;Ap3=)&SH!;MMv@G^qh`vtjb1yVuVjKeThp zhM<+p0+;-_c=7j(1D6GD2;Q;pms6K+MJJ`>5fQ`|k?J~uloN5nqrtB0G$`B!kY`s& zMi&Y?5J;~u_~2*7eFNIexp%02Y*jFAeK*gCH=~Dwd%Ze-Z zA1G6&fEP?Q{!N<8t56WpS>cc9u&BhUl;JFk@QP(K3BCa});J zX&a2VH#^{{WXn9wUV<#8}+G!gi?V#d+&P}djheKB4m(g&J zYHh>Xr?nxQSfOE{otA;6wfTZ?ZChV@zcxt$Ab~kyZzG5OWg#I{zA(^E%OH(iAbGmf z^A^>@E7|LCbs&GBfkRLhku1??7-*+;06Y^iccPKomG=quqS8R+uKIS%vY{^8$txBN zw9_(pGBS?imGjK)LqUnt6#ziKV1s?lRWcyxYvax<7-*+;aI|WQ`_W1|@89Rps=*5} zlcWu{DR8ZVzHjafw9^@Qc*s@SCqjYyw7DWBC=Am1PYU=1q6U`t>&KQIel2x&qjJGO zI~_rE5g2%MkSq=b^1%Sq)z*Zy0E&BOn!7GSNv98#26EwN}H|T!_u%8TBfP6^CW_j|7c(w`wzS zPXH*I{yX(?Q{gHCCg!jvG~0K-5kxE51#VV{xeta$HXTlJo)qL@ohg%>)~bI ztp9?uIqDL?@>16w(Cn@=Mrsdeiy zOAp5=sobdk=fsL&pk0|k{`k_7HM58JY}>SfXQO6q`;GW!%aO|oGL9CB5*cd_dmiF? z3jnTJ#v_q|mQ)KW4h*y_BVaX6UiLCR>dwuGYu9f^M#beI2LfQtPmVNYbA@E`7eik_ z;wc=|JHasn?aBps@*NNa(i_I0&L4ogGUTX^o#oKbMV_dFQc<*WK4C4xK)W&mo&o`A zpcu;sLv?e8$gVAV3CN?pGx4|( zzT~d_)1~JwUe4ryYd&>T!Q_2eP2(UeSlv7F#`%lkNg^Meo^twD=5C-}c|kN}=|9ZK z4q7dTK0X5n4vT;%M}>~MG5%my!+3EC4r>wIx9_mNsNA^rBYksZ4YVsaAfMvY*dyN` z2ZHbKI7e|}#LFdfdLBz}o}z@x9Rn`y+P*C~K{RtNQFNr9{=h)H@&eM9N}TE&7{|kw z=Wy!m{BZ8pB&WkEjbkAH=Y-Y!=FXkD;JnCBw^)OAlw| z(nIeZCDNO;AT9a7fHVKhoHOUlx%avE{+B24CE@#aS$pqyt@m9kP)kFZhKiMnjEs!t z)=fnnGP09%!23kXr413qRR;3~XaF$K3+SxRBPF1mN-K%UASMO9NG%&g~JKoJVN_n2; zw%}XgH6|NjRbzJXVxP1i^Hv~hvE<$Ei}!CCJnW36fAJ>sbKNK5WL%9vnvmy5{s>Sx z@`=X{j8KbBZDE4d%;Ret-fP0(mY{39PcW06+bmxD?l)HK-N_NIX;MAQ9LMCot&D{| zBvO*eUw1hnpgr=`Ku@xZ$<4qnv@w-d9C9qu{rOMUO27M;IAkjkaVouD>=!w~Og62+ zo|DDNmCte$5g8OHRXLsnu45+J<4;v0E+;be(>Rl(RAsDT#|73-pXv%)IH{Lhd@LvZ zVWOZlY@uMHT*%95y$Qa13s>Prm_<)itmi<8YGn8a8!SCT+k|f;?azo?+mkTjHxbN0 z9MZLP%~SR*(RUT)x;lH}5oRFMb2IOfEOEYQkTqteU8H*B1hG!ty@FjA>*CtHtA~Mr8*n5B98CG#aULf0Z*%o`ZN_0;_Na45O`}Zx@H4k1) zdM&oViJLrx*T)0m!Ne*1O@|xa2c5`oV4&LyaOy$4WbL;vofr+96IAy4+eq*Ec;x=> z*AX$NOg2guU@-<*(RrP+>sgH)dq8iyEf|_=v59dGst?}dRfwJOyaUHA7M(qt?x9fc zZQ}SowDZ-|q2Y<~?*nv5{8!CPq`V7YN&S&YFB^OloY2tIyad-8d3utq{~)KO>}~vh z5z1;tPZD&A744BdiP#A}!Lk3d8|=8plLauE1Nr5}GG^qU1BuIXeP&ddRf*a1z+qSS zY5Hlb^EZ~I2}_HZ@876p_wxXAdD`_KjAOj;@fbW&=KHqfYWcg$XQJKZedY%e5ahR1 zItTCg!SbK_%H42unLWTCXbr{T6KXFlBr-U#cy$Q&Dq4nxG7bX1-O5pCU z@?U=9g8t9EZOkU5*f9L#9no{APx}6P(GndYrXVst5DDF@ZhOiAc9MR4uH$s*SkXrx zw9HL$Iv}f6^_R|nxPf$NkFZh?NqC2LakGz$h&J`N^*)+DTRm0tB_Q2r&lzoas zyaO`)>(JJLB!oi<l1j8+i11Adm;{1c^7=b6b@iVF~3~CR)&CpFr zLikK|Y%o2kk&!%_AuA%xM;7yOHN;)w5mT3{-N>!rly4KXX0Wk`;b+H9ol>t8lU#lY zUm2~&^|Wf{iFcUvpjlaNAAHi=c|Lp9>ek3@Se0?%!JVzeB16-5>Wu!pP8gn9&}MNb za~63!+q{1ORnRBHiq4o)D;k-w7`OwKDQ4xyjoIsmmSE;$)~5<%sWTBf&Ch1oG?_=X zjd^ch`QFk{U)nfrsR^+fiD@c{q&*yD;^++mo;^u7ZVI?0N{Q(ZZCPkvV87#R%9o7S=D^_SV2dhgXh+|zhi|? zmS)tAT{m$^c!f&)a6!wi&h!q`wjyA^E5XMm5s&kSYH^o#roQ2&A(r}z3&a6RX_>vhN z4xDkcMEM+cWu_jO9iu3jisAFr$K03(<;NZqYs$+4#VSt#aR-0ghZY!XIU-gqO>ircVa}AY0|uFTkUQ0ztBV+mvAtUp z%z6{ogET~J@8ewW=3lE^G;27r5a=$OS%aJGhF}VNvY7K_$TnXXn;TYn6xBj_Su`X> z=Rn->tdRXzf@2f7Nkgs=)u) zZk}HV>o7cPFcu!gelxT^w=G`vBtD)_#(+&d3Ukp&MCOh6oyCp+eDu#-1v*Oi8&i#j z1#>>LqUoaG(iXB`WLrb8jl18?a=P^&o6s`T1}DcF6>x;?*Lx>PO$ggHN%dJ(d2Gy_SSv`DIZJhcB5jL$y3WVFyqiP8l{F!)~}9 z3~v2Sfmf5|dc)n7@@hJZyFo&yf8C;g-h6-FXsqb#g$Y?6lJRW@R*p?zbjDYkG6{#Y z?a|67`THZf9oLE4A%o@BBL88dA5~@=+1kq%OHMUiL(42-vc4}@s8)n^rZwf}xEng& z62y&F*SPb@_F(cv0~JCmW;x2JeYdnH^!L4A{m(p0g%joWdDb^SO>W;^4KG>MWVWCW z7h-%T$82$(^tx*WfJ7lDHR(kueFQgtVI+zO{SC3YSbi6Ikx2lsa~*f)2)}HBAkI7o z@p$&Y&0OYk@BFUjnVZ~Z#EZ@H$^dR~QlIptUrS1?WO|p#OAS_NGI^!se9~Ca0$Ant zt^(%xo6j0kS)nWCV`JOngzI>Jp=5<4ydeWUpvpA8PL<3<4R+2ZH&&!DR`l~>>?1wY zas*x}zJKR#aY%urIOPBRy@10O;bF+tYrQnx8fa05Ox`Q5o$n=&+4?FEt19qjUW3!h z2Ah|vN$VgiO1b#VW0l$l>omW_6ppQbz3=(bs_KAYoQ|BD%Y^m^}`_e>)-NnRy>xY$w)Vm*1>a`l#cfkno5yelMJd_D?A2ja!cp6e9awRSk*NB8PA81E<^q_x8a@TcDn-?%fafobu$wI>0&MMWdx)F|4 zr-~%}tVV?(HNM&4qv`M|hm~p!@r)H)&is=)qJ`tqE`jYI&~;~`{;XwPxa#u#tjKUv zb*bu3qwxYnY{7II15S0M+Gl#m6}vrO2@`QywW>f;4aj48gz$}Vk2 zs5Sp`S8(zRHgsUykY0@mKe}mg#lM)nIVue{dv7=)yDIN~HX*DoVpiQEr#7svxXiI; z0fvZ8FqDoQ8YmyT6Q7$06X9uSy^S|`zn(?Ze_X3CJwZrL37OR|B7EWm{DjPiyO{p> zIHKrQPg10VZ1s-scNDfIN^fM4Oi)lYfqfjF4e55e6-;y-bJ16AQPU0KtK8`w6Ct7#r=)CsB&52-pXfGHwEX3s+}T%hVMOewy~)Q7my zPz!Np^rtmZk={(68*WUEjJ1~#c~|P?Uf|`iE2G>gSYD_9i``uN{p}x4phqcUeiAYKrq#h96WKH!*)9!X zOFdT9Xo;-6vJ$Z(@O?`f($K2rZingy-Nvo+&n6Ntlx;{rBmrmgTDW&Bx>kB60-50=N_;p;s}6n2Bf zj#D>o-5@uzNE6&)X67Xt#d32x7m`~o6Lo&b_Fo6+cIW>gK*u?lPh$3B;4GFF^kAw& ztyey;$e&v4YK;RirLgf_qPcK0H?*0XoAF)Z^N^A`HD;Ny@@j`|52y2D9|4G&)HPndt$CCIR>i6gItZePum)&z2wQEaLWo_K?b- zL18=Pwr(7`6F;GU^}Om*y9v(GrK#TQH?Q?f=-lZW^)C*)d?Vz1`mjqD3;HlppMZOF z=B5Th{kigA-6LqFywC3kz4*t#pCp?YdgGbMQ%0RwUgm24Y*&!6*xM|hJ-;hn$V}!P zoXa7?t`+bm*TH7tIDOxiu(~#<4m97~2DXs&HAIzZPphTjX-NPjGdEN(oBZjxc$_x7 z7G=cqWYEI#W4&5u8Oe|L$0Q%p4eVUkqgxCE+?R|3=Clf!$y|FM-9X53X|V>$r&Ir= zreLPUNXdv&T_Rcc|ARZ91W}xCKF?uqK(Rq*zbF282kk=p)h@iDz80fC6^MNMchdSTRyC9#2iW;8!X4 zLA;M#>6u)2`OCCgJwj&LdTBhk^cU^)j)A2hGfym7`d-VUj4#5KXet{!IQz;ZN)TJI0pk@YBfF!7i; zZWP+?3L;*TPHaVad2ju!udd@}j$ZzTe(4Gb}Ch6HjeYWh>g zh8S}zI?FqsJd{iEK%-q3wW$VtjDu(ac%qaCB@1%Zy*H1d?AGOi$~7sH9zJY@8_ESG zDGuTgPXR@Wx#$bzb{~b5UWAm8WbN)q(A{2OOmi6^aH4rK>EXjh=;J~0N1BBUQ}PLC zwFsXQU}^yL0iw9yoJv6c{EwLdgCIS8*hqjzK*tdbB~W!AgYv3;G!a$pPBIq;)N^@f z9>pQ&wliEFM}r`l<**S&zC(%l9~55aw*!j;X0MDjA$~omEd}->%aO34pNnXuSoUE% zTvHf!@SAk2R!3}j?DE9~8Xm>AL6RwCDEc-^+w?}cX1=NkM1U60I7&oR^k|=5N|*67 zIy(~*v~w}tN_zgn16~1EqhJ}ot}uT;3=sY$^J{+xRFV5=#r%ORF`>4x5W;d?^aLBJVoiXRY{0u#U&t zg35a}#UZKFD$#?n&Kl20II=ZHe1yHY`~rxM&eq8Wbc;@0=M#_C5}1IPl++mEv!ZY| z$WG(RRF2PD6&R_ja<$W4DakMte`7Xf|8qN<>xRolyH_b3y4Z?eW{&@E;O1 z@$X2`Fd`H8!^_@M1^do*RTK75GxTCA2oQ3OLPFH^C}WQ|R~$qIUhv z;%)RadCP#n3WJ;9Z3T4ix0WKFg1V2h9Nt}>%mh0iyS1A(GL4CMLBEOC9mr^p`L5P7 zj*0#2`;F5UsshU=Mp|26^C@qi%~FN#6-$%kYVW+pEpLURS@u<3g1x?F3K?-M5lE}s zF%zFTJWNq1GQsyQtP+dD*jye%-hpnhxLJs1A8ouE00)#C2 zT__u?<{8+~Cy6+LF4-fM7o#ebahLStYz#*ChFI0oUI@)&uSlBqn=gxayss`_n(?uP zU2{y_X$<$rdqoAFrS*2aSOD<&;c#q>s{rCbs;cr^SH4u8@ZOIYMszk91cdD&YJFzN zFqgy&Sf@od90dzE{>Ky-a={Wt5vDXOr@87`lr?xh#R`I5K8B1a1a!ISErnk9ALYlV zwkx`D*xhB9*Jb9CgpjtSKZ251K)|CA!T;LbJKJ^KPWWeS^{?t;b`_LG{F>pPmrS{#6xq!B!W#HDTL%!RhMG;hVkhJ zpyC1!1RmDDD0_0to(~L6Na=)K!3z+y@SFdW-yubkBtR0wtoE;&PeqJY8n6#p!%P6l zo1Z8lEQSjnWks{O!E&qiLVgwDLkAB<`0m;VViAMoPg~l= z&BD`?U=(rhzj;6%<(GpQbK?p3?Ogw-S#E;CS45O&c^5uyTegM;35UB>ocku7!EZ!; zpC2j?*5dHm%yX1j0y9mC&_Ne`z$Iy*_#th?wUZJLj^=U9uAmH<2PN^`OBZ0u??P7gWTy?=p=hteH44^&ZTx)8t7RcgV&I zsC7tKjW1YMIbU^g!(9?v8NZFMj$JO$YBy%fL+~%VF}-z-55jfmQ7@Wp$g!dcRY6rp z`dPQxs=#^VC{l_`QF8w8`=Rb|6xOS9Fm@yQ)O*B^jWz5)c78uF-K!)*wh|p{|Dw>} z$<;itaoVa&y9P>231&#WBOIMN2dGY@6mR7jZhPqcK+uurVH zjYl9jz<~I5=-H1RCXs|AFAS(|l=Aft0Z$7!IZASV5Xp_->nhh%00hh_ z#N+T&;*m@LW1w-Z9iR-6TFPY`)HjBT-rY~`(oLt9<53v}F)#s90s#8~l%?TZC_JolQ>cYG&(e3k@{+k9#k&J(iLsk?j@64_FVIE`j4)cfHsaKy&+U%>M04dIHz16 zdE%MW2LXYk5F+VkYQ>*MTVA~hOg^k9xg*Jn=Iw*^JSEdU3)Ga9a`6g4owNNzgh2H6 zdCypzckpVR<&!f{xW`q{XDdxwY&WO2i6gIi0AZ+B@d1xp> z|9b-)mKu08;O&`;7#Nw|zQyj5effvm>Rawy=9*RTM(<|N` zJC+O~i@fkaK~2wj&WIXp%*j2Z@w`us5rwTOywOpf6Z)p3;OoMt{r-x^todiNty{xy zyfOx&SyZ<}8Q<4LF6z$)jc!(tWYjMp#T;F?LgUu6%=>q|fTE196qKZ{f9DRJt_av< z2mdK{GNh3wpADtTU<g#ZuOoDvzT8t zSoVv&DmhsBy2L>C+N5{aTQ`UH$diUd1wq-8RcQ!^RM!(+i~Qa-Y&|uFY(+FsK(x>N zZ>PBzcE#9EcxXOldSQotUUf8Buw8I^-M>(5JU`Sy0>Y5jR+2lD{l#&^KzMfhtgkg} z*9~@FsC2blt@cVA82!Nk$m{#PQDp^jE*uBylrGmRk^;`IFmi66Ws95birs)@?_E?_ z;+|u2g_D4a8Z-g4n!#mz7%$Zpolg~z>_cJG;(Q4y?0JY&PniGRPMr2qsU0(lYtG%` zWavb*Z8&YUWO^mNy7sEoY|9`QWqHzoLx`kfXc)y%qZ-(@M*MVRG0SG{sk?Y4C}Qs7 zkGx`QRnUpIfK>f|^KbywCZmF_cSHxCBaJ3cZ9FpFn;F*-TPS*GnS4%0BAxoA!gL}K z>kZKyp3obLCr9~B7?=p8FdL}t`3r6WEb0GTx@D*1)@cNGjk zd4qmORREUZOv2A!xd3pj1Eb$`l`jFz;=|v5Mjofbz=|dzZ*+RVpNB}|9EyB?v_D4p z1El4xVYc$PLI7jQ7?|jx%<@epivaWjM8)hB{bg{GV!)hV*L8ro{7Q&`E9D`zBl(d# z$=vcy@^eOZ07Ls7i30c!6gc|7u@nHvlMoq!ljTdQEq;QLg=!U!+{4Rn=dSI<5~78B zL-g2V9yGUnW>{0W>VQ=%0pHW;cqe~~o;dxj$o;)g*;OE$jvLmJuSX`=4LmcxmqRlz zl{mojHIwhG57AQuEN$zR+(RBiV;SW~a@%W@+IM@4;-Ok2;OGmk_Ts=uWQ+rXvTWN_ zH9K9Wevv$##0e-+p7#$E*7E#FaT{>aVsD5U!nTOUN;%{7*QJa%UfsFvdeon|6^84i zstSs&Iffnf>yw&nQ(D#~PcEh^_tM#WO*p_394+5}MvB6i^8^OG&0YL4tA|xB`ER-p zDT+HU--}U>xO9IeZUVNzyDgr2%_H0V_p^w5RBw8!pq+68Ttc|IWMq=-wJ#NGum8T&n1&E1iIJmhV-Y5P`MB%)~fGOpL9;x?6JJ(lKi);Uta47NMWT;r3X zf6j@bdK0!DN4XM((_g0@yWtV#r7=*Qv&*aJdxTC&+iC(dGo|jmrL;?S@n~J_Z!1xZ z@odY>ED(_~8_ht4@0a;&g(*E@MH}qGQcC(BHQH_M3{1HXm>jeTJ~SUG$QxLUw4aiz zyfQtWP$uSU>{ngZ;8D}~-l!y$iY*nl~ z87vsNtfeTG>9oSEcP(hU@&>}e=XNgv3OdAlx0A=ZtPrBI6Zx^ z7vs=3T_H-Mb+pLj=c<=%uu7)62Ge@yFu}Ib>G>{qqKxbm0Fu}LgXX}`J1?bo5P}*) zcC(MRZecvsVC2k-Vu5)IaPa0@k`Knsz4cLE^YbGbb+-t{TzVGtZyQr@lFE9r3Jy5q zo2#%u4Nw?C-mBuvq}cFV7v}0+Ki!9`CWjiCbLhdM8&l0l;5(kc`e#*`HWe^_uPX+7 zdqcjJSo$j`l7PK6YRb%EGtcl8hv+uM+;fzS^ zl`Qjw=u(G+0mOkd2sf|S$p_Cd=bRIyRZeFZ%$eSk5lQ~+bNa<3Tn51|usm+Nm4 zVn~+2HcpGVI(^ijL$!Mq8&zpR;E!yJ`s=|@B26C>o)W+7vZ1r!_?_lTO>uTPGYhvWhs&?(VwU@{S=X&GQ-$Ssz z4R|oRjNIFQf=ewrf5L8q(yM#-aqR(@EAbHGs*L;V;*tRw1De)j61l*qlFBsCk!6m+w zo^La(0p?$(Rb!OC%nAgL2|`cSFqw_jo16B08jhbpRD}Tuoa06aR{cbUW9lnR-zM+D zV8s4rqvgG?ESS#dE7PU9Oca-E+n}Zn>N|NhF)Z*gAj?*ca~vOcgW=PHaT@cvI>s2B zPIjB(UTM45KTEKPP8epL7|6AnaJUvVjoPj;p>&_j^Z!1Vf{e@x(X)@q#x^{iG_e!* zBL>6b(B?A1r2GnRX6(@<%_#H&Fjwb>v`x7>qQ341wT(DJ8E#OzM%V;Iucqe%8#)Q? zN zO9Z^*G|g77DX{(v^vMbBh+uI+5&|@oJ*L_YcHuc)u_&qzFIGXdp70ip!{CL&qRi@rASF_M!f^9V6~2RU4qbp?Fb`-R-@J zk%J9IPxm^#Tk$3>0thk*G*bR=bKev<|P ziSQQ#Kus_I6C?Zko^(F2(Go5I&oIavlV}V!C9dpUGa_kjNBm6s&hPn|2^GYqPEqOk z-wQc<7*N=RLxOhkH-g41z^(8{4-XJDK#WZ?KXULShUNo02GpefWk#pmX|{t%;VQy= UtbQ?Lm=s#wQqoY&mA~WjU#Alyn*aa+ literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0093.png b/docs/img/mips1-image-0093.png new file mode 100644 index 0000000000000000000000000000000000000000..85219e0ec8ec1567fd0362603530586cded92dc6 GIT binary patch literal 304014 zcma%i1yq!6+pUdC2+}Pe(jZ*|(hAbu(%qdSB3;tm(lOFKbayvHHw+y^%z5zr{_}nB z`~7FF^Q@@Bo?f!GmWC&mRGMP8sSb zfL||cKB?J1c!1V^|LJPR>(PMr-c~05>QMNQI_+^O}{a0ZXQ7qm! zI9PJ@^g*cCdV->AxX4cHQZKjaz#i5R>w{aEfbpIE#(eGigLJ1zir4FzI}g@gAa|6K zJv_DTp>Y^h`1XM1!M7(3-1CnxSb2CJX?tg!K2D@f#HUN^DnF`ExpVI8i$S^i{auSvl8As#i?x4lAo<3IM%lYYCY}WU zY3aLm(kCAh_}Zx{C0{4LsTN1AeM%qCn*O*HGaM5St(2_2rFFjh`O~yivgby^D9+D$ zlw`ZZ{8eAA_}+X^=kgsevh^xz#Z^xuGh)WR;SN*XP}$jqyruHa9|om#7}K3HPplMO zz73#3*T8!5nu1K(ce?Zq6{DsuYYta1Hn#yqY`es2^*63qCX^RHclAZ_SC&+LJ=L^Z zhTTdB)E+tgdPGXyAM`3_6Ultt&fuu6@@w3ckWuSTw5G3`?ZfIWH_dOZW=oAdbcK?I zHhuEmzftDL%?+^2!alFT7vL1>O%mKwm&MAB52nItMWvbQVioZnIXdP#TCtE;t@uFV zfgkXI9{u^&$4Blw-AFSZhexJ{k-%#dE#Druzrj58_W6#V81a@6qg9`X`WZ;59qDP? z$s>a-57{SI;I^Whtp_XyS)yh5+)FRoUEdI`wVDT7#M(@2kh`GZw+J-Yf8A_#vDb|Y zL%4ed+z!4c!_4^lR+wblOgOgvjousPXuK~K9jG=s^Mv8w#6i<3w$Bc@E5cysKd634 zw+gp93UMw^z7-6-%%$%7Zk0z`)GZ1RuWc?)&mkoFcKy*YFJyP=S@T0@U$6KY($l?X zYO&;2rpj9arU=WY0`sW8#4~{*k&Zog21#kg1+)2esD+>GZ}q*_N^@RqCb54wE(cA% zn%QizzZ{C47TS9=>6g+vsNePb*~hNLQn4apUdE2L`rT3sXH?hVn2xB&j!zJWoDRm> z3G_AvjysNUa#FfAnHWgcLpmKcDf;ey+Fz{8xZX0x$hBb<+k?`Bj1N9FF&$4$CE?9D zKOQkf3=UjBevr1&($bOzt^IBE;M~2r3(@U7==V^7xc5OaZ);3I@EQ>#ANrDp5GubZ zycsY4F<}jf@e*2tx4`p9x^G^#`xv~(5KDV{QH%HGA#1ay?91L3mfr+LNJ-7gzcKe7 zB7Jb_P$&NM^o|zqyW}=%!iwFd8^7MDnJw+k6c(D1$Z+$LxoCMwaZe zUXivD7l%iQfzo2ZBUf9m9T?O@55#sy6t+Z9d1gZ)ACcaFqtWMyr`h<%{2m9#H~TAo zJYJG-j4rk+yRtuf%e4pgZYTVAI2T6lvcvqtgC*Gy4>wn$j?^yoJoq4(;4Y1$!pp}E zqzJL}*C;Q}pD;a2dLQ=lbG#5Wr6PJ2CK~2ovvI!vVc4g)JLtuMB!_BW-Wg%$V&Y>(6NhGRI`15nR87u!^EH-zw6| z70AwJI|ywDjVfdh890_v&IA*QZbalp#6?y|Bz4Di^Q{eGp$4&c_`jCv$?4B7${v>+ zls%ANq^6@wqH(9~qDGG1qy{T3=6Xn9c^`{oOJVb3$4V3gi5Ldo&Q~cQm4nHX4yT)jOa_d0kEs@wO0G6^vPwnf#2Ed2Cu0xUP#zdd3^&0W zNbbzEH9awzGch*dQx{<{XQ)!HQm&mODMl;yvXrt^*%#T*pN*OAs;qZ>PfSN#C0#No zpYoW8(}91RV4KD9sxH`J*8#i*nIg>C1b0o*jm;H0Yr&%UwFEv1h=XdqeD3%j&o>Xg z>HHehBId*T%#)%*%q4s&jGEB)YrCcJ`0(KSNt?Q5Jo8L2p(UKGQ!--%`|W z0iNNr58`h7(B={28tmHS8`z;5jE_@^Q_av5i_%Bm#~y1L3o9-vjx54aWmn}ZpDZ`h zz}1*ihgN3HUe0`}%CD+5lB_VC-k7%f-Du%ITRUSoqcp2kCceM0|MZ-2k5~M)m|GZD zSP{w0diqMU1GQbAqsvm>D&Df{%JkBuU35oYv|OKfWhi`OZ6kWOVqkmFWMD)$OHzz8 z)N9{jv){4A0kR?F_`)e+e{}1(cVaOHRyT5%LpWerH20_)ry6`fa-es?bD{kTIh-w= zHk{E9ha#~w-gYo*34e)u?j(LwoXHt11b(aya^-c|bb%dvadf(&fcmSL!#(;vxcD_Z z>O8k0rjR7h`r9wJTwW7iuWko!UGL^@(^xDMX8ITU;~q*sT=~}a5T>SGlu#7qt@`!T zSGBL=KaFIChT-YgEk-Q~EtM^|WL9Kj!qR@Ph1!JU{GCcer!}2W>jnBLER0mmYb0DW zFX4y&7)}@~0Xd~x)4xmUx)wt#La@X*M7*h;#(VSJMat^V7URG2>F)eE!9;zxA^m~>v*l~!(H1r#b@|zn3Z`UgX?Ei` zDJj}9i$jLCQ#Lw>H?D;)jUJ?TFYm@s(n7HDhgdvWRE*Azt_+n7&R(c~Kl`pSlQEN2 z2Hg}{-|NQjm+DBp$rAl#H0fMLx9ONcH+HNFF<&NHFmS~Goo`3{Q> z$E=0)$|*2(4Y$XK9Gcmi`AXq+E>Us;vl3UOXW~MI=vXQa5-x&+IBhUxk)_R{a~}Vd z5sR@^f^WY>+62p-$N3EqtwI}n$&v|4tQQxrb0e`Stv4wL%oDBIK!Z2pO+RkrH44;e z8TZwf8o!PSd1QRm?IMp8-No^wTaD48cBTbWBg*3IFI&M`Ag$}&rN|IUV#$R#D@`%Y zcbZQ6wb0`fIyPl2uuFyAUN2nfRLQV#u_Uzu?%`|Rk;5L%9?8C7PBF<*Qm$HUu@HBr zwhLByrg%`yQlzeU=JnXREhhAiaF+w$k`{VuTK@H9fPqY7r?9wyuIhC)j-_WAgRRD# zbqLg~iqL`ydgthGBYrVBol?wB!p>fg;>=JiYED_%Sg+u$vxr%fTRNCw)u)}(xPINt z-vima9y^C}p?jsTzghjdK-MR$t-*F9G@HwxoZb#dmPZ+Dy$9_ z#Bngax?M-8xFc%rQdL$|e89L(BcSrt;@!De?=A0Z*zuyLm(IB$qHQodB-}DBlArJP z!#eVI-z>OFCkf&Us^^X8P-9QAW1hFI+(j>PH!$KFMRzZ+h--bJB=wAE5>&PNlrUh~7+qWTk9jREj=^m6Vzmlz-cPwt)B4ID5{ zZ2^C!GEMD~d3j~HZMsc7*3O&o`UK6-l}Wumi$iGkT~oq#hT`mx?aE8Y|FLBvGi|PE z4G#1*!A~l0bfl@YFKaeWn^a&1?%84&D(2^}5fII+xjuHc2F&S8giUPK^1L3W(qBuws8AkxwUoWEK0!dO(|LVh`Rl z;e$|G0G)P~pPYCx1`Kt-<$sLv_pMY_yQingZ9Q>6hJa7Ic&7X-MQGxJb{@&ijnDpu zjG6*^rtMW?SK;6991x(YTY-I$IkK8fpoO@&TiPtBPT@6mTvS?NMgRK^FeFuhH(LbN zicR5~J6v^n-ccY8G{jy6ckTZ8$3<0-DjF3V=Yl8d$Lj^sEqw%4z`ui^{bMlo@=e@p zjjC}A{BNv_&&AKvPF*bjc}u#2syK6q4%n@W>DtQ~7$XU3NEh9I-HxoXQMT~}F=+-m zAMZ%+ry~KQ{_|#{6Uwl==2S~D&4I>^qk=d8_+0s`C8DlrVW$Jb;+OY7-=<(T6_jTw zrarj7_aR*6=|6_0^pUyw$)tbnOez@ZUsuh1Pez=$jSuqNbBq3E40k(FH@lyTHa3W?2E_dblE;@x+D`=G@ho;Nrl3e&yS4b2j zrD(@vS+ma5?^qzQFP2_KYP$O3XV!YF|=2vP6`R$_FKMT39z8No{v|k@7gDtH8b^uI$BqUn-Jj5eBkQjeA}sV zGt8Ko4}({G#!Zqo*_$}XzYxH^pTN>q_v1^N+Aq{McHQqI%IvQX z$SAtLmU-*mfPKJC?(oa(x|HGt2{;^IewFqlN|bvnLJB8RBpj;tGO5>Y{Pb)%6RJ#qYRqqTn{%J?#C||O^u)s z3%tp!PBHB3?T)dpQIgbQ)?V?KG*ug9*##LmHYM@g=7wr$B4!p&$0lv{o3Z zir4elnFDuY|F8$QK&RS07=0`TJA02fXL2zjLLXhA(r!bfxD0isQ`iPPT{_qeNt4oh|+XpD89sdi8cyiMjkas7gKSoK$$^u z0n^~|j=8t|V>tZ?ZObXL`>~a`RP-G=?BTvFA4*yO@*%<^-hI&SU>-8}^8}cHWWz~B zHxJwU_uXER#@fC3yhaV>8X^gE)%#_$*m~q=yK_+4@!uI4@2s1mW0ltCX0z>8!o#`# zdg*tww#Tcn-cv@l7(exagU4T7_Cpfd-*oe0stLmHVJ3N$0>4Gdsd<8PpE6 zUr^#@FGrx^TB=a)P2;G|5Q1VRGPN%-b@c100?<8>V*d&E6-sz}>BpDxxP zT*lPp5#5>JJ|@cXgBtY@@X)hbBI&Ix)a>s?!d|&G#vFNF@6H)vCELkgT3>|WFYxw) zSlyl&^^VCHq<3Buqq#IXI&EjJC|=ww`X1>b2BC{ZZyxE_%iM9K-8@fgc+?0AAD!M{ zkUuu8=^Wf}olETSZ&dClW^aAPc&dF0OV7h0D=qf1Za04N!nyh-W5p6uM2$wL84&L9 zzh_i%g@-fWPxT6eZukM;I?Ly=ciQ;dGqu%H(PHhB#04){jr;#S*k_eu;GBW) zK0-er#W#CXJ+qaTpo6^*7L!&6f^1!AwHA+a%q`7CIP#IzMwZU&REZD!KtO+<8_@VJ zM?kWD$PzY64MBtESYq%dU02xD5J^Y-ecoT4gXq*u^J_>?#)J_+>K8E$;4T_cHDzV8 zHa3>t1{qwRZ*u~%?YomLTV*{ZxKL&=!g3qgO@zb2>9HLIAmr)Mx!iUNuOl<`6-`h6 z`9u{~wyg~U<96gRKb{F}nt7Fvf8|e-b4fDcb){dob4ty2AI;1Re{yB$VCu|wlWBt- z+S(oRjgXAssenN@>DmDSi*cNjxX(@d{=vkVyxw>qB?Geah>4fyNl3shGrkJ)Db5CV zB8b9@Lg$&8h)asC};P@C?ofMIX-31e+^^Q`lMe=B(chB-Ovs>pL$B(G*S{XT`0uo}=WeK?Ho_PD`xX z&MgK)wpgQnq)~h*o?nu^<@bw*Y&cbW8W#HtpBwF19;w$i%nYVR_0P%&vwPi)ne9RZ2? z!g@?u$Ays3EX4h>LH=&CsA)j5S+s9Y?qI;PAktHC0n*cFeTCv^#H?8EM>musT6%BZ zdGN{2lkd>;3`6|%>I%dIH0+RNurU(ajD|4UHurua`+J(pf;Wem{O63P8|l>fW{3Ut zJW%5#8upE}$(h}iNUptEStqo_O%1erxgD0a!Uc+wSgv@PvqVh0Fkcgsa44P=GqNH* zdzQ~4dd1?C7m~5|_!^B#t>R0CkV8kNujKfyX?|NrQZ`G#qkYNuW6NmJcM&?25NKu6 zU4|~ZMBC_QQol{JiPq&hQ?RQcA0oUBK_G8pU`?bmio zl1Z+=M}bF#Q{$@eqpb$tm{(&9WFT5ycpB9u6MAKqto?l_+50iSVqn^l@Je^4)|n1P*}fp@hm+g&>J1>b$v12^}l((-4m z!gTTBP6QGWQU(Xu)K(wZjefX0N(JJ@0yz%=-BMgmAvOaWzmOs7n-(ey=NAIG z%V1!qa%aycz4_|}$?bBpAZ@rhL51R{l|X|=^z5zV?i4w{er`3D*0|!+BU7t1(DbnE zwc%2Ba9fA)nT4m@1z*I^HFAlzWfPu|QCSjx7e&Niql@0GFAC?gZuu#0O_jmkZfuG| z0U-x)@Txk5$ZiZVrdeSVcxPy93c#1bJCJdnarH%gAqo(-;$e7(0z~J!)M(d}Un2w` z7pubyQ2fR)_xvl{=d3m;N1Y>wDPHxyK}7nGr31Sl>BP zCZ!ZQ^zl4{tUb3I4O+?|Z-{r;^6`RSLleNNE~yk2h7)<|xIrx?k`pH4AyX-?7fVq7HR5=Pao27x({5 zJ;X*on6usQfZsoR$;5@yP3_-!648Qa%MJ zd~3rq*SW?M=lN;TRrnn~ziX4f$(F$7nz)OOz=eUo%$*bL(iK&NOgW7(j%c^O!pp{? z@F!kVdLCiDN!~4MNGSm)#{~6y+1^+%8Nl_PI}teaX@k^#WjjqA-< z$l3PAt1_NCJ2EW+`)}{206fX9$VdHa)#=t=e;+N6ppGPm&ZnDF%yY@r-pzzgn z^(=LD@O%9H-xfV~C&FN+*B5&ueaj3{R8s6GIe4d^qW!L_l3W9c#7tEacLp=rIIM$g zxU#b|ul%G8dm(KDBBsew+)$cd<>eN4ma{t={8%%~z+v=`HRaTyKz7p0T3rKMiI}S1 zdaxqkyG&mZUZm5;Xj^z&@0WzB?>G|jJp#85pJI_JxTOYfGI8%wUXPA48sz2Ga}?j& zmzCscX^0pTyvrRIjrKeAxqCdWgxy4L6d{2HD{IpmNQy{hcvnC#!zUK4O(pi-?p4!Rl|02Q4Xjdzel^&5UD{$KUIXQys6|{nO zBke_Ce6d9XUn^#YU%)ijx1eB4RlJ<{X6;)`>F6;lbG`GpLg=fV?C0ED{uev@0}ajWIqidjAI@X25hzT0|vK5=_Ti{&3={r3EV&}2bc_DHP%e^Gf57U^!7RJHOc7R zw?Gt!zphM(<&kLTiYnhJD6Ns`=8^4WlVTiw(F1W%rD(&XJvL0-X^ zG;aN>MXk&q_j*jt7EqF$ZeOLRKPV|)mc`U^!ff>UC4|8-mpaG`^=HHWE&wRa!DPSx z;X|r!vn6XA8gZXLIPq^lV+89d@hof3Qh6W2lGSLgM`6?lxLBES*=U(*C z@0c<~9R^%MqtyM$P35&Ue6DRs%4xg?=7@6)Dc+9Dr-U4%HkQjy1W&yQN{c)8GttAt z+3pFZo~!bH;sG?LSi*r9$aNK7i}O!uPkw-@o;`gg=?n`RWcY9=4E?ReFdXFIIU?t2 zJM(*Sdv(lXB8XJ_?OUx6pwA@&37`ta`I2L%S2~83ceTFmeb-l2I6j5UR?{tdqwO>R6Vc>~W85)S?PI$~j{)*5HaqJ(lAD@RXbtnn z*t)^rB@Lc)jM#6c@vq>NmMimVbgp^AqS zC?1NJ;zG`StGCgKnsipK#ZSbQ*9~D;Efai9xp+>llc`Asq=KBfHfmHk=E`QK)I!Kd z(B3DZ+@FJXZUJg?Bs`ihZ{j)fGmUoSJm>UT$9M0{!byB67kxGD)71Y$9N=7^|A`tM z&_fM2YuB&P<@wezC7udco^u?vLO&*RPltcF1XkKBKZ*nincFD)h1t-ZHbJQ6CsCsi zVpeSd;!a3~4FBC1tXs9y&Bptm3)B0q~eZQ=hV%EBf zzCHZ-8H&RVlaR1;GJ*skmvn=W(fE3{W~H(8Dl@5Ys{4aY5}V3hb;mnkf_R+%hIqo9 zFVTb}2){cQ0T?d1g(8iMpGz z;w0?7LCP>OM9S@PnCI@xrKsaWe@xfvR?mNR$(nFEu~q7(7gmLl1^GI2xG`a9oMrk> zLVqnlj&|rLneYPM8%eEPFx@?sM$|O~0oooTKOIcKVKpuyV7+3|>+0De&+v8k@mq

|{@NseitE{p$pW}3fcaqFjq%P3TD?+4E9&)mrSza^z;bl^kdnKtKU z#(ZSrNwuPYnHR7l*Vp#C4349DPa3x`pH{{ELjw=w(CoBmZbW0#p@%z5HA4$p@wH+! zV$0I^Zk#alAl;2d66<#=OP-78nCMNVVaN*};@pToT@6~a*pgso(uzqeLR!^BxAB1g z(W(M^-!M9=CWB>Xw+HaFa(=IJfld=#er}}bPh9$#cjUb@KXO0D#cPE7K#jH5O5a=_ z$fHqh$#MNVu@Q`%Z@HZy%oS_5@?$ipwAF8Cd3jxy8_0>A^<^B$Rp~1=S7Vd~vY%B& zeG)Dq6?_j?z3HvHBya~z$a4ZP3YDZtFg;&)p!;CIg`=gVRge)I7x(2xQtv#pg|(@- zPS)GH-mXk}vrDxH2btlCdxn(Bx>njgJqddY&Hgfu_^K`oo-VVbS$Ux_i~gMOTB4ip zGto*1MV6A?tuB|WAm}rFSi7m@Iz&G_-+hyF0ACE@?`vn_g1hfqOKZwxx4$%wuo_6J}Yb@aera)_?z=ve6-*9`W8P6JR6Q{n>( ze+F`K!ezX3qEWyaw!Yb$uGSb@`P;NP;1-S4PHStcP9cbzb*ka_>QsYNL8n?gifM#| z&nhSzZol#3+Z(e1ZX={UfPlU0!;dCk!Fh95N=IVW#c#;Ymi-rwcJ6@{WEUdzD(+QJ zkYF3NQ^A=94^;)>?chq-R`?zn8A&DI2i%}- zy*Vw&D!f_=yIHff4(e;0N$#!NI|q$o%U;U}EAO2S;EFEK!{_-ugn!WV`## z8#iQO+cP4z49*+~u-AbHSPmCM!($SlpIcvd1yN?z=-s{n9?O4m5k|i{+tl~(?+MC4 zPc@nX zL{vZRX9cM(X=!OUcPsDU0FM}KXs_~iVQnqXRUZ)@lk`IBWqX>CA1-l3Hng{*qCVq4 z8Z&knkb$>*{2#`N>;_axn^aNKyLScr;D&0|R>vJdSWl71;G>$exl@2LBG{-Mti{|~ zBFYbG%GoX8QmZ*%bN)fr^;@^-x;de%W>|gxa2@pV$zwC~^W^k}OcpHoJlxy@pS!!e z&4S>gP~n5oobl-18(?UPjl0Em<&EKvW|=?Y(+A!N#6y_h8_8-K?!1hNiAhRMAsI{A z49ub7J=|hn0Re%QV)Y!BMk^|{(%cqAwxWCMp9ih%z`;3KfE~;dIY#Zkp9J;Xp#=!c z)aEayjj&6K4@5*nK(UOm3BsR$E?sJm1t0F{AbHf`UbPQH{LkG5Ap8%4=0pJ>G}Jg% zssW}sES0uv)XmV=0;|b;xJY_XO~J@0Q?(CaaVCmsRwswgcb>1PE~^bg>OoA|t&0y( zNF_z#3>F;_CWMues8nUUHY>u*$*J;#%Y^Tn{m@F^av(SAG9m?|q7o69u%AI=T$-IN zHXmjCNoHar$l%ZazA^ylBk2}~IEg_L#tKc}=g*%ZEt|%N37lQ9y(`Phy*9ym*-{La z>y`x`xEfhPL<9q)KBcm~s~Dc)h;WwPGa`^Fw^<;RlPzx|~ zsCQ%7nBnvCa)qg@tAl=(EbJhAiH$=-#Ym*=nyr^m>& z&VSC5%YgDUM9$1?18O_?5c1u}AX)VD@sS0ZG6g*KB&S2Vfv{Jj=-?9Eg!VLGWwUD~ zn9SSc|FZ$koj&?mMvZQ9+e^!YLhq;Ais7^Yh4L~AN`dpo^st~H4A>UYs<5m;|IzeU zNe0cib3O!CH+h}+zrhpIYgQ`*LkaSx?WRBOkso|GfIkRWh$V>lO6v&WI$dc>`v{>R zn|6Dr-HkI${%B$i@p!oT4j27HdD8pAE5BBtH1#ji@){8@fUVFOU76)Lf9^$nT@_1* zcT5wc-f&F>jJrzuOV@ML2-r_DO`Lok3k|))I81-4)(0N>;4Ox!#)6!RY-6! z>ih%vcOJpNbNUQMbQniKMLF2iBq$RKxd5fceFm_p9Ln>bN5>XQqij0^oEeKyi-?4@ z{OjN^SoEAUDpFB_RRyE2@dJ?7z+eyMt}-|dxbNAu+uaKPox8jF&E(gkofD<_HxX3P z4zi~KJ-Ebj4XkW%7d#Sq2dmtxyuAGNn>Pz@gPcqUOj@{4Rga>WuMP!V#(p|r`THY2phAw=f8*bvPzH^s9xJHws+0VEoAr^M;80vpy<0GU0^eBJhq$O0f3fP+{#7?_+)zaX zw?0KhMfv%bfG@yt(>M#}NOqX3oHlgL$=jLQgo`WntLexy(*N;oUAWE^yZPqq`B8H=ezK$Quy-7b0)S-wpIP6P=|A5klf9^b-G;jb8 zzt?U68O}34u}4;j+^d2MPI35#XPbd;u+hAjdr$TzCzAzzp%;ou0|7|-F~|0bWf6;E zcH;$AJ>EFMW7FQQ-U-uTxwHZZ^9YttD}WRt6@LuEV?ee50!)D}nFJ9h|Njx+)`1`l z>bSDQvCZOV;sa^K9HH3Dd$h`IXlPipQ4P5u+-z(EhcjeYb^}MaPsTyUHa0#UwhBH# z)ff>enpayh9r?ALy|Gz4pjgXpO-f1{QTh35fwqbrlkoQTHYkFu*b5j8AVzd``z{a( z8f>zTqJLv!9JOvZzxOJL@cv)5=FUx(@znkRymz&>ip=(dMIDlF#lmTWy6?Y+SFh|) z`ufJ54A5Oot*y~@-vp;Kq^Kuxv$j^E;owAoZZszfl-lHcUB`!`w4G6^aA}Q4X)0-8 z4vXjzj+9UUA(fpR=!%AO!F$~v5>Sp-lA<3|>`eqR3|Gf>0>*fN8|ahMB9F<|j9ky? ze?PV=A{RIb2CI|;6%qpfieiYDk3N~H5H(42;8=}m%NhvPfIBx ziU0J;SMD{3OnFt6Z%8CQ5qRLZ*Zc_BB@u~O^qw|9ooxfI%V+p9hNRT#Ts3%u~>1tV<=OkS_M$uF@M;X7%&2JT~ zK}RGpku1<0=(o9*{rsRhZ_T!XfA5*KHDHd2=4QoA z>4$gs_xCev3tdkfORnpI2|v6$Tv|tYm+?Ucyz}-V0dRGdZ2nLK0-e%-2SklMZu45j zMnUCz&L9;Yc|>RoI=!t|H}LR`dXJv?i=}`aRT;YcZ5QQ4sxKH8TRqXeKgWAJo8azC1`}d_D@RLIsv@{!I*e&T3YJcu! z=vm08VWV9|L+i|^Mx3PKsnlo>^W+r@C#JSrNCTl3w7@j7487B^6V31Ef57-l&6Mu2Mp_6`dI z;h)N@uj}jYu(20^RHXagAUg?r6ET>G&ntxqp&U(nKViWK$)O6UZUq~@d{J1f0|0Qy ziRjNS*!=YiSl~_rnNSeiHa0b>Wc5mewCgc%6Zkw`OHsf_!K4jN28trh7UDB*M-Uly zb8q|MW2x&)ZbGczeQ zlYZ|GN{?T%KNz6e4LM<*Zf|d6l7da={T3ztAhkat@qP_Mh<7U-174dj-}-KX=f90l zRzgy;bPJPm00fFpLWYKvRd~`%o-N51fo~^BXC=xFwgqU%WeY!8kiL_!R_XC_F%O>? z*9NRqNA{mHNIh-6ti}ENInI?LYB+#G0A+=18`53yp%)kiT_MObzpSEog@P#u)Y^LW zU>IV8TFod6V$Ora$F8LxTU)6{!)2I|4Ot6jz%Q9H{H=}=%`lM+fLR{F6y(|pu{~3-g~Z2hAAzS@4g` zf<_r229QBt$H34IkAi1HDn>oDw_tr~YyoxVEG-cc5#!SOdi>feN<8aj-3RaBZIO$y zfKB`ZEI>RzfB!X5b#v!*L^AUKFs1Cq9RPws6Ok>O)&{>$#}2-qO>e4*P9c~$MsEFU ztbdON?MxAj?2uIwl1vE!PeuT8I)Cg-;=fcB3zIZ*o<&K+65X7H!!pZKAB<-OUJ&lW zmvQp}%99@|?06ovcpihU*ew4~O--$rz5obU{zF0z&oSwGWL^;pg68t_p>YG_E)aG` zN1FAfwwn0^GuPIH_?gM=)<(!ez@7Bp=P^P+uS-nAa3R3s#tc0@J@ov2^RudH1@@ad zbMZ|2Z7}BZH;06TjE|2CejXjY|L4iB0?rc81@@i}1IUxGAz7cFPj~(P8riT`^EeTA z1yjHkW)L{OQ>GHlOrwyUI*Z)O8Quzq@K623k8b+MF_kFrgP{GTu^vY>)@%zfVlwf9 z7t4%*^Xb+9QQyaJd+kTIhFkCe|J{Lvl!#?<}{ zgD}IwB@ZoDFe1Z6*0^@hpR+necn&#{P#XAyZBOqSoNr_a=y0@1p+Uiuz6khDbl)q= zzkWCtAmD+z`v%mJ5&LJ)p22n%L`1_1?r_ozokj66GHwFTaH9@Xz-!}GN&=3%t5oFR zoBFA&hAto+w5HU3!10w>fxPs;02APTf}2el;r2&R;e&8MRwlGHgaRFp3CfuuV(;kL z+U#yX=c6_7P7`p2{z3oMkobXfCVU%ciFDp{gZbrDJNO zC1=9@EgV{{NLzpaDO71N;+Qaj${G$#q$KOjL&}E!T{gB-H~~nep(4}Kex8JdSS;`# zaO=k|01DvWa_VB|V`k*Wy;;DevQVZ60coS10Tl?iF|4b9N}`KT%}|=+^oh=Y2LL+O zQ4zz?5AyIHEBc`z;_VCzyjIO{4xz@3W|Sz zak8chAtJ5#;rBm#_2`MV;WKTS{qeju{c-^$l|ZQylz~$=eg*SRT%(B@^xvD)O$LEV zGOrNR>utc_fV{uHko@uEM>v~Rc)R$ZNv{9hBu63QWi4*Ti12W73$9z18HsZuVM1*+ zLW&E+j=yWZ52EW|cpTOY9uz|7Yp;7d{-@6Rn2L;S4yF{h{i!!|cKU!0&2MZZgohj0 zxnJ=AV-I+siD+&?M-9&?BNGZh^8AJ_NvIKY@o$wDjbG#y@2OUc&AfwP7TY9j; zc_f~mU*x&8hmZG^f#l7V(m%c%%6R0^0pIV7nSV|2*(~DFW`$qCgK)=@{f{rt3x59c zWe2<~wk$D$>yhH_8)0&p4UwzaEJ7-&t#un49ysT19?QLP`CtDq4DnldT$$%`4~upH_OE*sVm=sFI3?$oocEX&>0 z9I+$sTg-h(H)wyVDR3>r%ycu-ss;jH2c=+>oVH_yLNM;(V&|lE(|0^K8D&a_gO{aoeavBfr6yL}jE? z__xMdK>;5ekN}lz*9Q}#QhDktr3K}Ecgp_|X~8Ha73P^|{<{eK+=LbPM;zbe}=ITIsRX%*G~>&AVQ&*6g)N6Q+Y0anhoTWw{;bbWA%+#*6>? zmAMF%_5-Mrgf0u|g&>X74?`tDexsQ~6AwTXh@oOM_ zP=KJEe~>Ivuy(#yFutra3~^*x5Yge23v->o>qg_bNugB)>~Rfzx7j@tkRI zZ*L=6;`_nBZJF@>J%)bj0Ox_MGPpOE_wf2z9=M~DDL1OO17ySl3E>tiw%E+RS8#7Y6G~<&Rzz6*ul|J2Eyt%K8%1^ z*@#>R|u|+i-F%{)y+OwD{(uEk8wdxJqteXB=S^;*}Ob0c^A(s{aZqzdi8!vsIkdt3=&%qgOkI_D*& zz->h?H|)e&B9VTy;o{MlE6Shy+}-J+VaQT}6Q@+9I6bG5{IOEe-^))w7X0T;U;5eI zlERyX_7}FaPcn<;gfl!3*sd@hw&P^1Wc5POpc1Wg+Fu{J-0MCA)n--s)YkUe<;z~+ zxC{tz-5a-??HXc4g`zW8umW-lR|q@EbBbq(AKQUm z#t)Zq8n)MT`ZoC5K(=iOv*ef^pv~Vm1CJRW$r1E$EELy}_qj)RRFd~~?=>r#^xlvl z*OG|#ZS5b?I~>=`4q@dauL^HEnP3XZ3Tu_`)3XLm`1|}nn`HALY!b6<3bjpda^)mM;B~|*X65!yhd7zc5og)xpT+{lDyQ~ANJNeG+6ZgNd;txV``j2tT~BU}ABV}bAKeTnm+0ZsKZnFY z00po|ngb z@`pqqUxHgls=p0jiTl5t&Hp!@&eeuH-cMWS=Kms!{zL!5uYeYNa{m(sL2m>{Gc)drK#9@81Oop_T2^qYmq2}7|KS4fdLl|&)m_W%I7)1 ztn2^f8FWFWvGtb}@SX?-D}+>|xkcE=cM=e&DxQAAX@kdH-NhsD%^P9uJc3-r|I97) zf6+7urO0&R;AFvU@4Ii)%>xq>SH&s}`-mm`#7Xo2@bZC50IEfX6p>&G+~Nh~pxXN< z4-E{`^?6~v}O*rPjZyzx< zfIhOqeluBx9C-x5N}OhCu!{jkKupt&TeBfj*t@7CP5|0PT%x&qHx-Jkjvj#4){2lw z)Tjq#mo5UINzY5Y4cOC;C}!Iq6>Z{QbJ7psvsBUeF=QFR>Vtt2LhH7&q+s25TElt$ zHosBjFfBqeSWp)jZm^1SfzS!Y^(H-F+)$m?-qyfO*DB`%|4XA=O-;?0d^0G=>H_#| zW=0kLUKpgavQSBZ0Qx10D1<{28IJz&2cma>_V+@MAA8|ij#rl8+F4ma;_cu(eD}mB z5YEy08GS7;Se&SB5&Qo(}EP^_!JSSu9wVVN{AQ2 zX3$h0T6wjK^df++-%f{DleGz&B0NG?lTbE3Dk>ySiev)#imzXvL@~P_+ap~5S-jGJ zTiT#^(NSuLiCzdqGEL<~?!6F67)~kB6nLwwvwbd9fvt1;OL>&6Pw>7X6#Ut-c zLBuQl>dl9?7E$6w;_2Pu>ADUPQNm!`TFSX%>ds&xO*)$I;p2r%KVA_%S$jJy{)bWz zp)(IoQYfQ)(b&&-xw1~Jz5@S-M-6>bhg%l|=F!?G(^{-upO4R2nRiMz14!wH>=di@ zt9ihim~e8sR^TUVWvEcD^n(tdjQ#~4f|vo}CqTyo7yu}@6|wXIYXpb-b1N&18NjLD ztIq;VakHpVmgEe)x5Kv^!Ktv?0dNr3K_cayI}e0hfk)?FXFMnBgY-@i9t!z(7!fa^ zNLhe11)$C?!sH^Rs~-9Q#ce$?%`gA4G*FJKwj={ZW=@B z1#|*+b1GbSwR_Ug zI9Y=&%BgJTwLb4?3w{BG?3`s*LrY)L>O}Z-ixCq!cyK+hHst+%)RWKPOc6MEaNjMm zGaB5PUS-}y%1>xgDxWSCuAXl{8wz)_Enqzv(Q=0?TT zG`SdsZeL!wmk$C$bZ$=8X-}Rnw!3jR&&q8i$Qe#_eQ)uZl&J?gZKR?%eYoYg+*f@v zuAZ-sY^$G?Jm_d(<;h!rgWBX1XHel~^oi*JCeaZ>Xmewu^Q4m=xjw&ju4xP!8B!@M z=s~udVU2eAviNXVWw}5K%$EkGQZp4!s5Y*Uc*1H9a4ORTfRk(Rp{BO#r)FkI-_5YW zLhlksAiclOpBJ+Y6N*>jo=pG{rnSKt#OmB;ipQf!j*X2t8$a1`paYA^0E#S{NjeBV&x#_+5TrVoFjDs?$&+wrr=IA939#A zCS4sm5AXa6;7Efrr(kh$U`goIz)RhZ3#slki6b_Mw7vJJfJeF*JM}( zmYx#;VbmX!hX6av8wr@eG0( zs?9kmrUf8ugSIpceWV7VTfneCqQ2+zGI&c6|47;wLz>6MX`fgB4UeiSH44tO0A=Upz|QST_139PO_T z?hzU5YK3`*pBCwOP7Qz$sPTH#?TxR2BX;(iU^}zPeLB^^d6yqQEr+op#($mvB zhC~qSGdI{!9yOZpqv8!Un0oJ|D@pqQqcwI1htqZ2Z`|}zn9$1;N(FomFKV=vVg-Eq zDNPW!nl7j+8fQvQ9%|6D&UX;5@NFKg@qKp_X{j^Yw+-tHk8LQCo7ys%qynCT6Cty|KB3wRGmx)nL;#vXaX)VH3E1Htw{l z4DrNpU;vBVpX;WlHw&&Mkk~Lm5b17`rZOZvrg?Ayp?lxr7)Bl{mVS`-h2wL$P1xT$ zswYwPBJ#kc6)+^`y`fWiy%tq_=~FMu?TpJk!k4v(c`D>z0_Xc-@+|Pjm%+ye{L0noRx&evAHBngWj2yOj~7oTrI-J zQoOt}l0?qGx1`y0TUm|t!nL$FGV9Nz*T+Uq=uh6zIZJEj<^?(CDi{kaG@jY(o>z!c zoll7@=*!RBXWg;P^FZ$%iE+w2=C-GwS3jV#k}LDoa=URq9@Vlx)v5PdU`65E=Fu`G z*Acg)a`m*Jw9YA8ug)41HXKjx)&-;HtVeWkp{0g0Of@Q`BB0>x>O3PeKjAPq&M3gl z6hg6El^xG+9rp~%y_&4C9h z3D?FsYO1*_&aM_xDC$Agee#Rn#H`N^cN0Wjc`j`IL|{Sj&u3tpRf|~m!b`>W^%?LI(wAT1LO~Hs4FBCocoxP#F3xY zlO;#t7=vUK!1My;JT0+zalDFsql9?rYO$(0w5KhLkX{4`(VL!|j$k1|4p2EOZ(xpQ z8+K&BLYdu)e1lG8a0J^27*pffYU?>sTNrPdMNJ^CdiW8b39+)HpaZRSbE~KY)+^{e ze?}i@nj2Y!HB0rNO*5E5Vw6Hfd6$+%nIoVl4bxc%j!tl8r1LQ`%ltJW;u{jN3sCOA{F#wx-#LKQ=mBo3SA7 zTwJu>G`0Qey)cq78S;v-_42}j;K9T28N76z@S{u_V>2<%Z_n)Sr@VhAURA2TUV7J< z;7dzOM#BN|mUjb=WKBEYKm=j5;BJ=FyvtId-1o~4;shqCA76;cNthDzT}xXS-4>GY z@7E0z)t#N=G!R?F-0>J5%ki{Jl|O6eJASI0*F(3VRefevnbUqmYY{C&?fX(WEhwj| zVdrFhgj%emrFUMMv(<2Yr^G{Ea%#~PXCRZMf6z+-f1DwJjoKva+qJqVLvxypwz0fh ztrzO4{qZqA%)F!TT`bX3TIb%EWRRuyPpzUN%s8m{088oqkEOJ2k;{x)erWK5%#gLa zJ3{g~ar@WuD51UZ%OCbKV_i;gJ`|Tu9%)6_-xBsu|127N^iizOIps}zN>AStVbQl^ zn16<-dl#tDjtV5w9+rV(g`B)OJ>fHgOnzlVRu3bb=72hHQe(lLJvn4yLXM7(&>mA| z!Jrwu33>Rv7b$J#xlMqvpn`6jG%F|FF~EJWSVH za;{5Qs7!TObYCJi^-6k{xEYZ>z-;B(|Pmu9;I^8tP=u-Fdqo z1xv%k^&Nv+b@xuhm~z?_)|l6$FPwcE(brZTwdEU%i26jlzc#aPqz}uHUMNjp-K#x5 zf2&t-L9K^>xc<%YrA=zn)Y~Fy7|{*^%anZf*7EOqpC~-c>(e;YIPCT_rCCZ0NVn}< zWUph?=yv~tZYi*veCHjprG4DW;C)-!H{1MU{4q6lo2Q?=DZX<49f$6la3ZfvkaflW z6J|DkoL$AYuryUdQS=b>{(8!c1}K~H&!0qkbzsXwd=n$q2@JKh>=F!zLran;vPS=^<22$+2$9@{;?J< zlV$Z2+fS1B6b;KT%#-j!STiv3oJ&U=8{KN336RA`Pjzm>^=R$U>R))dm543a*0yvE zXckxS2*{lQoECcwIaS$&r4V|jjsYq-80$swujMIL!AC)+_ElRD!awl-uX%%pUs|0 z*yCy6k(1g)tY8qWxuhqX7_WA0P8B~kSE_e|sa>$cuBl<$L*kgLDWtr6Tq>H}U!uBd zE4ih{OfAO=f@taXwdtVb(UI}$KlI-c$^r|56!2?wC{TwjryVdn#Ld}oo=c5rJDH?< zzP>LKyOXYV)WMaRALMv9%rlMuU9Ym+_TFUQDPy!?_&~x?jBO(&M-CCo;RT9W9zlNn z?L5AvSzAr=vumekUAw6^l00$G3&vW*t;3olX|7aM(S6bB+F_lQ=IUR0N1uPMP37^) zJBS7QAQUXB`}o+sb!SfG{&42|+ur=vhNy(C)Isw2&v;2(uh|t8pW_dbH8!g#NtmIP zWT_pGBs3ijk*Pq(vf8$YekIC3w=I_mm{#V7-GCbR%>mC3>a+)ssr_3~#O|gPw{->i zJ#EdLkNK_2IyHZgrp+h)10MQ#qsieDCMPPjKXlX9y|A{1nc%{L%3MHO0G6~z@qu-9 zUNvu^v(vwj0HC!tH82xBI)y`6))qpRd6k|5z+qAk5yb%~Dan597C43T(V!(C<24() z#{{)^D@`&ZN3D!L{~a;73U#*D7^=U$8Xg0|VaqkBx{8!~0CuPL8V$aSi*~$;t>QVy zV$kBpk2d12~kZ`546GIffr$jy3)*5-u=@;!JE#Kgp=h{0~>8B2p9qXeXJ#fa&hVB zA*uYgsqxt8gV!M-YFT1}BNA>Ypa-$U7(^rbyZXMZU;F1ggp4JwLWnXnP{L~|tK#_; zfI-aiL9>2=-SMUE^XK|`-o=R?Ops!G^8g?v#SCUgCH{6*UTUJY@dCPJz(2akF)KJJKG%G{+{qM0O|E9c$bSk9`%dtVe#=b;?gEvy|BU zf)0+2J`3%mT17gxTNj@?mK8plRgv?omo^^5s2AH4jl@<;RLx*YN>QEagdK1RFHWtIJ7uQzvv zx)VnQZKWvmKPZB%aupmmNyv7p-@@3m$qZcly0p!xgpKb|c$l;vwMRF{ySaSEu_tc+ zLBZ>;!asnZY*}po!NB_n^HcNj$^ilnGLVMSI6C`ZQ z-Wsswc=eYVYG<;%o^sbZ)uYk0O@pH(T|=$Q>Tg-}tZG3QC#C>d?%mhpnKAO%4WB-}IR?19 zGaW=o(XwjAM%sFA5UtXRAXOg-8Fl{AfB;u68k$MjSx~{K$AgmivJE2iU45E!C$5e3 zMf0HA#UA%1M$!2wYJuk}!Eap82a(P1UN=s%vmb8@#_|-^eOqT!5#~|g4cpS>6@lwh ztB!$CC*R{*Odf0Ko1aa)ygS?UJF0#A!FD13hSAqFWBjJ)_=_A*k6s&Nw`~W}b)hBp z4|Y3es!J{nu31$K`UTt9oKR2Q+7E_3&d_cex1Jodrv5R;@4ZpOJbqTvM^4EdR@uPR z-ty3xCv55JX%BfV1<_07t@`k+6#1kH#VYm!;smbENtUt{CbU)FQ8K=sA9wITcSyug zds;}+Wl0`~c+_JdusFp-zU$Gl-3~R{4y#U>P#Dt^;?Z_kH$ zo>1nn(ElZE^u+JwoAW~W=LSgJ2I~VTbY@$EVzG#bYC)I8&n} zuVSrYaAU(pdo7xXt=Nz_i^U6Fx7p}>)f4F~pHR`6{O{5My2iCPPze50+Y3eAjqG=I zt<$$6H71)z#(s+|T96?*vG-gcsvqOGryn1m|EM~vj3L?=b`VHYO1Cus3qtAD&Q>3Yj(%ELk;gVkc zXwkgREX4Xey0Bsl=C?N;aaJbBCklY6IfH_rS2Am)ue3f2?8)Y&IKVIX{n6!C~ zUPM!F$-ao|M_z0Fno?Ubtxh`gGVv*C;}y@*ocYPI*;_R|sxm&h3izMCSH&8AzaJm@ zZdZmQx2i%3bL_o|{igw$1mmkQG2fg=0gzZ^h$~uv!C|SFs$8a&Z7>@t;10p@&Y|wK zl4EUhog`y{A%z#TS9MQg=9^Q{0 zZV=~TMsSU^s+iI@wO83@n>{|byLUbmWyke2bTW{g&FK44zaKy-l9@56`AAi!R=`*M z0#z9x9DS_D-g%}AI{Z%9@uH;ME8H6{Mfa?9q5Eo_)12Wzr766}R!lKN8Rprxka3S2 z4lpOEMG=PV}gO`&mc^Nk#=^VKvy^{3aFnrHLzmTvpfeaW~}4- z7-xkG5XpM9GRXo42IOjBrhb488P632HC{^D^4_b!NV3Nq0ocZI3V-4zsz`;K{uAIC zsB;w0@$1uQ_A@WslS!DhNS?aPI;Ib>d4_u(v#P=OK{fT)_u|JD8(&iUuXuKJq@*rL9R$^^9Wd<6)`%jt_~A%}?pi00?Z9K6Wd(fh&W+n6 z;xT9LSTOOC4kfz2-F?c~rZK|zNY?nRJ4=~_+ndJj>PQ=pOCm9u-Ak{9o=k-my*<=O z6K%-GFA#O-eJJ$MvC&y7s3~saqT&tr2h4UZp)5N4H|cTD_LU*XLvm78%nDZtW&6w(Wj8B9OMT# zU0id>FTu`JJQ}mxT>cq)i};DAUaP2z-iOOQ%R83=S{YsUPJf2qm<#D!$G&C8|dZ{HWr)$Z~ z5L@482+5dUG`ev>Mc|N+$qNPhY@w}URjiA6&!C?-fUzj^s+E$VD?db;O+AY+R5mT5 znc5KLX~DxgX^9c(6k7;suVCk}OL6D~d_kxv2|g^+WjS!=i9Nau|fo)-lF1I&RyXhgrazCpr+-%3H)|J4%ow5xlwpfzuLDRz` z+6&n%0|c^sY`IQW!d^0kRkPIo4Bsu@G@f|h%e!9pNWQmluuOjQ(bcO*`aYnG44(&_YyEv|z@K(RZ&`g(~4PHp&r z{2lvC*j(vaYIS7^odH>RR+cm8;M>BG_Jkdpsujl5td?Kbo)q1e%ghaO><4q& zWHm$M0fzzi8R}WUI=$ruoub2+M$dLJx2SF{moTiwk9bkD!V)Ud^}cwXK+NMq>-|7y z2ZwjF4m*-MN#RBJ4mD(rS4DaDg-2xi-0wGKy zm-7pUA8xvw(bSdhVo}HY+-RdlOFBGgbwcT3TsW-p$j{`0p+p4DLL`kicVmxG!(#5+ z?lWJ@?@v?iX8uke|9CsG_dU_5JU+;8a6x_zYIQ-+hBGWR1#N3M2t@LiJP!F3&N;dG|*^*EaLFQtI;qjG?#Iyv1aIBcTBD?o1$d_Jr; zaX>*Bju1j(O;(Q3>(lxt>lDvUeXe(rQ~SqX?3R7hk1;Kx@-0D}BmpzolXgq2|Ffr< zgIHe+ojJ!C+BJHnnFlL8HToBrc}lqwHEtT=vA&>KW*Z-&z)SG9kMRd6noL)8!d#Or zA>c-xf5QEpYqz*Ng@pHfQ#zZwKO21U`WAMk6Cd!o<5J8{Z2O11oYKXBK2M=&_?sSKr%ASL_ANllo!K^`;XhSJ}mcXHY#W6=PhK0F*e~M;WP)hq84^H`Xzvy~W zr!v~d74e~T*P#eoKb(fd6xDq-7!vvy_uTI(7}JW6wKSKdyeZI!p~WnT{_PlQR;)+Q zMzCl@Z=LB4Wd#5hIWDkI*LEjt(ctxlZiK!5TTz?e3H2smisAD3ZmG9eb9(Jb3nL!s z-tOkmjrKUNfZuo!%aN70NQt>j=YKtG)7(@_=Chntd)^5Yx&61iyx*t7{LV8-ggnY} zc5Q}6rG$~?kkxFzsI{Bl|3chrv=iR#k#259(!#Ag+Y3JdML52O{vX!f11{(F{~r&> zDzXx8l#G^!673=>?VSpRN~J}GcA+Tk9ceESO{IO%($w9iL0f3=`G3BxbH1P7_xu0- z{-eivJkB|fb9BG&>wR68e`gllp!t!s? z;p$2xA8>yV7!s0w^ShQh9bs{s+F_|L8zGc~$-l%(S7byf=dx=I&9}HipacDRVLZr! zcgd23NdC!p0SY2XzQ-C>PaZspRzx_F#%BS#d?5<5V2iwO~h%>Wp= zPH-v&Z?Rz@`=pe#ZEAo67^^fEowd}q<{Hg?Lrj=Tq)sd|IESC= z^P%D!3{SsuO1={c`4Uej5p$m;#+J63U(RjCoT+5blAKEm=Xh(>lP6K#Dqn@qvF4g) zKx?2~(l z5Lki|QqFD(tu5mEo!gaVt)DJ594WCc3-CWAbCvBAKvy|+RF?tDY?1e2lKKl}w+)~= zZ)|IiF)%VRGBX<@bpVJ_`plX4Unp(fy5C><(o~{!(RI$7E@`o^1Z;I&;B|nSt_gTUCAD_XdxnU3*koF5iXdW32q~gabqa`U0Qf&fTdc0)Z#_TBneg)Pf}9P<(i;QzAdCs>d&S-K-whT8lxwS3jJAAun>sD>&-4ZZg^th$%*~IynscfD& z4>7x^Hjc`pOYht;#~u#5RZp3;v-7-WR9(u#rQDcJM4yuQ`AC#Sn0|wbYyeanN|Aa) z9-ueB*2R_;yKj4En0w6@0Rzkk#iwCm=WsnGDnx=QdJjYZ)UnRPSKD539Y4X+p+VC9 z)F}%Lwp^lg^-~@+rz)hqarsm|I`$RZct@ZoTRNMY&kni!sW&Kg-g4ctEn-mgm@HT4 zsJNEFn?PXg%7w?r#vrk#k!xl2E*jX3&!3wXuk2vH26r43zgJrT%Mck%CmuU$=Gu38 z?qTxfTnl1a{p087S5Y$%#>mP`LJLM z&88}Et`W{Mbks!Vq5D8EtALZBQ6(*8+{APSUpn;=BVz&v-zFO%u_(kven1+We{tmU z8u9yLaJb`M39*oAt`v(dy)NKB+!q&ozQ=iTOCApiEg zs;X+`fgjKMq+hkk^}w#rD5!mM_x*f+3PoAsWgwvfbMxKN1V|(-L(5@64=Q9ZMm2+q z6XxS__G9aXHRK~jZWJre%HCe*cB&q)P5|7_WsRxnBXMXF|&_L>Psh&ovy$ zdcp&C`O2U*iu;Znc@J^7#>SwvkpThqu-87SSH3w}@i)ur$K#%+M{icLKspvUT9Q|3 zZLLp@mnz8uur{F+J_nl(8=p2(>;lGj4qHNnQ!yS_6Me$-2=Up>y2j zJ^X^=K3ypWfdF#@&jgPXX~n-E43(-pD3-6{bZYMJIIEFqs08NMmpHJ3{f|m$`Mr5s zDCo2#CVJ?B<#fN+Th?`UStck6xq)6SxAwHb@&5x_>Ygj zsGg#|2u=Wur8Pwirr>!7DO{3`)-&=>VOySgXr*Z`odQ}qji;32BG!T2eHkDbw3^}q z03f^OpPkKn0TVgtnw>_cDWA>BcY%1@mj^oxVoKby=f}C*`KSK)_2DBF*b-%0L2EMX zrp>%0>f>cX(0B+ka3DPQdVn$M2GC5mADVMJ7tfGr&IaNoV4R4X-I5F%f~3>#V^CL;=4ifalK_>pg?3FVebeeL5g6PG}8&BkrP)m*3PsRoHlUuL7MpK;pU+16BfM5?6wT z1c&pxTT+9g9)NW61x!sl40gi9!&!`Nc@R?i0BPKmNa3@K*Y*8?Powv^)n*_e$ba!# zZ8Bxl>?jyHq&dJBd|iv1L%!_;Tl%KGyh9y44~`5C?oZG5Bwai;bk6L1e}0^<^}_T` zTLIs5Hb&>aV*0^8t7l}{(w|r?=c>9v@N0ziG;Qb0p+0TnjHM%2HmI2@w%uy#c;Z>1 z^gC6jY2`~?dD*0UY(C5I<*Skic zvQRj7U^izk zvn^=aa83-sSIu2gH8z5!rU zPWR5`yI*#$`sA;j|5Dzks7O?l9{zTvw9@`<0}Sty%t5-SiC7XTXV)< z+c@da{Ol~})cMqlE6$SnfN(3Hp z3qT+CtRS*C)_F7d9hiVd zA)CVw7=Tekm0L?o3vMD_*kNN~$oco;$TM)jtCla8w#O}TgXLN{2<}bqX^tlf!#D|b@7?QcW7E}0ri~ULdKn}pi?Z7?L#jbF+7JL)==h> zXsa-rr+!`C2&~gL6DM`m?V>xz8BVZgTzq{8rxlptJn)=i1Bm=KK3<9%=uT}D=VNjh zY-+J}Besk=YieqmBxaGXzGPrvz{VbgXa?#6r=?kg^fM8JLReWVxOlBw>G-alklsj1 zNdlKHGO`mn0MJcWn?ymd#SXrZYZZMsrDn-)T1yu^Gxy_szO4Gb(O^w2uS+_c&$g&q+L} z#G2aM<|l(@9d}4jdxz!l7};Ib&J~l|^Nh?F;$XDoHR-@9=eXR(O9T=iA>{`sJxwT$ zX)0W7&sl_8PdfD|0$36;^!jh*$a&QGv`l8b35B7Sy|`T4IUEJhn-74Xc!fdY~VZ z>L2PJ1%)?(zeGCZn*lXr^+ANSRsL-Z zN+gLI9>o@R4vre;B*;757-f}7F7n-^U|qeT~7u90`^Y@ZE2zHZAKO>-wL zHy_u~1I7PeA+MFa4=8`2NrwO*n0>7>27q3jr%DZjmyN4?VF+Vw?$UVw^?DYn^gzMZ zvAOWDD>4{@lx794IY&BO|!EM7RF;~h8_D@Hes7CXS zbQpH6y_;}Ss z0-X55hA&n)=Dm%_dW`K9WeXR<>`Ya4M#mf?2;uE(Htf9E#Ds3RvQZ{DbaDlh9&B$d zNJsTbqt}=gd)+Y$`ERh7XcIXq%-?613Gm!8{pkWbLQq(^`z<%=1AODjnbr5(9D8dv zHo4i;991_B-zaoFeRcb*Po)x7wE(MkTC)n|LKlMM08Tf0@Q(P3?sr%`(v)>x$mzaE^ zTx_rZjG=+^*bPfdpeyKJ`lKH%=*!K^3s`npzm2ACVD$%R6~=ZBiEF(VdkFW@2McJv zKC6db@6_~kqAI2WJw19k1&AVgP`%iqgN=Ue=nIXsH_R(NlyYwkn;oBqY!52lk%HlZ zX*#uf<$|sa@52fn+46hVi!HDft1?Ke<2vsY*l>7vX}P^4kKqA(S3cPSeSR&cf^(V- zW63P1fcG0(_c?yrYTKLKUIvqsm_^F+@ATirBC2(IW8gEMzVkY7BM?A*a)p+kRgMpg z5SaLU{&jl+9Z%5Y6OT`uZHi1d%zFf2Cf@?!sEogM418l^%YXIQ?yfwm%j^QvC6<&( zaDU`#hS01v&B>6C1zb^$Rw8<6TgsA;Wo<*>xpql%HQL{s?-g9QDn8Ru_i))IRr)PL zPFpAjUTseKm#(DI|Fh(uHWS786P~|gSL$vM+Vd;c(B2eE!;%xe@LKe5+S}Wkn{Oeo zT#4TbG5f#l2;L0Cl{077i*{?u+q~M156`kdhh(EwCl>MkZT71%f@}ZWmqz2#n9ziXcSkJDJ8il?uX&11$ zZazM|1XypoV5nSBRL$jVpf`g`(9JuzjLaxZj$NlvDBhbCWKJF0@ti*do$>UN=H?I% zAi9jL=22|5SPpA<&$hd`{MB*Lb*Es{;Dv*f;U)~EomLyFU7}WUY`&bfH1dA;;`n^$ z=F3r=w~rs@kJ#SjvtV{(;-+q$%t^lU@o8+>7TB8&EU(k5CRUy;2C1m_3 z1dj_4JQX!peOc)ldd|DAy^eH$sMugi+t_>{bN1f3qJUoa0CtlatlQPA)yxeAUtR6k zb-2KR6Fxru^pq+|93&8x#{veqVeJ|B)JS)`uaH{*K~aH7Y4UU95nJ)%dIDdA(WR?2 zD25mpU{e@zyqg*AmUBSSDj`MC5vY&-zk$2;PCa?@q(8#W`x`{ea3`o}YDvb_5A(md z-x_`?Yq!+~2@n6CbxLm@OW%!F+#9%7-_Sx#9oOgn@-InUXO=+xNx^oxgdFJ*i z$ex{N-h=y6;zEQ%i2Q4>^p`p6`kyxkm39?BKVMV+O(QlAQ)0ixc$EvutlQJj`p8ZD z35}Bq3rp4hT;H;OBBaKYShJ;&#uwqSiqn@yGg#iS$367Bpf&Q=F{l*ugg7sA*?-~9 zC@8E|{|)YM87;n9`{tguhRx>(h~}XQwsv+Z#84pcE|2Mlz&3%K-=1*SLj}Wf5?B&h z6+pzRk^t)t_-Ii5=yd7UL(;G|NsmY1)+3}r^XfdT{ zmaL@ue}pS*VrA}vlKqXheQb>r{hO$O&LntpM}@xTt7+!j<_fm=-ntYg9D$0(a_FPV zN-zHi(O$`^tp1|Zr>f#-t{g10qB80ujY$L_zwddf+hFv=&WUMoD3AA>J(oTeJw$dq zEa8v|x>>9$Nh{i6RMmj8apAm9z3RW4D0JI#5I6m-ZBqXt?y-#2x~nhq^Tja(mQ{g5 zxtJ7^SQR&4OfWxxK4}Iq(B~Dh7*{JS0WCj4GJPZfyEAEUy*32NnJq)~|J@QwTIpa+ zs{IH-Jh)hx^dP%KO$Z5G4(xrYNiB^nXI{v!kI&+7oVUDN0?z^EU{tJoKEBXtG6=Kjd zv|IS`(AWlJ)0?~-gCamfy*4Bva1ZFK^Ny3Y>)b!uJhbQBE4N=%|G@B#H)U}y%dL;| zUb1d<&0X7G7+INVT-iHyvwtl<>f{3FmnB;Ur}+D%Lnr^$kR5sQ3(tsS=zQo$q`eK9 zl|)o!5V)wR%;W7$ZoKNVSFn`4_A*M3gzQvl!WaVMd7sQXu3I29nwG`!FIbbbX-9sJ zU-&fd7ZAVob_rN98^~pY4=N!pzJXrkyuZ5aV?Mujj98S>=RN*HyI^k9Hf5FS0UF!s zA$Hff-W_YJ8)%=9HO$W}wxkY~>}8O6H{}0}r|^B=-mTM2g)hbaqEeC?o&t(-qq(u$ z5#@DBOx-R62|AzOvH|Tjvs-z`IzN32nkds$?7xkdGz{Lbys85$5z}^)s;0gnS^gGK zz&3GsbA^@MYF58aOJC}_zDCFnIpba}%lTGjyD^S~RY>Yf3M z!}qfj4GZhudiewO`{(Ds9%u}l2G%^`*;5IPwBmp-$yq&3HqDX%d}MKZP=O-|X$^C{ZG?`~J5#N1X>jFLVe>2`J_^&uB) z&7%4B;YIshGTfzO6JKZ0s42TK>A!a3cDI*zBc+#fDxKQR(aSE^otF9e8ZY0ga?e%I zrze89S534GI^TThqQ>eKnE!G4RuSx=aBfr9j16O#>G3rN5ka0!eZ(b@ zGc-^3&T-|@)qOdVjVLX}1n|zDC**xswC5kH@omwZRe$;qDH#M(B?1m`;^&5!X^A1j z%dWLMhRkO@^2t$HB|-MH)FLFIGkVb|POr{55-Mavkfc2SqD0R_8!F{>9s9SU?!gM% z0XJZiw|RTO+hL>9YG@t) zH<%RZ{cCMiAQPli{zw@<5MGqBKVT`;|9`2dBNtD5CM zs|=>q5$A?e!4^{ac3%TpMr$Rk{LR)^K1hE(J{VqnTq#m;HLt&N zq)@AEb#H0`_L+FN5Wc~W}LN;ke^^6(R0^v1edr4Qy?N2Ud z`D~#0TelJy2c*pgdwLEEZ^mJ|oXEs{H5r1(;_h1|6dcXXhoLMe>VmQB(_W8RZ>CRv zC;khL;lb5EI0n=C?dLErD@#hIlH`7KAVZh_#>s!4i9(e`{kIlj{oE2K6@Ur(^EyL- za=0)4?Tr3)K%G^i=`mKq0s`qZE=6N>^l#t3jY}~;)c!0+<`2rGJ18h7(S8=wy`za% znSx>ipZ&}cV`D<29CL6;GucCOx9u+0l=B-NIP2E~r(!Jn5$jy<-4huk z|LdJXDrhCaSC@|GLUmK$`H7pRqCDqB&>FuW{VUl6c&lSxNVzf$tSKny1kn&H9w*c< z&w;0NXG*L93pO#-9wq>2!6e#rCP+`9-N+E@;Zcc=Pk9VL1XJQ&5*hJ{+kgLk0?2=o z$HKRp78bUB4-M{Z*ySG>IPt{e4`PK_v?vN9pGL}s?&|1r{QS&}C+XM&c{tEzq2mt1 z5A1{6xu5W0YM!Z43zmIL5y~?U{ez5*thc@*%bvj`mRG>>J@ovJ++DLVX042t7Abrg zW~`JSs3s$#&iF+{Xai9*m<(}znNsvSq__UfZ`qgXmm5^b4X&89PPN2IkXUA@Tnkg4 zKUlADEJETU`$k2Lp5ER%JE2MLf(p@}sGC18S@GAz3zA5&b%x;W`(tpwXOC<@>{^H@ z7u$Gs*8Xqj6{OR6?6|h)nAGXjV*Vg-^KY!|fd*sFD6+L@OOcc4ttL$PYH8=t9G`5C zA9l;`bPW665N5IqI%h&F9XQbx^KA$BqR=P#=aUh!AYE_$znkEAtP^Q}{cMCkffbWP zEc&8r!*?g~^q92gHtGP+VGZ@}1%1NuVDLRUpM;_WSUCxKgraOwdhf10M5xnvK>Pv{O`Bt*P!UozO z8DPJIY@ywy*PAqA~+$p^DyVp$;-u zfYiS0uOet4fq{BGN!sxYOl)l5AU+tA_c%N}EoKeH@|7qub1=d85`aAR>57!SOUwm*yLFi=_2 z_u~e2MJx0N=0i!mysFBxPWPthZaYXAaCwE@>aZzGyTqObWO}X0d@?FdG?|40D*;vk zHHKc)ap5z~C$8><&7{S&57#58V;0`2Kl*eJ3EsiVyd8xHsrHs}%gdC4eaGYR?I`n* zU|F9?_Xlapj|=BJ&$sU_lW^=&^g@&El;pkR9vqx~=c6ri7*FX}{;R33xR3be!UUFY zp8%{I$O9pTi3x}K7wred1KpQ{@iJicH0#+53kSw&fS&(7eEXf)DT zzM;Lbcc=PoxPM%&`rOquhSsg{V{RdNp(R!?R1N_ps8N|M1e3V3&RG>Mcg8B35Q4{Q?b3@t zJxlQ39C-iw1H+16-_G5`!_vZ{YY9>H2dPEB8|uKlOI%!B*m+FzXwRkD&st3F!dTUm z>vK|J@1dpbf)T#w{v;(ytB!3u_Wp26zA^;auCm$6VeCNtQWuJ&tsFt_&O@)jjXvnX&+&(bzkvbnWqVZ#223< zWi|rqg0ya<%d2&;439Yy8QDVi{JXxQ@Ft=&rkMbl ztvz-uvQmwN0<|lWoAf;1FC;`#LYk1PY#qvTn9pkM&5l=V#gxNzc=HMhp)9=h^L`2H zy1KfDVgZ`i{q_xA6%Co!4id2CGq|9jwCURmJbJVY6H_8?-4DQ#Tovl2u?#BxnHaII z*QgSagc(Xg+TVZ-TF#E?XKXwx4#fM4pm9j(J2b3L+woj?XHRacrWiF3z2io|so{;44WICT_v#4@B47)^ zjXVUc4A2f(s2?L$W6}rpoA2Mg$@ZfM%T>@z$kH6l^;nQ|GLxPzggq0@5jHl@?Yl!@ zg21g$Y0jsOOb+bf9Tl%uP|#eWrW1Olvq^ZPHOXpWX4HgBt5F;Ii!x5{qxomp{}Zc! zq52~ZnZu-|&9dB=;T!CCY_sAL6N@IuGI~iGY5UuaiC{7ssPb5ibU?!M$ad|}r#u4% z_rIaz0@c3gtf*U$kbS^5hfvo+LVB<0R z>$EXR6B`=OmXWupT(b*}(LQ_)Q2%$n33~J(Tk`jz>xT)yu>Y2b*vj_sxe(ARnfo9x z@-{ujQ|P&x5hyM^%cXM|WEMG%hK-(G&O31E3{#b1m748~oY~z$DD)*G4|j zcaC+F=GNg3WtE*O@&*^;=E!M>C!@}l3u~^?mka6C(W!213$}3PxO;T?`}Kc_Be+3+ zh6URo36!zyi-DQ&CTTEC$X48=VqnBFiXBz?J%8k0F$OM_wGbg zi+}y>cF^IoW$hJ+|6+Sc3Rvv6HhKgm7%|3?p_We3&j~yR2tUMFwjb@e|{lvsu~SExMF8MdKE`rw{D#w zkkC9b2S*|5On4!0F55^EwXS<;$js<+8X#m@Hg{qqw6!~s%t1|n{e~tk&NpxAlPl$Q zh7^QG|7ryaxflKxu^&<2RNjJXl^OxI>}65H$wt)xIY&~8*rm5MQXV@v`_8GSWbtep zy4dvJ$#VHHE^}O;SU;=mlliF(7k?qor{Q7qi4K%2D{tzy6S*mX3FKJGP1>&{v?%Us z`5ZW7>}!woKEbu&>dT3BSJv9j!o}-fT3k#8jO1&2b}0VJt1ByImYDmWPgaSAS5g^m z4(=d<1ouOQEBk4ZX`GLCo}!GKqgd5@dPgz7FJ37R?5PgBtoxjtEy`Y8CRVlO@}14$ z6QxRwmz=ns?3X4r_3w+MwXJ-=5OG_~K0#E3iUwRhF4`Qz-qAnzv5)uz9S`UPj$N9S#WX#Dmw zsdWl!sBCG@4xF1oW&+10h<$7l#re##2e?Pvd*jcFlARnZ;Z(qGW69#B?6S;NNwaRMIa310-WLx7%lq40{YCkU^uL+DO1QcVuZg)0(;((-=eJR}M*ueHBftiiQmXiVfejiAFNOV|ic)d- zmp8UEQ0=|KyX{gziOMC@^4H3;Qt@2;m)!OC+^`YZ?V~587?Y%Gq$o8Al))PhpbT!^ z{E0G{nG!Xp&uCI92Pj?JF;%`QrnuTm3I!OKNCqb_p!!43mAI{mP#8~3LkDOtyF*YhsI~iXGlHUCs`Mc`RdMC?J?8l4+ zW6RJItfMUF$Qj!}!FXyzR$meHs@AL-IHVxx< z_ti)W_p>YOgf+aoe#;j5E5#F-0k%A^Tp=B^B8&cemgNO6g+(6hGJc+TX9k(^LoMVXGrE1v$jgUI^w7q~qS!)SS&qJ0mUKHAXl9 zYB-mcVuQAt0+7k-v3MkEbTatl#6??Af13nKr3=A5(VZvs+;fD*wFdTTXQv-@jVABZ z8#!;Lf9YEv)OeCzG=lO!Ca-$Nvqt;T6+O-^_U6YtTAQ8x*jUv_L{4M&Qyge*FvNk9 zCu!CMtqFQvZrRnieBD>pG%Ga%l79o*kx%B+Juwce>uqqk-=Xl&KKrDDP8&g+{ZT3| zuZbcbc?*nu>ntF;mWIYVod0O<^z3#54(0D}!G{T~V+I4&4cfet($c4F_YxDCW;fA` zw3%F;9qVPe(ZHN@@Z|fvWBuvZK8t0|%*~PY0eAH>6Se4BX9vZAvpGAFY97o6!}clL z&FBDj@l|)dqaSY8b=BBce0lM6H1AyhbP+=_G=4d+DK*S5m+cT2FW&vY$Y!oTscJ0n z=7@LC>fuL;Y$}yxWmY*a?oBqYE0vDQ&Fd#TynNNBw#rybTS?WwH(~#$)%jh=lumAT zZC^fH$nTZ+jXSThy?LC=hwfLZTW9+L(1+Va$EnuTY@i)?!P<8eNXGgAd6wpBhvHZsw_wbZe^p^qo3#%+jAa zk*)c!xjqjnwPW#ONR*o%&lvBll&|Ti8Q;$5#P2jO{XEp$!aqfDi&OIXKy$4vUKU)( zyIo2}eqE7XXN>r~1F=U_U3eoxi83wOzT1nJ%}ZD5J#3fJqMU|7$UEWnl$6{lyWq#6 zp@zZr*9#kAb{pvag2yqF@7~y{9qd|qh3b1ub8h0K4^9z2;8URLy`z12>v>*0(MxEzP7x|o(&_<9O!3f%6*Jy^_fy)R=?2BYPsR7vRGAfpPjVsP<*7m z=6MPKcTYLW?z!I_bc&|R_T+HSYq>ipME194u}JU2J@Kn>&m><%el zq{TH6C3qYMp!T!h=fsN?+gY?Hdp^v}%+qa92sSpW}37rUtpv* z!o*A54xFmz!xCCrkHW&jp4fxlSk?SMVYw#)*Ms3g{n!!JV_m23wo#V$CR-e>ye8i9 zk+nJSo>rM%P?@UNTT89;o~3%{rjFCAkai3eJnr>-JiFiDw92vTy^KIXw!(s7pYgab z5KeR2no}|r@&RhgLJb!(vjqjpo20u70wvxaaFAuy5m|CKTrPXfp?>q73*Wx`^$KoA zQ}Jq-KT`U!Z%Uxw<}tR(BO0$kI-XLI)1f**^8b8Z=k{Uwzgu^ z%-Ih>+&p-R9@XW}hVLl#X}=RDwq!Eo@#>TlWFLZ(#O!6UuqoPZdMLdY_J=t`gb@J8 z;i82xnemrs`>J-V8;5ed5teV{Hf#K-iP`E{i%N}k1w4s7rJc`&o?bm~KB;z~nawIl zhsEooM67wu2MhiKS80-lIhK!IEg5P!6QDL5LFNmvQ7Yt(n;)Hj5$W5oE@yV+=(2%v z{HV6{DcSpEpTk~fGhT#v7@Bq5mFNyA+V=6LkbpQxmXx&un>>Hfd+!&&ZaU&{)!0gR zA5^^fs#KBh6`A5(((p^`?sqyw(;RHj2X}y!k^gp1q^ToKkOU-?3lM zz+f3X0q(hd1cS+b+e0!izI{-a4BEmbOBf;9*LuXD01TEG-V6*1bJN_+OlW51bQt08 zAzg{Rf|% zQXJ3H_W2JvA8&Pj33%j?u~_#yo$KJSH{Nf_tgm%UAj+3#zOSOZ#>yjq_e@JSVbW@bIF%e?12>>C?k-#FUy0<$P|VziTSXT5keSrz$F;~euT$yd3#;8xbd zj7XaD(#WUtWg15cv8=iC{DOiWAN6lkqqp7jw76JYhTZ`!SxQz5o-HShMyICKA0TNd zej0shxRM&_H9XMVry%6V>;<()un2it--4fZz6LBO`G#+uMLGppC;|sd07Xze&yX`@ ziIOgmJ8hXnow~**fyZo@S8dzjYsX2E6*lwkp-vwR*>r=+*4^6rHnC}ibsB1ovnCl4 z-Cm`VYQmx{)Ds1#C;e#8nz`Bqd@NYGL#;b6>+Iaqn^pF6{Pf<>xk-KdD7xte=k7XH zj-~#YvYUH+J%O>LE~7WPU(!{x+m>s1%NM&cUwuhpUW^}Si8vS`O0PQYYP`Yq?%|E8 zL37&A0uy=AD9Y*&g{G)2*Yy=__Xq;ezfXGWSF( zAsXFkpvR8dWV>}is{-Be`F_#mFMWLp4Pg!CI|5<3*;paTc_CNTKn=tu{a*CI^(xW) zZ4`!Q-ifSXI9#=J)X3v^paudVtsWIG7`YDUad3Mv=vZ0cSfKr0Y4528);uopN9gc> zrS@2*{Ng;nrqP#bwyB*@rI~nA6)md_O=4LO>+)prkT`dGy|kp2e8PIyGKP*Z`o5%B zsST}dp&8FyfBsGJ3!TM=Z7-f`UOJ}4kdSSXVxs@j#H(GhUcNbl%qsbk{H0)?aZS?4 zNP)IfJk-L%)o~q%V%!ZQuU~Q}bARetPEO=ujMRyuiOh3IGJP{IKPOS~;i-~o@WSk~ zS#P7{Ko+kp23H=m`_3~mavhy%=%4Ckor*7Uqhmc?;H&>?UU=V4ZMK^NSD}pd^JVOF z@+Du}!?KZP`wK1_>K8nJs}I7`SebPBuD?GS)XtYQBG+!+3OQEcye$||p*9WXt=qQ2 zh501}wLnb=fcI|nH61>sBgNIX5T+gv!;^t8g3zAL-FM(XH?V7%JnwLt0e6^FJ3sUs zZ^^s$v7={WuZPry2A}Oc6w6g*xKLuaP;DrCgfw0ipw}DtymGz4H50x{jix|wdG#^*cp{Xo?pM$pZLAsWQ<(y-`})XfQN@C^CJDWXw?iy zuN9<2FgTQyWMX90V*Mn2xUYB@+Z&Ojsvo<%X!NhsVvir85z2lcm*?2A#?WzZe^Aua z3d!-<#lQoy4ek|ByUDybQ*?6AgCM{9*UoiK%v^ZKD zu?Mq8QshqeE@0pm1tnEg152MPifI;=jyPjjcMi4Dxn9By!sa#R%?PU_=#-Z`tsfp7 z#N58!+1VNLSpm{7GP_v#_+Ej9xk-3J5ovM>?=m>?7afNvUnsCXKl^m}3VVm3on86z_j$R>2!k5_|k$N3fH z>14+hlxMZBkK^AfY2-niBZmE;ID;pu6p;rM0eVFGPUF$iZ8U*Mbgt8L)}+4* z;v97N-I6dojrV;#o(I-9d&iyb9xj2C7|q8(vfG0PV-piJB^8$H%A|Ph7g)q==(lz` zEg@_GVlw~)0b4xbwn@Np3$#I*(Xv8-+QpMf~=|X=!PgK6LFNywAO`C)M^l>y~=@d3CMa%rt=|-BF~%7>?Gd z@=_F<(H|R_g0xr_xP$+IE>ekii-)zhx3?0wfE2p;QY)ysp*lGh@#kNHhTOZj67Tul zjns`Lpff6Tn8ULmrT`;e7}dq`(Cz7K-Whd8Qu@^T05oJ|jC!OGtD_oZtgQnkMBig( z7hoz8W4r4TPZ(u_S>V_d$h(6(fJK!n_J&$P9n7A`vG= zHa~(da}f8gN^12>ne9+05^L(JV@c-s{ z5dMI{eW3yZ?|FdNa4G4H{Uu&;G@e0#;Da#_kmEhbXQaDd6WjeN4l!8SoF16_$*Et* z21ggw*WfDNAnpvWP>gAOb!O0msPFX*xHJ~F-%-3lR2W0IU|r7EI;J3!PyU>?N1#Mn zfYB@A?l)Vx;`I|fO15R!JeXY_(!71r@%N2Ew+=Z~qHsLJBK(=4Iwy_Y+gMu_Vbk{z zQepuWLMkLki|jDQT)zl6YrJ1z>D{;FV{6XfodckiJ_b01@}{@@h)1k=r-jpkYd-WL zlCkr>U%wuv6-FkKJqSj7+f%R_2O~EC60h9tcec~mkNisE=FKULJkrzC_k1hclBaUu zhOPPZnMm2UrfGa!{2FXoa@IxbZms_rK`BN)?L(G*PK889kI+h>9lZaAs-v~#i6W2^ zIg<45XuJ}rY-w5OnjTd}q+-t|9lheILG-)g9ZpCYERt67B=CrUMyEOThKe(EL)wq0 zh8T&fkPcBzE}OzacWW|CS8p4_bL7RWdW8lHvh=R9lA+%(7JIm_Hc}^DnG|F4>F?X& z7T-Q**XEztQ;630LO1;~GfYMJG%(WavR=JrO+s8;&&LbTuWa-0|1#0>-J9Cq!*pB6 z4vzr;9W+aS#8l``;2rdzk=Dh5xD08W(#wU&+ks>me1zpkx{;gji7_WxBr1+b7qpZ~ zU0(rR*K801ZoBKNKr@Nht)JhxR`A**FUf|*>c;?oe_)GF$NKpAykqQQl3L6o>;?nM zhCcjW|368M*T~2So}Q1NpGDFD=_=!~HFsZ=O{MXVCGvgCdtazM-`3IirGP22Xm@2f zQT~{4&`u|m(u|q?dNUMsvcxyAmWF0U-I!7dXmc-KtlzXL1JN!(rM)of)Mn4>a-_C zHgQqYzb}*#=L6Vw-&wqXQ-pDrYRxkh5@P4&j*^lRJ+_V4Cwf*jfbbL9V1lH7EJ;#B zBXsJy;?{>cy3UU#154pb4J{rk{uJ}~UnkY)K1&}q)5@pz;Zx<9_j5|!(_|-)dfty-jVZKB-`y(u+>e=ggoBM`in`6TAuiHt+ff@(7SYnj=u*K#m>BGu~;WU6Z!s z`ny)P!>Elfi_~Asv)7|a+xdue!BFt`VY2@FSGc#bnt=T>rr=u0jL?z#YEin*ye_5$ zK$xI@Jw~waAPM&6`}gm?y@!@x!m=c=6o*2u_4cZ@$$`-{M~X@*q5(mG3cB z4KL$Kgn*2S0Me6t#kF72;6m2);&D3gON9F$3-+kRt3aov@yM?GEqdbsR^>D@McT&{ zD6qaHGWs({J^K$IHbzm5q~G@mz{^(wa1Otfeq8zTE<5Ge;+UC~&|5weIi{~v`2Kt& zil0003ECj?Akm%6s#0Fz%Y;fp1JC}d4C`zztW|8slDTr*8E#nDub~8R$ssVuK5W zn<2AbJs-L*{?UJYLN-P$gdIBCk3dJHfhxd^e%S^1{Kiga*d%SQSCSvQ!BjI>YLO-@ zu6v>8J?Te3ojJnRadf6Zopu#S{Vm?}={2!9s1zy6uK!c_`jJ!5q%>E` zA>LjY7fxoUPK?}K|4rr@w(svAt~rz3W{>Av7kah0^hstr2L6PEiwEQV=>MaRM&V-o zRQ);YaU*~gOnFmp?uuLpmmh8)9oU@H!uVM@jjwm%jo6Ig?~_17tg0|U_n_1BjKAdf z%7+aesx5I4W}damd~OuyWy#BeM%WhAS0nI5R-%$Hd0!U+zW{8`II=jsj9pa2QsSQ3`JhGk1n} z%9Wy?=?Do59#iYT0utIM*3}ab+xke+qZ8|H9;&Y2|`OmlFecbqYuJ*Q%v-y z&>;RW%^}>52Z*(0OJj;>IL?gj#&>RRZfbgwd`wp`u*V~xZFBDHR{Fi1QE@~9_H&4V zP7l;#;yH<5@bkwrj%Vzy1ItFG<5#Le)md>dke{QO}KB6{jbak0k94F{Q+zFH9Nab-EcV{Xtwv$C?La^(pr zS~|K809gSQshiDUVW<)jTMaG24HY!SAlk<`3_3wt@~j)ZNP{O2QqmmpAyj@ zKzRggwEQC;Df@_xttV^W5t5{#@_tIdCvqlS1ds7}B_^d!(i>&U59h+r&P=lq%^(PrA|pBb78e(7_LvKk z)6hKo`BVq&<9G?@$J`1Og@4<2>Sm*vS7pO{bqz8H|PGPEKbT6v&1 zvD?<=(25}OavrU}PNwj=I3?-$jW}MQ+WkD9Key)Tr$l^4&4qW`G++xPf_&m2>y}KI z7dkm^J&;-pmgHA90L@C?hEi{6;}$8PXkI^e$#MBCuKql*iBsqpR1cXX12|HZ6RRmI9mn0(!|FmBK!U9~b5euk} z5Tl!wINUlh97$B4z;$W@jAy@iZ0oKm!NC~mU;Pf0{9(7-j~wD4SH3XyStEY6RBo+p zjF1*O>gS>%L*$*GpQ&EXqheF_8c>+C61UH>`V@Y$aN6gcpmiJtWza-+nrA(8!kda# zUe6IG!K8QN0DFP!dGZfm~*b1}P5|M!uC+i3j?&sF_s7tY?nAKE_`w0*E45>NR z+nPOq;rki^KV#}tABj}ztJ?uI*H>Sx?b`{K)7T8(yOWJmj{I`LjM;&qi~Z5(Z{J)f<= zhP0+2^q#?fwh@QT>hgQOKTgiG?R)mwg+0$2h2P2D4u?X*r77LRQ*TvPeK~!>{EWMb zn0s25*6-SFW<3brK@=ZeZop0wr%b+AyNey90) z2Mx^y$g|Re;1hU!=AO=eGAR}SHUC$#q<--`vpET!*ot~*n5+Q7^b7;GP~i|#Fx6(3 z7h%OC{2ugETUpr8lmjmU}+eD;<1Gmr}f3AEF7hI3i9suq@C3$PCa7V)1 zvH51V6Kab5SdVVK_M6iqZqF94$S@!9PCwK$N?m=`#U!&%dtTQ_eCpV#`QVnX;}xBI zWQ2Mpgjm>k)_3oy-H#;VkYr$bl8qoW z9|49OoCM%@9~D@x7DbIj`KE$micoOp|BUfvO3`%~K0R6+dxz(KNSS2>E;Y7Eoo}U^W$D*73?s~tJ_#{X;I4t$gce2QWkZ@yr)v^i z{e)+M>WRu(zc}Fw&2>2x}}OfZ;tE+Qb}hb$qepwpo7Z%cTnvxt{sy zcOThwdY2ARy9LT;HpdNb_n8YncK2*n&zxZH$QR{kpXDM4(+qQZhwuF9EnFrMuG2T? zWDg|r)VMp$_HC1wA9Z3Ji~siaPUKQoVp4mCZ)V4cMV#`WQX{sYgSj$`PzTW+vMH~sN zebiIO1@%C-;LEURvl5`^pNT2hD|hLjyNyZDkXLM{1Rc|%f|tWTG>0-eZy6b#bL(5KAbx zK9Hlh9@;*HM3N(pMDk%}nk8mt*0g=;Ja(t19#07h@h=y)l&E()zVp4pU61mj)^TYn z2!%`O%R>iBx@B2B)+0cXQ2)r>e(p)VD}TPJ{R2nYyzfK)oxPM{#8@<wNjhb9td!_Aan2!XA`h>2PtGT}}_q$pacRN#^s+Xay(2_rk z8QpY(xrt+(-<}PF!3@epPef%58EL>!>aTzCB1&-KnK}6p_1p7h(V=!$@tQqXI?r5h3xD))-Sro6Lh_Z13^ zOxxANK6SYyEbOTM$#NXoBmHd0qciUu0f6*`Na(iWcAetJysQ)yNiHVD| z`*wvCg3}O_jx55<%PXb_+5<`gktA@eG~hw!o(1&=-hLPySccygxei0omZY4hS(E_k)> zfio0=cNTq8%@x}C>1o+$w!CrMcX6%!ta?4 zA|5bZY&f+*nr&A#c+DxCu$kRaWR9)SOFj_uJm}&J?im2*IpC$o6L&LMp3jt@B$Q|# zqBSDvyTfN$I*NXCAxq)0yYH#@m6SbmkA+9#d`87I>;ial*`3yi6!Fo@Rc z3o5p1_Wi4;Bt^27fwo8ID^0D;2_DiH0rze@C##3sYFF~4N85j8!^jAa5<^_zcn&xR zRo$R%9$H}NA_TK4i@gU8t*SR%V7!DvFQ`STp|ikb?$9t4dKkB$3mPt3`lS70qM~-A z9a@5uj&Qf&EIm#Zs0Xvvb{m+qD7w-9xo%xWFc?WyG~*v8(CzU_i|y|>4r?KX06YQ4 zKs^cP0-{oED_*OzfPX-GpJm!8wLoTQXbAGbxvdlXie?*wPz?A7p&b;$2`3FALotT) zkdhExa|h8=ZIm!sIDjIdqe%t?MGh+TDZLEKna8_|c|JUHyPZ-ynam4{&&y3drFs)N z**&k;cNOF1?7u*>Czn4#^j)lQ@-&l9*?9M3&F^b(8M<5e*LRwJz@h`_$1Be|yf|^(@v3KIPUgbnukftgW=ny@h_87mcxocTe(b zY^`RPlH!mJk@@Nd4n7%1o!EAxP&&i$S{M|;{Otq@L}+Hvh;qMF%KKHSVMUw3|% zQ;B*n6YNW5#=)N9R#NdhZq0rt)nqN5SUI<(b-&JSL$bQE(d*)+NiM68@A=3VL#bi2 zAfF0#&a=Ay?(Xj4;babwHMlWlLN{$MS?L47K=Elbzj2_Dw^J;@C^TNWuT7>9%7jDS zGg1$ijuMljv-9mcci0UvbTYu47@N}=E-oTc?o)yh8yhD~hEePUnXa_3=9Fl7f)!yI zV@2mH8d!Hf{VvI$lV^*=^qH-7AHVnS16)g;1p7=g_-vu^iffV67ueh7KO*2zu}%5O{xe>>pr}hhh0~1 zCTzLBry!)eGSY0&UV1e5W7nc`bcG`O4$Da8YsYqNx}Nd|Gr963^}%W+ zIyf^8KjdjFGo+k!yy&8Zy(nGXOKmxhI~{h3%CQV&=8R4)pD1@YaZH9|N_O)DAFjRg z61!PMw;vhIZ1WmAb6ZHJTK7w9S`R&YP2^Op?W~+Pwu7cag6tcY7=##&_#TjTtlOPJ zn#+U3TX*}F*ajp6#J&hr(i^TLK940S*ylhSg2_SKt+*Sf@L z60~&T;>`*iUM}e8xS9p#T2mY-l;uL*Q7aB?j9^U+cg`HK#?zYd>A_5Cu-AnCeKOC4ioMB{G{%21lC93s< zLw*MPi|o6UXQehx3=}E{*ep`cx>0q`T+dAnlJC*+#`Ng+U(;d^n zEm?MN@(+&qq?rfiJ0D&Qt=naFMDCb(?8T?ThTXTHzZ5>0R2C7=q#AG7d9_dH)xd7O zqO%57KZZ31GpZbv3|a#xHZ_i15d8dPw!Dhkr`$^6rua7dojy7`nhexKd)!1hMLZ=3pQy-)Pez|$aEkim zia;B_vx3iiV zyErcT62vqB^WM=OF&#`TVhexcLh~72Mx>)gPXfe;g7FeyKA+J>O&^5tTf*thIz&rEKKGR-#UC5C_S@!R%8VKpK(+%k`X;+DQOr!Mnmq&~ zX;OHpE=e&ppP_tD@aEg*U5{mjv~&x-6RNfw`B-0H(pIUM((Q66N{E!FmQ4P+!yxXa zC09x?{ndS(O$7k~VHMURG3>POo1=2EGQ zFs>eLtvs8?CL(q3sZ!Bod}v@` zZ1x|nAgXDk0#ubw0O3VF(s}P5HEq~Ff^Do7R|f{0x{!X^=JZ|kmcnP>sH`&VNw;V= z)T7Nl`!fIhjbcsq1R3G)qtQn~{38c;)QXr3GB|0ct`i&|?f+iLC*pKb{9~?VRXdD8Yh$gTrKkOb1%|`fZ32ym+AB7WgA-s z!P5AA2u4wg^_sUX05q}rpp=}KcYZ3DG&q_O@BA`a&3w1eX)Sx)8Dh3BwFG_=u$Q!8 zJ0h-K^t`vVMLR=xa5n$l*uY!&EsH!uT~d=dtZfw+50?Zw4i(i3cAtR5z9p8hqWQ-; z9}6?3)}ay!^|1R^QlE=Ibjd{%sMef<-x7FY0@{SG)ALVMUm$6BIn52^`rcuxJnjvM}>tCcd^3JG; z(x=^G;b=~2cF1>r9vy8pwszW*sftNuB0(1y%+W9B(c#BcXf3mD+-h*D!^GOJ>@(=m+%Mzbcg5{gtx?Sjs`2$6Z5ae5yKqi+18OkhX-2~PgcO+qW2hto@hT4kQ-^n5u33TX>)fA=uL#cmR^;hSoj zxbN0P|D~Vwr+Z|_{KSOM-4h-cb3~6(`^?vGZL4i`2yqXtDX1~f=GCE%wo*#fBK4)| zjZ28dXqwx0f9P%x5v}4B?tSv&MGrq$Lt5eC+7Y#DYVG^Kr)V}q>`>AzS-iiKHfH~3 z^DbH;h3`u7PpI7_Tf+>?mk^CCZNz zrJS)<9iLKhs%X(cv4bY|9Utjsgc9P!N_fA2x;ou-K~QVn-+lOtkDgU;X^-`Ig|LI) z*SnjfyY)O;1be@Ec1!NQA}WJO7dY+%=;gNfT=_+TEbZmD_hNZ_7S5`-3Yje2YInax z`@-SVUuj7Bk9i+4E@B49J@DKInI2Y5o0XTTQ>#{P*%nWqw!Kv;tb3l-_JpO0e*lp^C6B=zQ=uBZl|9%6PdvM^WY!FpYxNqKC=#m}uO{tvK7nc{0J^1LfM?+oRuB#2( z+CI5jSi#MFl5TNdb7pMKhv041L27T)H4?*1CsiM8(BoSl`7i@uO>Pd~QL$i7fNGLr zuMGyB7!_2s^K8y%g$le;eXxe-qHaKJwp_*KQi~t5o0WJNQJTBjUb-z{VM+>OKOfuH z-D-2T>?zf_CA+(5t7n32R|k)zmV`LZ4vn&HSA30C4#rqP^CX6r(1x(>J|UxVE#m;^ zT#Zd%-nELmgu3~n$sL1pT^CfIsR@L-$xuZXUp-J$d`>okCiij96ajqn0cZ6T9&evq zyhj%gWO1+8K=L_3tNwV|=CeHuUF@_UhDxJ3mKo>8?yW4^{n7uBO2x(TYHDfOQRU?4 zYn(WAE256YdVMUzv2P{76;Y4$dfn;LEp6=V4p_Q*SGg>L{2*ZJpwWRV5i=73JT;5X zmq)>cN4nPJV@dlx&M>iRHuaq#W&V$|1;tGCTHWH>!*3t|_}1lE*?lL7$5(s+xXQu_ zGiCe8N&(BoSguaVr+Y`3#f8)LYgV4{=K**D#nVR0UH_;AQi$#^A0Ho>OK|&RpMC`M zv0y_;SY~ZhH@#b!9+p9iTrbda+=-QF$oH_T0ylg4WIA4CM`A`*`bBGlkQdqDXs&t< z&TRVGnm?`hl}7mM7pXtY<5xJ|Pis-epvS@Irt9xIhl2lM>7)+INNB;#^{3LBrv#m! zC$+>o-_gkpi&Wh2a{eqR3_3ZBeL#29nC}IDi(Tjx)jRa-G@s@sYJoUjg>(N>TY=wT z@Pz8KO5*eqjGq~2e{5;CuM)T(S`gEoD|#$sA}IEPXvbZy2U={oKzX0g$#542@g8ee zqtnS)=`#vE|Me2R|8Cy(_XbrOUG~Ys;FVS>Wx}THW!ok`s`_oJ$97h19WHomxm)Ow zxrp*^jw^M1bCA{v%gGnIGRI3yC5q5GP0?Dhv5RE6GIY2jvWXV1@^562fc+Px2Kx7L zyklgQJO;#f9@j9k*v;ePtg{ll9z7yp32;lm4g?kt8f@>VK7-XOeLX!=wmhXqQyTZa z;w$kxZ6qZnMO&DIa-ov|zhmXM$;ODL2fF&{7vHW&3Xaa~ke~ZhH#(8emmc+_cZ+QB z$JCU)jSa=;V0P8-oy$wpAfYE)-g;0ycl7p#Pr#JmA^Vx_9aMj$WNMwGfJf#W?m;0> zkaPc2dXPJhmL4Rff2aJX^kDUY;&)(|{11&m9vzw}SxQ;p2*T8_|2;@-4V5Y^>ymZ! z9d%PseE|{0Pi6LN!hWt#g-{|W(Nj?+XqN`5Y$klYP~IKXnU{UY>*edqc@vV27ofqH z(*9`T-h9j$zq=MxU41#P#~jI9^DVK$A684>_boaQ;C- zA}V?mbeQ`SoOO0UcYx-t$8e+r?B79hWhmlpn2h7~&PDH6J>d!Ybz^t7*d&&>&&287vxJ$PQI?~Ckixu;V0qd zKd2RQkkJk{JSA;>;^$Z6GFr%d2~B_Qi*IgXePC$F%IXW+iF+{9;lm0|)0j4TBnTYj zo-si*%woO}J48~z@qHTi7XpdY;lPX`s)`B8RAN{y*wlsz$P!_Wv&#rxgwBXdqX z4(ummN-HV`?$Ep4=j@8B(!GxP<<>EoKq<v7`<9MZRLUdG7Pf0_=Mgkxqwvq}9hnrN;?g&(AcZaUaGk5=@Jmhtdp9Qn)` z?o*0R*R2BDmECr21H~^K6U`xLEwvVPV?ZY%)8snv@Au15_dgMAW+F87e(PrdFz=0+OBBIEK z1hgNSl~r`3j044|s#baU7hQuOd@Iwy-KO{HCU#a|L~ohHvCTsh%Uhe@|9>HOZ?|8i zw2kfiMeg1*0OhPJcqPE_p1Q@1LsuXoCid`LFMJU&NSb{Uz@c~xc`2!9%8B=k!P9U{ zrH!$DZtJ_|K~P{B++Rdppnz*+`hLHTg&a*49F+eTDMasE4wKQ}^mSz#o@k|9>mCFu zjtehYY68X3mYZ0AmMs%5JY$NGZ=w&TOa)--Qzfc_d_uP{z1g!x;#5Gbs;$9r|0Ou+p7LPNF~`7 z7aNJUf>#?{Iie*G+WgCg6pd!rB#^wSQlKg*_4D}*!uEK1(l3c$v{11~9Pj#Vdok6p z8`?adSwuvtzQ8h|{2C?2oC$1-DcDehwQkn6lARJ9f`Htu$BT`Os2caKp8UPr2?fFb zrGeN)#c_Wf!C$U;2HKgYJv_KIJL7NEy`DR|UQN;jDx7{2kNvRP&o2O|R^fy=s2`uR zE{B`roe|PEUy%O}hI>ZupA191ds-pyL5Qt>YKMNemxWWH(xd$E^z4TDbt`M{s6Zq} z-&lPK54AoT!kRVjpn!m8R!zi-Bh7LU#j|e04vizO#CBo!5sQBVIb$%Cyo&)WL`6gE$Ifl_y8O@jLgh&unvWdPLAq*alP)+0o$E~CQ>>J#I zUHx8S>82q0GjraLO%?+G63ss;eT>aU6T*0Uax(KJgVdUznki888^PJx{bf1Vf`26TWWu2nB@$w%lfWEo<)<7)?fpo4$+cP`qoiSn{BEVCRH;M*@_g4`#Z^j| zR<*8Pmoi;$dyzF;48;)kqnH{JJ1-_0yC-Z0_wj@jMl>V+##dxFjdKa^TI zXt(bE%4tBRM!wvEOb$yH1dT>w{rObQTu~n?@W9VU1%$zu=-~;QgzW5W@JL90a*eE8 zW>0Hu&_v2Oja;Q8{>VB5Lvbo4b@dRZdeC^gW2Y~&CEwY zobtYM_lKFapI0*hvY+H=Fdp0RXCYmK%8+Acixk};#+6{gh7-<;ARLw5?0mZ3Bcydx z<58p+$WVm1LDmKDfLoo+K`LxNg^neR=`Pj*5V^GypY?WtxrBGVjtyA|dMzFU?@GGb zB`Heu0L|s9$bi!5G`$+QuIUri2-wX?G*g5&tW72)SpSj zN^$@j4h`9Ez3`jUC0*#=Z2J1s+>CfXKZ78uLy$}XQRApl4(l@pnyyrZKUMSG!M8={ zr$E(Ca93_ri*@i8hj5l!#0nH;e8znREFdF0_`l0~mH$EL$48ut zuLbG>A)h)N_w{6CWY%;HuHJ_V?}=Mxk}ywlydOkL=Y@z2)N9P%HTZyN9}RcofXsi| zi$9L6{^DuHf1HL~R_qu)$_-1xcpt?j@`MoKJ6jDgnCZXr`XBr+X8tdU04p@}E1(V; z*|A@4C%-DCmBUK*#S#}d%sI%&)b#$F5d4=!0geEFZrBj;dwj~Hb1O)5j5&2H{5>t%meEeHAz;!QNYY0vyjA@6c_Wq&4Cq-1p7Znbe>v+9q5!?s#2nN{ z(A0e)>|b?IfD`}EClpu0INIUoN&yiO$yMpN5;Qk~k!!0mJ3HIzZbilt_U7$dmmmG0 zEXTR-gq?0%K{9Hu;G&Z#6wc4&ZwYrrg+;&x%+<}!(@17-ePj+gw#Vr|mDE`Yya;y~ zI?@TDc%)o&oFs+2`!byIya}veLdFEb6Pa>ZWEDNPiP+($eTdAv5NSi??q7*;sQaD% z$+)v z+c$3vr0wnPac_tcQxH&3ZF>2WlDdKkct^c9mU$m$?LMygbjfyQ1D(E3>?+7CzkJc1 zEop$KFN^~8Eg95KD0{LM?EZx_=V8PdL%CplD?2p>-kW{<*ng7x% z{BqYwGl=IEXDA9!O8Hu5b)0IY^l1?3gDT)2(|zh$&5B5`uTjZ`;2e zKRb3P&47Q8nSke_nh3E&Fi1(>r9kbxE#0`kjf|vF5(5%mLeFs-3d1Y|1A}tiGlW#e zFC^tx6%j-Ts_xvG?ynWrG?3Cf&}>Qf6|M;=02{+hP^+X)io!!=<1yN+EKsKphX6)Vqnbgxrp-9lLaj@-nkzhje{zEH5w1SnOxKkt3}TufZ3@ zcA@KFgVY5MQ$>@^C-b(7BIhL~)6Wd1YMdYBvQnkxvs2y@%y#zktrD$qyXoZvhV$7v zrqrS%mV6bnI!9Ekt=}7zg@t{(*F51bPH&VtaiTdQ!sdj{$-YP%kt!?M4+#bKKCwf~ zEZ)(%g&r{mCw?~xu4ONPUj)ck?3%E?SU-Cg?Rw%>{WRkwSi@ze*p3{ zX8AC^b%Vm);Y8j;+6654TH1nfWmf2WBD*|pOWkbxh8va$A^DTAjzYCdP1x*`S`Szj zjNjfosP3BJxs7~8Tpnmq>AP&3t4aeOgF`-@i04LO0y^L4LKoF^mlE>!9$5KNy=cq2 zJoG7tOC@}#;f-1GEiwxXX`)NU^a3HzSfY9#T6^=HQ|x7{jHZk)$c=vxvyhfRI%N~9 zsA%7lDR3^3`n_)>Wsgx}$DWn5p|BoxP~=kJ?U#77$ax!6wKQBlB;!5k7pyJ|Ym&LxjgCqZZ$BP7Hbe9t&&X4eQoR{c%$uAy(1gcye08)CUqlxV)F6N6vyd2_+7s5BO zu~+Vvoqt-;U$$NLTk+1G12+0wtkzms?2Zg+Z+S}jC4F@3%G0xiOPJ(T*9P7WjEb^2 zybH!dA2CPVsV4@9iR$RR1m3onCQRP!D#?z^OIMjU{7J^w1w{wl$8JW{0i1Pq9`)eN zF-$8GG0Pp7P(z~mO_+BC!|HS>9{zVsA<&*aoVj8J4cwm3BySs5Ule@~QYLVeKVOX! z45cZFFivTehs_b88B|)lnf0!Be^C{?#Pf%f;x_rkCn_G#x$ivi>eG^UjCqBIeMV!( z$)y#6(`c2G_K##y172Z^NxGG8}==q;B3wX7Sc5unZBRhY`po z2l4EfUw^S&8HLGYD=&r;;tW$0csi92rcjhVf*w!YXM;^YYK}Wu1>G`IOx)bHkxDf1 z1mxY%7u=|PI1bc?>tj!mS$Z?ja2h|a!RV2YOB;mo zjlI%+)A#V-5jBThi6+$s$|OL;PEWfaLZNaI&l<{g7H#~*)FLawamvc$l=S-`DRPP?mu1W-x>1Q~;?g=c z@1AdW=$sr@w#n&MNa5_}fQe>X>1&rnU*5%WKqxzGt39u=>>jCl9j zm3HbZ4GmeP0DrSgX>I;1QIuc@sb^tK?c=uYYaD>z+;Eu1HQ3MfQC zjN#t54_0KtFE`U2SI}lBbbmO`PZO2E&f&pWoD)evJ=;VEfoyWN$Hv5%+i5)58y?{J zSs*(ih9hfHfA$)K=2L$2?Yyb zn**FU7j~!%e;r4Wfuh~;D*m*2>2U=bz!GBLpF#=bz3mawnz*?APT?EUM_&fPjwmWw z6C?7>bCEaV2#H7&cXWo_56So_Db%>$$NBwV002q245-Qk(Cy*})fsEb1MH*B?G`=Q za1673i#_iAgo`-%z3;;wEbi2eo_T_^la@CR z)lj!B{GR)d55OQDj(L`w2W0s%~lPRTQIO5*EUGIukTd9xC zQLmg_gp^fXB~=p=qEf=GfIA~H5ypJfNxKEQ`kAtl zBYo9E#mtmwWJgrIkCJ#oTAjx}iHeT%Qg42)_^6PzcKLi@aBmlxji&IfPzUyVTI)FH zTO58T9_VddQ-MM$~p|+vMr0JFsHDlbwH;q0RzV?0) z!?I4$(LzSM?yRi~Uz#GFbn*GXYHw0P0;#ZoPb;L^T3(SRRO6Y?w{+!yt_lz0s>ls2 z!?edO>))c0>ZCuOoA^iXe7 z+(r6DyEjQsJO55h1K+Y^ccw^h(B=1LyGh2&%#`sFxrJ|I`8qz%t}+a+@qke}6d`RA z7azZS^KIxP^jd3B8XDxx7V-kNeBR7)=#X3j(a1Hdf*tCkVB~-z)qCJ*TwqxP8LGBZ z&(2olV`W|K4EnrYcP{y{S1ShY7d1&_Inzsfi83@`CN1W@LZG+bC019;`23jR!_*6D zv#iW9>HaZlf^HIuf*&*t5*j&9{j&sSzn0*(8oDr$<;FVNL&wfSZsEq|i}1VKd~9Mu zxoWR~BaxU^+NVpvXARhWPZg&2bbV$LWfE6RWvi64;raINM%}KJ*h&^k++a3@N_%Yo zm5IRHzxQdDQUnuW4FGDg>2-Aex+?-oHyU$)U%kA^q;|1xY5rL@XXVUN$kPP{1=(Tl z7<}7$QP_0-`BLE+_v)t@{`IeaTZqU0^>a-aU+I@$bZJ=NZMAE^uP8DLbkc^9kh!h( z-mJg5)Wd)MaVeM7Qi|WL_S1rhFii2+AH3NmJgytJ=3ZTt8MG3sfBL>3ejjX&xWi=% z0=rDE#lGyj@K1C4zy0!wfV%CQ?*9fVUU6b&pqdJ#EZgP1foaQ?z$wkDus|_AJ;r}D z?VrFgXg(2ACm}6O3$;9G6Ms)8rhG-TS3of6y^*ZH7XV^$HbJN+7#8Q!x%N z$NecyALid?P}f{>>iRUL^igH4_qd2c>m=8@){xN97Q`&%bt|*P-T6dz5l9E=W$)s=FDG z;uL#k--T-Qt2s5$r@q^UEsDAiJcJ8%?5lthOFXgB(B;CtX}+@`Zbbs1?Yxb}F{_Cd z8g=et`7rFqEs*{oAb=Ry!b{Wm#~VV3tZRs(9FMd`g_!opsUH_~cI8w3QW}Vt6TmDD zE25H6N4QfxF>n&1{$}|MtUgULWoX@Tq&3I`IQoHI9KQxTnXj<7A42m6 zB=DC(cVE^eu-sdiL&IG@{^yGjI8zdqUcl{tJez&MZWZ$iR3hGrf|Ne;w_R!xv3HM;7Jk*xNeepMR~a~E=F?!%r~I88k5X&yOy~q%9btwGxndoP7MYf%nA597un+(wpIg_r+T4{mf^dp3JLb}Kj>#m$wF}@5S~GcUx@DH z;r3yi|E)k%s%tdy?ZP{ynK(IRBVvuC(}{71J~T%uFG-7th;sB==>PA(0L#O8{M`_``V%BlM$vt4>u!n6OXzH5SP?!EqRBGQ&^LBL z7QguCwBe~TGERP0Ujms>?^2j;aoc4Q@4duS+yd$a26#125web-)QgWF6`U5e+>tLV zyl;#$LjVA8Lp@A_@aUjTR!bxz{o&YsP*ACEQxdg~nt$>koDU|!yr)hrVoSN82LJ;G z=g%C1f<^Mtf=JDmCYyCKCy^)bbOB6Yh4n@dCV)f$fH40_aiN+wdwSb3cCD%|EYAi% z5p{!q16U5&orwtvZ5R4b*&OK3g-qORwfRn_zy<(>SR9O?eXZLM&!5mN2@|!JqO1AH z99f>gs}K@QJjqFXrl;p$wNDCLvJfhq?DUB>G6bU5Wr*C)48);>nDBE!clpY;CjHGH zGXm;B&$|EO-riFWLqm^aGAk}o+rfqbdH1<`+-kat@9~Q1PLj6K@JhVg^T3#0j1GzcttFZU$qJUeGls zOM8JLbLVhBCQ)6;LY;B;({E(!0cd(ebpV$s-d&r6KpwpE^~PeLn2gNbg|krKPqs~A z9vWyDNnDNmo}(@4-{KcqUO`GoUQToBvVJ+lbKpOF96s-f=)aZW7>RZCGFwq<9q##l;rhxNFviXSF0w5nT?Z_u#Q#9X@r9g?O~tV z_|(16ssAIxcn0>M-W&ukJL}OIBq!>uu&^+D=hI=AR?Zg4I!~Mbh7}6t(7-8&ABTSS z(E)n;Xk1dyO7Ff@Dy?N&2Kv?=|CK0;YYyfnPkmMY84@_tPBmNiF-I9A;H$kf_D}8v z)%Qr>^Q~Z(FO7jA>7D%sz!_EwZ7)(`F1arv>TvPh zjAUaNK|3IFqqh)Tukq9s95 zilzThU2We>*K~%5EvbX^Tdbep4lX!=LcPu z{1&YU!wgD8qT4XWDLC`x4{nf3y?JIYo)OfktgoNIl!3M>Q0sP^e~K24c&PJ%sXArP z@^5>pc=BIa#eJ|PE*;)QP)pHUaf*yVo2Kms(5nLYvH{v^J1mZE0PD!uCRkU?XH_LV zLnJvjnt5pXY$lqnSt?`}uaLNlDx4cF?n< ziQ@pI302U~JDtFqrsW70_a(kcRmE5dZ!bjK55AIvH( zG!cLzG-!|aVe4C5w`7RE)Qp^FZJ+&MgXH_GXykFW0iHFf6+}*RcILops$vL}rRLlR zb#Q3(2(@T@7PK%_iM!}RAew%|ZJ9%e9wD7yHz6XO;|d`hkqZsJjEoe*;yO!g;5)Q#Nl zuEBODd@GtDuH?9c%tr2I^HXK<%gC46?(Llg2D5AX_I+(=1f+Hd6SPempjtm)FO|GN zdSGZM3%e>Nl`Nb{X6lwBkx0%nV~-tKtFt%v9&SS*E2$z-^Ej%&rfG)ZB9G8@Y~)yem7q2fV|26{rfeGT-6Ea zi?p>B0l8_q?xXj;d%>OEi8%beBI5#11E$?JJc1)#RSWfPq=4GqA zgVo_Fon4^&`ENq4;@4yYCJalTxALjW`7&}(oya*AXU{}IBAr&fG`RX=mEdqeVEEW3 z7XXC7F6}bT4(@amN(8_weh+3*l*Bcq$d2ydN8Gr$(JPEPj0_Bj8NVHF?VX)E$E3QN z19j$s@t!<-^t|~Pj3+CFrnYR{IJ?o}gY(@rZvf~OHkl(qCe0j1RBdIv^wbchY=Jg} zT|4|Vyn@j^$+Ya$K6VIYeu_a{(6Kqc1z=_mf`GF<;Owi321BsO8190URVP6ub!J1E z1@mhwG+)&*22~-X`r;mjddaR%4_DTE&RvFJd-hZ@2;cS$L4vrW9!*;Rq-nq?rYj zX|`my5dl;$JU^g{qr{*p(|h)NMX&+hBHh7iJTfC7nJF{w88M9K;A?!N5RDpZ#~%ar2!xLHG^pvGN;UVV*)( z9B^F0efqOQmjYq0j5XAtK3pf25_6n1gfGQUd?`Nnt_mc4QxD;hTp@AB+&tNQ7H;w! z-la}{$zi8l?7v1gwjE@mM%<^UhmFMgi9!Wb@=V%9D6kGHfhi7Le(J!1ILbi2efwcl zc;iFmAz=Im8=`%=J|FXz4SSHC8T#zvZp%E0Y{ERmi9BU^*!<`fqajwd)ri6K^D2-u zA?8CY+3kC^bzXln3&&mFSBk__7KhxP`$TPqf|sfkd-=ijjp-`4+*LFj0pC_f3vpF9 z;*RkT3OZkxw2EY3VgCisFr7Hjs(Uz@IObdwuTxs-^-p6Cz)#pI7oec))vK4|c^4KJ zGlTSGyZ;a*x=Z_wGFKjpEO6b*UpaczuHlIyP69_;mBYA9V4jni+p5H)N#$0#1F1{>P=Cjwp(zlu;yoku3WMa9hE>5G%~B z4#qQ3?fd-~uW1lC_fM-&s;Gbd&dTS&oph7@KYXCs->}`Se-OKJaeO2Jv0dW?z*MsK zAGGa?G`{>#rcg`Q&qfyL-;eoi#C9JY^pED$*jwDNyjWbhFk4yRR9WhCE1PY3<_?eD zvj<`7j;9{>bW>XYWB;$Y%msqY6z11jHjc`?VACdhif z9xJcOvGUUyj9z}vsr@2hOy$6-&kg#p2>lTpkt`y{mTAiB<|d^U3RAgCj}5o#U&Mf= zaP!u!%%k~sLn;^THm$rjd7fXd!T)E2Qt9uZc_&fwb|;W!wLaXVJE5fZc`ZxqFg*ow zrjDl6Cr_U2P`}(C5)lC}Q}rYjPB7nKK>#*I|Me=>O9bAt#5pP>r4P=GMMT|pW*>*| zpR5Y$#kKMilGXg@DR!yB-C=%e=ULURknyqSccX3lA1y$hY@5o0D~`LHT>q)U+)7<{ zjTs8BpJ|`!uFjgo`1r|z`gg{>&pKl)+g?^oTC53FbiTI{uG=^}jEqtSJ&)_Xcd~;{ z#xZpPK$x4|*UDs~lVDsWL@qB-8?cjT-vJIB#Hf{l0oJSwsMRqDEC*?#25qWDV_F(t z{P@^o9;=vvz8?c`9QA9fehf$@$|YoP+j3s)t^(B|UJyy7Bi`QG8C%Etn)_ms{iEMhuxn>w)@z3@FD|H4z@&g*0BT1uLe3;cFuHk9I#kUe zZS&ZvMDvP5x{sR}6LaM6xL_GTiOx?BF*|6+z4*1- zu^JsX6fsBG!6R)1hfAG4>Ly0nXtbyH_sg45CiM5O{qDlx4qFzZlXLnf5+}`jbn3Vp;hp(L_nvz@N3HDaX@_N;|5arGqg3!XD z0k$_7F{u|ay#v>e-Lx4GJ*@kROmTE*$ORMuMlJaqJrvz~d`>PdiM?83s06oe+(_<= zq>e8lk0%Lz6!fZpo*+ZU_{T!*G{6)40IW|Sbvl7{BE0bbdW8ZARr@-N{FsTBz_OmI zN}c7o@|wn^)Ivi&J&S!zjBjkswsLcu1!oxh4;0ae>AOkkPnsGIC?WM&>%9G_UhoV# zJM*Xf#B)z{2NP}j7HGJ68~2spSeKfdM)w^VmXDVg!|&*Di)e=+55q`{p6pNPQloyN zq(G7aXM05;Pj|(>6(@sx_(xf3>6YC*abP2$&3)I)tM!GEU(1`ehsb@si0Z53P z)E|y-a;;@@;L`yTm*}E~W#Rdfq>X(*+S1T((Brdf(hNhB^gPqGO{CQK-fNzNt$=dM zXWQ0`$eg4HM|MY6Zs~&dWvd7Jka- zo97G3N9<1`^k?%LR_b`#*>&m`@!LPqzLV$2-`-J;^A1g$fjo$za-dej3$- zA73{teX_~r3V2}6KK=c}<**~~e(n z3JBZ{hmX5^(LWFW^9>%A7(#Idm;=&rSP|bql9eOww>PG?OD`NBOLpPjUJ5id4tm3% z%#55y_~`=x8V*Ap_^xgM$x42KVop=`oki`*!v^A74UvG_fRky^~&&eID5D!ZPgxJ=8G361RpjsC%EG9kY7Qqy?!P&f>>@(*B30zGz zI5=3x`--@dQVp$H)_&>}Xtm=^-7jn)MwXNfM2V7<&Tp;E%W+(*JkjGBkodW%$Sm+U zht2S#M@>E#JuTF^j!AO7v*wYU>^JluY;NQp7?``TbsGJgs8Dbh5!4Cq+4ARpd3~vV zBmy~c1VGF1RNA8TYWvI-VYMvA;D(>A0r=AfC#)neeCj#%P`>%QT{YxV0T4Je#rbuy zCIiKytQ7pJtWQLaMIfHnl3Q6n|AE4(Q@1|cq`r$9^s^p2T`&nJAfzMSi(hwsdpDqZ zcqJ(8s zyf=Yf`6%8UEqFrDVbceQp(a6_4SI%pT;n#2M>3St*W86Tg_tWw z3zZ=j>PIw-<217Pa=}QXn=d#qF#UX%86*&(rS!V%XG76W83-3Ri>o1yUH+;v8B1N# z*#>ZpfC!9gVdo@tUpaEo4PwN-%>b~2EF>bef=5^1&KOC!( zYNR+EOB+ZD~RyAvuDo|7*C(@@9%d})T=jBJ=-B}c%i2!f^Plhqn*dD3}xF3${n>bF#U4o zaE$(!quFC4_J_UzYZ^=NI@YQylv>%(9!g?m`&yBZV2O~xq_fli8 zCrVxRxx#y>0(O0orpv%^B;vu-hzxRdT5f}V_zhGMLV5>bh)TIfVWp?!_>{Ph;@7dv?QGGQpAoCoT(xWakDvBM zg$L^yMZ=SGd6&QOdki}3)3|8Zf81FZq>}^ZKu^z9z{HGQa({n6CMge>0dF62niQC7 zwgr!{F)Q>fKJfc+E4rPf!Gf$ME?f4h8ROgPe&O*^Ut^h#QcOADYDeI>j z*N0^nG!S~Vvh8zA^2fl7h7c*dl}F9dTWwK;Eu1<4IPGaVgCV?l#2MvzIAYh?vfw8P zY$HU-Z>HJxK@F$V*4Bgavg^p@q=JgsFNoQ{@_emK8O~`_GuAUTz$o3t`o9{)c*DE;4^=(9X9864Q$(fy)3B&`lqKJN`hiP2Q zZwRFUdr;v$C^U+afYnho{-ba6m8{k96I_(HE&TMZ2W&QVKUwo^ul-RbO zVd`oHISn^c>5aRrFfDWjf34E+3p#rFj`7L3OfFWTdbq@xX`nU2EQoU@C?N91!^<({ z@klo)Eol-Jv&Sr39UwC{eS){RloRq|S8SU7k&PiYp9fs|SzAWo_q$M7LU`>uk&Wym zFtoCd;B^aS~ zPb1X)?|!4S>)6)=C&lkQHc^1Vm5jib_Xh!fC%K5>l~;P|wHPy7gB z(Nzyf_}P>dy?Ia6$vG>hZD+D+i>JqV*HDgh2ifd#7B&w~w;=7<9nEbL2Bz ztO3|MtrpucG^DL?cKs*E27qG@o&H@{5*$F4qZpxd?UH!;6X;Nk8sW$HLoH|ee&sIkG@pbe8z6AMLiEB&enGBPk6h@VOqciDmmX+EoL4(IISOfx zgrwvg$haJ+KJW?VYHPG*SCa)_XtUhU&TfLsCATh{OZ^;rCCid`P-$6peFW5nA_PW5 zM-xKV1+hh5PpR(luy-e%n!GCN(i2{^PNddqd8#|1P0gRJS$?5p1g;FJ6G7yCxKyXy z-&zL!U0X-jWX1IhsE(jt#x(}`qz1_ON%Qn?G9;28!LQ^`1w-73Ww_&N2;9(+Q07nl z`Ab0!5-`^@A0KdZa&D6VbjW1BG|g(!TrVgSX657*8y02AyA%b^0)GP$ff_ip0?xcy z27~er;GCRgK1fD3=;82ZhUSW_3OL@$4S&uRvc>;-35)vpUt%%>7yF^LQXG-(qsJpJ zDctz}hd3-~&Z|KB)U(TvLT!&$kexRyOSNrV@m!NFqhT^~yL6f8mf^?$#?}5UE_3%1 zH8k&f#AQ@st(_3l>*Ji|Om{w+Zl+|Gd|SY1sKNulP)QBHN=KxWNO2m}Qu>5yJ-4;TT;)T`Vy#C%>f(LaCw zgmsm=lsWEhZ!JftS3yr@@qgo6lW(5?Z+z?XN3HQYl$v7g03vpN&D&u5W5U>d*VA+7EE5D3H2pV4FU3>RB{`k0-+Ch|f zoF5y~p;*E}cZ0hTX7$@VD9UNT%*#s)b^@u}vJX4M2t*D9T_U>=##xC;Nc5xsKo1V6 zz7@{~8N)lL|CIkJAr^vvNbov}bMPT5+N;f~W2#XBZ<-oYdhi_SKj*2hw{DsmO>jO@ z`Q!VC2)*oV@2aXMpMGP8LwQv{J4v`2T>DJL%SqgB+E3^NJFfl!CJR1PWMv@msqHZM z@hi*Gpw&-Sd|5~k502SqH*VPi>vI%qQ21D~aKW!UF)1l@_^&7YdjRSgY7*T7dWF?n z_hD%8HzlqLu~67q>}+jS`ZE9yV*OK}4N(wEBj_cFj>_$ZZljKcozq=ar!l{vKnuhY zj2F1cy~mxgh1@$1)y4w?ir0`8TF}@nsMb?0ZZ~71H({(dV=e#JN4tj)GjBg~{adf? zTxDLYYH^rI#Ej7{4<<%A3$6 zrBtE!(i$v;a)7=1&6d_f7}zp_AM?WgfX-C=Z03!Snfx^}O#yM7E{ydUDHNCBNA;otyq~8fWG2<%=ZemH zelbD%v?*A>MM4xTxes$7LWW?9kJrkcCaw^NWVFU2&C7f!0bK-5(#dexx`#hXa|Bf# zR$fxlCz{wae!2wkK~c+ge{PQY@$MQcFqF7jYC;%18Ksr3b>t+s<4039*Tg86Tzb69ZP-|L zwt&_wN)JGmUw#vm5Gn~*2-i?7(#3|&q}BUjvn+j*>WzyE(zg({X(A21GY{(hO--1W zyRwD%5?h#w#0f&TFZY8tG9Yx@8~h}3aL}KyxMFYrHci%e6fT!yA%uiDoS!+jTkbog zx0V8sdjn1m?NKtDa?(fyDwF_$b69C;EWOG+38s8f$<5s7W8FD@@|N z;%aF6$))(sWwD!CJ&{+XE6F*|>3SX(lX@&~rW*O!a4NlpOH%z6cc8<}t5;3j1ufZ` z?3P_GwAQUz z^{2nc`)DtH_96yT=jT#k`YYfm^m`e2MnsxHe)Luimg13k!nR5uQ1O&-oWiM6{_y71 zyVkiQ>Yny~ye9s})b4qCd9F7$ZQLm5%di4IzxlK!v1K3E6 zI)Atme%^9Sum_G3RDl5)zwT|=38oO?H#1{B$6&yN-(_H2L0!Krn7#Fa@x4N-@ctw^ z-SSza5aaxk^AbfGa|l_?k;f;E4jznCKz3FZda=6mm^Um#i_Z}w zN`}Lig*KmT8~u7%@*j?`FN8%#KOjiRv0)LEovQS-j#%JAMveJ)uQvZR z)>m7We@yLsy)?MV$eWWvdNl1E&PC)R{SBQ}>Mr;FsJ3iYfO=m->}+?V^R%I9Ns(uS z?|%W3532(OY~q_#p<8LNPV9sKBDN3OgCl)Jvhs{ziI+#WZ&;h8D+3O_QyVL=fr& zgRSJ`-nFfZTIu2tXnY71>LIk!Cf#kj#v7eXy z>(a|e=^@7TwxRF=eRN>&Ow_(#A7B zeSO&hQ`cZc;o%?C9w&A`x0;4A>o=6?%(*JK{C?`X%UTmEixMfZvj$LXy=k!uki1fYl76W|Sh%Ej zTcpN`N;ytWscb##v>tOtF6I5sHyyoyUDqqx!N6MLmrgG%lpb=e)@!^^64uw^GT{LI z>|vK4Qn||YGHXI9W)X>QZ;p_6X|y_J!*tpm;;hx}|MQ}ut9KQz+X5{0uB~U%Zel2W zQYXOjhGM{tf0zUrxh4V9vv!Kwgj;oDhAGDN6_<`G#A^_@Bv5tK_PzA;hK(pd0|Ns! zz8{Feu;~^60&N!Co1R0Kk%BcKCnpC@x(H|Or%&Mkiy@>Fg}}mAHT2AVBFm5!ihD6= z5}M+mM*CfKll8Ej?jwwn0+l8zfk4FQd|wW2s*tr|iD=#p4GqIOk-hjVlL#jifSPAf z;|9kD)5xpywh(Eh4jdGH^mG9KFQD`qS^UVh^x04JbQ=^5oDWM`{qV3EQd&pOCFp*x zQFpYfm?M{Oc4BxqlYM5A_4Mfom~1Vt-WW8tSB>-E$!ZI+7B2Q(BghlD@$=hdP{VB$ zx$o_9c@xKGDNi}<18#0f2EI@BAx;un;jMOU9gSfUn~ndhNU`Fb-W3o8=fJRq3T{F8 z80UU1w*nn;L!eb6POZ4jtDy_$Ohli%n&Trm-ANUtU_SGYE&2ScI(i*zPvF2thkGK0 zt#}V){{}ds{zjYO+rt@0T$Ns&Scj*6`vl6xl?6MJxDY0W|sE? zazqlB6n1iofKOAyX=cny{`{JCFGhBc1lf;U{2ow_{mg#t+xxNBH*aRU1Ma!_s|9AC zEkX_%8gxt%i=Q(lW}p%ohnoEcV!DBYg%LUPNW&ou3)A`ie zt{X6Zda8fN)O(smN+1bxVpz%{Nn#UH`tuqOkS<$%f4^#cTX+l#EGX=ZuXix-x}Ps4 z)*fJH@o6hnS?SDVF6GuIXCw{#Th07UTU)pXdZK410(8xCfftkW0xzzLEb8cBs(u|n zbMSzMYT2A>;9)8%4NjR zUkv}ebnYyZk7>$n>i<`B%rEl)*BsB76Oz87X{dM&7oO4#7T4@ra|qrIs8@?! ziEOW&xe4`p+xb~L^RcJy@VcH~6yo=WIw78O#H_i!t*s*#MYpRj&b}IP#3`OSqKFm> zP%A&G&ZT|pLqp?(acuw?D9&KkVX8`A4Bw%U0`42I%{D z_Psu7uc{5O?)Y|Dq)$(cTFwaClqRO<+ADI>SkgvHbG)>ewe7PB=DDU6>$fXsx>kAc z@DCtNRLOb!o+U%S)o&w*4wjG@O6A$5rAgd8@*f9XP&Z2bxp$gjr`WCMHoDGvxg4S| zZ^3mzB!P0=M#&z_&S&11*Jzfzch{~ca!Y8{8mBM+RRN35foll<)0>-Yffj#Bze)t< zC4-rLZITPyL)I*Im2T>@XG$@In0fFWs(SYYOlg(#=)_i*NZ~fF`)1EPE+yWk+X>wu z!Nx4^&}F-<6Ek@=$c|1A80!0~q7PE-hWDbfJE^p%rI|wa@6BJFFSz!GKe0Hwh3fXb zWgq{JJqdz^MX=Ov*qG;Angoz$Y)lI>_~YpScS?-b7T)MEwEZjywgtTK17SF+`Z zc8)P8K-Locb4iN5e(2RXIU1aU$7npl^dQeQ^4MkD+n)0Wv|)0Rz90tm9xYDV5o?b?v>Bm@dId5p)&1 ze)dQ)>&SG_^tYYZl|)J`&)e>Q$)oQ&g65@icYSi`E>YgH3!VS7ABmUt;>FJbW^zRf zizJP6#v13PFKdn!F=k$Lahj8O_Rx9u)7ZfwtwdAJ!Pr%6y!vV-*%zjsaGC4OSBeBm z7@|=5Yd!1mu$WN{@^*R+$`9yO9I8x~%EN7%skbG2&YvgK12Y_j7h$`gu{1NWmf#9@$1R1YCH7u8Vo;O1qaEr&| zjy-pJLj*UG!;`xn=t6p&{b`}M&C@ZxD0VvNIeAT+eq)1L+usUE6fPjcCWdsqSQY(W z>Wk`VdB(dZj$3yYQ`QrTc_^n2EO2SKK7Op!2v_lb+6Qo%#|b0A2UM_uSxeRwDs#QB z$P4b)Sg{ZU+seJc*7!WL8~62`dUnw{^F?s|xbW0I=Z<5Xd2c^=hq}^l-Aa-@SlNC) zZ_Ki+a~%s_@?R?ed$I6>pL*A~#)WQSvDTc-e9`c>c29x5yP{r^R1@y-eH|Yje)jI7 z1Vx5Fpex_C=*_oo*gzyV(io^@*_z*WSNZG1q2a9sVG>BY+8n&m4w64Tc6Zfyyb)>=f$wy>r*3oC*m*=8uOYG zUd9cPLMiv|WzS`hx_D8RmZ;{Z7>Gor%F41vpOXRKJ9jT64BVzeX=eRX71$M$)a;2ivhO0&^!^y~5b-iNB-9cgiP?W#-q1WeO} zL_?lETEMvP7#&ARLo;!r4bk>9K*!0A6nHVuG4A5?=Q=p>RWvZji1t3JQmrg6?Y(7q^&Z|(Kg}+B5pRWj z`4N|@4=n3x;RAqu#Z;W)H8AX8%(A;Gw8YyAS?g`#)+ACX5KMTsY71&rkKeaE-x2vl zsOQ_l3H|j*g8@7FrklO@kA4A7Dn3b&Sy5n0#h^ zesnj6L-#8nrci$KFyZHZH6=NstGi~7C<)jbiQ25IsSyt>NR2C6}>rVg{a`{jyNp_>KV=x zCsf(hlde28hOMsZ2%r<|6Bl4r7ExaGn=Sck;l;U^^n7ul!^@Arv4JM+7-F(=^=?yU zo7oIzQlgnQXYRnYgzUq|=OHL}pNbO?fCuv1rJQHYAc)2OpzSBkgP+2yE?piP=j!r; zVWZoAk~xerQ={Ej8QCC|adI4o5fPv)LX{BA6|2SQN!W9Z*p66N#VE1@A5@>1>JDjq z%XNTo>k5BxTvXf-4=3J`h>{7tqvqr1H-)P32Ymv} zz-fRb-VOm6B8b}5ec(J>jC=g92AAubzQQ4%S+$=2=1W3Iqij@`+H{f>sx2i|3SImLTvAAOsKf*vsqc*iD`e?#@w1S>g! z=$cqejDD{3tb+`<@F{$+M{sbs>dKxu^ASvbEJyc3-UZhDyzVo|6 z$%ny#UM?EdxIQ#;oitm{oRx6(rOK7!jN}HZe|3R>+ocC{oa-p4L}|V=4P;VHR*;Fw z!NZTT|FuGNm_84_qo7e0lijryc{U0X1+lY?Xjsuw9Aado-Rwf_#R0to89e{in-7v! zd2NKocl*KtXdl)8SnR)FJdfm6IT~zl7&HK-Tq@T(d`BH{_^pp0m$cq(|DvG?z+sRt zf1Gt6Ac|Xk|6-K>w18y$h(A=yPy8W_tT_3vKl5+a2}s(fOufB&!UVV$e5FZzMdeP` zLGbOwU-zEsDukS?{`s3T7#3-sMQ-dNBNNNjQl@ZG!I5M=_t)ObZ}?UivNo5s-zSIv z649$XoPWu-<7yM1GVAj3Kqle=>B3@3rylI;B??mz-&9FyX-%f=ImaI+KNvB`pxBKv zQ~37ni6rXj|MjmyRN}f8V#ju1A;{x$Mr}IN z6{v>j%%%UdKQChmk0nGsVa5nWgGcs$Dl1&xu+bw6Ls4b#YFmq@Txac@e|e3my}u|j z-2XS^F;gn0GkKm>RSI`MeDCWMKzz+GErbp5<%9y*;c_a6L}y@)41~?b+%zH%00)Qw z2pqK_fQm4~?n01ymW*rbz9Ukg<$GE*ck{_Sd?-87FALM`?48tW-Xw9T&Niwj^$*|s ztFnpS>3Xa*0!h4hu6Y%CdTV8S&ChxFRCd%ECmM_U>x(A_+~&HA{t-GR z-N7p&QcxlzvBY5yp`QE>Z5U3w(JFaqJ9~S#Ed+fA0t;OoqyA$b@O4GpaZ15OXI%6z*|cfXo8+~d%0J$BOHXGGzchTz&cf+y zUqXP|>eUo|%`8%lSLS@R`D-GLN~lQsUstfxQ+Lz}V;N0k>dPZt-n z9wv4!s_GFW%KDCjk+HBQy8vNmhn2o~mqJqybO;*kXU?fdkSbpEwjaQ!VvItfkbR0# zb#Fw_rrS(=-P-E6BVM8+lzk`-K0&>n3X;V;B8MpriJXMKIgEtQX{3tBc*~4{j$d`A znl^3s+IkE(GF8P!Z{GFNb#nH%F0`BS?RgKU^aO0WA`awC7biXVa947`$*DL<;PE)~ z!!3GcoyDCkC>gS5lcM#$yqHbee^!E>oR;O23-j=BL`bk8p~SCy^-!m8%aQ+BwCL^; zu?*VO9(eZVb!QC>ej;`fR(B?vpbWiil{^qLlhEs-5Qn}CZ7r8&mqdAFR!2D=BrJnm z=vD0?9KX_($Idw}>WYA*r4eMKCEtZ_X) zxiQork8O8TP$&@ANT{kghzIo8?MZ88+n@Vv_b~}Q(eUSfeythyHwN3*Z{e$qq)ArE ztnl-u?sh=KJ3PFW`ad=$1m{)4M?73zUx15w@dC{*Cbr?)zQRqjpyyq&jrQn~Bc`99 zE`($|+;$IZSrE=C**g;Tbe~qm$-|^qhCY6-Bp;=x!W^ppFQ(287^vEwod1?3s}yBO ziYQzkrlfW17za~}+y{YmJN#$m?Dj|qKAAS`4vgiVp+34W^sCwBhS`@vi|+B8{htc^ zZG=ag>-{cUUun5{)k;Bu2n~$HR2vK*NpvY`j>RQ6;sM{G45z@xTWUIRS+>0&0hPp} zFT1(V%O&d!OGkHiK)U=yp`NT+>T3J)mcw03U6~e4bs5K*r_|g~Xl<&8jvgWnrM+BZz@Uj?- z8krfgX64Y7J9)CIxIVdr#$i%Py&=^yv5ZrZ^Uj03xnZ@GYD2{Z5B2*1Viz1XhE5bM9~k> zZ^+{n5T1Fq785fSW3usEcfAULFLsCnI^;H^K7Rv-!9_4ofrdDgz{NYLXP zI(?I)M7#qTA|fQ}M@wNbo8n(_V>24*ik*#phLXwc#*g-P%jq%0I6+jE@!^|Dy7G$? zqwOJQ)v-sn;8HPsG3NVWliGM@c6I+Vlx^F$y@Ubk;zhTwv#;y?!@5cZByH%nhp2DS z1ha-7HOH-ORwvXuKl02;4LCve2y%8oc}cjATX!ZRBXM|KmS0+}|1a z)+P@M{sW*i!l@c|s%xy?`XSuo|pEFaOTAp#MaI8c~Jez75n|8d=>eW>RMV=;Q7goqHGNVm$G=oU9 zLz33fU7JszJ`L;g^}t1GX;vUU(B+mRN`}_fX*cFTbwPAR-0J0-fr+@#L1RU`I{tOa zgw*|*v2B}43lR}hGJ&WG;(LFHb~x`kWOE|rG(yR3NXk$^OzGK4-UM}b1UY=AJZAAZ zO~a4{%f`P%U$3(HQE2v|QU@aNEDw+0DGq?(n3s!7{|)usZtmWdk=5Tt8q*?9o*V7* zIeuVj&W3?o!IAl}sH1(YX0KJxFZv@B80BN68^GJi*670?QIDVnp9yVep5}XhP;jy; z>!l^0c;`&={zR1LC3ftlOH%&?JIU;f_99eT z9SuDg2xF#XGozAf@5i$PgLs)e=H#?6~!ee^^#H8O1S0A7C0wYQ(>HylnS zB>q8aqjJq?lm#V%ZxY-Ys-&8=z!@6m8Kq=WIBz0J>(1S~BDxT4k1uRih`slh{Pd4m zyAt1x8!5ueDXO+D@LtnD(c&WS7(w!*VU&2oi7O}KVXbz05RKhnriC1htZeV=)2H3y zqMo|{DbtIgm~#YBRRI7GRBSa8qerjl1F)E%pBYN^M+!kIpkfCe#9%^pz|34UT_zvb z+1XhntT;Y4cI6!TOCigr72A-<#PmSrDD|E_O|O+XIL#3ysWi9x?AF@By_`f$rm~F8 zHyq!Z2#md2n`0ktYSO6Z1A7v1OeuD_ zy}HqN&j}qdMha@y6DPzjxYDQ^)P1wQ@}vB`Wc}a6pn22F3h9pBU=SMgpp7VSr}n-Y zEPGgrTTt>MXSJ(oXB2H)lUcD>QvMs?iWdU43P+7!yxj~3g%I1ij_YYVmOB=i-7r3s z)gyY=(^L28E@YHKlE9WAgUG9IS>Lh33B$UxPK;sm+i|=soZ(59KLDHExVAth6L3Px z@b5MzLG1F~G!QF5jAelMb4be2t|9@^&6kQl(|YLd0Z}z5m8WWYT{aQ*U_DPlhED~8 z|3%_17y?20jA#N#?cN+UKVvvLDo&J@uccd#iuyxTZv3UB#PX<_$ZpP$UQ)j%mGcTV zZf0{An%$m}m}07_`n6X3U1N8Bve;{-2xY;OUe*I%-!E0lvy+DV5+VeifA)Vjq%`Do zAfho{`J~`p5_h~dN1uj6N7q}$6@g;ZW^D3qDKXrx&{6l-SIY2@6nrw+Oh0#fdf{2+rGDJ&e^v*(_#vks1#Eh}6(fPR+`$E8{D->~k zG(6HIpo4#<>vryWI=j3!hjR$n;!o{jfDjDv0zAT`XCWa8b;P)Y&DOUn0)l*OIL>Jv)3}uU{p< zcG73swAl+&ii|dH^lHg2(HbA4xawBCFc(shM4GlLrg$grFdoBY@d}|z^;r`Y9RD3qr0jd^ckDhQl+z`A4qdY5MmTXGT%FKvZ!OIwzyIFTlj$T zRqhJ=aHF(EAPml4fIVSzp%3VY(ND2{LXK~*L7?OO^;&QLUTMN$f88Ld{>|35zlMR# zJR8svEUu(D+jCS1Ar5#xhD>`!2%OT4iRP^|XlJ*?W4B{m68l{!Sy^JGL!&ORLu^5Q zRU^ybT^Id=jP;;G-ov)>zRt0gVDu= z+{p?v2X|IK0&Ti?-CK{hyetk`Umc>>M9~LI8fqZC@Ai7SZR4S#p$#84@;rrN$<@p{ zQxXY4Dk>^WYCt}j6P^RpYD`_U8^)JLa6>sf#7E-nthr3@=H`Y|&8E>L-Eb{Z<8Pe$hbF;?($(%-9b-JIF2JA zX?Ugh7-ECNhr3Wia@~_fNCj*r`o78yDOX%xq+>aTeagx@=~tI=b7}-OHTbB`ksdrK z#0mXc|NLqh%RMJ;uRAlFb3au+L!>UMgByJ1jnNU7Kv;3Ll74R_<^*9wLkGarm;b0b zW0uJm&z%JhD2a=KK_qP!S+!sacd}zxrj8c%BCeXrA5d}KgU{wmX(@OXu%ScE{V^l1 zA_6zW?8X%fAF%DgA0BbiIHXV^M!G{tswf?WHWG`fdmD<+gtyND9WrXiK)%Q8QpZ_E{B&t z1DOKFQXRAHHIWAgD=9oZ;d=%T96kC5`E@$7`S!;WB&4Kj=A$$#LF)j2A5B8Q3f|W> z<%iseZG)OrymOGB%!q}Ez}*X5$+u$M6!@n4^G47P0>gi8UKFaGIr(^-_8^hpGywP7 zB)~CteP>(~9U5lY<35D#JVx%USop;7sQk&3UNv!$7kHcH#sM!|{;5@P9uj5teWTCiebIu<6?DpU7AuD6&Tk1e4XTA7dOGf^K=xSr0yK-qLTb;rUnRd{WI(xcj}XL0I#{(Q%9h#x8(7N?czuH%>= zH@T=~pU8M#vF+H?im2-Wyv*x9u|KKRdL1EBR3zIfbTC}UUR+$>Ws9Q!apl#ky)?^BpN@DARU-OYbj&HcT*QUw55LL$OOZXIv|5WY7 znt`;x`o804#k+#!*L|ikl{9nOA8yj!>bj-y(eBZ6(J0jvJ5=M2!G#v#C8`zt{OQZF z!MGg9bkpLxL}-7F!mk}?Jz=lFNmCuKmZqQ-uV6hO=R$sLEsx8VxSt~FaU*gxSaE@J zEYh(d#lGI&3_@^gwIU`xU)oqyv;f@*F^f}J6X5YR+rO7@+f(esu>f3Fv#wBvr>>Ih zs$a;pYE&P|x?2%i45eh90k@GJXr-9gV@)Fp(qadXlon)Aj|_(_WK{fc=ZG1#cVLAB z#-~k(;iQJi*oa)bM)Z5V^ho?1#n_iGF9CmSTM+5;5D^hU)$C1w6qe1mKFe$G)ZV2v z_J98K2n^eHtZLTFMP7GnUVgsngA+y;V&N}Osb;CzP3h@eMG7M71rw0B35%(L0NlHv*^4bJis=1|--lOeZYtC^0nq?txOaps>*0 zAEGbpJMWqMSIPMcJkqGg7cJsJ(X$4nl+n_kI*|iTMz`j;~}^qr}@w6v**c zX+u7qiBq^q4M5PBg8>A798LoxA$QTlEo#RZ7Nz<%&3RwK-1Wca@yl6QRP{h#D1QHb z`k|v%Idk)K5ff`SNwDWG#G{Q?TBwqbXYTh327$OE)Bgq{Pr;9dDJ|09QjCxK$7LmTcWcOi7x z42;BeY-{35FRJSI{CV40%*y$pzH}N9u4SB`PmolS>HAP7@#*YP$Vn#1^-3;g}ib`CTQ9p?BhtZu1?l_Lp1Pxjo~8xhO~{2I7G{}U*X@C);HoT$I*DQ;-)0jY~c((b-hN3V#rqrO76+Q`JjV1078 zJ4a4kuCp^%H^Q?F^k639@TP(GI+K|^8Y~ntZ?^?~jzporLtm(e!!Swn3l{|MiN-{c zlhwJD*sYftZn}JC+=BSCsatIk+=G`N@nGGgeL(=bCFjFKtq>G#F-X2=IhvF5y6;OtD zH|98B(c2rY|`s=SKOl?-M8|`eVJ(%C3{N10>Y&q{i9jBNW6dqxo^(2%I zvrPg%Jce9+udq{7*gV2^$AA5L6V(rv&B-apZnuLP`76stMLFPjv(e9pBDtU0rvJ8o zNW~j?KP1{!4;_9x=Cg_F#Tm-|`^BtM_|3oHQa7WgH|zcNaqW1|PU)!1HS3}(@2-ok zY-u_2Xol0g?Of&!@PJ{FE%&_&K>d!5#WIr0k=Q zE7|!TSr-kf>?S5StJJX{DTQaCZ$}~J2s0xx@_}uAf*AL+?ngx18aS=-B8D;=aMOsb z*#qMW5#3A#II8ZZq||F+cTkfIcWzV^#p_tW>!{r;J@!aYMqQ2u3>#SEtZ|Ys1iv-gWKL{QHk)}WCypTSQiD5*!Z#f|0i%02muJ?@!~N(=g~JDgRIv}JIW)iZwy0#|o(slB zl;;kxVBpgDLe&MpoOuWIxV^|hD^2! z%uPIe7~%6p0%%u|%G;_jxm>5-%EOWxD({ye4FN&&zyEfH_D@V~N91qbz931%&7jY^ zpA(W$+T*2Rn!#6diLpvOn>e!e1w+hK7bekP_gJ0=_f*m)SXCHGw_aSS^0yErBgjHf zVI4yb2M-+3Lh3pvr(UtwaqKU|p7GLnz~F(mjva_d-B7glyP?B^IRRUNv1O1}y@M2v zt*7SotRjjrc9QdbgiVXxc{-}R+p=ju!`J`ym#Yt`zBpsFc507|5p}30;Gl-t2901f z#f+!%ZPc92iD>g6IhaVK5VkEZ%p)gABYw8KZVk^#lKE&v1<9Rx;n%NEu(2Tz18GdX z2lt&a2|QUpfZ4OM0&WjwN1>%%x5a9DKsk;Jiu}lV+U>H|&Yq^tRX)G2*ZK@D!62KU zaDTCkgT%Sx&1FT*@E!vbjZdE>@(yz-g6SW)xTtvkY{ z^{IGKsIZ~LaIH>)N`o`|@~3rE71&*0htBQ8kdsD24yZT#d$?5v8InP^J!y@mCB?-D zNV3i3?Ls7f2)Nw@xFI?@?<1;;hGUOt1zF6Nq=K)kRGsp_!P&W>&GY#^~`Jt zw6(6>*hG0%p2qV=Le9pEhO^@d&Xm%`oma@oApq-ae-kEy2)XtX5lP56tj$bWIeuU^ zC4hDAYc*dk9fi2>)FQCnUzhj^R(A?+!-^|_^Krou>G?zoJq`Pjv<*;cyc;bQ5E+J) zJx^YaX8ggp(7L)YOyDj0^bxZ%^h!{Br1Z%r5o5dB43Qw7^|%I64U2CpKiUMgWu|f+ zZW@gJ-C2JihwI9leb~)2{@)O>BQ;lbfvC}?JOpKS%F*oQkK%M$wZ=G$+aYcGc}R#w zpPnPb@mep}sPA3{ch*KYl?9p)4sd8GJQ9`HJ#)vS_@ZZQ>lA`6f>n*+l$!QcHde|k z+PHBeo7N72hc~gJZafx<#;CRl%K%m-_ucII`p<|N0FlHfwjnsve{ZPBj9Pv>-*a zVFyn9R?kd)Vsz1vNa5KtbPgkhW0mAJG}xqzQClIn?YVF@1gUMp7x;ZwhFt_AdWirX zFv`d`cN;X*jf9i`Fj`<^t$Pj#ztQVN;k8#YcBU%;>fVx8j|3!yr=bNDCeEHae5Z=s z$Kao9yB?bQgkD&Sj)_oc1`CaRKBzN|tCPBNL^=X~DeyW;F9hR6&5as2Ai>ZW8SVx{ zh@<~)`g~Na>7+OT^o`3OtR5B!&Nw=8O7eo?m!NB6T$^blL=Pj(+wpTbtDKw!M}8g? z+%0L4rE}?t)i;Z15JN7RzWOs`?6i{4^Jk&fDOVms=G4-6PGT#~6&B0hf!bJ39-$Fe zTy88PBE={#SMk2JO3b7{L6?U>shtNWEbJQn(eOewi0NOP;?Reiw3KwkzZ=|Vfh`vn@>+9+jf_tgNh*0wOm>3@i$&niBOj{_d`> zPj{k<269#t@-cA!<_gTr2Vu}6;{b@rW%KSGG&Dx@?yZ33I=Z@yc!l6aJe9zy72+n_N{H6H{^w{7=$Vs}@CB88GaO! za_~G0GEna`qzK%jpkT^&@J)rXRnUQDVbWQw#HY8CSzg0F*Lgu*HCt^rzd}!)?1sN( z1U7C~FjF0wpE0WY7$|S1t?=p*ZDfPg*#&BJ5x zN>2E!N)2jv+&ta{D77>6CA@q!?Zb<6D60{N zl>K=dc%%}Yo$qJQ4duSRd-YW$Ype6n%U)z~d$Kkm`w+il3roVk@jzEG^8)R8uBvsY z!S4nFWN_7>1O^=4BC=ez$!tYRLI{NEfF)gfppTT9|2PY$IF%mYI_OsC`?MD@)#ch$ zNe8YKBVTbEZiDZ?r(KWY_;4t>)lfPtl)BzqOvJvG$ekDHfiJv=D{fUoQbDrMu(D|FW#6k+<*Guj~C)n_2 z%-q~u%>f=}<3}DI)im{$Mih~0LDzr(x@@QHhGb2Q{?V=>aPs)&VlybaeS?N?P+SBz z7gx-Ppu3wJ*x)NnG=3_U$P+g~OD{T)#;2doljj;bli93;Bh!KEgPl7a&E(jpmtg%7AVMM|I~ z%a?}`9#h>jFa>(r+31iQ%^Tu+sf=J&r1jn=a29|0nl)<(w|mUZR-?$~rgML9*ib&0 zMF8V)>?=)HEMwJCPnOEtcJ$wH3_N`Dq!p_O;;s*uY}-AKBl&HS-GE6vL9rk&Z!?w^ zpz4v}Nh~{TPU_?mR+FT1x~1C9#eNV|d23DYaXZh?@+jfVilTJC`zrS4&(H^XKhErl zC*q$UZ2Wuc*5gAyOFa_=)(osf47WO3cD#&(Y8X`gCHF94Q<)E;dFZuHCMeHt^wz31 znAwsVD+-uCuP14b+KTiuhHk0wM9dOxe+HT$4B1I3#%Wt@4VVQT+KE%C+}KHGdzLHl zsBU5!gTwmWhIq|tiG0?A&`TyY1Hp9G1YFgQDs0pg>xU+&(G+&ZBu8{WUC9`{@~N>= zJ;esnZL3abd5BU0ASC!uNJ?Jn0JfBzJxBQNtF!klFY;c2CBEWwNs0QKOQ)eN5}a&m z9~y$@6KkyscQ9rj8rBxQf*1WEjeE&!2n(boBxWN{CS;Ac#V)kDa}=|S#_4B22@O`y zvQ50}>hcTfE5BOzvtxM8^xL+*q(tiP04T07b<}@RO(wn|oYpH%jfO0+<+!rzhhRKN zV4s=uOaMrWDs4lj_&@O$WJ@4DMr>GORMD|ro8e4ISKfz<4GK0MW@cjW2Jk7Wi(B3g z3$6Qk=rKDeM64XD!aV_NfeR^RHpa`%yh>`qHxl?~8W`6yFU!D?KYU}~`&ETAyJTdS zA!AOT74DC0kv51YaaUASK)#1b*PU92&jfYZ(yw9J=s@$GZPb$ezu5^qIXfC z{ui_lCc}GC1f0=1+;DABX{B>!p(QXDsYMPXR_hT-7A%d_yc=eQYwn$9m5pDa0`{G+ z-!%7+Y=I~umMD@XVBi4hSwMh*VZ|#nco?-!yLlaE3_((Z-V!8%O6=M>@9WUs{2#fm zH{`xEi~3^+0(nBt_vJV3j|B!nB_?h1zK%f)%Zn}wDP$RoXkVZE<3dc6w3+4b`NX4rqas4P8}nMsCDj{h=~K>ndO*8| zCzOtDqT(lKpg-3qZBS(p#zeJuJ?WG-QnkOi;3Mf z+8uCa$L`(fDeh%nL7EdO87hX=V(fj*Gd@XuwchK$WaP}*G2ALHRE6GT)yVwat(2M=%rq0}J$PG!qu)owx1eLhaA zC@Y4E`LrCd=~qb_Hzpo4P7t$M`}1L-AzqI}put9dOk9!bB+^Gj!*!g3Cx#0n>M;m` zB+ag$XrIcuX`zH8;s=tIP5!0vTzv813X#XOYqQH{_uMS2me%=+^alfVVBi9R)~*NLckWrP<%s74zMLS z&a%)zZ%MPbPuvkaLt2e~^w-W~2U*CQ<^`z)R$Xes*j{t|9^m?iI1;rW5f4cr8n zy!;hkrV-*R$o`OZaNTl~&{T)#IsqsE+Vs20j9?&>suS1;R&OtibZJp#rKO0&cvEgr zRg4-_fn9vdRe)c`$o9}-JrCX+b21dap{k6VvbQSSX|6@!wuz!((2*yux!;@eX9EvO zPh39g%tlUz{2^PMa?+NUCYFi|i>q*k)N0%GOnSBe6^?yXp=iC$*)9wWNhq zYa;V?izyl{|6?DZnC|H8WH@wa3{kn4j>3Xug8Ppky*=W|RXOBopnkObgfyeawC~p4 zYG7n#72mTB;xf@EbnK7M;3j~s;%7_tyR4^8$ycREX^oviQB!Dx<-|9s4dm*e$XH(tc&gGaI3jZQZ%)4&~jZi)|v-ngbWq*YS< zH9#_9ur)!*aa8g~Ly34G|GT=YwiyjAua!@T+b)8E(Vh?Jl_I2-eb{7uIF#vEtulg< zBJC;?YnQ*yqO|jbcLgRtCC}4pT(s)^Nae-s0W9xb`a!ZN2%R=YApGQU?;_1OB3*MI z4Rf3z#DOW8PhkL%lHPG_JF|yc2MT@)+LFdb0lb4WbeR}x7YVNlM!5Okb!jdh zCz`}mYgxMUqK|g{;IoU#O?nQ|7v?d4a8EOlv~%tH%5HWJs$DUIsA)NgN7G~R@2YxM ztp6cu? zMbA+!(|QyvsF1kxHUA&5`eDQEB4L3E}d# zF$kC4dZ2E{cVl-#^hKlTJ4xeMC;|;V5FVuV*L9fnl4OmQ5_>Q*aX6s*D>X(<`}*!^ zttCL(V6jc(I&9>L?=9F}kL~E&Tbf!Nv%mD^6_&7 z`>|Q>4ep`-dLzG(5FOsJXDr8St0J?#L?3MF?6{y2wLw(yZHtX%PTd(^79xNh)6ZdNR6}xLhI#mxm}M~| zZ|$9AZ^gs=KX^IuIk$|E?yN?T_gbF3+^_zskJEoBgcuqUxemr(EC&1y+s126B1MB8 z;sd=z6}+a6WS+_m{yg-LRBQ3-2L6H`+3@Htm#y?iB7lWap@}7&nHw<{g=Xi@WW0G) zgCgh8yNkNJn{bh~|3Av!JD%%){~uRfjjJu8G$fTxWG1OZMP=_*M#u`;t1Tpz8HLCu z*<>|rmFzOhERqP>zW1lmba>iv4Xp5yVjKkftcL=1RP7U1jP zu-<{gglCk7B3%KHTCRuFx9Yv~PmWf+%qtyszMpu&B^l)9|9QSk}&V0QOA0(O0G&X$joEV?^F?VHu9x>c>bin^mT-HPzq%`CH;U|sJF<4-iy_Hx{BAycNBS+AE;!eVhRe0dK!4~ zc&25oSDTA`VRmT7mQgtviwCW~wN5_ZOU^*8ThW#6@BgW%md)4hIMH!WgoTBn{1H5B z^cKCE)@q1__M6kj^9l<$<%&n~vLnC|96gLBxu`RvDPj`m5Bu#J$COSQ)v|(}6Vj}b zot-WDP3E-axjPs(4p*I^r>5diOZ(ta^gHL{k8tiidyYGaxcigz1(!3(7OS|q@D{p+ zL;ju7`0ZRtowlmI#GU<-R8!M1li9ofIs3%(%d!2c^@(ip;}gcVNU>2esw*Fkb92K@ zvT3`CLtG@U%XHo46Pr}6ez)|tNEcq79QBBfj_@rW>C=B~>|O0#H}XG-yvx_nl&%5e zgrTacN~axY1%GA*hTi%lUTnMhD~ys;@Wr6B3j%8h8m^rfzVXs^<>pn+{TX@+PhUroa}5+L+vNw5X^5XoTXH7lxwlIw>(ZvP$(;tEV=9d?S@jK@6$aw zsW3yevyatBQrhWI(V3V#JL1PCTIJdjgNI5eIO47?jkFy|Q5F&L3lZ^~ofO3#HWGV1 zH78z~kfiDpE4trRSY`g5jEeyRLRQMYbnB=ggEz`k<(FDZ!)#!K=<9Y3toe^q zRIclAEgf%405$?5fR4^LdI6=c4N0MPjmQM-%O>vZJ-FUppcklsgZ1|y<=#t@x)?Ow zO{HxN)0$v24*I|I8VSlKBqRj-z`lJ^P<9QiF2X8&w+4f09vJ9CZTu^K3nrfM_%P27 zR%CyWKse$pjiMB_YRI_1S8^3sY{0gkXs5OjaA%;*yT^2MV0$U-lKDqKrV>imRnVFgP5>a;c)7x9E-y`kQ2C1Un&0081KF|@4&o+XrNDRK40k`Bf zp^D*R_V6d3s?ib=7I4oc&{~M3)t`EKz7vP=1kjTaNTL9@m6Vi#g}pkbf&>DbR0seL z+{tTrPf5sSY7Dem=&4H`TWM)&dpbLBf9mq%|HKR<801O*fXnwMY@SXDasgnBv5Sk= z`df98)}3WX9{Yxda;>KvTJ?OMlRa3TOO&*eQY0GfJs*^RpDcml^iFJMZb0AHIqJ}P z|3o3JjI3-Gblsi%H?)_UYmJw)C6$(=ocILluV^L-Qv{;qX-~#xB7WU}dMb)51mOU+ zlM=Il=N$(m|Yu^Qt3Wk9GBYy^3#+qDl1#lxRC(_VMB(e+JPgf_TA;K6m=_7WJ(7~TFaiAM zrN{WppQ&OAgzvopo}L(jz`Y3@{6C1YG<-C)XW*{o=j*Gd_6&7-iv1^PFz1uy4qj{7SK>;$Fp-UY$Q&VF~@dG378h%ymZ+k&$r|gQWia+qF z03@luoxz(a%SHw|2l3Qbh`jX&2AIcwFz+LQn4nOP4zvw~bpwh<0ZP6ytU>UhzqjNc z&&^?$0{zvGpqO}>nr#de6zOhbTJQ>^DVfDNn%|3it0xH3q{U4cwwB<^{?$OAxz?_D z0~j#wf*6dRFTPUwPL#T3L39vL~u?iJKt%cB4KhWbuKDTp@APP&#~ zTV9?sz-myb)Eo}eLmu5*mS2t)ji|&ueR@s!PyM=d9&lo~02@d(j5q5{4B-RKu|+B( z>Lc+lHnYziRU5aOo@|S12k>Af3){4F?w*gns)QSke|t?`HH1b&1O=N@o)m zc7$QJL`2n3H{#IuYH|VeI+FMgd}Td;mkA{n9I-C8<>!GX_Uhbxpa^N)&-3}e0o_R0 z?&oK~Qe^6{fMitQlVFO^{(Q4RF^i)-%_u^EkUMzvJtj&k;%^JkIWX1 z1f)GR8ak(L5+U>x>Im6Hqfc_G*>a!KrMB|Fe#eVo)gdV<_Lgwr zuzFWqe^ps&jzPZ8)dM^{(2RaS*q41eJUK%e*MVp#iP-k6?wh*RtG0EdsynOM(!=ej3Cr1@i$@{j3&xvxHp7vl-v zu8O)7I^nU0`Ri+U(<>(hu`UolY* z96MF1&?u3r{EU&)&#PUAB%$iJKLTj-c#Zef-+$l}an|5MkQOVu!pzCEnBPZ|g+AUI z6|^i=$aXD=)qk~AzG9$&?eRfB#ls`Mj#A(M`eWJEzRNR3 zg8@68N_^6;<&%6}U394I^t*5gJv!`WEuGEcb!Tl?WI%06>3wS7YQs-*{rY27V8@&rN8$46`hlLUx@d^wPl(AY8en>HS ze=TQ0BO_^K2vOfK(gYeg?FK~TkD5K3NP8}W9S#;iKkUPZ3CNtF zrN6d@wl-S&(37(pwuGJCK=<^lqa)kiy+1h8wEkQhM?`Uk{dY<+2auzl9wdq)-|kt4 zS!OaI1`wFnZ{^tovW-@)c})~jr5&3^Lanv5l{O9Jl7*&E( z3qnInlAd(@mqMC^;={3NWm6jQJ6i^iKcYniS_jm7Z0r$?ZC{*&ea(ska7H>vaz`Ik z9!#Q>BO=1`7}?&ulW#ld9t&eWYp$wl20*7u;oig88@J-9qymDpdK;vjQDcjc+|FMSgX#R^DX}E|PW?6D{{1S1nqK#F+v!j`{a)6G zJjw>}liy9q?1F0lJ52aE6giEF8mi#0j(L-!_7A%Uh?-U| zfkA_0TGkb35dMflX$0wCilX5XUMG$8F2upyHm)Wl1ZJbna`N~LB6%jf>*?vcTxT0< zIh9;4J#YM&X72KHn5m^OpKfDLsX8?BR}9je({Gvn}r zp>3mSK|Qd0g2~^l>XTlA-;q320+1oC*#=;h-<(PKyUO(5q8-wOODm|W!1A`>jQPdO zi|_h=1ZmVSEb01?MO3@x&6Oox%1RDotJZ7-O-kyWZQH}fhQ!pCvLa7JSI3m-BBv=gT$?Ix`jBH13I>bBx zI(lnQHfE^{ckJ8=4AcymKa=bA9h8*Bc*5NsQ7I&BoqX;p!?d$T+eI8Mql_kEu$t&f zl>JPn1D_-AX3$3Ms!ATZ?v2yU*otjoGs&Pb1-IJw?6Chyxl)3b6(e3>Ai(wy>zrOb zMSpvd@+EW^rNscx+}A-)tMQ9#y%C^;0pD_nS>wR$RdA6{DqiIHjZJ}czG>iVtx=4i z_wdMwALFGg@`12Nce~CuKWcw8K(ax6@|&ngZX=^uzXhC$4{PN$ zxTEAy=g?i>?8BWiaoSH?w{~=osnN>gNup|dQ#e8DcNKeFW7kf;NpW{ z`lo)V*Yzu~UYiH#7hYE{7y$oh`~fHFq?^Foe$MSWAD6{>&nH~4gqmvxJBzVx)9Ly* z&{pIPc^oWrpkHQEy<11hpWWgKn9LHY1ul8b5`>P&(Qf=T#PLT}{yqnU-Zjn6{6?T7 zBAd%{-EawvPfmJ{V!xO54G;iHx=Y|k^qjwgxFj*Kw=}>3O~vFpdRBm#T5eL;RU-Jn zvz)OpJKM7cnwO?uRLA+TdR0)4Y%l1|DR98d_JXE`OPvfFLSSGC zt(C^<%illBvhC!%=xfML=S5uCO@wTJJX%WwCrEvLeW4~ey*A`R>jg&2DKuFGt&&t- zz~A)sF%bgB0ozM--+DTvrbW=?{f>inc6M0%Y1NhXi5huvceckuSncon;m5`YK^FyN z80fijKTl1f<0$W~EC28W2%hATD~*Vebf|dnyG{8G4ZojnjIQqR*C+c9b*|cq@p$BL zF>^<8Y#F8Lm)CFnH&1?~&(tC#2Y z^TI?E2&E0IC18g?MNOQJ>?fi3gw1{Ucb5%tl>i-Y4Y$3hrw}n6?kr5$Ww%-aRJZ8IlLfY9#{>-iL-imi@EkWMJnep3yB-1Y7OdMWN2BBO%C*a-umqu zA>zk45RvD>-^0U)u>WuV6JE4+zUSw5p13@oGf2iT0F88ZqYmsA&!W|Kl2rmzzWP=A z3&Qc?iKzOBFhX==xOAYnDX*YMH{UhWa_Ax-dDva+WrlX=fprB?UNau`i!1@~yr*C| zMJY{h-$}_m4H}8(HzH+V`%K`MUP$bi^LHJ0gpi}i*5^^fRJSUb$G~7?zAz4NSymiE zQl!ck^sc`fKctzrxd3AHbIDL&<~(>ah=>zC+D4(l^*7dfWT-T_*iBOK&Oe>3ii#l6 z;$Ib1__-nu!*+d%ne5N5z(Aw)8hGMPP&c)6m}td&B8p5OU=~2Nx<*`+tW8iy*b4`Y z%g_>{`*MyUYDl6j$#)FjF%CxV3^WCFlIHBY1~fPA*kQ{2u3yzD+E9CSHBp(&8%jXb zO5|G*D%YdauY4qw3M37E=nfGFg-2IjG%F_lCsk`tC0!AVkzzjI!U6aadD4V{eiB^3 zt;mlxG>jSNOZ{J|nvnkWxaz&KsuVG3g~j|$!UZJOCYD@dPexNRy_Y<&@wqzB+x%=Y z_c*2rU{4TS$X&>)yYvrzvoCv*x0$i?!YS~Gdo_MRA^Mc}TvzCVCpUR2Jg32VhENzBd4x6GQ+9@xR;SpETgcbM13>KwoZc=SoKl2nEvepx-pr= zR}aC17Jp^UGYPv~$osPV{*gPPih0A#zw!zBl0==xF5zGncLa``P8q0W-$mU3aeYsa zfM^3W1`BJDVh&ouB1}M73XyL$9-4Dn`h&s3G}L|vGk%n@Z$tt>#%GW*8bg;4e|^e+ zpnB^M9yc`r=^q1%;a|TF__q2oFfm0RBee?M!#7lz@P_ljpGS$GgbEw8Gc%J2yeGpe zR1nlL)9roVACU@}7`3Zm3C8k@Hthb>R?UmRq56R`07(ikEhxa?aL&i?C$eSk(2KUt zMG_9QX~!e@dMnO(w3nSC18df-LFP3laWT+~7_L`0FY^?u1dk0E-SG-c(ty`Vf66lX zlwvMptBUv9L-Yz3x9^G0V4Z5~cA+F&C^c_$31;_$Px=HL$U(&%+?GOb#RzuTg%CHr zt_ORi^rUeALHT!FN=D{hU;{bL<^L;Z%?ncrNT}PKZMC#Ql!PvQKJw{DPY*2(O?`|k zW1=PW8r{w=(<2Rdr9*oW4*=V~^0#gqQADom<;591(hLIaxq)4b@Tac-RBjF#>CVAC z;tE*CL8fb;PaOk+l3ZK3c>K#oQECNM=A-8wbY_^7Q#JsHYD^Epm%a-+k{Vb@eS94j>YjWp za_s(MbX8ILh?^w+8cKX2jq=dhDT6_A6YfdWh+P`4lTB@NOiEJ8|Fdfr*J&V1i(sJf zDERW0G6)EO-Ixe*5suKZ($eQAEmgDy8dD9tnOk89hs$h-Liqbjx!y~tZ;B)qZ)#h! zzzA%kRmA>W&x-yhtK-xD5{^-Z(N%gy;V&O)$}c+g}10pWrwfC+D*?`Famo8JXwbHUL#08a-Q=C$sQbu1wrS z2?$P-p1%H>!2MrnqEQZR{{ zjSEawGq~-&ucZ3q*|X0kY!|9cidqBmuxgUaF40eJiCZzxXC#*!eDQC*BBVW1#;rel zgpg{fJ@+P*TB$pC23~lL#Fe<2#e6!75s>=+8w&Qo&rg5m^M4V>3 z%okrP=)}Rey^$E0uN|z%l7g^)eR<*5ejoPdHrr4|fH+7&q}WjR>Z2?d@we*DJuAn;I1g|h?usv=78 zi#6gwL`a?b{JYJyz+NAWe+&l9t+uY%urt9AS4`bI>BZJC=5I_m<|&R~)7=Vu(jCqF zT{Yh+`!qES?TRPrNJW;5tbpf6HBpMXlEi~M*BWjtc`z7la6oER5YjI{egtv6q+Im| z#_QQKEblY&^78a^qN96sy+!zu3J-E-1r7IkD+O=ifn0ehBaIiAW0y88?((n~xVQmR z&cV)k9quA92jWq@2YcYbYOv`$KTzt##&Jh7D0w>d;h_D*E{K{RL?ULyKT)wA<@c!R z`tU&}dx}$`yR*~K-jn#H7`s9zs^0;92Rn^e3-!zgI8&@1|Gv0_FA`{mz))927O8rT z+b6%ELAGtN4loQwQm~zx7mF8$SmX5wK*?0yf8p(x!8jN^AaC(qQ`eDQ*Jw&VXg@?` zy}FiTKi@ABtXeTjWM@UCrKKO_=uksv3DYk)QoNis7&sdi5i^wUZEL`EsKzrN=gkA30C4gi$bzdF!peOKDc>xlvMf9yvn!dS43Nk-s+G+xuW6)h@0k z`%PEv16NwFIHB5IwP&RDeShrcmTzZA9!yUPIUlOaoUzV%5tqQ3sBN~S3kv3jIo4W+ zLL%JFmHgon{puXZ;+cVab+<|%8oZIEqEOBoNeRW&;Y_7OHMAu4RGqA!wv6+OU z=+wiAEv-ZAYtrVKpKhYJGbJLpF+x*kDWRnVD5ziMp2b@*O5fht=$EvZHpeWAlZYGR3K&`-svr)QmAqrfBKuo^1%lvsx8^Pm2-08=G%0u#<&C-X* zk3UmEU~o|aK@e17nQRdwXNVDrT@xk%p5-VSOC>!y76-L43IF;R63(CaX`|B!NL#TU z@oHhFm2fYG+QpC_6;z{&5{nlc#=w#s%+^`%!EOhscBcnQuSy+Ub`i%NG3MWqxLNsa zU7R8n2h8kjybBz;q6H*xw{)DOmmCRbO2HDGdEK3>szFe*OAos#qT@f8tSn`A_vD-gxJZ zBu6p_9LpKVpCUmYU2i&GK3nKRp4qD!#~Dn*RcQbE>#r>yjQJ6X9ACA11L;X(c*-vD z9BJ^i;=JI2hFGU};<}J=)E)I0Rwjzelp**O%dr(Tljtj6;MXn)ilT{6b{e;|?m((t zR@Y*PDMdNVN1j>nMxwT&#H4=fR*>4@pCbw|1>_Y^38q^Kq)h?9uBqOGTt zkQe@9&(82Awn7+x!-v^14wn;372!*tUjk_A%HM{D?-aN-O^Q3%oHla5Xg8NaW!hW5YoN+8qdqi1KMu^X|o^T@78U z_4-I&3?KET1+uC|O`97py#@^4`B*JPgF=A?Iy7L2af0#2+pMgty3U9(fN*MBU^@_E zy#ans;R)>NZgA-x`jH6NXdEvlWfc`D$Em#VmO!jwtpaoDa)mI-_Eos;TMWH)SB)PK z5{|PXMPL;M9csDFciHeLEwm}`98A1%HpMJ&PXWWan8;mj-MCR|BrRQueXr8w_r4qj z1>i9c8)%LB;u%8u_TKj7=Q|Qz2Q`rO2(Er@x!^_3jZ7~Nu(Pg`V$*$O@^copI;V4g zw>qMEgi8T0o!4v{BJS1+LUw}+3Y&+j7q9Y%gg0D){_;YS2Xj+r<^sC0T6mOP$roD=hOM&=6B2Z zj3NwbS0%AcSS-XH43)06vI8qp8+P~X+q37+7VcvrI`Di$a}Tog9w*FrSAdQ-*4Z(eV}H&@JL6-i61@~8qoOepE9_;#?$xKO_JCm zI^YEA4Cv0u*sx%$j-XrQRckg$-FKqY3?#Sv9R|x{u7yWLbaA}K4bT)<_U_#@r0zvR zCw%LkX$JS~^;7@*@4qe0G1fbt!LE_@LFT8yc>7zfeaIrKYlmlJjm%Hx6?`^Uvop?H z*<%nu=wPKm@Ra5uHNR1JCio3yz;4C0j0Z@Zs?D$NGs60QFXvhwKqCpD8Ee<~E%qGD zj`NY@IQu~}fqD#HfX1Sc$!I+7dv>$1oND6aHv&ZYc!xvx+pXE3(J*NWa#ASl#3&yh zIm3)$00K9VPC_-|Vw}O9w_nuy)J|jKTU+ zV|QsQa-sDiC2!BEG%~N?$+ST98Ob^6@o$JrlRk9yY$X)UPtJW0O3_*jeLs>-BEj+A zfyCbzu!Bk%XA{>x@axq&T^_{&kf{xg27erSzkMSGE3JjUzrZ(VCnubk z2AcbUoc4$2iw=JfBhcLR$PE|N1eOL}AXH_Nyq&^_2* zHumH9yv4twD}`o5Wi|S_iOk%%>cfM79Tb`R`KEo$Zn8hK!a;m-)puc5-Tq=YVQL3%nIJq%gdLgj+9!Tu_m@As@K*?UVRXgQbK}0>#s~*Gdfna)+y>cw!6& zgNX}AKZ2t;HQ8O}q~>DkS|A}ty7!rQFP$^0Nm|TW57Qt~2hh5G!*u~JZ5+PRXoxDH z2ErIj?}nMlMc4rxY-npM04jr}L>b)hzV!~2+amaiCX5&+Lj-lv57)?nmEi+XM%7VwB1c3gne@)awP%lGEn+>!lH ztTx3JAny%ALDl!>lyGlwJK&sT9GD=&L%CJa()5!{xH#-DZUXNrag(Nqpec$&OZu{tXV7oay)r^YYWVk> zHK|&XI}{@!p2c|L@(XF!9W6s1RxIlVx%U5=zEQA}*bFNeK0SKGPQrt9n8!Ls&?c(- z!cZ5d<_|8{@d|WG`^?vaWtLRBqz(#Ot~FYa#M|!JNx>RJN|KTQ%s#5BJ}Tk&6DJW6F126ZKZG{4y0Hp)WRF_b6nl`r8WHAliJi4#7x! z-^;G&V0+&SZ2Q(VNTLHg*w0u7}}J)Q~M z@LSi;e{8vIND*@n-a=Z5YJ3*_tJbZfj2W8~p_Y}LKlL6=UEKytHk zm>O=IBVK|{gyCsLYlf+M6>Q?~5oZr#Z`w-dRg5d+;CvX?pV2tQm17Gh-}deBq-_Cm zgCsRXd85LHN*P2F2V`G-Bjh+gEKYiE9gwD&I!$YdH$ob3a#n851!r?>?7<c*v>CCrIsH1Lnyp_!^9hvB0vN}fPQysdUH|bM z(KBrq53`$M6&9X@O zGkemq1#o7YE6&W=>KCsSKd{HsaWmiXMXq~TF3FR}8I-I_rsgn!g9*Ld%qs_M4#(lI z3`&Y70;Xh86_cEm*ND~*H=2qq+hNTah5_6z>T;w~I%DY?FBOZp$Twv=1a5Kh8Xm8_}HC z7ZS1q!5Ns!SH-?01?0q{H6aBxNg%<+VCW2X_KNFYV1;XQF(0n8P&%Rzbw))8eVo#4 z`&NqPc8s`)RDmfRP|zcI@K0^`9ie;0-EIpjJ3emiLBg?`CK`>d_(CdaC_ajcwDA>_ zgCo{z7CcMPHg{RR!XL)%RS{-iC7rfz*ibQcs^J+Tesi8!ofroF=p83_#-UkoB=>y(mb)*yW-GxA9g5@ej=Ucd0vnbZtaapvx>wMF4FVE2CU`kYxVaFEBt(>(YpJRnPOFHiOGAhP6iOIRxcg6SSRs+5)afXdMu zcXXM4%fYUfOZ30WSjp&?ZnRB!rbV-%`5#;!N~}wdtysL~kobF@&yt^kikhu}8us3s zYt*sxz2FD4o4}MBS+!uk9XqgjB}VB`?k$JCy`)ND zY*mF+wsnP9pIHe$&X&!a_t@ye(EijSfAL5%IYqO9b?D)?`Sj^iWNHNd+J`Y|x<=^I zwya$N={z>UZSz21-!zbnMh-jUnoZkqJAy&N2g!@niLN?=p=JrlgsPBW*w z@kDV+@UpFlslL^{k!m0>Izi<;o$?z)_R~ftAHx!~68A9CR$Y&wOf8g3c=WS^OLC+) z%EjR~XTqsdENic^YxXwM_3=3j8zx)1t>3}QY5G5YF7T6>)vTtH9XRWWbhzPuqj_*} z@V1>hInC<~^z~!=c_MKMhE_NIw4n~JwwraXsN&=|yWG#{ILbRBm-+0Y1Xi_?nwO5A z0o%oJ@xyDol1n_kp6`)Q~F!`Pjobs2PETmFbLO!^8oq2619jgZ9sHg0wt!s=sPYOixqJ|#fF$pvR z8SzQv`fQ8tJ6#Eg^X`8a9Ne~VPavE3cJ54e4MT@*o5Fc7>IJRZU~B5-X^R$?(ECKl zm}JoZA9@y9!*duQho24mI`#IBj;_QBXfI>DsNo1UQ6k#xi=2o3Bb&CwH0K#}MOsW| zwD%bYO_qor{@^nG;@d0caOWQyRuw7w8`dV?f1Efr8QkhJ`mj1L$0*#zxuHJGj>mrx zd+j!i;{RMIR}SMondiHqDE30rAf@%HWT(9ouwk@%$i2e4FL>`yk}K1dC%9$U}MyMiBFA{k)(eTPn;j!A4=gJF%t_!qa=L2&S~ z|H>dgNk4PWEZgZ5#J2k9KTVBy7kBFI-P3tBJI^t=wLZ4vE+1zjhFiNvAA_H5QwZa+ zg||iwA_1=+QT?(-?AvD&)0S5scZ|ggSATi%Fe>rqflyTJweX5D6#~Z)wYqpRAkVSQ z%A5v|WiEhD$nmbW<2GfK)2;8*H)(e=+l?q%y@de(w0WCz!*G2?-_`vk6C>UF!F&g% z59|*AIliyIDOm0G>*ma2fAlg%`2aQFFwyniW`Ri*wRzE5u59Xs-*&|VEhf^;!V)gs zd2;#E(-Q?$v0*RP%S5^;QC?!~eEufJ48 zUl(5!G?Ox0oM?o#&u?mGwtrbw@1OEwld&N{i4@tzP5=toi{CyMO9;{EP0Vby?B=Oo z&Y>2lvv%2v&DyH%EM9x1-qOSD==@Qwknvb#&9St(7;~OHX&2^W+<@?lLu{PSo{NaI zG;g8Ooh}34r1kAmFnTPywq5?wJbsD|wW6gPQHcW2F8&T^`I`qP!VT-(bq&VUvQ zW*fK&2AZ=YW_gD3kA|XVa6!+#O9fw+;*DEkHVgNaELPL48o zd>chIM_(NScc<~#&)pN7`}XwM^hHhen@gHKGs;~j^s-o9nmQX9OpAN+E!fVF!D+w= z{*iSfDig+8?cbp8S?Rn}{T)uC2MNJxQk=6mfoP|H}1}aDnCgnG3eu8&=0m>tFG^g z%F<#_$%DU<&(_0}pcclEXsFRLj= zv19C_(#|5|Pg&OLB-;Oa9W*`Z$vifqtpD?z)zzw)v5BDQWy}0(75avdasU`H`(4qE zjM?d%H5*&l zq9_&RU_}5|h39*a&1?`#WvOcMAceYvS+>nJ37oq_6NcwE9&SJr%n0#lA29EYv@xw=l$x1Pmj4)j5@KjkEVsgSDt6s_( zm<~^4WH(sVzE)@?X>v|InuVkRfwZZqVq#+Y9bk7zr4j1u#iVq+PXt&Zumghwajg$A zg%Sz_K|im^EwG7r-oGo!&qKkpPChgRad0+#q`GaH~K z7L?yIq!(x9f@J;!ns06JX5e&51v4)K1EJT4kf;et$%v|$C|(Q`xRZ`R^_~FnNkESg z20|6(I2+2YkvZ?=QJ~8H{bIM)j<5F%7~x*A`P3tUPNAcZwj}nHZ>uC!6?g{W0bNlW zkmgi?s98*#^BglBIvfEzrC0zY(P`j9kjl0W9}LL%xym3EVtX%H9&L*ElX>~gTTMhG z8J=0{b%9?L3=S!`vPl-!l48|ST0q>9ymxnUba^Y5xNHR*nZnbN1t5sUJE0-$Ie6D0w_XEeRZ99+`+b1#r>Ls3J}j8dGT*=c(+lybQE%I;Xo zWHjw6ZzWHj+}IDSMf%h!hDce47~5i$x}55Tl^>`e?U<23m`3?186#&9ca+ARq(KJG zP}K^L75iCDf}+Mw5$FWS5x(LZS)DV5W$^taMq`*3!yz!gMpMx)mLv19dszR=;JMVYgy8> z(D2>5b~PSP7-ja0@EMmQt4Fs*lyzO7?po$*wEgUrf8#OgGqf?jCwVl^Xh_;QSiDxB zhj)dgfGKoR89>bybJwk29fuUoKtrrwwL|cI6??_Fk0d^S+HLa!WZD%g;)K=aq2l>H zO(i&h$Y_x7Bn4O**5AVU4hVV@S2lPmi#NW?JS^yiuZ}0dAJNRUtAcg8H2`6IF+*Sq z85bWsc;NCor)}%%73*Q^S|i1kw|UDJL*}uHG4PKX&i2IomEg_&vJsb8S6`p8#TwNI z$#+V2I-WjEiDL66!DF+70eKa$ZSgp@{!TJZ6oTKm!{;-73@5!#foCz=TtRrZrxAZT zp3LO)Z&oZI#ahOSjZA;cwJ4ZKvj`3sI@_*wA^3k~VdkKt1D2}Vo zm-+&SQSig?U$y2X_lKYIqS8RzmI?C~sSX&j0?f6B6^F(}Kt}CUrX05=UruaU#*X>D zTF6Eng4DEkf_=8Fsx?VlH2pr_;zoq=+15ah40l8^ZYc8BWmj}|JVV-Ek-5hhW!21Z z8Wg*CL)wqe%?cWg|CQ@RMy`Yy3M6Vf2;KVdHG)?_FkMkg!(fI(Qry2W1@yCoD`bRK!8s z#4$e~0ZY4u?QzNJzk)!W{erlJ1l{TfkV$H#r>~FsN`6}k{F|si02O;>co4=4;`KM& z+(gYHf&32nRs+i%R1*1h>8T$ZRNKG^Ev51DPMLzJ=j(;mw{Zej)xll6UdrshjNBDZ zy?1cY{-&|G`u-)fdNp}<*t2+{WUv^W&fSnrdm28?$~Q?{0g?(HKX z`6d@6Bj68vj-vmk$==1f5=Z4n&xqx6ZEPKtEYYfz~lWL zd$El#c3`rp-vMN|wiu{<1}2BRV2N}95vSoGBV{{dm}TS8?3qi38ddZ3m9W46F!prd z&zHtM?|Ibx3T8DQa*LIfSy*L`kDL8?e%gJOM>R#S43yrY5epXA%UW8k3rvyn(1r0z zn8(5~O3pF-ho9dDpg163zAbBsb{|wmVj)frmi$!mYPqG@_x6ImVaP3jls+Kzn-!9@ zG@Zgt4nep@uA*dj*#8>E|9Zyr^!0wmbbzNDrKvUqtMn|fI$Qujn` zWpXO8Tr?3~`!_&)-B^y}p{yKNW~o1_I->mb$ExbTTaQ)bz>0eKDQ~$atm&?Qx!TZV z9#9qcH1gr$!z^VYdHpT%Yqy5i#z&7$vQbi&3lA`ZLlbSV1Gr=A8bo2Y<%xLCKDsxX z@2<`Dd(@-??*w&e5k#ovQdaczp&)cwd~pGfxmtB`N}!0`B69cu*xqVl2gw+q{QOj6 z)YI3FuNFrv@D!WitXl`->bR)?y5+8?xcKv}Lt}Vxt0-6yk$a-g9n?T7!k#|WG0cSn zXJLWcNnmSG9D9>qq9UnFfo7>}lthZq64OY%*GbT@gtNKfBO65i+0W=<8AV04HKs7Z z`IbxZ?%g};_@1|s@oS!GE7vCp6f9j?C-1MiO8Y_48gtmOhUXMYM z?<^ezMJ;(E0hW?t+uvlk2!rdxIHSmVb8&1eBR+pUNq~`v@eE=paa_6MzQfpov~wU~ zVB^aT_^5(_1iGF*BrM?hP8n;yxz4gq_9samK+f)@s83#QF2)-VLqfFl7GDI&=T{RQ zPgi3ln;8sZQY`QP7#8DA@6q?Thc>i9nuOx6_x-wc>qP8+hN<0yodJw6lV&Hl`U+bK z7go-7q9$~n3yYRm|E$q%DKA(~fIe68uYfOqv1JzC9{udt35#!xeW0rVtwH0kLO{E< z7mU2EkuZ$){r`peCOK3|azVWsd>}i?**Fqy@hZ`p5W+k&q2oKYPjxHwT^B!yC`JP3x|`M7scrNrEa0GRyW2y0G|b-?ne> zUfe2)@TeH_x0wf-$HCJei_2gg9Q05tfLe)r%>l)~Bf^$alyk&c_#-Vw;)Y(WEZ=6% z%#|B9HS@bX*S?%qoB2iPS2^D?JF8t02GX$osws3FU|~}VEUw#6$01MWaCjy_+qbvw z%=GXN&5wZ(r zrrNaW_#_q~V^q=6bTF4K_S}Atsm6@w80V}7Uh0_*FKqgQM(DtE(HyoxDLfd;jdLLw z!b-S{aMjn;zubn|zPFbbH6I9hgbg;vspEXS2bl_)1uW=kS%GQfTAPV1tb*t8!zNMo z-&=AT&h0nB<$Zi$(-X^7*E>soyuQCeP|`6`5i^4qncKot^FR=#k*DNbwM=VAI3r(u+j&%4x= zRQi+WzD|uXo_O0Y2%+yDO+-^y%zXJ|^{Ylnpyejq= ziKx*HLlFTg7{cM9jTnpc@6*5AG6Qo^llJ+UfTIxzW?xoJ46|u6h^d6Q?$;hT^#-VB zRS(E3orTzt{pMZ}c*-c@^MCkqW?@xPh?DtQj~pEwnW^@j9zzQyHOf!P$~RfEQ4L4 z`>xJBF*$U_%ew8h+&5{7j#bm6q;R=I1!Y3~OoDYGjj;ugUHaYyQ zqo+pzwp{7#EG*wfmcsakoj;NRtO3%JhEt7?!k()}!WEKDj;5mB=(wr+Xf|yM=QKw2 z&0TeiNY0Gw%<-BzD6BIde_ZSk&UwwR5{hRX|AO&avUovpX+P_TJ|U$Gmf<#LnE`)K zVi4J`?PBz>cno>RPgXIxDPV5Ev7O8f)B*DMlyIqEFhfRh-n;ioQ`2Y6MJG>xYi;3` zEy$;e&n8mGRCGpZ{W=ZNQBi6QZMpXH^$^9ixK#2)G9d2b`1VZ~iBedUs?S7xs^qSP_UZfgi%sDYd@8=iTmBV{=YN8@8VRq8E8$+z*eyZ>;X%}Zw*Op zgdb~32-5J;lu8fhx zONtse$VVBOn==(p2ZDmrjBJ;dYWacVk{yr`I5^b%RNE0dA=lyxf>L1g#?{K$qA_Al({ujGszl?OT>#u~4tOLPg~Lg1>r^sa-SP)Lv^ z-T~6u+6{3iXuv}Id*IscucwSOvcfP;d;2*4bdX-$W-faA8o8P%p^^Lc_E9B|OEqpf zjgPa4I55X6v1DhzcXB#?Cp#1lvWe0V-K;9ZwX0Skd3qFB0%&$1ARLcCp?k1bA~rd+ zdShFPdE3gR)Qt_kESye1pNPS+ASL27Z?x# zI(&A)R2P@G$Y@}*Ga-eiJKXSyVDTz@ArPuAN9Q`#LEm+9OM9PT1!<`dL^9Mq(^OX& z?X8pQ30k0>UV-poVFD=!W|Gd$E|CFfQl~DC7~UZUnA!CKCzh>Tzqub;m%SytCMgmdn?=NYDllSXsYgkXWbLBxjB6TDO;# zwS2SgsaHFCR*Ne?4#Z zwv5GO^YRpK#y1WeQ`6IR(Uo7de}i_ET?^+(_6zPidSLf^fqL5{vyX2v3{wbLBFIUc z9-1=J1^2na1H!2<;??lEha)LGh<5pJ?;6uy#>0p6!-3$yrK`W+bpMvQIZ1NqnM)v# z+J`!#S*1yZ2MI$X;1!MCO|2Qr*StM->xtNz`|bs^EyE8Ylu-nR@af#J{1p}3)u8Ri zBELG6vt3V;QBWmTU71pS*Iw~cl04RFIyX0MGj^zlCxYMyjqVR6=FNs?-|NqeH?{dT zYwTrsb?D_SFSpXo!PQ$3K?9x~+`||c*Wh{35d&VeEcMxKwH9o9YAaOG!M?tip=naz z7Is>W?lq~oCgIJ6wz{FPU^CA)z5CU-y}X>iCzlj51l_;SK!qRQOul=wPIwcTOTGWT zWl(tCP62(o({OgUN+0W)Qn<=%$I_TA!bph~tkfxWQjidD<>x@sG`o_%OM4l(*zt<- z@EQOeyJ&gjQ?~=nTAR1WT!IKi8=@( z+YeURr&#DnDmgEKvn#BRPI3ETCp-Kryd)yLy`{nA1|LA7^L7UXYaYbu3munmGpZnyM1Bc7b_-O223 zT$)^&7~_^Ye#8eC))RMnp_Y5Gj#YIlz(Ou#7c|=>g_Yk0oGzP;bAOM|IO=zv?5aAk z=FO&Fs$5`hw00!Qza|#>M-#bl^Bf=3)i2!$@6w{Swp!W##;6ni zh(e2ce$!u!J~TKUSvdeKROo4<^e)h3uLkQdkxqCE_^bE%z*fYdBdwGdp3*0``()%l z%sO}GqC29oXDqbxQ8Z7^y~Tt=@pm-gU<-&@p#8d`05R}D3=wK{%} z*+zRbJw?61p3Ur$n^t(0LwNJ-;fkPn@)uuG_$JX9aKBPg-!Gg!pP9*oZEvK-@K;%3 zzPYyD)efCU#zoLQBd6I;DB_Qk9)@Yd8ZcVX!szxDE6q2APdI+SfH|=p(1~xI@|Clo z-3GXFKdZy0Ayc%?$XI>Cp=}gumts`2@49BAEEO8qCamL6KRP;U0PCPE9?t+GospsW zX<}ejX>>sOfe-a>Chda7i4gs4lX=vu1296NcX=+KZt{r-Gs5BmOt2nHT2LYcHU-!z zf>-1eJlJO18=mY&E1m)IVf&5d&BO?S1}Kbn8pH&8C=LOerHLL}RB;Kqk@46WBSebA zAdcXDYj2@CCc_nUE8$fRpNDni>B#Zdn8v;N5W6F^Hvm-+A*e*%Ja2D+1J1)1`veN+ z->^O^ESLlXoCFjZJOLpJC#E$_JVK}-Tn~4yy>i+J1#YJ%8%`emF%FpooEYT=%H|)! zUZIRxzg=)Yrg+%_Zfm#7Rm1%7tA7#*-=EO9Py5~~iut>pZV=kbc&)q_YM;Bh_%N!A z8%xVLU~rmcU5;wYgP$b*jzbh@s@%-iq3%l8nbbo|HR-RfTKL4<|6Nw{;A?q!7NBCx z!Wh;Z&uk>tT;;RBociiHGPg5Pu-?EOhHqcjF6|1D3DDTC?A=6W62Rhx3lw(MuN4tQ zLNocksY#e5$b--g=3usEH$h$Rnh~Lt&efsN>PvS8_dXVNb-}a^2l6tJ0}py5*gKW8PkC|FC%C0<;%kt*Nw>T1M@d6 z{}*daWn+dNtH71GxuM^A96J{oF+A!8P5=INO5o_~rl(Jxl4ZQo`*0Rk2OD!-z~X3+ z{uJ&57lHBXyIqoY%+2{|SV3^O)Y>)^bvii8*G%Eq_O(FxM( zkU=Asp>oLf*c4}lDB~P2Rm2j!)DZ=>OZy^|Ve>9WcSRkc3y5C~12EKE5RV2dbpQEl z|HS1;LbFN$N#f&zoJLUhNls(hzc;NK2(wY539g2ihy7~AiX+RZaHLjJ1DsIarcdOw zF<|=R^oGPP1UrGOxJx2WPC!-F987*Zhowd&6t;-=ad-du^HTcQJAt;%91;r>5neg4LB3TA=;}Gz*aT89+FL z$&(9ooq$YHr5&v#FdL|Ga4kae1alOG%FqVZISevVZ``=6 zG1R{MA+Y3feM~BP$q1rti1mLCAS3|XKR^FwEgcRvn7gQ?UsN)n zrEGb!vg-uepyp^S5y&^=16QqDb+3}s{##_g+T|U3DpOIn=_%*68ikdaRyY(t_y_!< z7yjX^gZ6gW5U&HPwxRWaF?mB?qn* z>OSPE5DCQUf5u8-~%$57|nz&bp@2y=Kzu@qr_nmS7 z{!69z7+@I(*K$g8vXYcHP~u`mrP=lO@ki_CsA7*kbjq3MjVQT4+xaL1{(RZq7{TvD zpGWyb;u55jTp-E4P6&`Yp$G$jyJHp>|BsrC-BB2;47B7#CssYImLZM=DCOi=huo+R zJGw0SvvE>h_xC4D!j@7ot=q6+gTis5t!OHZpAfPmbg>nK5`4kHqg`4 zk9^_<1ab7}(ePy4;xwMn$smy4SY)VEE5-D5uip)req_RuONM)~t zcqcoXkYp5+nJpngC?k9Po@e{MzxU^lU;o^X$93QL)%iZo<2;Vn>-l<)@^^lt;Bajk zZN}PHttPamYw!L`M*R+C0Bqy(ZP6HA^ltC3%K!@?`0QPX2-9w;Q*aaTX}wus0UI~B zf+gyT5Z?m_4z$n16cYW1*EHsWkRd2E%SDNH<>T8d?pHf9{tvUs%T48HuDt&`-I4k$ zV?c)f`++wC($M*Hhf|0~s#{;q@#I(@4?2gqCiOa<>B0sx=$$ zRTGS=9K-Fb6o`{%olzzD0TfDBR#rIWw-1Pq2wV1J=DNt2cscyP@^{$U)O?xuYZjy!7`$P&ZR^0f(7eoIFWLRFy3P@X`{oSk)m zSwYsAvBda9aj}VD>vlQg8El32@81uy1=j*1PmLEs4;W?nM2R>y!$BbHGMGWWeS;&I z)CXyU_}L5`Vp)a$+t}oy{EsSG9g~7aby5>FOF9u0Lce2nynj%c%1q}ldqMTrkYOSV z-M)K?5{mAfA`YHv)Ez0WcEGMY>fXINup__$;lB|hZ;mGFZHkVDV|*;KK5(A#BrvTx zdN}9mr0K%tW1s~ya^QaASgTq$@#PC0W@K=Tsh)-+ytA_ti>QX#X_qrG`n=*hYZJ06 z=?LT{Xj0QPoseH=n}EM)nD~0x)q(^_e*XT9&x(P(&P#du4 z7oq-_ZlqG|s0hMGJ_#QX6I;Q=0$1KiIXSARF^5}ZiT~P+VeGvn{tlQ$s79A@jF=Qj zUAR3*!|^DSD+2_<`}8KJ*9W zRwr^bpXrWI3z$%G>Z!!jG;gFgJ_nE911W3GMkRK>HcJyB*V>zzopy z@A2U_6*QC)`o6!Y`Knak`{F*}z0kb?<^Mxf(VF4#^<3CD56#F7%=sW(k`IJ%^0l*0 z$AiqX)QXBKM|)uVxP>W@Bpala8MwS8@4K???5hvY|GLQD+o;IpNGH~ZcTjt%nS8r@QH96JzDA&fiov(kv_uz!zubcMh z@gEu(c)XCWkwB-DFme`FCMIq8{@p(mn}BVqATp>a}P)iBd} zj$}gLm*&dv3eV`{u1G}uQ9&u4BBsTV9j3oR_&4~5vS&{~j>CJZzoG#at-<3ygo2pO z0;8_S=BNo^^t6zZBZ80__f5H0)GBjh1&?@RPr=IKeCLyr!r64AH&Y1}oTAIEVL`>0 zYmeLqZT$EjnPlI-P5?QuPf3hEN8-al2*o@2(10@|dFS2>8lWMx>S~|Hp!qP%7HSf* z;gIoMQ}wBZnu0epJ2MJ#e{|G^h!$L@L=%b>-GE!!M^G%Pi_9&O9q5Ore`d5e9F0w=lu{FkXfc>1L z5uvxd{HUTbz(?=ie|{2w>PC8$>4|b}i!VXuul|emyeAa4K~UIAuRH&xx4)n5wR1RVt<7GWlh9%aHL3w>cGdd-p*#ANiSpt zHJH^u4BhTU8T4acyM4RA{(C^RLaCTz-96UR10^~UYKLEoc9yqxoNxwq*!F3Yjm^MR zX%I(nCUB|SQWupZ7Bj6*SC0#9AHMim?_%GLb;mg;8Wjh;F27?FLL5nTc^u!vhjJHJ zt)edI3q7R%voB#?_Z=rU@i5v*a%UpH5IC)!M9thuxJS^ zaS!sMtg+5Lf1t(Fs{b)V@p7VEm~tL{jxzlQn$Qh5H}R*GcCT1DXl;DeW5)brd7}5? zv;Qxh4A*y<+^^w~%iAPt22n-s2hzg?5PCes!d!RANiVZq`?e>hk7`3*7@O{;$Gc?h+JP zl9Us)PQBvLE^Cg&tJeH^8O6&9Kh>E^Tfcv$RqJ2U$*es=|DJU;JNO_mIh_Ug0Y3?7 zB85-fB&di#QcxwsnF`O>plG`H%0SavS2u2yYMk9C}1 zY$*;*H=@?>UW>0(1FGHRdPWMO66Xe;$Yyw z!Q_pD%~K?(aoWf^(N0gWiWhY+1g~qh52wB z-_%b+Z8YzXAw4ihWXj@r;j$eAi}CHPoKN|usL*6kQ-4Lsjw*ZtfT~%;8v!0vUpYP3 z*FfNq$c8FkNa!g%TF|BTZin!OlAd13ykW1-#~U~-i{Q?SOFZLUOUumV;)TdVtIaJA zHB`m>ADSc_Fc!e(C-xHL`)n(0u~Lv&3(!b}*k*H%@r+iR(s5jq=jN91i@%7tv< zDSDQi$BHsC=NjU?F4;h}m}LT1qmuOfxvw+QCh0%L1u`SIb_Kqc>rcsjy~^D4=ch{6 z2}e2tvD|*>!WjrzfQazYZN#*1Cn`KN;>Tm{-`jy@T`RVtyKHa{^*X|ne<~Qb84v^J zs-f z7*!vrWiFs3p)^e#4Q5IyWb-;$Or!*>BtRDiz%rq%u<-TV#&L(gzzDtAsWq2kfLU+C#IObn52fA{7M%;CmuR z4E3*r<)VJ(dd&|4BkxagXiP=T5rul&1o$u(j)%|{;RKa*#P`>~beRY_ zoD|e>OzD@CF@mD+*A4mINC6f;vDn5CV?o+tNTg+y2$8lhFmUK#_HwDein=WiCP;00 zXhhn0QAm#q0Q!S4$M7Lu-|^|YRVBodO+mh2eX$(uY?Er>9&dwjW`Y<4wk9JUox*jt z6z`pj<|7_p_23WHolXm-0g7p7jmYabgR&wUCT2eDk ziyboNa^T`Va~{k8n6;_}X2B{sEc^DkXzbs8YjJWAfC2&5%OOpfs!i-0efG&THrm|$ zymsfY2N?1dUPX+Oaj84>1Rx6AT2kPn^_DohjC@beC2o4S|M!4ITn{pS+N;yWf3oob zRQ}v`N}l+7(V-Jc9qTUy`x=k{6(vAiwuycB;kFFZeUKHoBwiz&5n!zo zp)@Sy^@E>2CEa;Cz5_>l-(c7ZXupQEKGSI8JiGVhCl8hps7QW4cz?h}em?Tg9SIZ# zq76DeCue7j+z6{{AK~5SWH1KUY5Ncyp607Q2o5_ugKdblhzTV~+uKW?xvnfvEGHeW zeJn=^9$uiq>DOY!;J738fkdEB=Mhq{hhcmkQ(jv&3su4 z9U!$eGVZ^2PD-pc`9!yB?^mjEF!wdf3YQl@idAc2j+BveI*=b+q^w&W9tpn0Yrc4P z8GG{;3E)0y2WvSjw7xGBK?;5?hwP`ddcv1!iknuJqB4xVGOncC4*4&r_kVWPFnz6L zYunjkbZyZ|@bb@L4C`OSwP7YB0T>Tng>*Q|@s8k30$Og;#cW`!+$==!ppOC!TshBH z79`>~s9BeR;isK-}Xw|=Ok7udeyXc*>qs!wXyxqkJa0jLS!y4VZO5_m;eTs zH~iX`WMpgD$d`r!8d{58#UkNs%n>OdC5-(hl63;;saE|JN(%^Phzmx;bQG%Tz>;3xp`L21qO-Q3)FB84z) zWtpi5Y0F$V^7L36xzuMqGpSn##g?S~J&}eiKbBK4P%TNisiWtVf|wd60fFgJ8S}v4 z;0B*!fB&uWsiH&Wg!TNTLc6!6OAE%I)R&n9-ns==)QT~jIW<)s8dmvIv9Rz}b%+oT zLURL_x1J;^_jp3lcki#K>wkWOWMn4y8tS5!*?bZF`NVaVqJn~H)Ot2wT$K&Ez$hRH z-{fpzX^9vQHiJEcImYrjkwb?x&7eFm_Qjx4{q0}-{~Eq~;Bht@3M&Hx@mey}4C+qG zTLZ$wtKMt{4rIH_dA8?PR##1pyjh8#daRk_KzuP1+@7+E(C)mTV<*&sZCf2^fU2-FFTVDR!`l9t}P z+l}Jf?82vJafb`CRJ(uSKQK}~deVGQaWYU!PVO~e>ej47q@KP$iFi1?P`zw8!$v*~ zsq#pU1wODF83nFgiX;f?S@_nhUY%ZnA#K5#r&M4i^N`g zpbVadM+MFi4i1j?G0q^NCG@B6Df5PF#8VUxsP-7ZoyoG9)OB+=;c>7u0LP z6##Wm;gwV4_M(jdDb`Z#^vrz$Ae&y`{Nt=E7|=--HmQkE2HxDWdM(Gcnd?Q%3;L&N z4%sjYrjeK{U%l6nk?|}LZZR_u-zm~y;0L1iBjNIr5`&%>9>9RkF8uooB*6AqbxcOW zH9Q`E5oYg4Mz+(?*nfEChXI%LUVmJA@HmQGk{@#WYt;>z^1wA;`$P0Ih&~F%jBVQfXsMy zaOESu!F|!)ofQ@P_V2&vQrgti1OhDhfsvL>4gy=m$UK;p+2A1pSr3vNIkmIE_?K3m zew7O`EyK)p4RI2iukhtpsi&#+X2ynct6DWh@AdSRlGli{1amRf*LUX8E1O@Hf#G(> z)q~QqDjEN1ONc$>X+J#tmP0vyQ||rcrD_Xa-YWOqNgAK(YEZv%>g0@Ine65<*!cx_ zVO8n2E7Q+69wC)jNwGqRm4r?yM%kPYLUfmwx@RL3=o)`RHU&MOC%+6ydHTc`Rj5Hh z?;g7@t#YIyI(T7u;j>z#aVUW8m{}BOaGy!;f>&2fjW2TrD^U1xLz}p_eDn|IFiCpV zzO=Mdo{7|u`(rlk3a%=H53{uQvGXTW>01o>H+Xt^CCst;g5(Ixp88KG$8)*3xj~LQ z*ujl2KRNyJV^8vbo1Yj+IE=DV@n~h-P(uc5LtRK-&|^N*_|nWo?CFutgl=|cr#w>L z(*{e)jEp?Gs%iZ>)TIMP*t>aO+TCb^SFMrM948{1;XW7cPGRvnvmR5>0oyXPVz z^(*;}jO;lpaSS0M6txG)*f6}o`IxyjPxuf@$evpSiKjK$!|g% zEOT>ni*5V8pZLAJz0K(CS(Z*u%eIXE$^e$n*Au?27@Chrf3uqes#o@P>NO=sl%kGs z2EnXGgoj5__a;-yn#8HsQ9(iFYt*lzL?5C^?;Jr}cm5mmV-kC8^2Z)i1!>}72cPgt z6+d>Tdp4mo)0L)u(@tFbg%97VV;eQ8@>t- z6zza0$+P7i_cZM?BBOuEGK`J|znmHLZ5%`1s}D7t<21TI$FIMS{jI8ZTDBbY7KyOh z%FtPWPQru>AFv4*66XE#{2$n9E&%=c@e9aHtc$m?l6r`|N|^Wf`^ zbtNr}Zg!)`RY4{J04$noJX<}5LDmSSkR#2&&1XC+Kv`&@!MWbf+^nptONeUKua5T~ zU*b8Jt&GUw$E+^mZ{8SxIb+rPF)B!7)2=sXIb~$48H$&JqeR4_tk-Sm(^BCIcDMhWI zqTy9dO?G;EOvs@~4pFNuX7Msa2kPs2}`30T=s6Mcp6eHFJ$b7!^ zz#6qxC+OXB%38FuSxE;hnmO%NT#@@~y9>T-`A?r_r1PQ7{@BxVs@TO@eG%6~a9|+a zzzcPwx4{i(a8NMcVR+epu(jdVrWB?9=394V&Q2TK{?Z*V6?XYQ&_*8Am8 zLt4vDloS-HlttMG54X0=yNcTsSBRfPRcnlzL%HRzpH+iu>JXj z&9tmQ#29GmF~zUPajew`_K_KL1harZvrr)L$d+B@%CJ({>4NacdW zfzFQRl>8xacXrOb2hyGRHgRxFq`8id+Vu$^wt>#g!JhK}*-^s;b)HNq;;nZ&pV_Up z(M7a0br$0Jiio%@5%u-)q0B`d#M8XIx@nAg7%V1}>TCy<^}pw~ z4RNrXNT;>8w?D6sZK&36^1G!XBfAZ+@1^g9wZza_N=2}z49v~h{7wql4IXWIY)6R! z)vc}(!d;^C1iVcjj)Ji?#oNRhq3=P>F}qjdC>d?oY^`fvNJF$|3B)jO%H9S^O8MX3 z=pSCibwDWmhKEh9P)uX#&B~Sy5{6G&TGM5Mr5DOXN4p{x=Q-H82P!(wtU7Nu9G68K z9!Jc8RjROhH>hEMpBW6aXYkoixDZPhy%86*#J8JC^*}$%14te!nw!-b{os!0ucwsQ z{?Cg^B?xSotS|(>ipMo`gc$60_MF<4rEoqA^*yV__1oGO<8N)UkJri9zTS^J>>caj82WHpD3#TGl958}B`+l3o}WSJvJh zKDt3DCNUlf)my32A!n@&;OTz+QG)-@uZL_4lmc_6s7R#X>MmN^kYu;iNHv%&M0Cxj zL0=4gvW3&spkG=VPlPiZp45tsc8cxmdl>-ap5oZQivPCdDw;%JFA`mlTll&(&Cr(P zJG%h3zgOgrT&fc%#{8JOonLxz1!_MH)Q`$MI5(--&tG1yYZ|8zIq@dHARtL2s97)H z_V_Cu*r7fiPVn{hO$?L*I+(rO`}1+JA-DW~;Cg0~_}RxOJaAkkJPoNuajn6Me+8+I zJD~EN^UP`70Mj|geQ2PaNxgGg#hF|0LoXE@+ZvtsQLeV`XZP;&XHjfYg&WIF%ZpFP z0T5U|$^Hd%k+}(=lGycat{3gi&E|{hJ3OkP6>7!#Kq=t(O1j$GPw{_3@#M3Clatf* zb?7*XwBc5sx5Ew0+@$fzTD8JefGB*>z+N}Ko(>3N{1C@fSftm=NA3S z#ksh1v0JCk5O%_`v3-DplWdFrctm)45gqfaR=H-2HWaA`55fh7J4nW3OBsQ~RM(S{ zS?BxE@+3M;9EErc<`277F?LLHRlWvNHowc3$4#jBz>oMa9geUWl;ufh!X7uBtBx4? z?dyQQ@90{hC+i55QczHUhb)ReNyhOnUqEQnh7G1A6~+D3t6=gU(tJq9M()C|Q z;IH%N&jZpM(qiT3zXXIC80*=yH&JV>r#LFDsHmTO8{Y=UJNP(#b)i-2LnXu+_Hkf< z2Hz5*D>iR_Zhs%vW$7VaGM2eV{vfj9ar%j(6@dtF=`SxXF&TV#gSSS7p6IJgHf`Dj z_6OC#F_~4-_TWLGYuPE1F=c{3%G&p!KX;%@n$fPzz2m`#?BB- z3+O{pt0*T-6~pLC;lYvod^tV6ofcsSjMkifg?BMH1ss&1iyWVdD^b{lr&3kH0dY`A zM@O58ab^F+V1^1u1BBq1f&`##$BbaCy7@2NA_snpII;D1EyzKri!#mkh$E5G^(p5a zQ{H0lNRzhT{-cZju}A}K`JI6@qJ)@#HXID zS)zS&SE8f@4=WY#^X|!LB;RLlv!bqtR9IlAb8f=ngA}(mYxxCzC zOjxKM@e?t#VE0CIVe#RYl}th>wR!`(^pmcIS*Ou}bxs)m!QI5m43zlZY#$L3VczN` zw~vF9vx)tiHTbl^?%i%y7u6hzl0zvlBErJRD0YB`_aeOU?EhXzL6Mg6?Aj(=NTt)N z_Vxm74BlSkw{0+t1DIRq1-pTN%co`{$1b-Ij*Q5;J}|4sZL4L)1iNvfdoJbTx%r2o z3qG*J-xr06o!z_Zy^Xy+G_U(3XQ6-&8l&Aq5*IvUX2u$^Y2!xKm9=A7PPgq2!KQ*k zDar7VEO_+D7INQ_0TGc=Rjrw$Yk~cYsOl>z9qk4Q{hk6Mo9l;QQ&evbvssOW-SmVSeD`?0Bs zw-*~1XN-vRtr!zTpqPi2H$5*;QLCM5`Mh=KK3ucIl10ZtPiyp)*Y`2N9YB=(bXu^{mgq=R>EuRtekpFAI` zasE7KQXESZ`=_0QQmrZA`*U-1+ZlohHWG^#sxcQ(qw0N&`Kh7v++lLl?@Lxiem}Mn znAyl3yklrxhi@%zEp%B5*RwmPyiWVf&|2!)Ec3IU)D2B5xa1cnCK!42>Cg0pPfhkn zxRBOvQq>Xref!-ea8dIJqI}Ol>xAG2PzPR(&2QWxq&lm5zae_`ydm7kheN#3H2e$s zWa~O&kTdl<`B@&WzN`$4YKS{MeC*mA0M1p@=K-A!x&kZp`1j7OTWc+W^J(0drBfqe zlRyU8bQM>CeKjRIjw#poxu7XVM()_UwEYh4y_NQa zzyHajWV_tH%%nYZIp^Q7U+>Q!z480ic2ZV07OBwFagC@>t=|c(6Qa<@4a zK!d_xEs1Rw3dE0qdo#rel3dYRho$*T_QRnt$bD-^F$HofYuPM}KnCw}TJUgjT|ys8 zIA_>qg6$+LNyOUDoY_=^x0aG~1g92Y-yFnAaqAVbo{9k=YHO4Of@Dd3{i$?9n}Re; z^`|{YnP6yI5pX80YK?)4Rj;I#o~*3DUrBCY;O5JhQ|n(`KjNTDz0|aqWDxh~Hbjv$ z-v}-{HFdd_8UbiHv&ZoPnjGpt3;7Jx*Qpd=-x~ZzH7?@tiT+-=ODnDh5oV=E+r5RB*=DN)5yyfrjk}nTNuYm{Kq%k;Q=ZmQFLpXtIW# z7+=|yZUbUqJZWk>O7C@hZ|O}xX4zMLFF%y5ny3*p9#qOO+j8}*j7zXiZeIG%ee#U4 zQhr}9fWx;KO(AT5p_;QGIN(HW(G=7C(~I%P7JO)pYjrx)2C(|3zGho*K`?9hl_0Y+EOIM=)@@OusXc7 z5F%)59JrQKJx#z+tTwVQm%d9n*=54`nMcu$qj&x0e~sG7l$d|OU7+LE$=5t8XY&Oi zx6vGND&^^Xn()@^S|77Ii-h6Av9Rsvrie6%k;+w|&c?;h$b1@-mfja2UA41UX?52s z_rZ=_9^npJDyn~d!Adak1xf!H(~`;O2GR!0tlZqQVyrOMink^y4*?guq=O46f$AXI z22k(;{e4j2D=#kx!fmRvS5Pp7e?2WLkrdGjh|&J9saLa1y9#G^0!!^Ibo%GkPLY!Z z_WjmtDN>b+O*W*b`|X-CcuvN+bJks?z@1yG{wDb%XIoppFD>`IftvmhX&vs<4PSNU zW-s_$xJ2rR)YGmeq_dHg z)C}iA)p0|3>Hp~YV5;=3=cD`l{x7%ieS(55@7_I#Tni5^f9kr)piWM(DGa`%{!9xN zb>9SJrE*#u9>PNuN;Wp**x_yaDL{bezY-`B~PyDB^LJ7qmBoE8sszW@lnEjQ|Sjj4ukjV1F34Hs-| z{2tfgqVK-pxQbnwo|%~$Aqs}0Yb9%kaxyZMvo%q8#qJa%Q;HoyAn4mRw=m($shylC zL;W-2H+x#QN|2v$JqJln<#d87dv;EaX(MkK=fQ(2tio5m?6#nQWn`@jSl`p7Jw)~P zGW$DP-N;O2tW8co;=|ar&3?-Zae$pBTnG{Dm2p^1k2`nt=uwi-TS{4TfL!uoS6_7Z z?&9}$)X{wPk;UIXFPB7$Gddyqa2yy;W=P<@TG76bLeAmVPSdwFbuMzjS;ym2S8?sf zUBTS_I)l6gm-@7aTUa#Gf;IH=U!*e$g>5<{fD&W>Mp4>jJ3Fl#8wTQc4$`k`RY(|0M)PySK0JO-_cm?^F4;soVo za%KjNXi;Qjq$Hz_ogHM@JfrMgR=rgib6@LvbFYBG79v)F+_*KDG33&UCAw?j3=B~C z-<7N)Do}Ihla01Cn|bM=T5pES~=SCB6zp4rD9~Sj-lZP zVFBZRTNHR&KWwF<_ww!y`84ucB*Q~taWRu7v-oQ1OKC=?x!@=JU9OG9h)(5RLvd&W z1jnW^IQXfZYKMz@c*B0>|MBJTu?HaRCF#$2yAevuXy$p2`!Guj4V^`uod~QOrFAxZ zx6xd2inMD@y;|4f#j>)s;t}gbg!AkfRvxl%!ZtbI`Ks6?{r2Z)ecd@$HAP*8VG*KN z?op8G=It)Nw^Jk!Xmz!Y%c38Tf+COg;`>#p{iPJu@KhhD#+UWwWr)5l&-7+URF-2q zaluB zP&Qy6HO+})|3eazmpfnXO47~UfBqz}V*l@0vG4LyJ_^s_cG;TwN>#kKbAPDru&RvQ7~4{{>H!f=>+a!(>~8aC8h zb~5yV`|+XZc|2c^^~dggX0dmm+Nw6 z(doiaM+<{fdmNwl?oe0P4LbvptT=)p<5fA8tImxv5`_oxQhskMq|-aT?Cu z_4T@v9?wQb@+$H#&Q$??&YRTbt1vo1V zO4Mgxp4;N(?LVGv!s&~mohBbe`}u?m)r&ksLqiyM`+H-!1t!yR7C_@?KNLaMWi)9j zN_JuL(c-Z+lth{w5SmcO@y0^#83B?{(cHSvk$|qA2+Vp~5nJj{qRtoP5 zd*1C7eSP`Vm9hhFLv8$=+zLa=t2p-H{pMG9{gya`k*1aU=r0KHf z^Rwz~*Qrw;75-b7_w1zHcaKA3_Uh%&sY;ZTrMdb==VsF?DC2kCh|lTFD=9jiGR@RCGuY2|lH|_E8pk8m))f;ly>i)!7dOXQ&^wk!tBO9ge zyAYW7$a;p@-cM3RnCq<`s{W-NYO^dmNCrRm{X)y zcZ4L4R>C#Sb_W)>FF zRZ3|)qiWBjSwB1VY*E0n%0l73lJb|4ZFBEeJ$wH8HlOvIqQQSG#%EfFbn^35J#TsH zkG2zKQT5;e>R_j?sp^i_3yoQgoGg13%ooSkZaeJH6D*UjxjrJe@}%h_Ls}Ub8T5o& zGVTh4Zxs(bwWjjn(XGq>qmbcWi~3Oot`Zy0@cl70sy2?g;tyoS+Pc=N_GNiFGe@)q zt+(R+LzrtNgN0Y;^9GK@jfx;i)DE0}IFLYdv+?2T%WGF|?V8QyRNX>ZT0Ghr<_LM} z)urN<8WCl8@|x#*ckURsa0gZEKMmx$Xs@X3o7Yk#r+B6DNXs=1>3j#= zeXe9#D~^oJ>NU8y&g`Hy++1DF^zL211-~GRh@=0?f>`fBMG-l9ijuCb+#`klot=zL z1>cZ&`)gw=mHH6SO^wD!X9Y4a^p#TN-?;UJp^S~3YvGS}<03J+i{p!a`ku}`@fs8P zQ5}L!0^T)>xxMFVsD10608?&eLy;--&uf0 zInTHv+v3Ali&D;D-NIMpRLaUtZ$TNiUF94{GS6xjTluht6kX&rAu0bBqSDq>J}{@? znP4!VKwa}^|MR~eNZuUC@(E`dCRM&;639PFN=lk-1cn7KY5EzVT=jfAFIh2MRn%$I z@P#rEw(BkPqOVbs|Fgn6{2l&RI(^}`_}k~-2hG9UY~(@`HAn$U%F3Fm@MVBish5|R zU8NAu+Q9199~vldG3CGM?KNDxcCDABp}9Gt`_k%rrr%#&bdvWTx;lb8Fi{jv`la>GOX|s8?}LW#>KLV+b|%eg6I=E-qC`d}^rKdQ zjmkd1lbA5Z_oVD5J5CU@BH{K-Sh~&>uXI&Z+1eolp~%_hN&CznTDW z0mw#HYe`8AC=*~+1#^`8sUL*XFydDQ&NaPiZq9)X2)=mLry<#wfHAqbxxF(1C;qE` zK?w){5v!!!DD0}!%Jd+eivPjwAbY-d54NAPGCfcrH8t4xetk*TbPV*)pvUirhv#9t z?TIfnZ+AdtAWbc%LAs#*teKzguqFlq_K2xIfdSW>YPpjqL1>ItWv8ae1Kc9gV)aF) z=5ELEX#A}9hCh%m@?mVi>wg7=ex)nQT-PORvCL zq@$@>M+3H@qT#V|__=8HqnW=UwcVV*2E?=>(T9#-@Sn-d;634rO&*6Otq^D9%a^y_ z=z+xwDou)Bk+!^y#AG%@p>k4R4*kMajg|j%ynr6oze{RjYU;j#C4D3m$gn22!NSHV zCm6~Yf!>Y&n*naJx@5E;Hrb0CiWe^tX&HXgmk*#%rF>o zWTe5v*CL$;z5KF)hh_#tisi!rc1lhAP?)4y8Tf)91_s*O+UlKQ1;WfnM0I+SL1%K0 zpKu8sn}b1rgk~*_%Lk~!N`w=+UZjHtgBukq4 zgG~sr?OB9@RZY8~WqX-6)Gzz=Uf@MrT3VVHU@qOcbXn^AtBF;f?8|ZZ_G$MVvj*n~`8e%=yp04&6dUg}KSz&b7*N=KEm^Fb8rxAT-7x znV}9C1!GREGc-}c$GX#qOvV`$yb;blJH6t8EM}E=c48V4nO%1fII_D|Kk}43WU#ra zP`tK@!u^%PA`CMvXIa;M^nzA$EQE0Y72xb^Id!`{hz2&hu6s2|#FfUFX31#8>?6g@ zwfmmBs?pb%)4ss=toa3{;&aVZJ?4X^s#nTie$x4UU6EA~BTvk-|jpYne9N~I$eWK;y#5Z|?J?!5#M z0x3ji-H7($>tMYR7|gt3x1Xh`#J?eoq=P_~3WPC;{Y|i13v~pjC>iLC;N5~rVLT-e z_G9DdwFX_{eWzYBf=Qd>1Pdq5T-c0kQcF?_`2KYlCXL(Zj4pv$hmP|M=dDd!yy9M1 zyxH#j%Z&gex7Hu1AF&M>jv&q!dG0Zk#Hm;)k^@DqI$_6P;|Lex#K4>1u~&}@+y(+x zFL8RMc$h=zC;#nPCOV(C%W+^ zMkvgDYPqXGLAT#c0S5*ZK*FzRM*txN1&T1=SQbdXI&`cl`Ph%$=3oCIFJ+oIrOrY3 zfPxCaE*RJ)_pCNEH&5VhNl~i*$u&->)-%)lA2030$B&rwcztHq($R4RcaKO{>i#S2 z;Mq+JQ+SQNZOB}RWhVjQVZO{{3De!IF2LE9OM?5Ui63_#RdU9pl%{5A=LxtfU9`6k z4)m3-!|6KH?b;2rj#@ENDCj}jjc=O~ZGW59Jm^KuY5IyR!_6tx4^F?>xwt1trhhCE zY)bLP#>Q+5jrnSlDK$1wcv=@-&}Dv6tE3|0u2NAjcS7a}Xrb2aSqb_1hr9kkKm844 zjR368Ry2k?=L=98OqGDr#K(VZ(RV$qscH7!qN6?W>P7iX+Hj5E*YJ0O6#5P#xE2)g zp59)@XJ?yH-;a!(S3fF7;zAc_dyrUCHJMWQ52eDLT+_U1m7G_i(MC5!p&EU>1`8hV zTa3{-+{&#k37&8L0%1e)0RXe=8Crx7Csp6cq*FJ#p5mZlVPO$UH?G_|?H3Y4>&y{Q zN%aw*;`WFn$o7$M>oc$RSo%K>z-IqIDZEC>)lY8?CiZg+4P8TO%RUY1+%DXFzLz3( zBK{;mf5{<=iuOl*p*ROYJ-}2Z8!%#5{9t#n*aGAc;!`OB0Rf$yXzLS|>0yFEwKdD}%yH z2T7K8&-F=S${LK*j{Obu?L@|aN+2L{F2CMi_^#Zy9km7X@qCyuz4JyGw8wFHxeqQw zy&E4wqi84`r`EB* zSD_l;CidWUl%hh^KG(%ldQZ+$v=xT!-Nzml;t~@|H`aLYY?jWW*2Z|_j|#ljJGTi1 z-rgb0$avB!)k$7&r&jKr*Y_*m-rgn=%!6Q~0ROF*x*-9>)&BjDTkdKCwPqou#32xd1mG=LSc8GOLFPS^cQs%*W2QjIgtEJvwQ-a z_mIV){5r0Q%t>i&?eI=mK2G_?jg+i@!S*jc{0t-`8qody|4I@1O=HBQdrLOJ3~Jxy zj?;I-18)vk2uhI#URr*go=gS#|2s)08smW2D>jEA+R6zVH1Vx_b^VxKDVW?(f}uFL zeED*_nSsIhU_H~S({1X8quPe%l_pdl^d<*_TA+u>Ztb8fzm*>MXyR*xfO)Q*xYH5y zrjyd+87BSwd-lkzAFO_P>xfJ8MAC1Q1v0Yldkn9VhEwyo)mc;kjk%Z#8d_6QQkG|e zMJ=Z$6N~Q8wtCn8Gh~*RFI`gmFTuecHU^FNVByGEpiwA$!vZ^I+g(>39W!rh5OfDZ zaDa)~HFD{rtJkjGFgnHJ&JQw#maZ#|laWKxPPkx#i=G~iJc462>`ifeeEJ0k*!jhX zdiJtKC8eTjTdwu44#p|=TiJyUUlZY8=AU6xdL!fZ?TtNuL291Xyz($dIfRGu;zjQ0 zy2o3#3Eru@Fdw>nv?-{jggUD@hj;|b%f+bW9|7d=(0_;V~}C07Niy8S`JeBSW`jt74m-NU8` zns`1ZC#TjZe~>OAC~R|%Cd6!^f++kDON1{I7TobShyVr*M(ZnZICQOUBwe}v7eNlJ zsE|^gR=Sy-%&BGqW*dfW<)c7VF5B6~jk>NZbbEX&DB)xA${vBOA8%9;=pte`_wo(& z_osK?!JfikJUHix!KT5q*YHP*J~xMhRyzgliuR7&x2?4W*+)p}xYu%yW8EvY@d;|m zs;W|1#Aw`mVM5Li(`k3zmc-n1)Vuso%BR#R6swq;&UN^21u0r%VDxm%$X5&HT<(_T zwzJwi0S@EW^YShP`7&H=+mt?gWo&NW^&-d01eFPM0?pN!YLN=M^}p5%r`5I0%!`_u zt#CPQ-4%|3>J_vw=y>cLFrz+Z0x4yyr4N|<*erWQL{8I*>SE_%W5ARzjthkc!L%O- z6X0@*-G3+?t@Q;QVH*8J6SWih(lw)k z1RQ)QiKgOFA!l>G`#3hnoqB)2!QV-@iTZI$@FCdvS;zMU)L$(xpJFjm48yW#-t1b%r4kk)!HTuKaI zhSg!`F&iyGs@2dc4CM%rkro%f+IMHi;>gg@Q%)66t@9m^WIuKn_S|apysfJDKR4b5 zTU-Cf#ElH~!niLHqnsa(BN~^D5(v^DFBmBb-8-|}SNrHVoxAoJN*9ew{s!o6Z}j4~ ztsBKiIsPm~FkL{PZ>hLq*D>ZhS>ZRA$2LOsFv^*rTD3;eaNz)wnOQsXY?v%&W@cz> ziGC~i(w8q^qJ6fuYsD((vRP){>d&X zhsFi+c&(ri`utDml( zQlWn_URLK5f!*r00fu0$CsvynsbIwPUql||d=@9VlF5%{HNF&Tbs>>E#wQqca_)&O zD=8l_+pIB}TRg6~Gp0L&+$7!5<4*O+TOW?Wx|j)}((bjhmi&@?3DLKogYhYJzM zY0gkGfD3N>Kw|)+aJ2R~6#M``R0|_rX|XI)u5RG{qab@kr}X%gh2Ry^KH?5kaY`|n zM`~FMA2jKB3t*=5A3PY_!i;LrZsy^C{Wu{d@hvm59sG0Cb?}A+RJlbmcO{JC-f;#4pfXHjWihtO;t&uOTDxW zv1_%SxJjM}sENqOsYQ?;KHq6umD()y=iHzW8SeAHc;xe(W1t}lQ&caTi zQA-7%*|S!bg;zHJ4voa4zOyG9(cC~tQ?5%g;<}7#Py~F65}$gw3JY5^i6xlKa3 z;_fbWfMFBS(&y z`4kish^JayLJ1J%{i-@(g_ZNRE8I{R^#krjFYtbR(|@Ax%B)gXp%0CXiGYCahyhI*&)HfLWd96OM&4Ct;!|JEhX{0#yb60Sb6TA zBsnR$FSoo^b15WG2@bpWT<}e3jB)VC+BvVZV)X~~b5o5n%Jk2kb;k29=(VR zf-L4|&54)5__}0fX(^v}dd)_<=h{E$IjiNtn^g*njI5ytPk5^MaZ1zFP-ma)o5;S# zb0!dk#l{%nHX5%P3z7nfO9yeXNfFxr`JP?ig^Ne@ibM ztjN;Zj;geGP;;jrSyhsgGcRMTi#+o+Ef0jL={c!NpL@iWm8&c!COmU5&&3Z6#GO@~a9ue8 zQb%q~47=mP>;ZHr2xp!vOl;u!kDjxHz*fKMEsjzHd$qd>qTHS>=}(?)E?ydlurAZN zrnegyr@!-Fkh7Rhv4+V7hlObcE%c2W`TL1)+O;oU!LK8?GRifPLqWb@V&j1WYjpOh z>AnuyAyVaWaI8y3Z?bqrR^k3`bMwwR+MjEL%_;L8XvaT^_O28i-+SOdJ)*N->HG`w z`Ni2U2Ib@^PL6;*3?b6LL13Xnjga!fIVQWrJ>L@wfe&w!hR6O8B8RRAqw{<5_Si5( z@rZ%9w>RjVaEP=l)Id_e3@Q<(D zE#~{g15bC9no`j`bnk`@qEX`dDLNM^_^~F&s+BHl+_dAfvH~A?%gV^`v7!3r zUs|`{KIHky!Dp!z*@X22(nYZ4^)&}@$*XB;6)iPw{vSMZD>h$L>EJ$uhifx0Y$H48 z0}K_mwkQ%XgKG%5ot>RsP~a#jDQRF3V>k{_1MtazGa`}d(4y9DStpK@8WUcCT%#i+ z!1;<{yhL);qJ#muNK?4brNoA9!~s4y$hrka_1Zyd=TaS7QvXjDwW3Vl$0*m-@Ezo2 z*(DHKF|+eowoif5*4qmf{HrcsQ#fc6TG-+F_0cg9h=+%TRgMbt@TB2)4?oEQ_@;JZ zQ(s?S>>-U)r(lE0u+;S8#Y4crB_=L#B2P?c(*2qm`QGa{J%Wtvn=m3v-W&iYe)JVb zN7=nwDJbs3k`)%=4c{<+GP$EtQfoxg(ci+RpdnM~+__;~RO-k^VnT!mi7Os_Z1ks+t-w7@_T810#D>7?v$NXhI)6 zc&51x*}^%k@O@-^6NGbBvm{K?r9@Jh{X;(19I6rS`ho1oF!K~(tr3nr7>N<7Vx zZx$;XckH64mx0__hi1o)(a}+^Aeoh!Iii{zhY^fyv*^AQ37akuf$elS>x>uM^o%+yW?X_p}=*k>LZq@a_OS#f-m)vr2 z)cIp89SyL8@qF>yk0XbqxIH;xzLCl#_InL%#Vd-fMVl4pG?Fbu;amC%UUJa^J8l^Jd#I zb(-9=Ce=&)B+`_BfTP-xuN;2&jxH`q_f?d=xpT$AKdpG5hevjN)YOwGWF$Z5ow^;n z^7rqq&+heNnPR;H(N*m-XT z-rM<#9z!H!x7dHk-kGBG7Z4Dz75wbM@}N#7E06F9Nbc(DDyni^Pfy6_J5In7Kkhv1 zK7sueIG>UHCBXv94 z89JD=Q4MELJDiy3%~8r>n#i7|pKt4NTo5`d-}YKSCQzEyUB{puIm%j#r<|M?ffEJ3 zA-p~2FxQ1RjL=){5pIN*^~sYbIByf$tE@k>u3jT&PDSlkr!*E6VC4Cro+K%`+1lDF zXy?wote(lItNGbQ|B(!sDxI5@WbZ%M*?CfTd(2sHH!>G)SJ%d|vllKrk%Tnz=VFqb zx$ymal>*+hFr#<&>_>uS?G>fA$lQZLrDi{r^-Z6}gBzY?XFD%1{(p>pcU;bUAGdQH z93w=OP!ihFBwCcBp+%FTy;Eq{Wu~3Dni`6$K|@y@@q6bY;iUjivWuA2+H|V?F1&13{D+dJBaZ<)2t_yXjD2AE1TSWT z6}bJufQfI>ddFnQ0m9#OMOMakOAN3Ml-mBe%!Y$yBnw7hwnxNvX8nuB7nOjaxi~V2 zKlOKg`J#EwZRO~K&IYrK|JK=L9{ab>=JBLf3{Dr^mc+E1@*Hf0jLzT?KzBAR2)ATG z!5l*b+1Z5$k5)9D%2+j6DgN%!r! zgHqwp=Is@!WhJ+_hu=8Gts@irFn5^qQSHc&*SVLVMi|_(t*jARv7DzN7mEs=kAJQI zw5CV{V!rM_eBM1#d=`ypMNMQ-{#$#uv{hM;V$=e+l~5Ej$fO_w>s+H_F?Yd;kJda& zf;9N~^FCK|?BMS1EC@57J9P&at1@~Uyx0%AWECcRrZ1PTT-LT5g!q2Z1F-a@{;?8L z=cbKo^??iErj6YUxbaD_xD@4PYj|*QaZ~{j>^(+2!h4M#GO$+*VQwfKqOcE6j@PEn z5OTr}SPeemcrry^xpvp%_(Lax{+KSC8$vODW2(E9uL-s<5Z6=pixTsKok-E%E7)*T zWzDf;9K9}TmiE-LH3eON2xqclFGHvWt1zXLQudKWy?v_b! zcTY%(()H_P(xp#V26yO%-Tfy=`gjmY%DHH5(2bR0b`r>PU0SMT%Q}h0BIZ&H^)Nr0 z1khg6Nq-@WEaBNl465;}LRhn@-PIW>27tt=Mj$f7q^^{Q1S*2%*0EI$%aqZ=7d^cP z@OL@ga@}=e@&OEd*KZa77xPh*x^pFs6^I4{O|Sub3reW-8rmJg-q(Ogbe#PsffK-r z>%_O1sWU{%wW_dw5&HCZS!mj^A&XX*aU((zr?@SfnBKKK^zp zQBfaM4f$0y6L@ELIZoWs8@{%@d~HM0r?rH4&EzEN9hcwie)2TO^?wZ+7&Z`P^iLtx z+|CKSdgjI#NFPrj*LbxbH>D=cKsL7n1xO7Mq5aj_blJ-mA{-l&g1hNG{BJLj)xYoC zv*+peO5l>dbw}Pdg4p^b6VZAo5juLxP zz=k_=ql{-RMpO%ng!-5g4fXKp!%SYG91_FQr)+Kc#H<;G%%uA3Dx-?Z%=L)KvX8Gm-28`?#F-#CyK!qV5a){^ z1$V{G&OC#h^BL5flMy}~qiT<2c2a&F()0R@0QfJ36U|tXJ@ieK00(-sYfnkM1($mK z-q4mG&P-#VQ;d-mqNE%lNF zEMzkGr~Qreb!#^&FG`+Frt90<#3dx6v*FDXMI#$?Idu8+b|e0(LG};f(t+Rr zezaC(vHc3Y{c^Vh_|Hq+VyN@oCO{`anP0^bNg&^7oY$^d!^o%q*AeGEMxA~Uu=;=J zkNkHz|*tIOKMv3-c z4cbe`#RA&I#6&}*v9z?**Vh+LkY3W8KrP(5wRlhjw~smzosebYir)Y**`dWc_Gg}xc&S?T(}+OMAdx;2R{VNA5Y@8 z+{(rluh%4|NXruU)OB)1E>C5YgT|XFliP?N+yE1=&Y_>CiOL|37>RaUk%MR(m zv#t3A z5^2!twMSh08~%UIZ>|m#@DZ3CX!tj6K}RQhFkD##uWEy}g#{!vg8)WOTW_4(^4u3a zGRk?vw_@zka&x4w$VgmW_F!LAmPN?pd+P+?W*I{yo+YVh%Ou3~Ft2;0^13Fv8Q*J7 zUI0y$beUl~{8?i9!LdpbJ8(c*bQ;YCQn7RP)GSld_->gwwT)c)<&uiL{)CAhzdA&?i@8*7&grO{OOF-8^XDM>z!ILPIX5rcg)rQC3r$A z_}det3Qb*;k1wx<|G0q9VaWoJDY;y3sxRAdxC818uK-FY?*3z(}+R1v{#tcEpl;x(+3?3i^;ub zpYQp3ozki?8ojAy6?3tJf>?S`%Q+~H`OEyVf7t2O)w}fOJ6h!Ba|PE}{TNQ%J4@(@ zm;PCJmqAn9n1{Didbi3I+1pMSh9cA+oDoo=t{^;zlDIaw_V2Z8S&cg1&x7@5QXRoT zb`d{}`~pBJXc13<$)%w1s3+y?;CfTPIbK8KInvnRUj<%==jR=r|6C!$nbW}=yX(RA z!eg{@-J3Y$?M`3Y@(1n4qc^GA)}NGUyR`$Qg12tWr4>oOYFB>YnyNN??LW)gduTOp2C%hnP^uZC!Z2hPkkHD35(BO$ig^ zh(Fh=z$&ZFD+nNZwbo^tkTcQ^_kL~D9@v8)n#Mu#-@(VQ4zQs8#SP{QA;?cTbl=q8 z=~A`=`J`&J6`w@R-g0bUI>ThhjMTm5JGrL3ygLoQZTv`S#$1#>zZEiaBt>&Se%H4{l3!T3CD`PUCTSxX|cA_4UE?RVqLv- zI$?IGx`14aB9><5Ym{L-KHMOl1oler)(pX-cQHFQpjOzkur&G3SMY%}^o0E4Sw7@H zxp}MG_kK*A;7u7n1s;k#SG&7KcvYof_knsjE8_F17f=K_HPj!UQA1{mzCN9;B8PLt z5e-`UTe`Y&y(#A|X?3NQDA*vn$1UZJu3Ge8wXwJ0@gMiHs641?LyF_)u(ORFbG^4? z)1$nRgIsVdD$Lu<=1ogqI)ghEs?S7f+Ks8@WT&Q8|xg%?!s1lQ*2n z+T9<9$46Ad4{Eqi9(S6!P-m(6UW__D?qiV@(E;DQG=DqiDn=Jh>Sf)dD<+)>gjxz~ zN%K#*&pk$Dijo**VlvD*QTdf1U1{N*(Hg$4a#KOEOR-u4YIeuOo&MpTePBp)h~M-M zhe&M7+1V+%W5-fvcS^XQt>XKEX}M`?d@Pw;i$9et6UJ{eqP^7WTOz95cEx?7k-8Pu z;_tYLi76%jHn860$VfzqH+it{QR0{wmhJ9K4a#>3+J10Q&vh&*-R#~-^n8~IC1Z!U zr8h@^bCAu>xykt67&=6|bXTZ9CLF^ZVnaeSFqWTAS_0?~!F6uIU1ph+ZHtMSw5)87 z`8&Ct$B&&s0p_>;ptkkjQK}!$%KC@aZpd@Un=pX?47YE_5DWc)6nq0c~4M&Sr6A?35`TT9hFdYv|{ype7az$GET%KGqZuHDKT zuS!bT5F**gf80oH5tn>vMw~Ebxy~IQ1$P)+r12MgsSca|oSnme-KtQM;4mm=Px0I3 zxjYF4%NEYl4C1cO+Kjx*ofr4^)jI6$9UL@tbpCwLR?0G-=Rz(6)^cgm zln)huWZYqPH950U`*%$zxn7mgpOtd$`agUt8$X^{Zb`{+NM~DclwZP4uj=f^outah z&i=2D=R(xtD!>1ni}H^IcbMqObpmupw$|9S<*R;t==bvRfz1#mmHFfL!F%O{583to zZT)(R&qE)8ayO1g!n&vGuwRL`ZKZP_lgfhA2LweJy| z_v$>7ZL8dD{zO!PNq2dWfGLq+*+aAE*}=XN2Jd(<=L)|4vlc$4u#?*)K@UI%Oq(72 zhNa-}+9d~gdw&IB7Q}f*E{O9T+*ANxgZtW?1k25fwHZSZjch^AbLM;S<-l9`?d|t- z1!PqjF({~hA}M$3REm0Xc*2jdF(Nxx?@ju}PsjS?V?v+0`^GTHDJlwe!N-b(x7>IX z2+Ox0KLnqwTel9AM{>3=Yx2h0+@XkiwxGlF=6hsBmsWY12(b+s$i=8lWPBNF0Q%i{ z1xD))z)tz|bJMYWjjQ5iWo0XlNTLf19*PaE=wpyd+v_f47;6E}a)*W~cuoYHW+F0B zf)%LUySZvt#EvmBF~#PC5C%DfUbdwc;AkTwv=dpac8|6QPmMYlW zlDRO`5qpK?t`l6AKZcH&{5VOOD`YG~h@d7$p9Rw`?Q}PeZWBp=(D?eKzJa2i%^M~Q zA(@AF@9H~K;@jhMcy!2bl_}0wsBe^3uTd@bKV#iinJTn}B#fLs#SEM}YQZURv2E=4 z&Q>28>S|9cogQyL{9mhc>z0R<+mt#snTHNp<{=I-q%l`5It5IEir$Cd&J|; z0Z4T=%%y`jm^A{4%~sHuEJf_PO2K7>zHk!&0d1HzRKIG~Vlt3S0m{urI$3nnlE{-r zo|)UA4@br+zE{4mTjWe z3FN7|!ECuPrMn^=VfP6&YiwPc8_Qr17d(To=IEtLpHYTQI5 zQ|ji~C%akz1HxR<+z5NBia9H$2AF5o$g5S%bUaWVxPOVcohhV02F94ksvKh{-#>Dv zNb^JUWqKY&7)N%Jc9vYW@AiYIvOS~IiI9d_8nbtggPtQKA|{s9PRKgajo=8?%tSba zK0eJ+!^FVAK2%6#l-&Py{x~;r_<{Zi>+xjJO2Bs1-#jrjg(W&7Dmnx9j(@CU3;2^EM z5d%iIels`a0{A+7%QFz%4hQO}5j0q(AJBlt(@XRA`=&>honRuUT} zH?PLh>DLwXA%NPHmTiU51J>%b{F)z!hA^Nsu!uh0{6m8^cfgZP=3&j9Rl^Ti#kG?O z6jV1z$h`g;>hw#g_VXQ49DcN{c20x0TxW+!pQ+BOjI&c=Yg}E)p`rc3l?oJhH?@a3 zIU{|k{z?7Vaz)I(?}y%qPjmkw6i~q}C?Udep}sqRfV^LC4iN|qYK)KJPAH8fcp-B@ zMU4@mH6G0jw6rYSK{qkaC()VVJMZGreen#03m~}k5{JG%7}E5>&hLGeG2gOxT!0+`aV`JgZN4sO(Ssk#%?0@ylir<h}NANkP+2^XyGZP=2%ZpI*@+w<>y)wMJbZQJy#{I*n zwEedU6vKxHwZ!U`v(^#SWEm_70R2SaxJQpld+dqOW9NDH zTJ8WoNk$YJW6Vz^zP((I%e-Ohx^4W@1y?Ze=Hp^+smr#~;e++nZX#WpsPJeQH0zBWl6cLfMYc%eHb-Ge^BFj9%v#wI>@T95XS(rAVV?d#`YIz@}M_ z?|x|Lmx+UmugAx>pBDn_-o1#W;ccD|)`^w&0@e7qv~+0eA1b{HW`C_(bw3LuVVz8k z1Z9>+%10(*S-mmRrJ;c~>Jp@T7^+fJVK^BuA*Qkxq_|xq9c0f>14k~~Zelm%1KRes z^r)-{bG){AE`$e;n9a>hHmfNSfOl9$fp}tOZ>o%44_PLKFo4ae2QHMX0V$%gIxm>s zpDi?7%E%tBNEcBE(|3;Wa6KVCITF`%A;C~iDtU*5Zf~2IOSU@C@#&8Np$y35svqoo z@?!2ezuWVX&-djLRpWx~y2&n=I8J^0_Bu}K>$?g;tKizVQzP^}|BKB0+^N}P-kazQrx-}2?$3%A95iRN0gh_s@Qz<^%E(yT(V>vR!QmYpZ8qk! zpB&7ixOsz^VS9MFX7OevQI@&X>mC1)J!(vm6CX1(O}8q;5*Q!(hQw-gDVbTSHID@6 zCf|@A`w=NAeu%YA?9^K<(ynet*54r=HO5+q6p?9(b{8g^Z1XJ1 zwckbw5C8albsjaB$ipH${1g?6x81b;sm?HgrawG{EYfvg>1XZbD>$D-uP2xl!eJn1 zcc=#E*g`osy*BZlnf|!7#pt-A+0EM~^pE1gjrAN~3AR#v%${3l)MW+)?9}6ctt@ze z{a=X8-|T1H3+y5Zc5ZHk7GCkjiVFEm?5CCnxo)(liK-O$`B{f7J^_IY6dfK(tG0^F zEzJ;~@u63`w1<+05D7jEqYb1QBrZXc$j?<5R{Nzs@t)Q+k@!0;=#nJ%!E4lD-CItL zl!&7Ohieh=Mnghb*OYrH-cm_XaTE#yKh&pR(-$}2ruaQKWI+9|w9fli5rhuwI6H+U z#cbvJ9q;p99CBFlz@y$FdcsxCj$LgTSyw9QBo6D#j(@3< zvbwA#*NHOc4)f&`Zhw{Q5oyarl$@3hS5ToUBSyRePj(2J-Z7=u=<#>oyI4PEs(Ddx&PocmU+~g^tB3dD(}nSVm>9Xbv#ihVOs-aOq81FsM^b zj*g|#A;>982tx6YZi|_zIWRS%LfT9~_vmwKh%k3B!z#jY(KMm4BaZW+L`NACqt-Fv zW(WB(-Te>FlwHgJ{8Kt@!s@VX$IXY08B?X?Vu`s6ZF8S)H$@Bh zXs3O*HD$y~ZogAHYBbxlb+Yrm4Y|Ng(IC)N;@JhM?h&K!<`T<&#dKQMv`ankb$Hoc^TQn#LGab4pX$7Ix1IrJHXKOAERDb`a-2rbg zQI;P!06>Ek+^Pc$&$hMK`7eDSgRk^7#$_E?Lmnv?gBY(dd_)=0$es(H5C1jl-=m%W_ez=A!mY>H{ zr$1JZ#?+VeNRXiKOPrXA-kg!sC8kzDx)&PVJovhnmqSTazSZ`8>Gp7#J?Lv4`L)nA$q0!nVJ3a})+lM6 z+n{h?SkbzK1csH2&|e>2`6)G7Pn@QwhfLTu*OxGVQ82rC%P8QFyHSIcqYWqH5N;u) zc+kc=d~8G&(wz9u1sr}~zC^^^PE2u1%-m_^wO6Ca#T5%%S)SWJd4RdEh}PO7M_V1S zr1ZRGX4|PBW*Ir~!r#?Q{bX)I8PSmI4ARi$d*TWhr|Z@JIf=Wh?Th(dS6zt51tEU8 z;=4!n;`{0oH#0Mns;>hTW9i%4&l(`w0qUlS(;bhN4AElcN*Yv=)30Oa7*8O`$v<0j z#=dO3l1CC7SLv#6f1@R6PdD<(zRQ~Yv?g~53d~(CQc_Y`KBUoi8o+X5iFwpzBekFH zPSd?wPhVf|SPmL0d8=noixI3|gNM9R_qEetI<4Wi{#e2%yaHJ$ggobxd1Mdem}4uX)X&x@x#1w#ogkawh6k-+{khl8r{V;Q`rfBS5Q(?7 z6h%K477;%z^U0HOC1*-6$k=uN=;6Q=;zL2CShAwo<*$a}V`IXkU^sUts=P4TJN5SY zl`&&E#VWHMLY#Sg;%#=TM~99^xL;1009N0%+sSX8zFKrgbMu|itGg;>Gl;k;@r-Kj z*J(EG;!X4H!|yDUh_(Hj5LIob>Yer4w3pjuabcGU0F{iFpu}Whq;uodQ-s>RSaTV# zC5x0tM1`~etoIf!R7DQh@vHKV2cDk=q80?Zo2rBDp3xx?t^R-&jIW|pTT*G2=+p#1DLJJcmfr5FOeVrB#i`xs$hP(cZwN^f zR>g(V$4So{s%`y28KL3UGh;0ga*-S~p9XR=R*BJ4Ni%`1p;0N8ZAqCH@tW&A1Ka;K z7$J+fC_LeJNZG^`wUa4r$A{yN{d{#g`Wz~$>xW~rT%E?Yn$;Ye>}6AXYS3NYzsged zyF!YE%0~`AsQQ$*5~T8o?_Du)n&4=68^~(x%40@^wrw7)2Nj(arug!IIcwX;L8CMM0^A_nLHf@$;PLLNF|#G%#(Hsd zwES0C6eA9)v;@q_2~jSTGTMHtk!22Az2_4+S@pTJi`$1z=O?I5WZohJ6j<2$wpF_+ zDiK=$^`-xY>p8MFaqK{6Hqu91;6t<+N=JeHiaLIKkOb`aG#v1|#fS_plEKlW__|c5 zEo$!noL7b-&*=RDY6;sBmyg|)b&Q5``%K>@8aVe2P*b8`lQW zi%R`5(yJ*3vU?9V=eC!UUu!XoXDat$xp^&gf7p6`0%?zWeOrE$)mSt8~07C0LxUZR%xZD*s0dr3uzI0^4K=o@f) zBdO8*Hm<84fyxg(d-LnpQf@iNZmj{7^|W8sghISIw`dp+NFM3{_F@U{EYpSK)uJO6FYi)MJ|6)HX&_X z!_v6JVA;}aB~B3DzB*av6n}-7$2vKKxCgWDp9gLSAPhv$Q!ko%_F;NU5wst&A1)yf z&cPyxY_@zB{~jW|py49^wrmVlo?TZodvK_e;f4irp0aCoEeHfp6^uT8{SGi>fLfEj zeLQNb)!SvE(e>$zikh6k8zv2RW5ieI+I6p6c>_@uKvH4`1loV;G(Y}Le1#}trbZ2G z5tm>7dx#|T?;(=og~9*+uULr>H=?g3C&eu(x12o_@vnne0~D9nb(fCB@lC&{Xy0jn znUP&pL~-R$V9TXzS)&$L6JGo{KMV2pUALBSXZ#yS7Y0($LFx9NH1k~Xo8|H`_}8D} zBZdf~1oq$5>1TU?{xmG*sQIG9!@u60UH9O9S!Lx5yKXrw$kEilBdSdWx1Nta-A4ak z9|3bI=%O0VAHXcK1WF}ITLc68qB6n^=rtO^nbQ9NmYsNZyHna7JN@X>ci^%PvREri zY#>3wOpIVLoJHe?CpzEhdt3!kuLbA8NdvF!e|=V>QH1EOvEOtV^;(UoU{{Pj)w`pl zJZTOiGQ6VSzkO4#z)!5V%VNC_k5+mSJfSW3!|qKl&%SB}0c{4G6x}=4<3Bu@q^IuL z=vS$uKUUHr;nhnGIY|G*dGV|*^M;v+e})i+mYG??to9Mc!Q0k(ynG2`fK}CD0y?GJa`qYl0f)P8a%J73G; z$*MokF7%yeX#l1B_KI7uc#xH?XUO}WXmbHgrI+T?L;4hYfsvM%5 z`TmSIC(P(rzzf@aqyhueefwmx4ULV7wGwo8ahad$OFe}wWSyKBVi@U527*%0Y*6N3 z6B=P+*SuTG^5u6EkJ6@wG8^wE&rE8H-qPq+l27_)7lpohMBEe&6jbCzMHt{UOo^IDGtVQPZ})_ zD&!k_8$B{<T+`4 zMk%q_%dy7ro$AO7ZLN32pwNhLX}gr)$0FXB)9!xh>#OAt5(vf6jM4i7HZ8o5>Gl|@RZYBOah7lksvFx?U4au4OC+-TABibc;v794p0;p4 zVs{7Dz7;9HtN4&l;C@bWPkp0sA!(PI{)R}laNqhd9yCLI6+87u(1a^I}yS99oOuwn>RrxU8TLD3E`{7OGG z4wt>WM9c4YVfNi{|B?UkJ#dA94A9Ifl{#e#vp!de1I?BEXb7Oq$^Xb6bm1 z%56+Wcix)ms!I!6a-3>_@x1OA>!WFhbpkbTOYSy=-G-eB9P>G}0`%{0DBjp}3xg1E zuiH${Cy**We)a0I8qHigBU|T9JitzZa>3!wZmPimI_Cw!6u295wnWqJX{~J?NvnZ7 zvCuI{qOEr0c4fW-zlc#!t3AdRk*+G$1>?~wY?Ldvs+G8v>hQa6@PpVxDtR6Dd#x<30b1cDi91>i+$j zPj|7hzE0PT6O3{lDVrWdeozsS+%7Hc%fQzW$qki>eiPn`uGcDmK1e{=<|ULem@UD) z)&L%i!xp>TH{-$$`uRH`j@HiP+_Q^E#l0TjgWL7r1rpG7XEb76#-(!nc$x80__=-R za@-bf_YiLtIjJ57dEqmk_X`E5%}?3#am{HcOjB313e7pS8!cV%rSv~fGmG2zs)HaI zyAjjZ@k*rkI6}OZAqVUc2vU$3yRaQ-oit9M-Bm;{Um+Q|g_(IC^^XtpUy!xFh)Osr z_wa9MXTv{e;dJ0qcpMrkK`L;4fZbhAF+^h_8_b2x{YO4YrUAI7f;b!w|5UT*rl#9aD9T>aT`f{$?X+<5g3 z@H(6xl-uGRdnqB6=7w^ekq&KTPwqi?ZxZDs!;7(29jf&z%$F~0MW<{=9;S#v*d>>w^S*%Dx>VzE}#5eXxA~>{yFkA{x3l3Z)6~eYUj|wO|2= zrj=WGAR1~yM_TbjNm)wX@9Ni-X%VpTA|8n5a5klUZpP$7&K)*qZgMUy`>i#obVTmf zBF{NB)Vl3OE=yl@Zu|2q@;a<)jOur{!YqKCBT^LdF571(XUQQj<|H30$6TLKG=-6V z%FtcxZ`6tJTs~kEb?o74@slwCJ|%5{XQy&&6ZZ31wIf(2l`B8LZ3jAOCZK6%WhFO{eTV&oPJF3A{zP~{&l zXbigL(A1uN`^+r{@7FqFqH+8vCVg>gBF6u3F z!@r?P+_eh zq)W)Us;P}vV&?1n^eGLF!30#PL{T4>Gh;toteO6>_NZ5mJ6-BzVX{EcO0vI&dq;y7 zUyH<*^iZonQRM;o$@GOonwHfa(ws2Yss- zsEK*u?|_h}!6DJfq4S-Fo}#?`W*+_N+qZ6Qn}c->yL!>kNu02v00mdq7YddDEo|Ye zhL^Ep)vLO??;x6>gT`qs-)-I%Pz*@gu!4YGl-vIt&vKo#%PrK-G-Wv@2|6mwaLEBq z;5wRQ2I1|w7u4a(qJsvVH(F0QQFU)x5~kpZ@v2YWQv}J=erbk!l+JyW*_MslH`*D8 zMpy-jYF3`g%dB6)yyO{h6*3=!_wiUg*}U{MT#Gq`!?k7sz3P`>xTQ4qvA0(Xb9)d| zynAtJm3M)E^*|GH%f`8yWbdaH#%DHn(K-$ET^)NMtjGl98KbS z6V{38X=XU(@Jx63>^F45m6_#(^izewDa6v*GUX&Hab8h3Uxe?TbNEgBry0r`6_^H! zzTLR}+b7DKqRY;oBk$TOQv1gbo_hB2;nI;*{yEL|c+ZWuoQ8(R?Q3FumxNU+&?%5a zsE@q|jJ4*0K>LJ0eR_b)C7S6jf^n|oD5v-$J~i&nZ+_Bzc|F=mp}d>vXX5!@H~szv7FiUgJH=h! zqbX_5;?f&?_`Vu>hodO_cxf>8`2NRQuzSEnkByP z6~TQ=|LzBbEE6ofjXu8H1orLSOBFhTLq+#DQMW!K`R7mhGv!;aM>Vt>9Y#dAPap!6mh|&P%h!Ws^7l z<3C!H3y0W0`!f<;pZhebEquUR)jK;|wF;9qrfv_*De4c%A#JMj*>97bnp15eD=gMZ z`sVbVj#^du!}#PZRY$Eh)^b2C$(Kb~a(b$edG3N6?XWFJ4r%NDhx(bLolC0@@1uXS zLvN|!1;T{!38oo^%GfBp=?sI)A11LQ-MEOd)qk0JB3?d|x8wdT-~uk497t z^x-2KDajes#2!D+MF|WGbHWV@rbGO>^w04_DJ9$Vm(O%uA7mKvZbH4FpM7QIzWwDZ z-EZ2BygRb$y^L+#NS!xbEBwjDO)c~d^EM%_lT0q^m-WN`uZT{`K;s+iA*v81+EgH()wocozu$o_{E5m# z59nInZ^SE&c5OWzHy~FVUHg_yCd0QQP7A%@T?vh>=ZdFv3_WQa=PEafpv6_>+a8$x z-an;aw)1JF%uHWnkg-kGyj7$t*VOoO`_!+LJPkuOm5_s4sjjmh3{}QTz5MM-TNfAo zrO;29V{s29KP5bnF=_)153NR=Qgi{TM-Wpgo=M`Th-{5_i=G=UVYV=!G3KB#aeY3A zk`Lt7;uCA3JjzN{`bqfJ7i9eIopU-#Q^hqiXzklOcQ$5eCS7pXZTb3^-{}sE@cFNM z)wo&3zMm?47N9EQF|o#5g|G{^6ZCXDi6 zO``IxQs7NjcS(5h56?wJAk$q|tT>C@Q?OpmfX8{asPGJ5-#mf|epcZs)D z95^HFoX-O%M$Ht4s3Uk39FvkdE)Nx(?!lYDT6qhg#F7F*XS`N^zaP4b7MsHSA*(%f zn?a8IxDGska!hMyW@fMp;2O|O7|LH^@W-&Hq8KHwr&=W}FWIZrj3blI<&E9oULc7k z7OKj7Y(MNUIMbkGqZKC@G2APh9A8cLP;@g-%_6Spf8e;ny`MJ^)7+dBs;YOp^T5?f zJe_#galFYS`iha9!N=Uc!-}8IeRUk$dH;EG<}-m{uIytF9v#MO+E;3{TU<+eCy zqFb5wJYDII?j`u(O=&j3yqT{4j_^|+J#vzLA4pLzw;ifo6NEW(*`-&gE)9JS(TEKf zZT>0}i~lbjQ6~~XJOHH{#-2h#3RPgGKOXaWl7PE{MO}E?3IHqTyyH_l_!1Yovt-cNb5V))OKBNL&)KH9; zzd0`IobJOt-9v54b-zh+Ncfs5dWLBbela+_GjV5oI`nlO`vVZk{C(b0M}b6e2SkNX^|am6$;{dk0h;nSD! zd-jw2GE^6*6v8}?FotuP0ow#*DnBnA(w599ehQ253GnUB8&h`f*f9gxEmbF{I*=zd zzhR;O=0`eeE+yNh-FmD6*VSK*`0yQX?RkXeMCH33ZFvolb3<{?RwF<6F9uEXBx^l# zWYWx#aG%A<=gAGyTBA4V`zMyJ(Pi`m1_8wWKlrAPh4<~-S6*^WBT=>cNoql@{ch(d zum?o0y7XM!0+E3c=9?o)v^#h57=y^LpV>F<{Hs4ju1f>If7gL531YEgRU)qXGl_9( z>$(gGg)MSTn}787%y}EtKRzSx|NO3n-0N-8)^*P1WRGoWUYMeUk;#VbV5eH-e)nWE z23xzxp0<%!|I+CM|x(q#T=%BG$YUljH74AAmHU?E==9>Vv6W{W4HJf#7mR?Lr3bE@Rm9 zu*9Kb<%)y*9ziH^K+K7DA>>qW(D5820E=xWWO^3HnU=o}8+h=& zLqqL=K|#nt^lBzLwh=xJ50_OwX^F(+G#%hbB*X!b_U2*Fe)vHKNAUvzZiV?8iQ=4h z=&Nu%Aba>4k-aVKOH8$Iqbb)Pn-q}h?;AjIz<<1^vt+zdcdx(DmVvq9*5D;kRvXrvmfA;htM# zZc9^)t6W4Uk-U(TouOlmj~+b#<+N3AQ%1Zg2gc`3k+hN(BMi$UuCXR7Z#!`Q>Wi#d zumURr_o9nqm4cY=%H0ju4jgfSIzVrl?1>Rj1)I+Mn)tbL)D4I+@D7HLP69J|ahs?& z0~l*ssa5Ene`rO;M=DOs!21!Xe8!c`5(2gb0uw{tn4$W;J9l;o9BFr-PPXK@LoWUe zR(R|P*|Xa!%`IQd>8nwiW7WOLs%C+p%39m1su5<1NAWLaI!)iaFU}RWGx#={7(9DW ziSYrOv>lq=rn0yvE(6oMm-dSWA?CqFLl7o&J^+?y8B&8@TRR(eWaT95@M*EbyL`I& zRvuaoJ{1I6yh_{9l?NFZC_-3Puw};%ZsXb6S)LGQP%^qz!Po#_b!cEvjnoaUOOYi= zFqzCDKfiXndhOl5{jMM#+y6+!oiR@?#&!b#x*bY?ESZb5v$xf!zP>#3cbr+fz_+sjZRojcSq~oX5+Dj-XEv8 z-Tyo9kZg8DzT2l)6AiJHqO`dE^M{kGBcrS)9S(nr}M;gXt{OCT|h& zsxGc1?hz<~N60D}#)`v*3*5-21#Tp*$;Ju_S~j+jk@0Z}M7*}TeN83DzrdcTt~G5+ zr<`?#Ri?f@8n07Rm#4GuHh>3YxB*U5IS+}m0zenC`rYK(x84B(BpA(6KYtq;QH@R@ zVq83dixq;SlMs}mVAeG?v8F=fgW`ADtD3ZzIv<@aA5q!CHJ_(gkTq4<^twRwyOa%| zjz~eWrku`XuT7s(ci{H;%EKq0U6Cos`t;Sh&w_vEpLHtgfO+SFRxPdo-L9X*Bm$_( z&^ZcTUfhew7;#$ygQe$zmf)%ThSk)FrTqaugz9TOczxFoAA$@T8{@bEvLc#xcaRO= zn$|w@YO->e#R71v#rb}6-*+nF%Oj;61FkR;aP7{%K=rz?F`zd?eORk9`venv z`<7JTzf;qvmv5~pWIo_xQBNh6<=m}%^^r|$N04Go2Wsy=KO#aZNhDQ zeTI{yhux|@gO9ZI?tOf8P+AMHV6UFj;(AE_v3Oj-?-9t`LI=isGk~GEY}gJfX95n< zne#D`G+Me>iE$wykhWGPxIH&B;gQ+CY8}IaWHe4BjQ?r00!@8{d z`|kvU7zq2)`-e#Ld7+U4CjR%tTN{!M%|P)^=jZ0G^j~OKBs#n(YqoHD^3;NtA;Puc zXkQWT9*dS2igE%p`#1du)Kk#5GRo%;hj(UPNLAZiF^P+!?-LlP{nZw?jk@CtlN*Dp z=8PzoHWwd<7|o-+$TP8y&MDZ3<0jp7_0s&Cg8fUK`bC28ipe5N|NAs4DY)60@;`L(b?Af92->9=GS!a-$sT#(2Z_awoTW$rW*Jexy;v> z1xHGsYunR4aM`}-AgO5P^0>zE^I+S$bX9Pj!aaxV1O!?OQkSrZi`$50Vx_16H6vz* z!I)(d-11_)d1i$EF=ejh1JrjF#hAWafmxAWp?hwd>M>h`#clK6b902~Q4}cvY-J+M zVW7gw{9U=w-Ob7fMVnDKv;syxhlc8twA3;Ityw(WG&NnXXU36A_7D(1XVQ&%GT^W6 zw6tlUWuk#poqSgPw7J2KDT#9gIfug!H~BU{nF^l@0z|K(V`8%IX1olB zce+AS3~OWhVG72Hwv}`hhg?}zDhG47a>Z2?t(Z5feEKjauUNv!X5dYM)mQ_Nhr7Mr z4!o6Qo-n!30un!h{i4$R`u5m=7aL0ob^lt=m2NwjYQZDXF%v0o6d=L2w!U#9nqE_V zj@9UeR@emHeHoi2NbecJCuj<+-H7&`*{O@x#>PhEiQ65IOpGW{Ha(%DRyaLh!@)sw zVyRE^J2Z6p%iE(-v@l}sS{`;2F;X^7>Bo6=o}RyExq*h}9q$|v*~y6sPohl_y8a+T z={_eX%mn?fur4nV1!)pq9Pz%--X=!OdJZT3AisB*K`#j3racN){u`3!B|DMCo$tfp(R=T3DE(5@8FjwMy9f6@l)V{SA z8ZDOzhgN&kLYY2Za7}~?Ulc47zrS-Uv5pEpxm0gS4ihjF9#+th?RfjbzJo-hnPVM! zIQ*n;k?;p}`-X;HP<^3jGR$Y7dM~{%drwKCy3~4p*$nipzG-gG=#+T=efx|dc#ky( z)^xffkWD*xw&G90H4%F2ETVS^|IumP8~z}fH^fsY-EYqPDw~i0@e{9H zw!`G-Df~rXyYmxyMbcUp=1Ta%y({F{^zxQ2MdeJCjthG0pOwI(TH7u77yS2hIsRw@ zKukmClgXl{+U~o_l9mwCeZr0aQwH>B*!Hl~{QA5_`l7|+2LE3Q?YijNWh3;zAxEFz z)SCqb_afnE+ne8}WQ3mhCnE=38k)3azeIe?KK$nJT=<>*cIPJm30U^UfsUm)@4v}9 zzj-?U;`LB}VxJ!0Kk&6hj#jK0ejex~UpePE&Bm8MG4lDk?*65hH0`GjeUbJ9Fmy{Y z`M0+9>x<9MsX z{m+mH&>YTjbPtQjF2x7}b4@z}qSO~g&F!PHbkQq|{+vG)gUexkfWc$^PV+kPP2214 z7{SABG806}<87F{1NXu7ez2zpzEpxBPvHf4o&+LRHEgZxw2rI9+}!f33g}+1G;8c!RFe#bNWsHCX1&hUbQ$YF$jj zE$-;-9NyZG46*|7F4q!bJD#Ect%vl&xMJNp@^%VthvwUUP}qTVz^3DgR46Pou|^JgQ}X74zAr{EHvsYKJeNuZYOn6G}=O zDqvO`O{~1~&7Go-Z&3k7C^NZFd;-_e29FS4rIBh!xWr~GjFg9}S))EYzfv)>)}gOK z(VA{R*@~Y+iy>sAv0e7Hp52Cwucw}LHaV;@r&+r7sDI%|i5$U~zN!w5Z&4GN(*GZ{ zpe}QKMg9f7PFC7WHOBuJ!6D+hw$##g}%!o@{J39m0!5{w>qG)bt}F;b$7H_kYP^s~GJK8N&L zp#FE}ta|o6L(mR$Dbd{H08vtew>rv6z1!#H39MF5n;cqT@Ir?(B`j3zVq{Oy(n_2q zRNKV;&nTDx652{X%;~I~Gs}`N=$8=wV1b>;E5Z&x4amImx=vnzZ7R?nA1K1iMh$BS zs!jnE>`j}tZjBo_8WA!(Gb8PSKuWN&6^S`wtuGo71X6CHl06PZ5mFk8+?F&E&eI7O z;bAn&1K=5x0aP3K%I)|Y(D5TA{*9bX^@C#mF+B-hW9q!OS>dw>C>PGXSeg!9)%W@N zsZZci-7ewoiteY|5~Dc~GP0=PQ*4W%;1MHcIJIbnyH8%gr%&&G7$CkW18|mGSD zw4~%!ZBaOx)^tFZFJ8Y3kvJ>Ya;hh>pVG}9J4vbLNhQY7mMAS@rPL8#40Ox-AtGOq zdMHJV?2U_JCX2V*VCQi)()6t34_4t!Y1;X)ceMbm86IzN#!M~1ArVqyyx89O&e!5N zrPk>F(%9_5f(b=nZK$YIdNae;t^2lb*m;=Thtp43_eh3AM=8otM5THW1L?{0zHlCk z#l%6sBL+4xKGaFEUArU+UYS-zlyn6$L%OSm|3$(9K*Epb*J>;l3^*jg8VjN8N!3i# zNBP%Inx6%fj(bF9$F20X{tNq03GL-6-?;tlK9M8AHDh1}61P=MJASU*=5$XVry_TdmEbF$addnp%JC>J&btZz3`Z3lkZ-6!gp4Xo zPfS2mouwP`s63d5s~MI0B)r{9jnJN3>4ELkKEgT9JZuQVZS<}~V8o$e5rdpH8%@Hw zEc2jjxRstf%WAFzlnI3E4W9Za?S?tj*E^S-k^ehzzsLtK6H5b;zAH&-u9+SYVnK5c z+2_90rk^yM&z{qEb-G0Rc*#AVj+2;KTF5=bhHsWh8}2?A4XXN{MmNHh>H(vO-6K&B z*vJz6Z2j2(Al~5MtsB^l?OG?^`v9uijvvHlZ_e!A3*f~RUaS=rJNNJ3U%_S`N*f|> zcOTN(x6YIsgVU9(C%yBWqPHwP>M!A-LVN@RIC2;djI>8qhw`y5egG)m!bLgyVG{{? zfxbQzx+BS8k9jqLi=xdd1YJ=sxv+2^m*K$R0&7wAgX+&tR~79-jxL9~k_qL;CYxDy z-R~qF6HglUosBa^$r<|Dq_2j2ExVl_xN5w>=&Y@9=`CHhLu#>{!V}bRidcm@+yh-F zk4CA%&Y@mK7`Dg9p`989PDALjRDroG$jfKsDREl0j(EE*fDEzsYd7Lx@5(*__%AsG z>dZ6Nb)Y$Z>1{ZAuXfJLljd&`0fp*pIVNu7_;cD{uLmrxh9sdzBTg&t=AApOCVb#p zbf~P{{$Y(K7zs7+!8*)1jv>zZx283*x0+t=m?CtcJo|}>zqdE%a}FBYE1IC5q#cK^ zUXlg`m*aLfFj^liXg!<)2oDU-+acnc>w3c+ z+1+L)dV03)|4+sW-o~?e_KI#1p21V~fpGb6+MPmwsrCOf_SFGZZQs_|fhed59u!1H zI;0zs4nabYmXZbu=`!es14t`)=n#-DFC~p2h_p1)-NHAw0{7nEd+&RX{}j$Xd#^p$ zTraMA1!1LXySm z&E$|E>?f!VIlr8&te`|3uTyophsS3qpUwhwh9^|nG^+h?(m*xap>`AC_@#}{o10q# z@LOpUNC_Zc@Z_(TCt#55Qv5O~=BO201rg0f=*8Ak*9HZg?-ykNTno>xs{38JCaJQI zkDJP$jhpDX&>h_A)BP~;Q)u{^*@ zW=ZQcM`H3pYrFPIr%_hfc;BsKSPwlxe*igAf!;G^_YT7T9+8WlArA2Rgi6>~`wGpg zj2FShHDYewytx7(=m()x1rH2FL9`O2V}VAk3_%Idv1)?CyWDY&V7xW#i!w+)KsV6) zM20cT!7cUSLmUJ88CY0>0o6(ykhlXD1qM!7JN?FwnsWUec<*Ns@JT!K|I8gA{eUYF z#3Fe8KR*_KM4f$De4CSa=m(TeR0Y z5aTK$b9;9WpfZJ{7jf}$ubjZE(Z$HyL4c&b(oTh#HL zxj{woQnle|gTd&zn+-ua_f3x$UAjjn#<&+LZ_H_lNt&39sNY^)sbWgW%>0@1Q!=uH z{E=(dGrzys3fr+1Q|9v?FIH>pY^7~>DyA7zE6BTaY%Y%n@G?qAJ(ZGN=}WoBXG+ZV zEjnx*iWul{heG~&iVK0u2PXLuvQ zItnU5_4!)dcqDW>^5HB1pfRALY(eo^YJ2Sf(DF$dUKI%?4xyXTx$?h4PZo+hWjz9K zqN}S5BZWX55oLBy=z+Pty{TG%V1$AChqo3+JslwE8^z}EmU2*oXzTqwWai(@?I#Vv@q2`TGLA~VFnbRpNEXAJ`5yAXFHt9=dee|>Wj z(!bdqdvYkyH_m^xA`8{xYB`mDt4PU2c#a;0IcV#In_9+viEUqa-=$H&H1F28(oD0| zXBnn#)WtgA^GHcn;ptp^jVvLtX-o6!kD^|C7!zF6+XZ1i#9q!ojFtkeQ=awc@6ZgG zRdb2e13_B|`2{s?ZEZ8dTMuyRJD@SQZXj&{1Mr+hAH_$`yZho=8E4)7L{!+mqY4vJ zUzo^#rCTji_>yTW3&TK(iJc+;L^LxY7lca3Ch!R0sqctPn-vbZTXgQ=LVeZvTY5y_ zD#Z7#Fzf{0fd(WZpbIuvwyr)uFxw+%B3`A#=&~pjN_(rSEXmg|c-ck5}Vpi zTS!{~X=o*gK-E=33GQ(xJvFuEys1I}C}J|{DYsfLZcfbc z=*|y>DbQ8)sVW-|lyogU_R<0wh)}6OpLbT1Pm5{-ms@&q&jYd=Dx0bcTMLJKhXnRc+#0$Tx^_vwQoyah zXZ~@g*XZ2B$xLRx+s^(JE}of_-!giE590Xc4og}_N_p4W9(?I*n}B)Nsi09SmJdUR zg{j+(g~pG<-dXt0lkSlEz`(d$%XtjHkyXdjLl%{xqM~fKB-W^%hIWr+9IK7x`BpMl z+$cLxWH}b@L!kJr?_)}iPQ&HKa9Wkf-uyi7jcJX3E^PvKF#{U)(#LZ>D-(8z_M;;l zT8O@__bHdvp~G#%2k-*kSxTHdfV932Yu%!U7W5^&w#%kTm&XEM7iBM|qv z8^AP$nrAQ>d>97npxyZPc5)Sa-zSDePC3v*0nGf~jYMW~35k*6VY-qT#w;>X6j@#7VQZx{U>HU<=kj>)1(oaDP7`dk_JAj#+cUfS*4 zeB>Av)0MF?0_>y*Y24f_c{#VKQgd$8HZMWJKI}8_P3`(BD#pwqk5XQ^L;jn|Rz#gK z%ng+uYGOWF^H|842m+uQuQr!ps<1x!SO5(dYF=A8Qs%7(f_AfUy&vrq-|X?)`36#T&UR;tcI zf@YKQz|b%yLjCJF2$=SoX*h3=eI|BD2cCEieNTZ|1x#MRR7P`H3To=>PXZqKeyZV@ zw|@cNyUZeF8V%_19L7cq@*LVCpr)2j5HI%?jkmW`u~cj(=0J~!0Nbp*TeiJyyC#@z zAUQoO!eDoby784ePP0gWvNg}*>#@c!52wS*YQ@B1eFq*ga$2xN!)g;}uuGctIe zyY_=BWx31U3Uam5j@?Zj69<*oU4WKtgM1gRN27*5fQ~l+t_67E z4cdafn-cI)!upU`DJA>$0$ z2xbR*N?~Kr!&;reG0i(CVIYb`Mvhws61)QWyXzH-DImQWde;Y=fChT*PVPV$5TyOBK1=xVDCd^C&WrI5|jb*lrS|ZKy!sgC?M`9~O#}&~A*ocN= zxv!5;$R+Kj7_N0Nc7hAa`Nk>otPzsPYEYB{XAcIu3Dq;Ta^JEf0uDxd+Z`5`wbCth z>J3G1nO;D-Uh}m8ZOx<63SHqNw7Y_j5Lecd2UIfda`Rlv2ssu<c+;#=H@7svkvQP zeODE$#KZ+0t6uL=-n==y5H~?N@CyOkR1WZRA0Dfx;8>klMArfzkJ=^Fghk{RoK8Sm z6x@W`*i^Do2gTuj0HYmk^@KW~m?LB=(u*6Q=Y$_HU$N)B$PSG%TG|IG6;N_IiG%W7 z;+ij&i%1q@j?V(8Fk=VqeId(M3(nE9oFoJhA~D_?M=ycyy(I+LeIYw2j`tVEbPfSt z<*vI3aY}h&mmJ~~H;<<(2{-BLhNi`HZ>MjDYfw?ssHyKrw50pGEH`CA^ zA~O5Vy9`LA&yU_PXuh0K56DFWVOJOm!hPGZQ{3ybz2w+~A8Zb2!?@L=28FF7lzxaf z%AhjT!72^AK#_rFZ@5DyH(BQ3=n6$Ja=X6Y;m_b;ssTAQR9&6~;xYrh?HJRAJE4(! zDc)i_qrf{(b*txnVr{JApiyTb+WpIWu}cw}K^jz>a;uCK?SRV^w1cP_PKW1%EmI3$ z1i}afz9te7oH4J~ru2K1)-=)bh*u$rnb^6|g5}bxDyp(#&xF$K`xnL$58HG!rZoJ4;|id zXtU2P+lp&LBX+6a8}zh3^sFKkvn3c=z<#Y<;KA??>KL~hb#oSUP3q(Dpa&P)ctY|G z1OFTn=2wTqq`y3#DUh286Jas!vjsM5F`Rsdk6Xxv6rg!5pw7}53~bwO8&I0cNu~Kb z-1>RKDxgIrI%8vv7=<4;-7GMaU38JK^gv6M&a zW?WhfVJ_Z zP#f}(6!JS<21E^K*H<6Z;x^X9R7_VTI(@tl$SZ(R^DVuk!!lqG+z+~t&8B7 zxV`00p$_5n1(Ir{1)R^R*4;fcXs&T~tR@+Ew{LBtXN#w4G;vj8xHQsXENOSj!e^54 z&m>vtxF|z^-*iWa+BkRz@{1=OyN6!d{@615a0Q`V--G!_@=2Q>rEWMWZ!Cp5PA%G9 zHk&_)IByg}avk>>HktFryPf`3aM$V95E4oFU*Isj!g3J$R3N&;x`ZF0*octP#$e_j zJT|@?_|gxYf;*zJ7h(sjdrcIo=6Vjy;is4Z%u(8M5x+%6l?tvL450_yousjjuI>Xc zsFrUJA&P?PGj(X_SRc~z0PKc$FccM`Fj!X!C2hM^=dR3B?&Uzzaq6s6!q*QK1~#Us zJzktG;#u~cZdH7&uU`0t``O#gt?A~~DJ5lt_G%zoy6kG7QTe|5*QwCfE-$cbo#^$%x#==0sxESH}~A(1Etb*C)+kJPagMxR6> z#+;%D@!a^4Ch%X$R4I_6l$4T!)7?n4CN2-2%PSZu z5Xh%U`cyR-`S~10@Q|G(`$PkRvh*y-`x;0ULl%PtlWu>Ldvw&Us|D z;Xx)}Z|-McLY8)amhJ`EaW~XO)}sUB4!ApSk?g{W5@+nfLx5JVgRDlaBB*+YIv{}8 zA{!u?=RAY<0HpO{F0RFpJ^u5|HmknCzR5J4-)nk_53f3)2PQ5)mRkOTksx^93^GFs z-=bNJ!e|gB4v3zG#Gv)x$@k!6f!G6D7KmKSk&pau2B4FbXy4D)N?4T9{-4{z$shZhN_>f3GR2l_!AaFd`| z7P1zge*S#V-@)9euI(xU2_EU<$STl)MZn>pI+(F zRfvlY_^sk>U=|YDV+1zxkA}Yw9Ldr);sQQ^hX83&ZWzc1gJ@`Jo14Gk>Tp~59qAkv zUsf4D^#vaL3UpCGIA{=F5&7>|RQ`8W^Vu21!LwkFu>Zw!a`1=vF;z@?mlhq)$j@n> zgIZ?k3wTgbgHIHy+6y?D%%2`jy~eUMAe7daKPY$pz=4ed3@+gz)GM=Rm?N38HHHrw9X`3Pz1KGLT4)4ki`lz3J;WY#x zN-EE8zft4{E_V+Mivyt2r7KsiKq(#%uMNso$;rvX!&=Ytpg%o0)B(-LvMu7t>9S82 zW1+gJT!J2>11QV>Lc?8&fIEV+YajF4f0dg87gGO?$A2m2^eK)A;pkQI*Fc^y{5-9ABhDeug5hrht zc4%qcekB99?L^QnzLR**1wtWE%wLig9tuhJzQiNm?AQY>cb zPgU$@zB7r(XjZlKDB!BJ#u7;0nU<6NZx@okWZ3JLhSO@Oqyc2?9b$Q)B#$JnhS2AH zKVjd?+fAgBAAK4-xB7Y{8@GH4+XdixEm0# zJoBt0LnSs=eMUAaSpQ-EH9XBQCLK@C{t^vbG64_uCSZl2$CNm&C_whna^!OyUhlCB zJ9sEVjt_E4?Unbp|K|mRv$c@Y4{3*>YXRvTBOe70I(-fB@eY{rqata2%UYDdB$(76dT4ZU24vC&Op8Y9lV`2ReWq|Yr^YJ4y z9o<|`BOUgcGa!WHwftPtv~cL8Oa_DjP+gCmvoy+Kb3e~R{_4D#*!eD;AmM;~9s2tM z&D-nY6mFIi3N5b}`)vr0UG3?W*SeCcry||3eJQucFY6!ofF>RmDI-ImNLw_WiH(mPgibLsG_$P3m55E?f3>vZ_+Y-@|2p}2 z%P*&0er1Am4Y3AF1n^@J5IJPsjhy9d6IZ3 zST>8XAPpDVAUUPdZPU;cnvVtDWhG>zZ5RoIy!lAmOkStmhdm#A+%J$HwxV8uvMYG+ z-%iDa&JVhBP>EZHW21can~G6qD*kUjm90~MQG~|=rfy5n6$#2uLxrAzOI_$^;QRN( zdT~5m=}JYM>(G&a9(q!G@f_@^`ZACi{l;duZPJLM7#bR{uD+NSiRvvKkCc@eDBC_U zVb;AkQlnN9)*x(Jwh9TFlXV07E7Q}*`Nhv^r5AgQ{x+Ns5M4tD1`Nj&Bp0l#tgtZ5 z9e{N~R3<%MzXn+|jz}30j8bSRUWCZX{$17VhpDiaFXQ8!ITzP@^?C}jqE9C1HZrEU zi7qX9D}Vej1I4=K;l>K+AZ@%jwfn52vopWDAWPTYmpY5avg75-=0xbvKrrL9Mi49w z_W7qf1!bFkcM4S)FPL+)-hf-{iFa#8p%4@;Y6f6|MnMsF-O8+Y;=RvYQIds8P?IS(duBbZ*r#kX3Ke9Ee+l57cZvAiPBOv$kH3DTPy#uv-###i1_V%PY2Vrj5RbVx&H&>A)2+R@S|CO9R)fK# zc{UDZRNX`ncS?x>AbgXh3(ab(nkh(<_<+l#;8;R}i{jMG0N~QW(zVo?+Udab?>(f>lP)42m6l5 zmF;gI_yu(7;^RTKl>wX|)R!Bpg%uROK`9psdjuCR%KpjgR90)Ca;oDx0`gufV-7bQ zMk@ArKot_B@bmSzjK-%eA4%FMa{4F;JUmoV`z;zzl;EqHFx^Vnh|p^h5>`lYS#Bm! z$ly%r=q@Q3%`Bm78N$2rwEWi^wwM@MhO$yTew9bBw87~+a*J>ICwe~?z4-+5o3^{L zXAeUq;=c|4Pf~s)sQt7IGS1tD!+FQHw;WM-I`_;ocWFrFiNd;9z5Gtq1#)mA9c+Wq z-T{0;;S=e{y3#o)1r+6rH?|b@nAO+U zn46PB6DT@bRDki@c=8 z3`rRseD2=h{7&8tjOI!*E*2Jp`iBhL;Db{StMBc+&8~7_JA=JE$o~@3e;;pWKOheM zx4%4$#{Zj7GHeYTh+DgUO8k!t%c5KkZU~EQayzEt3Oq0|J_N3{*!lRp>ey&LmjNBdt32Y^SXZE90J%BS)ZV`6 znydAe^F$WJ1qmWM*C9FbGM+&ciJID5H_HR%B-M=4MA5qK| z6TVP))RiTqUZ>9`bKhhito&-xz?MY`2b_if8G!E&u&=EAJ5v%wODKCpT!Y*tKW&viEOy;@`J>V#1qTIN;M!R{_w{4awg7 zyuZ59U;nkbpS{Vke#imcqIQ>LzZUpR>R*-^3pjK3PiAr`0xHk25v))2UKf)0Vd1VM z|GpT3v86#BSKTFYDZ>Btk){8%om=HlFY9#}?J2HcrT>3l6RZ#(qA3beEuE7`{txf< zy8`#7z!(TC-3j?Ag0*n$kKJtV@2Lfcf3NFHlbTDtCH290i9@#r8;_wKdTQF#I(m9UPINCsy!5X%Qtvvp&a<^r&NF{acPKHCD$*BZ z#vDI*_D{KoA18>Wjs?=x?MHR3tv1f*p^%aD^qtEEaT4}tPky#zK&xN?B3a;lf~?{F z#md=lP0h^@QvK_7ELM+Kf6ai(DX?e(1WN++3>dmb01ZLleZ72n)JDAvI(%1T5t-7t zkC!}IP5anEb#l?&dp8=;_t2^xZy&NK_9;EuNP~)--z@toX(>{`*5;`%&P)-h%XzR? zNU-t8P)dJD8X)~)|6f_4th>oULHS~1!`3OQvKOumdZ<;nM9^)92{IpW#2f+elOJm0 zHSpE;X#53e$V?{3fLx~EH4fSJw6q8S6J+;Ww(=o17$LX#={_kG%e;i8-80H;TvJZ%!m^(mG zC!xFykq69bN;o$Q@+v*4yOnO(JfZD%EiKMtp^88;>5TA&UZD3SQ2UcRi-@=PXSKjY z?Gf-D$_1vh8qWb#1QYAbL2QpIh68Z(w0;zq40I;&1A3pWrS84qDfClvkz$^1w?aW| zWoc!#0wT`%`1mX?yE}!2?7i4DxP#O8qC-VECi?nRMV^m#Pwi%I@Gp_Y zD+1XK8Nwn1Nkc?Ph@8nSH#eaZZed=`jF#RG^c1rURq5IeR@s{_V&lg+#_#gUQ X zr|2s%tG@*as-Pg!OzP)`BET2>CI1ZRR6i8Oo_1tu>;cfh%hwmJfmGP08eVLupBhf*Zs726ci#mDajuFURIuXj zLmk-B(bRuKdcS0f6b=d5h#`>Kar=|#Y^*+PcCK>{=((XxS3Ko9Wi_I$q0?GT3K%XQMl$zX!?&}F#!=lKNTtgE zYh!CGLhetBbEe{?<>eh{W8y@`;G1D4<3YxxS3vSk$ahR>SMcmMS*9N->p@3A`8r*( z6JVBx;|uzA3$9Phm-yS{LZ4}P6{?#nww)~e>du?Go9@bG%q1YL@KfKsB%p~izn)KF z^MmJP9(;GhYcuBq5h=z&3%VAeEj|DGcchsAW1=z)-9HB1^ro6l7GJ zx_@#=Ow6yzgn(4(bl}J+1f5nKw7EW?nB(6B!o{62)}Y+$@jXhrDzmaOzVv1MOzH6Z zgc_5i2(|t>U)J#GvJJ{W87(z_W@=Px8XX4YHl*via)2da*vf$`GJMQjf%v(&03jsX zCf5(*1O~{GMrvO{99xn6lRB0ibzQz8At3>pjMubAKn`B9OIkvr1eRzt6PoTHjk=_+ z_PYRxu#=@?Yl>QF7p&#yG@B*}9_8RIs_UoBPlZ4o^-=xZLMtseBY>hKXP*Le3?OXC zmCv*#cyvZz^55EXCo&BjQ<&uf?Z#Q4Po+U~O2O>gSh$8MV+1sHiF?=0!BJcHwr!M8 z+p-B^FX5WIl|qfg&dzRFhzC$lr1;sfFqTu_Kv2x!_S4Xh5r)>!eI&A$C>KU$r_cU2 zl(Dki@hG!Y9=+am<&hoc&X&fTJ%EV;5!yVe*82J&rkL+7Y_Q_A4QanhmnW3$;7=*J zx%<}QEzs=@hUbZTjkLjo#V-LuXu>h`t)WBx)VZ1pU=BbNDxX4T%2V2`tq#yPNPoG9 zD|3o^bkXL>dctE!TkM=GUZHlfSb_XVwF!p_B z#@Ox-93t$dW}C-U_I4)t#u~43S|zWATvm7a_1x|WBrZ@%C&R9Zu$k%*3j=?YGVQ>P zA>{#%{&2<~K<~$pND82?crI_nmPvbL^t_ro9MV)zSf43o#?F6C2=x=TbbE#3_ZUt z0&&;)2N?~bVHFrdW-YGUrZz0l$r_oE9p0hGuG?^V@fTeMu&8upg!Ba*Z`NNpl?V6` z!x@u5ub>dbFZo~bL+Bg85H7q*>6a91FHQ;89P%n1#6D%tf;b7u)vJ_e_qMt!Qi%YH z>Tj&MG+Mt^Ec!0??R|d{)9)YnN3xMYb0SVK!4q`>KkI26EKZo1Z<>meKlg;bQ>0 zMZ1BltZc!JsXB{Absrm5%GTy)laAyd3Kyu~MX&EKCCq)P07?4I2jr#8EnHKaP!V)* z)m$F(AOU65-fsl>E33inFt2U|YQ&)@brFz&IAsyQrI2^9IdhOEl!7F%`3SOz8c;-m zLE2r>XklPLjP?<9JCol&U`EmL3-q zQ)V&N03|%d-qt^6`vq2f-)uh&i?L{@DU7|mhAkiPjr!Fb=p{Qp7P{&=3x-%umjjZl z6`*@=Ghioj=cG=fltLtK3A+0k_?Wgp`k*!Em(locPc`cdMLGBL?*T89){&!+m?r4=zt zdr2(kP`vQ%H7$9N!U_!7Ri%{ho~w*HqM|~H)l{C^)X0&Y#Jse$RNYG&P&O8(g2wWL zeMB5ll?W<9h(gj9CE7|6tj=mBc>dKiY7DgqWDuenmfRCs1M;UC2rA zBMDKg8$hG#FPcYq1p}l+!@66SG!@Ik){x^>B_P#OoeW;EQwMNjNR4~*A1Db38hjg} z#F1{+Oo&|)lr47yNEf+;>J%Af3~;U8Yn}Lts-)|nKd4@IXP+5`Y~T6MFObt+v*yx; z_spZV9~ho|a;0!!mJ0lFu`S)P9pbkhQ#Y6}2|Klp6BMKASa^W|VE49DBaLevOt>)t zvFP411XJ2ay`?~zZa@iKj#CY58S#X}MDHMGvIq}baplCctsKkEDa+1pC|KXs900ZVs{w@6y2bg@JW7e*PEH z6hfu17{`ZY={XFPKtsIHqDcLD=c8duX`ZV6(k0Rbrx$UB<#2&Q?2GJ2WDIvrdYGNm z-c>@ zqFoaeAL%j50%X?`e<^O78i-v4O7{a8&ahWT7UjVtfs$rOE1*qzR9@x6@sx6Bo8Zye zz1)7|=jcxB@2hC{B`O^eu|daWznsQD%K^57&Cls)7nC2#WHteyz%%YzPD_B1A3 z{cOr8$Y5X<$V-8Yg!uP4EnUv*9BNN797mSsC0DJ5lnr@F;}1uO|8y$@*E zQP0NN?)#Sic>c~4*jMTrp!n3$h0jUx^~`twS7)Iu15LzW{Ae1lVNqx2-RE6u2JbAd@M zA*_>5_eLpbE_CK6NEtA1@#Wf=Sfr9gyvtvhpdvF63gc7|Q8Fn8IVdfy_=_E1a*7`W zl_7R<#oVMWdk4Wx(}U*}THJt`o z9Y9kx*bnBaF@2Clg{xYKiPos1EMzW*+t=GQ3gIjI7eYsIi zR_f3Q{|(3vJdi4wmX@eN@@IwIoSe+U;j>D7oSe!UW`$5!od8|L@K$yR!g|jR1Lg_F zMUaJ`dDe*lfHWHs5zLj`enZ$p;%9G@k|N~7cxN4*_qIkIod9Yl0EIPcHH&((r3=Gk zBEQnljhTX$4W+ySCxgz%@3$!Z5H7|VjCV85lZdDojdhqy$-f=}b%5OW%yf2x#(8-W zT6DbA3l6(Mtr^q3rtwN`h2?1BAVXrHClyn;(XD3q+bNAU+^K~0hN6N5PE2Z>=Rizw z>;}0}(6?^ngyiqr(tX5uNB9~YWYCtEZvXTIMpnuaO|nv8B$Hjfg= z&DN)8jEdUB&FvSkKss6X-O6=QgNFoI=>bc7J_bx>;fw8E119i>(hw+;buH0Y^DM!XU6)owH6;Na-)?&gCcEwC9( zD}GsLPro=t{P6r$KIhVOkSyR@6c@VSxdlz0QUKHGZbE~sLwYDVZcO!bC73lEB)>qO zfLx6B{mnhi4*l%@_5My9OTet=0RL&aHHuA|l0eO>TQ|I34G^VZ!ta?c=88{M=;im% z?d;8*VoBW7Ny?eM6ZstYbp+S&09%)SxwxZ%b6i&@@uSQdqDL?%%SeJyK=xSmzua@Q z*S8}@{8$3)-TY9wA_^b~?C6~*A}f1OGdn6v4}`MQkmDdHaGnd%AN0<>Q?e*tl9TWc zXYx8lZu9rhYsyJXl0^yOy9`|ibq8)C2+K!j@a_X~FVqmhme4o?6#C;zaB||glmV-+ z58(HyFv)j`1{oJTOA0T8R{7&IS^isXRUoWVyd@-N@$0l395m=R4SOW4@T9R!s@v2T6IYO8#y5wEmYXx5g4o`uy?M*5r4NU_*1!tII zwAIJ=0}fA1dcSI@xD+H^iBNfvuhDIIsoA@BIZeV~XXUH3wPKZwEFR39PnVsP9h`a- zPR7FW$SEUL`z*9CWi>=$lFOI&dZ8B_$Z8482=OIX*n#*uM6udjpM4pBH9@6a*Gr;o z6j~EptzYLopl|O|yR}h)-B|HPmwoAq?hk|DNdGjgIXb5JBvmHL8lmqi| zR*N{O2u24Jz!I9)@PEN!hXDRBwwz9R!#D(JW5keT^`K&55pJJ-lKhV3ne*GnM-YB);&ZP#S zTxEoARselNKU;wMnuTu?EvivwK8^^(o$mk}4{gZ2^F%c!T&Qq1zZbm=2r9);3v&ga zt30x%G+L2wjME!4cW$u;t{zY*5>{RT195@F__YXd0vvm=6*D1HqY{0V$m~ldWei%l zuupfO0>FH}m@7Oe2!X6Z$OWVOkZ}sogn16*6}Fp;Z?m!4fM)}#m1vMr1HCk;4gP{c z1sC6KO3I(WU zY;;tgPc@O*e*zzKjk~d%AX>*GZw=cylN{={^JL?oyB` znPP5*I$cucjG_8aq0e&8X_zr4L-n}X8K!fRD5=8?J;==ce$BMzF0u3JK_a_Ino_N5 z{M&jHj^|dVDM-DAvy^XV;Z?c1Mf)BM`cXJf@R>^N@{q>fR(RF!pPr|y!@mlzmo5p2 zA7gl^sVT#+DI?`9v$Z&^Sk9s75Z(Q%SE8}i3u=hNl+j7dTkG@mU1Zr7z`sJ2m=!`- zo23&Vy}9{_{Rbd^^OT#khO50Skcc{UR^9DS?#*R2`6Ia!aM_f-A8|Y{UuE|2Ym)7N zDG@slam@mkDyu3hA{-jDv$+=D!@*L_juqUF>D{-Yepm4E{d|&=71Y&X3}r7O9SH1C$vEC$ z@I`$PhP?>8rS>j1ye5}+8yS{LnY(0LDiH&<@i5EpFeq$m{A$fM5eW%BIY}@%RQBBw zAwG^H>keX4#<`$1YJMI{%$&-9j(JyW1ALf8^M(!zQ=lHvwmxGaSe2Vqhi4uKS%C@&qTAr(V^5?Qx zt|*AB_S;BJm?0ZS%U7N^0{14YP+k#amt5G@_WGBUwVR6B%r`uUqap#SymQZ5yK8JafCp=GM%A83xzzCFc zmn<%vX%l@a6{H_{2ps^Vjh_W z)1m4Pdz`cRtUj@dd^BGskJ3%$B2A~DuDK`s!)viZf`8s4mMa_5$RdPuKZ}X;C?1xm zfo+VL>Bd9t`nSST>O;!IuWqn5?X9HEj$@ zBD14q+$mAJO_!%E7S#Er@d-uXCO)^^vGIB!AF`^;D`32T=^s3Z!7(1g`qbqsCAg9B zDOTbZh|(|*n^EEn_6;4$U&5xUx{_(S*%SvkBJ%TTTSxpBL=Z4Bex1_qDn9qN_cc;P zb!yvJO3v2jcMn<`@V#`Obr|h#V}_!75A?9G4AVb;{0K7}fL{|*j|kIX>1$dgRxTfP zs0jgNi8&Lw>CZk%gN^anbAP@Io{ol=mKMfRLKwlrQ}yyj!?TzEK0W%(XS!mF!~j_-JrpDkMPx?#F1*hm>`eEzTHUX@|EEKLK@JsMSbwR2ci43P!^E{eK@fahri7>{LxdzKam-CH{cbq7o_&vY z*T}FPP9fsjzaOk06Z^jc(XzdCTdfYY8wbBy;6d)~6VVP21Ud_l8p{;8I+Gm`l3Y=@ zU}zEtN6|fki1sfw>1ows-g1xPiX;utN3d|Md|MQ4eKnGpcQom6629W8>vUw$9$eV@ zxA@+Xzb32Q$Tx+4v)jLeN8mUs%{V1|pkeOn8WIsfG0jvSuswzA3|l`^21-aqADll-LDHLGM`gjte#AFYxFIa{&PCOn3N4<@!lrh z<&2VX(*!@FzS9`n?Cgp{|56 zU!Soh#?P_$C zgLiQh7y0E&N!-sYM`EA2yd6O~quVKHXe7nN>KhswT3Xh0X{y5Ua9+*H99~a~5fhZ;BWWZwz7{&Vc#<`eGNnl`#pH7bMQIb5AE&kfJ|BiTZnE4T|LD(4!G@m zAI`3%yPFN8YFja+awtf}hly*zJAHcal<@hXG@|PeXsLnL5QK*CdBobEq@#$t7%b@g zD*1r*0j}l&x6vmurqenHi}FJot_8Y6!!OE5t3~j+qiUbszI(Tg3UjyMWm|$aBy!=A zu&s^h{O*T#bjTMmMD0!d-x zP^%IS8HQBvClY=STGN*Q=s zDpUX(8q4+VgoioKUXx+69170&fk=reQ(ghm*vEb8br|WsCc_N@-dFDrb`I7YOoW7W zhlyu*BP4-MXL<+Om7=1eFx(Bx_2%he)X5~~>LWdJsFvlc3KDUrhn*$*(h2dk>YfP{ ziyT6rAHITdWyGMJDo;vH-S}(tHQ#&YmSb2yEW!L|m~Lkdk@Ms9qJ@Dp2Bd^p>R5eW zeTr%^z)`%1!=vQ$XzcCv+xGkikB7w~2Co%bR8OBi_4lYlyC*4I(!GEl=8eJ)6Vs~C zN;vZv=IB!_s-TF|iFW5bLDyg-9qZs2(!ScPcIR-NwUkc`aw~%17ARHO-=E{a_a)YX zlW}?H_Q#>zboX^xl+;U?lBUd|GPHZb zTVYT3PYY=G)}^cE0lFLGClAzKT~Re41T_J+puJ1dzmV3=Qi^W>K-6*C%g--^6*I)Q zd9EK1hvYVsqa}TEmHFZKz?RtGw@MEWhu)2; zPV?aFp3rC{G9!Ysq3v(fhQJ_s^{gxsf)y_jy$J36AdW!hxV**WbBDdwm#*@9>f7Fm z#yg(v3;W{F&vBm=e8*)~@(>t{qr)BzeZ4_~6J6}T7EJl$Vxp4*eu-Wc~k z(1*ct8Q(?=M?!lQ>)yj9C#@i1h+1jGgh$` z1RQ?dRgxqmP|}KOX9rgle)W29a%GwqPCzxwxif}MQEaUkwEK?|i0wGyWGuC=b{~F_ zH==!^*%E{~1Anh7VQBB^$#nN+wEGQ@k-Xo-tepVZzz{zamt1QEF20{7r+R_=>k3+4({A(S{I5EXlDo@;ey@>D(evL6z1RPYYZ6LUifYz()G zgXK!X#9B6#Tj)N-j{^`)GoWgS3RcB9x)+389Vl1gK0I|kz%>WC!zB%18z4v6@vDQQ ztbGAS8`285uwX%*1O;q(t0d~rXbQ- z8NF*feeydgBq;LUJ`N&~y#D&^^?K|9`nC)a{5ywRj08@QTM&kklJdv2Z_tA+urBJl(`874+XkUiL7j34rI6uwc*m~-&h$yas6tJqpYgM*PcwrCPq zZdmtRms-CFe>u(qU0P&vDY(kTw_Cr@7wBNc`sr^l#tn7roLoFmx5X;ev^WvR(+>n# zpahN;lUGtg$nHDjlTlc7+xv6ZJ#)R)OV=ghpi;&R2PMq;fB{u-L5RhGY`u%ywqOg( z6LBuCpZDOwa3ztGL`;mscHxPvEXe+1-D6mkHLZ%Nc0ZMiDnDoFS>gsUD-2yWs+U() zT@-X2au%<8g@-dZ%G7s8kC3pg?jSyUdlFuc1}|V;g83m#AH4-HDCIH%#xO)7#_BWU zHOr+}z4iJId9Sv6!n*>qk6dlTy}(>cMJ0z?!e*00)k?h^`peOMyZ+oo!h=<_4VeF3 z;~j>K@jMA{G)%x?j0ipgZo^%Wt(2haOHk*6^+SP^$Eu6Gy2yz2r4?*xFhj3?@2l2Y zMs5rG$x#HH`}^lc;e*s4+Y>w%qjfLNf~2xIxb5RuHyFr%YPCz+ycE>ft;)^5kkYeg z-&W|j23cd#<;>H7#x&={40+(;1dK9qUu?YLac~&B>RkxYWS{B+HBrR=@OCBZy82d-D~tc$*F*!%{B2d zq2#ED_O$h>>FYQvMiIvMM@sR*L;EXmQ+6dv=E{DiV_)##nV99!YgHoByT)!3;19Am zgx<>x-dFsOQXhOv2d;(hRMpv9{}2dS@0Fr@o{?t{Vbb0CPO;nvq68D*>Y!^7^%u5{ z1)+aCp>+Zw>MNnOC1FnjVX+(m=N$cP3*IolbrR9R+T6HN$1<)fUR8f{1yTAI~uJqm^N+9UDbFQcG`q>_5|$+(hpFjH^2?U*K~SrVG*4F;v9Wt@50dcE;!lK z^H=J`;pECV*JaXyPc)Xm(xBq!|4vvetxX~sv_xY{+(L!V5;*%+x^OvOC-bV6Zc|vP zCz}(=xae2fN^$L78>Th6kumH;6~#{*h^u`*XmRlJ?vh{PJ?Bwd&Ixgr8;`#%W|0u< zTVrteH8pQP{{)t^Dt_+-{G6%$GMZ;AuS-)=`7JLm=Z6Zb#mjW*jhff?@g}S@3Oqf6 z^~oMvA_$t7+-;(j?<(66)O*ni?zE47-zfvE49*Ss&H5Tv=X;U(2DnAjd5B_1SSyb>n5)^+vsfHJpTd8MVKlwC~y!!z9Rwg@q4A?Wf6oo%|q&N)->tl1E{E zF`l$ai2t5!$};6{c#gmj&HdFZm3hE^Xfw=FOEMTAiN z!cJ-3VBVq?FB4i99BIHq@vL1n8Syn=_Hj3P4vTK{^`}*Z47=ux5o~vb&Z^1fNm8uz z@G%;WR3E>Z0@U-8I92PeHnI-=RAzOT*=A>IKkl~VWaeUWl*zU)ow=}D1ZfexDzy|i ztzC!SdpxGX!O~~YFP4!pKG$XFi*JZ-(l7kjZaX%n;^5$5hY1Syv1%I>jEcyLKh;K4 zw_z6Bp}s?msT?VxAiJy=F@7gu@J7Pz8hs*7t1~o98lL4%F_W;YW!TuSD~Q}K)s{o_ z^yCqy0{cN|?HRaJvL<%8Q(_S#D>IfQF7L{R361Q2jraZhh`P)MN^B~!#I;T1gQ)y+ zb90MA5!pz031-fPj!!ftyADhED578_6ZKzGHr(G z`pI`I+HKb&2nI@i@l#z~5+C`jPu)Q6r^99_%KS8!S@z7L1iE}WpDRk99M$Y3T)*Hu zr(63H+t)D=&LA4$$48MdU(das>CgS(hjqI6Stga)|HzYmzgTnm%S29jC=(CEbaB`< zeR)v@>P{moE6mrx7~gjj@(GfK*3#6}HQ)5Td~fF(Ab&~g^gDGvMn<%;)=|tb^e7PE z7sPIwK|)27^g*WwCZ99?V+UbG6ySwWv^kWurG8KDJ%cexYvY#JUU{f3g<0Im3pZ7D zS$wkf#1wP)D+Bq+@JHWq@dQVekeb^lMXAO@#uf^CrYqkxci<@+NofKri%q{7z9twl zDK~_7qCZ9Oh`&F^Zt{U F{||rmRC53T literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0094.png b/docs/img/mips1-image-0094.png new file mode 100644 index 0000000000000000000000000000000000000000..314a9c89a223c3c60ddebb5bc7b92618acf327cf GIT binary patch literal 31432 zcmeFZXINC-wl#>EOeq4Q1WP0*1rY%eEs`Kfaz-VCfaDxh5EKQ;If)XABsqg3NKTR@ zBa(B@q31@=d++IczptO}`~B>C&UuxhYVWnzT64}Z#vIGnax&sX_!seUaBzqu?u*Fd z;NY_1;2g)nJr3_Qb#B?iA9&C1t6AgV5LYArKNiADd^N{=vD(%Z1`Z#i!W9x#Le{llqfhAkIct zf2|s*!#x!ji^eq&CbY-pSCXsq^HwDp_1b$8f6J@cz|t+fib6dOZE&4zkIzqaLS<&Q z;wt0w)He5+8bj8#>s_PiTUULUQ5xs*&e5Tjy?$n2X5`R(%$;=0myA!3F0@+mccI6v zP)F|? zJ+<5-UhT^(x0Xkr%Bbeurg5S4!C^z;PTf83%wKn=_ikHzPurQSF}klFC#o-#EZP+)x>QW3-7(c?PJLTFu9xT{cXB)HTl(G<4wqh{XbvUc2wt`0Wva0%)7MW! zpZ(Oh;y_6GRjADR(PWi__2V$VLuWUi{g!KJ(u7A>g=wZughQ(@Ke>E8_<~ek4bd~5 zflK}#;_g3VEKe`+<@qgccrtp*R0&tvVt9XbU%mHwE19YGg?S2XdY$NwfAN=$_@qlT z9=msKQ{K*woc?mm-peh#h<0u6v|8vDb7SQxA>%`{Q$hnoUevv>-v-*&ALvEJ8DjfV zONi3$Tkq?-jb$g1Oh)nCUdeUuCh490YQ5DO`V%vEx%*X2Rf}%z=hJsY7+Sa{r=u zE^A0o>_<1vrKczALa+UacH_gA=G_xGaT8y^eoY)M{%nA=;rylcu+F~a z)iELJ2ApVtst_OFF)9v0)QAR#=#KHumkZ%1E)~%jju4kU7s5UM_%eR=OTBAn#o|tF z7GID$#{ET84!_|m*XN7rXQI9+e57=Z;({BFua#@N9F_ptz zlW4S}=c_3?1|Rw-{SKg~$rIZlnI*Yz?&*1Xvdn>(DIW)a5Ixp`A(A6=I z-Tv`<07G-Ryv}rt^i2Xw3b~1=dP1Vy=?0N+?G84_BVWsi`L=IQowH$Af43ku+paJr zy2ju4uHx>QYaYzH{NcYb|$}8kCnJSO6H_z8y7qZ#!JD>e;olX8_ zGjMESMDF&n$@BY;pRK{zXB{eAq1JYzt3Q}Iw<~iAO0q{j%5s(}Z z7FZY%RTow#IM#Ze=nYSe_c_`6q^3{lpE@75$SueZF|o2nF*~!=G7$t%GG!?ZCA-LM zKVK0ilO_`&3zfva5z&9$KpYapQm8m2m#WB$72@$yzpsVKNQg}uN_La`l**6IQF6rQ zDzPffDrKcqDAJ{=VXt>FV9C;yQm7S6KXxa}C>P2brdoFzrmA3RA1=z%w#6I2?e_Um z*P)u8{or@mcW&vxq!5Gm46@c06UxmUk^V*(nxnseuryvZ>Nhep5>yvqH)StSE>JG+ zrpX}Aa5IxOQ<)c;PwflotIaR9y++MST_BU$A|G>tpV#Kj^u=i|+wBrxn^~KzsfwOU z36oj1J**x5Y4%!+L3gx-?hA>#7rVVY5IiyPrRDPXM{mB0z2rXaN|z_*;6LKWbjk8j zwV7~dTg$cXXC=RszuA2|MyH#Iq6=u}PwWtL{m%dW;Ct`)w70SsHY3}lT%=Djq)A0e z$9CjTOF414vX_SpS(q4IG-0_u_@wXgPH$5m+t>8!r&+y%)^GT}-Tvkh;^^yG>Girs z)t8bypS+O0K9sPLt&u0xEOap=JtHukT$M*vFtL~KsvxzX*x*5) z{?Cb@=AX--diNFg>h~)3Y2}E|56++3xHKmqeooBE@4R0+P49U8=ocF%s}x&@k(A#T zeyNWB9NDr8u1N`g*eIU=Zf9a_B6v2hdAh}@x&3kC12NurZu3tkn`|>}DkdF9IbCFSxMfSw2;~T0 z|7!T8IH!FUChLT@yQ6@^q{HHh+s*GzgzilR*Zp0ZTyEXba4B(}t}w2MaxLAL+P~%2 zzaPhC7SY=@*c5h5=Gdsmw_}TH+UXJLLC;km-G8L^Nc_El9Hwn2e)p@v z*NCtAU-!}G=ni3-SLZO_gu}e;vfusGv?D4NYNC5;plVtq>7co_v*dl&?(C>fQufr( z&)KZCL+|q5o)^0*@|?-8vmwQ~y}Laq(f>P2_k%8rZfv!mU(DHla&h0N^M$MnS}u3@ zeryTg-NXy@3>C?*?yQci-jsMQ!5Uan`|cvI^+1i@OTBwmy7Oy$QWhIm448<8yVCP0|g6 zQOUt!q4pvv%uQztCN53NHZPv<^_3JI3@T^HiXGr2rm*0=i_dWW2D!;s+HJen@5U(V zUtSsh(C~po;aoCRH1@jEt$f$W!93B9Sn@Lzhc~I?T4IK{zS(>qpd>NiGBl6yYLblW z;_7$V*n{1QnV5@y5D~?_xp^)*kc{49lD^qA(&C1D%bs|p=bpRux73zJe|yK9L&H;Jf;FG2&Hzc{dz+sqf4<*wkL{&<&~0 z_vaM6$9Mg(`jNHe>KBRj`U-`JWSV>_lVWS9foao>>lxIBRjttims~b%F6CxyN4FO1 zPL{XO7Jn&fd)B`H#I;mo_t)^Co6x|op@;7EzvX}Hw>C=0=<>^IE|*%(n2dDg_WbPO z(DpAIa-{q9y*aZpb0MlMs?~ga$jP>JYGYEsdg0tc=%7NSiskT<+d6H5``ool?W66oz`C#SyA>OD zlapkB{7}XDu;?IGWmM&57DZ*dd+zUy+5XVyQ_pu7SB6~ObT;lCerxf6>u(kpct>#m z_Bg?GV_#N*PE>`Ld#OM;?=7ZLAHE!&V}y1$=uUX_2;jssoVfdPol?K7>>!9oL_4nP1g`9fMdIKD40ZYFlck^v&AL0%J>R(7MylPoKeAJGoxGs-X=V1TFho5s%M@O z??lFi5g$kg^+XF*@2^tcSJL|0I_Z9l)WGFIin%%=4vzU%36Xn>4$*Ui_AckU4r&iS z(cciwZgVEPq#}1uvBZaoN$dPcMYi}CXU}sA=FOgR{}6BF!76(9Ze;KSJQQxir}wca z()(AgS2wDdT=TqI*=o^Bx2jx_hO~be!bX7VfHT|gY__Ex#D@BYd0(c zpTwp4cymtk+~VE*rHBZ90`F$?$YN^`_z=#(RF95(J}u_{sl;7mYQ9|aL?Q1-i{m2W z`QmpRgKJBWWRt6JP{6COGr-m|7pldQBA4J*lF-4Qd&yv<@DzMbuA#p2qWoMSzS!nj z_|fArE*k#8xwW`5-nb!*oBGIx7P;Joq&C!(v|cIheiUZAPpZ{CGjg6=z+$519`aiz zbZ>8O<^G1oV?59F|F{&aM5hHsLHINtI>)x?NkPw?3b&xf(HHg)_EuZ-^78a9$up(B zU7$wpNk?`OgZ$|s!)q~AW-~3Xt{%B_%=165me|p=As@mScyY9{M}IF8J%+BPKl&^D z$Kwg>WzT>8fWvg>KkXdyKXZiq@(cT)Cq@45p@BNOGxB$w!vFMT^Lu|LlTNc~v(lyV zn_1q=bZWcAVR!i4g_lPIXsN5KyJf$i>Ehy|si`R?CB-K9rY2l8N)%pW1g-WLInJ5i zBhIH*2uTH=Igsi`yc zNnXExEn#5zTwWq3&MLD(f;HLP!C@yhfJUpD+uq9>g7nNK|Dheahv$eGak6ct#G~1JP zNsw>x_1Ndy@84f}dPd)IRUbNQYs!!))Cx}~hdG+y_{OZtgNvl3LGRzc4-a?Pm{T*0 zz!{>e0aCjb=i3`YbN{(8}%9 zzm5zJK2Jfxn4H;ey|{H)Q(X-YTfbW+9Rc^beG&~*i1X%sKtO_d^~cx~_l`fW^vX4C zjpehRSex!}KiE!gCxx%o_gjuuzyAFBGpylJPWeLm=T^A&_4Uik%awa8zIJpBiOFHl zKhV?D*L?pDSNJ}qX0Ph|`ZXRNo`jUtSUnP;u^va;M%0J5Blh&;wE?bp-{|bKXU|e_ zXkK$_pzel6l8xafqo!`AJ{sV$KLbQjP}G)(FBKwra%5!WXzs^}O;?hr1nk&u-`?9C zc4tJk0n_Tytjl@Ay6L!Wf4YVC%tJ+TUccTh(_B<^D`La3aww1nl%c|dsD4{Re1?}6T9xt7y6R3?<~3>vc};Q z7Z=yeeOzN_Vq#)rdy72KKhu$&oegu**qdt@LyV4f+c8$CcumUKm%81=-D>qFJMIPEuo}DHRoK=hx69M< zRU=5L5Hl5vVB&yUk`4 zj(z(&?RNU)$)io-fct0ne&zCfX3qc9YE*l!FF);z34AXnU74S8q49@mLjeR*eEj8P z!}^Tdt4-Yb%|mXx^V1#4?rZJhiDK`ZwtjifcBS=vln7GJP&XSWYFFB2@mm-yO>Mh>?*|nsUZP9+RstSC*F_(rK02 z&A%eL)Hw@V;K3<1R`ztw;vdCsH2gM9SFd{h+g{-yK`)eVY8y9WTb`ddBjv6yU+gM5+)1I5;pRbB+_am3VQWckJ&Nt_j1qqA9+ zLpl15%fD;ahYcE(bS16}6|0wIKl*xn`WvJ@{Qks)p_#1I$@?Z_@688_9!3u48v0*M zf65`g1tET_+kU$!nr`s@w}pZ8#q8{!?|+8|1fb`|#l$2JVSi>dl-kZ3s2w(hupaIX zA0A}IUn18kb9ksMajLNj;xMgN#MIyQ;J3ffn8)t!ZvTP&SK=77XL^QCrQ2YMt^M53 z$7&qTw6~r;g1!CG>)46YY#Iep98}X$>QNyfA@AQW?L+V`eLW+8@216Yh3j)&wjW<# zo_YE5B_0~~^6kF~U<8Z$0BZ?zqZG6vw72w9DaL--Riy!@Xed#fr2q9U8LRT`^-hIQ zSv6G7*4Hz%s`;yb%OqI=fr zwl~owtb(lXYwTRzlT6X@%U%)N&1Su-fHLND3=c zDClo6e4!}~cdk@49Vl9c2T#tm2tCSlx6k}ZkRw&7Cy*OWN*up<91A7;IL>c7bAS6i zT3FlR*D07CxBVUCj`8)`p2FyfgN=R*_NX5wZY%YS0LVJ9si-Gao_L|F(?l0<$aO5s zc%o$d=~-ALOVt$CXSy!ke45g{A{%?VH-~w@yh}&nFyOZ9j?HX$Mt*+2N!QB8Mkqz7 zcozhFZPA;=lNj9Pc5&KNE5}my#_?NeXGApegF-?+HJl-#d8&5DZO=jJV6|09XlE)h zLp?vRN4mK)H{RFf`^yiEo^{R5X2TWbos@!3d26*+T_i$#a#`AfYF$vOf7kj`bYlP} zEdBcSDKdKI&Ye3>{03e}0cHKS7I6@wF~Y)K>Gm*cc8ktjyLojU`trx$UL9v#fT`4H z4$GI2kjS|}PR>A&Rd0xjd;5>W(uI--4WCH*3oTUE zfB*iizj*K7J^GuW*LAiH&Q7cfOGnl*~Y(VkS~e79!4izo}>!p?Y!wdj&DFi2tv zBdyPIX^X#yEfe)6|6wrM5(A}nURG#dQ9Ff}>Mf0+x~$N;C+gR)UqqK~2J^Ejr+-#o zuV;))X@>2WGU!#WY;K;p!|pZJl@=E3r-nwV;S$vm;A470AdKrc_~`)!k5akA@{qJ|#2IkB^JnmQ=^y$S&-|S-JPaN=Z-eBa1~BHpKb_^MUG%7ca`n%07Z!ZAm~Fu$XCc&w?XDn zF#WWTRZ5e5?Zo!H1%*lB?1bp=&ygcQfA^=gD1Cj?`2d-|xZ}Y~suL|SX_63-2EB8T zMwPZ%v&u$AEPVMm`st=;XVWfHaq0P(?Vq7>_`>4(tfT17H)*uU>O`}~xM_E~TGUOm zB&moTm+h6q-PzR$sQY7@I}l<3o(%cIe*E~cG1r?&^W)L3!|UU~1!7${)Yb>4p^B?z z1Zt-?Q?RK%au*l(qk6~#1JY3e{dEgk&226OG`_7zEIvF2jN}n zFS+D-;;Q!ZeO<4I_^)&9idzBjz~;N}&*~KP_q{@yUsSOyV)iup@lm29N%|76xn`xC zs~Q!*%@ov^vB5&@q7CkKASWIkI2pHDS<`H1yIJ|1qI5iXJfiU z-0Y6?#?xJazEb-vtnuyuf#Cmrp)h4zqrN7``+9m*$m1MF@f-&;wFL4DzMwE0cMMyi zcNZ&%^}Z#bFt4sTEIn#$hE0VTECHMT0EdC}?*D2TY8OO-)D9AHkW{BZ%d3rRcV&YO&R)NGG?k z0C7LSQLwcg1>Fx^jt0N`#0}O-Kdo@c$+SL@zHrbks{ShqbCr?NeRI&BvdKKir4JC+0`RY^s0D*x0zhRA_%qA>R>#e16fWC7KVL zq-psdxw44f^S-RCthBVWq~s35f!*C9yFt?>AtB-MIpQpZXA*Fj`rt3jQU$J#EO9YQ;D2wAqLXy{k~9 zdl$Rh4_4zs*)^~Y&B-S7Xd29y?_5` zjgbnT{0-~%>kkiJMMp+P#>Osez}Bqosl*HS!0t_;<*a}?jW7Q2j8yG!YFDOYR9-viUy-`y0r zE~I^#-LuWS;yem<&G^Sv=2QUTP^89Pa?`WCP!SOk>W|IM7ph;A5)q<5euj+x;}^An z-T9(o&EHn9()y*OWBBty*ze1e5LEpDoEHYv)p4EGs_6KM6Rj}73IPDQBpj>QHv)NvX-Vei!fdyk^OCED!D`}C(AYj2)$(0FxuTwGj% z2q+3gzp*G$W!EH{hVtGFd z-$nhU^;2P=^z?Lq1XxDC#_gr4ME{1G4_%8uF|ddLK-Ll?pt6tpnsNlIa@n=36=g{tK8>4Ce?HQf1OiCSZzE?rLga(kzI;0?`sK-rq>B|X{ zt?ljkpV>gPgp~cS%en0>iFqR_uEtGSQI?{Z8aRIM*>=$zW}w6)Uth{J`BT*0^hBkmrvBIl8YSTfY26zr-r#Q| zz#dpPsJpyoeR)pnCd>hm^35rzE4;jgz+iGrpfCV<^~(Qj+LH;}DpgOE|F&TZm1C6o zUt#}hTfA$1j@ z{`!GY7#}D~w%4L=JLc#Y&sXpF<(mSqw%B2{uvlb_b-UtT|6fIlX|wP~CCa3X;7Ouma#X&!~O0-=b1!9KtD0qcF4N@xwHi42{C> z*gee^Pz~57Gt$+wpLoTPb7+@MO-^nCu30NHfn&a-VrYqS#jP~?Ib zWkVBpCZa6d4)-@9v&pOG8c3(A0mSY{mp;?1xvE8ki~G#{&46;2c6sMmg;Eqqk(!0( zF>mh+ivI#A7Upg$vE801j_4MX5&*KsTglngZRY!I5ytH1hK+&X(9hk}xb^tAbIUapIuLT=>b?N!hJ9?bcbLofYlRNlq4=_k2Ry7({pf{9%5eV_ zA1~DD^|gj>NdAz$We_wZr<}UW1=>44cB2Tip+=p}gm8 z08Wv8@IZm5&|=uUw+?8$;x>@?^gbP!wcy6*KRO`G+GFiN*2+*8+?~sXv_*66k;gp8 zQ!j7t2Zx`iDXRbm4D$epjJ)V`%Vq1Con1jJ2bmF6?<4T8ALaDoW!bZPxB-EIfzi=T z!_-LT!9sxmi3=nxU18kdb6MpZk@)EJ1wo}g5iv0uKC9}MZzNPX&YR{u+puhv{h36B zgo>tNoI07(%MiT4yd+tnDA#%sP*_=6Db}|N9X{=?0v4pS4dpuHB9Qm;VAupeItU0J zj18NHYk@&Q z3i9%rC`{dOh5{&@G>E`HK!5x8ZGQepR8WnwzAy!8>fiL(V)Hx?i6hWP3V95AnFT9_?lkbd!#t*28KmP zBitSoIs_kjY&LRM7G3sy|A&?|dHl$b!n77!GqYooI==E~=^W(11C_Uh!36w+|*2!~Du zMn+yFC;v_zal1QJA-NlJ*3;gca_7zO-@jiRq%I$`AtE6Wa@ksft@bw7mN!Nzr^>Yq!fAee^}u*-gqH({L{PW_f~EVl$Xw(vl{tw%+YL(uq@fv z*LN4BR_Cpy$J>Oz0y^Q_e}!W|iTn54+(;5)Nsa|u0Wt&Wm{B%%ZF7@^kPwqH?E0H z8nA{0VQ;sC?MWb~uqxUWE_{rPwNv%mIa0(~RC;`!&!0a>_FbWc&fU8nWfVtsE%t9G zX=vOo zG7UnT1cVRJ%s{1~Jb(WDg$oW-ZD^>2``a5|Me~GNymr(CoeDWK#b_1 z$ww*y&d5DCo(I4OKpT;fxdY7{`3jLDAG`bGOAYrzh1t(&Qak?X`2^oM+AA;+2%!Iu z&7`9*|6BL!)RBU9^!_t~|5G=pdWZJ3o{vwzh;%KH*U|+~6w^boxTQZo;&=oK<32yP zo}otb_lmXO7Y?^5zI0F8UG9KO500A^Uq)%pu>3KPd5YkFd|eE-bDiB z=!I<8)Bn**lZD@$2$sSv);lO5IvpGl!Dl z^W+^ePVKT37AP@&uVeU|-^*S*nzj)um}3up++PSJj`;qTQsE~b?DVXO$}vxysWBM| z3AKPMgXTz@3z(0eKBWp5g1C3Cf4Y{|J>AY}VSq19>BMQm_bYG6SVL6}Z_u2>yuHXH zNyN>~-JxU_`U+L0WVnI|!F|jiNI5tZb@d@YQCTTNU5V9kZ@E_5OTcmEV<)fy`?=2S zvFa#CJ-d6Q*g0tW%;Wr)4I*y4o>U2|s{0{HZy z7kVeY#R}d>QYe(*n<&f|oKUn#rQ5y~g95+{d;xK!{!b)~m09hGFnTg&$DG4l@Ih7G zX+(X%c;Q2rF4WXa7#C!LH+?41?c1;qh>guOAwTS3U}Cz@1KPT*R)tHiRTqGUH|`I^ z4rE3D#zwQt23&G*4{tb^Jy_cI%y zVi<>3j`fGxXmg~gPze1u**n`~fDc{9LsSf!!p}lE(qQM{Fo%8TXD2j8BpSIs02EZupPurW!zCotG+}U7 zQE&j>wj)pQgHl}lV_YIy1cRvx({W=K4yEIM`tviyz{1aLI!4CiFW=p_ew~><1du&u z3wm=P{e#m$aRHf4mvx~Bq0o-i2LTx0oKBA0+1=H6h0ySsThW5f-7R-NCUx?;?G63@LA}FZE(XXQ8YFq7dmg@tchveN<>qVL9`i)f7C^5GqkQ)otOm;K0 z$l_4Bl7bV>nfbQu)brb^4j}3CxQ9eXKTZC6MMwxK^iOK=ZM9SZ--iSPD^C#(t6V&a zoG+?>BWH3lIVs|!Ci(vUet9#DJcT92u?tXwsiB#i-22EoxmW3D$mdV}AjLc#Dk}z7 z$Z!d|s@drqgZ9HpnVP*=83;J4(`vT)GBNxhOtq{l zOb$^C2KmDK&{~s;;HuH{yE*Qz)Ym6ZhS>vvi;aO#lBxB!uPQLFC@g~p{Mn?fg&V!* z;#OePj*p)2cb^)4X6R) z2iC{P*$~F*zC2np`nJw5&TXf@Rw}A-cCfV2|5RfZ_bgR_n>y~EwVjGA_D|ZmDx?fbii#JEVj&4f*J`yDtQx#Kx0OB5hpH0PQ1-&At}#+Xmp3JwNl zW7w=^2SEA9Y(en?T_w{%=-an%`Kz~J)tV>$fS-IJ9Xzn<2mXQ-F|wKN48W96icLVM zNBU?^?9LYb1sRc9JquL8O`rvV+w}_+sWc23rfj3n)RBDHNLlAO7a$gaA zQW@;9H zSjbzgqw}vb&A-XQf`v3~B20#nioLB?KIrxC3HS-*6CixSGPE$295rOWkIXLUoJE$u z^Dy%g>~?O_qk-kDnXU{XK(5Bux0Zi*X|SoJsB2&`K9KlR?VvHOuBR{VpZwu40tNb! zqBL}uruO!lI;b&54h(?o;H7^8@W>-dj1Pz$gL+)9&|7ib&Mh>tdkUl&3=E(ht@O3F z%62dG=8884V6Io1OL2j`M$p@3bFGhP{gszO=|<58ez@obyu z?Brc`b#?7}0`KTsf(}qa89*Z{^J|(mz>U}ob*ceyh|Xv%uSIMZiPFDUwgD21&8$#iANdC_>2;^GaX_v!Q98BtL?(@C4<;Uq~M&qP=}msVDG zAY={I)MCbfwOTz9-`UJ8qVs$oqeO|V(9MW^nMnS)MuFFP#2AB%4S|?APQp=bDojllns_h;-cDomfi#j{D z^BxCN{?8IyQ-uSH=op%R=0qJg8$|K@Nzz3I&pxfRSNmJ+C5|oq- z6Kp-wVv7Gm*~3$1mHP}86T7EfS`LY;f*J6aeF=7oCNAir3-KInMX3POWx6#^GA zQq86B5Fn%dgkjcRJWdJB+Iq-S96eG@-Q?(d4hz)pU~PQg~`EN`TB znnLfY_-+j9^$P^1iF5RT$it3BVRp5jfxIS7Ua0iY{;qt4X9wMn#5(5N`*c5A6V50+ zh=I-^#m#5^cse}AS%S)6yTOhkJz>bA8UpSE>`f(HG>yyBJ%t0a3UW^E^lnJyS6WBO zAA^W(d-U+LB!L`MChQ zuCNL?-6m5x$~=FpLck0Zk;A%n6?IYrxY);C}C4X$uKS;v6*Bmr77p6 z5N#u^Q)VI0XHFtc=lQ&n-9>h$Nzj1C6NtT#@+x$=-3rYOlhlZ^b@{2^zjeD_L=F^k zoAm*#PJIUM71fY9L1*Rp`vhoEs;LzYz7^^~TiOJtS`oVYUDLoyc3pX1Bx4gjjv%r-k11 zk549IY&UOqn{-*X3K}*X(zqgpZ=$w38EC6bBn~^ zD-~}9U1awJf%09XWlK{Nte_KYdPK<&+GbFn$?jUYS)3JT?lcSqg!mn70?zWaKqWe_ z!ENk)87kRLFQhv*^Ig-If|ElDEduQgliHR=@VTIn4L* zblv((?DRo3B78)K0aIW~1Ow>Ok|8Xq+Z|BJTlEeYD(Xj<>ky&S0N8^tqZ8n2y)+Oh z$&0#cYsIDg`VSYjp>}i)0aSaEj|DI)kJwZ4Q~oTzQ6bsRFK^m6d{_3@yiq3PrB>q& z;6MxQ0`{&%AS1P4${U{3S88W{34A#CeVrM5e6UNZt3_-B!Ee#5x9oxoHCcMGv;|d_ zfRyCS%V}cTh_j(`HnWs!{v&B^ZXLH8BiK}L16q@3`UV)!5t`0G|GK{ELK`2HUwZ+b zm|L-L_GLvMfW-nKxLJE&y3l2nK($({^pIhm-sXE-s#~=je+*#Cf zXpag=W2^HROKy7n;Q5xDp3l1e4KsS7J^rh%#L+P};lf zQ=l)Ox}Y$&HdbMTcxY0UGx}g->ODNo1I>}+t@P`+ae}tb@Xi$62h}M-c@-Re=JUw{ zL-y>RKjDKzFH(4G5)dE)&I(2q{n+gTz8P~Z47Hy3Ez8@hHqrG?=PzJO-OTOYIJtzHEO#{ zcKXa2Q!n?uW$%(?Zzi1z7gBE9S?lXYZSlut*8uYIJpI|WrUE@%buYx~`693TgD3%y zj&V*AyZ?J@4c5x}g8ojuaxSyJ8yS(pAP>>~kgzk!IeY&6*woQ%?m<&-=G8Tm5vyPp zmCUDHvUX`Yc(-_qD=miatSg*;2)qaYe|Qsb+C%zKboFSBuhK8bZOM{*`}<0-$B7mO zJ7w+57S(YdURZx;@sn8%+!8?X7hu{>_8o9@xv3cr^SFV z4wl$NMmb7a0}``-3^af4Z-dCw`N3%<1KPprime0Mc}5Sfi+>aclnx#3C2&x7f>=MB z+jTxR7NE3iubQjr(2w|gFQq1&2TbWfc9=09lk~zTi*;G4Ut6=WO}yH#F??Rxd>qgK z%W1jD8^%_k1Ft)Q4O5+m@0j8*VC0JRz^b}RFDJjze`!9G+&H`m#{mZQ!tBCW_UYvP zIS)WBseN}zB~_k2;{b}_*fYL%3GWT?Kr>K4WT@vT0SY!#5d;?+wt1#2&D0Cp=6TlF z*uOn|=hBjrPs$+mEXBgB7<38Kta4>&lQn8VN{4hEqD~DZq`SZB4jH?Jk4pSmIx*Fo zpH^3`foAmKaW3kD-|%A`ON5+||L4)be@mo9=;-(0K97zrgQW)?CMF`HS!&lzA65;- z0!+DuX8jY;x0t6;o`<;ysTurdvXYW4D}FQl#l|B1#kwN=^b8COefhJ{D$b;~0to{! z@A&UJC8CnlxYHGjet!3{^TU-wmoI;z=lyQkvCNj%5-Z3W_rPk8K;YAZZ_Ayl+suOk zc5^?$VgY?k)vCci`{mVT2sq7ih&X_inD~FmjQo~X$sa$a*Qvl35$KrRF)e9>#e_nur=$D* zEpxhzi%S_lm(}%>)Jru~0{@7|0>E@V0`bsnJjOpnCf7<1B5T0axmjNU?y%k}mN`%n zsUx5fA9B|{`oh0|4Fu^=(4Nc5x@p#TUimSI8Z&iuJW9ubNB$k~h&l}T?y|5CG%T~= zB4FnNe+q2y^tiZT=uXZ>Pk}*vbaWKL_Xf0rpzQ~qdoXil0523RcxnpzMNSq(1%>is z5EXzd%CTexjAdfY$B;9%xVUI`6U=`~ zk2_Ny<`lpvTjt9FJLDjMD^ovB`ZNj6+|*AH-DK52_RF zAebF_dRf8s;Fl^o+R*vwyZPTsMY97#O1R5F*cy+e|97&BpP!ap@m2t%wi>qW z(Ne$o=a3B&D&zn?jrj>I;F6H^sN)h4DAZS{JWRyD-L7>GQ#S>vhLt`tU;u%C7Ie~OnPtR5l z<)bi${7($$SXD9=rOkeR{+ijAf&eu+eBIx7* z35j6N5H`k}r^5|En)SY+ge&|C}nx#^-!tNbGnbp9c%g%A$~6Q9I1~Jeh!@!|c@x%GFj0B#ZMpm5LC#F9^FlU@kn;xH zzfzA!6_Ss^JV?qY6I=rY4M^m-grnyIaY9K5TuIBgXCxuR)`4A<8VP)i zIA#j$MgbY%UeSn-EVW-$b{>Y~E?rn?dP+LN=@_b+5L`UGhh|RB&MH_%W^Qf;{9)%s z!S%+|K{daVVt$q;qCI1>O?hADIQfG~Mf#smhvR?OJUY0gVyl|q#q3%d1+N(~sRl4!MGyVC6XN2Y*9SxvIu2dA ztN+Tda&U6-!k1~(70yGIZxlKp|bSlw*1JqgT?w4rgMGu!*Y>u>@!~#!c!$WEA_^U@p;C z1}Oo|@vb>c;~>{Fe~$aRapIBXtieMcK-LkbaTMtS?g7ZyF+uV)(M83P*KW{@f{=_n zV7YOlvpv$vd>9}rb_wJxg<~EF7M|p&LSQyIwDjW1ZvKO_;jB$Ns_Nk9=6wI;{CoyJ zc#~BU#fTgq7F+56(-QSUL#a>4_<(@}DkI3WP;kM+F24ZnJGJnb7(p0nzj?xCU zsA{Lz|L$}gO{5Ghfpr!dk6f8Ff=($lfVJvJq4wwF!x0?b-hVs|a;K5ZLqmqKnf@XA z9Yh8yM^{K^Kr$Og!6KNC51z8JU;u5p0HC1FB=}DS;sV3WX(l(^vlJACM(rQq!(hmB zfH48NY;JD0Etvr11x*eocnc0mLlT%657P-fxGy0l_R6|P3FPxu1K>uRaPr8qK2zWF z>x)w+;owyatr;14l)49A+?ELLN#IZ`PGHCUCr%p({~*w6e^L)=OUNXOs{WqV5cPaV+5 zLlUG7ZZ^P4B{&vaXQBiX|EUZLpI>)VBMCazae^`}5|C8D3Y@KKOz@RVl=lXuTu)#B zXmjajLyzC?=06nXpMesPv&nS<*2+*Ox^V4o0~`bSZPZ-0hr;YUQnChef(?LbAYh}# z3`bpZ%z%7!J%MT0w*>R>e|I^gVblf0*C^ivVAzb|nLon=AEBX=^};|g_AFnVt@cB! zf}Z;1g&M^Q7svb*^Aq%5CfE7-`|Pa$>jT3%eI@pPsoad??CN>X@(i@yaIb>}HZ3xU zK{{{+=ms1_m@WGNzALF6&sVSd%#RGM$b!Tl?WSmAH1y6hux7nEH6}_BknC|q@s-GB z+6&Ay(jpOQI~b9`4#`4-f=KlX^y<&`7Qn88N|uvy`X`tdQe0;4o&94wM6Mf5{}&HI zx2q)eA>an~#uLYncTRw3=g%XHz!@)Prv0^zjRLcK$&}m%bp^n_fK#6pj0P*;-L{=TH$4=pb9+VZ!aX60B+!AWE7W#)B6{B&DrRorx;3N(Waw7fI#c# zP}CpP18nHB{s{jTh6ibwGJI9PA6oy7vxw@Ksi{Ve97KMk-|JNw;S`!5&TorlLCQF( z^B)}$$Kwa$qxwvWIsY9F3UvkN&H|u)=!G1s!6yp_VNI=Dt16QcimYkXP_&WMRy3e|Z8qKXdxD`EZ3GGcz*; z`Yj%yjvz5iMp#tm!9;@=hq!RV1yBC@CJqVw(-tR+u6jO0H~wp7a7I|*a{qfznp_{o z2W-!woH_@fuJe@8{k@zVbb`L%d}8Ig)P^ zF+Olyx}gXZkp$$^48GuARrUoRY*-Ca)y}@xwOShaO6$It4S8+G==Szl&_E2{pKE*> zra)5QL$nAOoAfjR_XhNU-y;PMDzHHrRm_mSe}8K%NEY}fJ8!Y=tTL4J&SS6r{BFi~ z{2j#zKCC4o+rU3A0cSwp!Pnq+AalV!GzB@mgXjNh@5;le+}m|~w|7YclBh(|s7R5a z3~fZEXduay1`RSL$=qPfRP7=mr6kL^k<6=1MTQEMxwV8W!XjCwwa)!p+TV4)?>gT( z=eo`x=b!q^)s=Ux_kEw=^W4w<-1pN5^suP5_^Y_MI1h-y;MPq4rn3t>G@|lSVq;@H z6`Be?@-Q_B(!C%ZNOEU!bG}Q)dNAk0@2~Wl)nf_FQ%8>&{sZB2cU0BWIuZJNGbOpZ*3)!i^OaC zH#aw<>7tlQtXic_%2trbMh%-nFOH{$@wdsj2zZ z6%~~Iao5(*m@%WVO^R&VxbzSSP_KXSk9(R!&Kw%p-)7ECJcEuobA9+wG6t>t)lk`S z`3^sR2!V1SJU$2(ruP0H)7O#(2GM!BnRPVq*Xe7#DtZuun8MXoAB5(Ekx+*QA9=g_ z>))TTYx-2RKMM3jISh8Jo&t7umkWqw(d3A5aSgH`;o#<%L5n0ioLij2HHB|$$IZjX zmRD5VEQQ4S^-*-ry>`P8QY74i-nuPk@&_c`wie_P`Tpwfz?0K~0|StA6^Z>AQwFad5~dMxVh`jiY4-=?sKkHl$Qr=GD$xkaMlFc6L=Dg4W{>^ zbvIBOzRz<4JtVNwOC`2zf4K>Z!Py(ey0!gbU#en_s?s$%RJpqW=VgMhwQ-V*vh9LpIRFkM?o@UQ-#!@xJ2>k*@jK3sx1K?Q&Q7u+q&Tj z{<{p1aBTWpA0{R7mWA>O=OnC&a4DTFvp(>eOxQ%7(e~ekgdc@1UK_AL$Xv?h@(Qis z$OkDxVMXt37bl#!O!Ju&ysgAQzV^nBuo=1bF2{aZK2K^owZLja^_KocR!WX{9QeN0 zbn$&q8SfqH?NW9W<6JDPRBnRw@S2k2tLqXDotRZwTU!^u0%OFd{Q0`D2 zSxIPoW7OkJ8(JR6yoTxa=qhB(2KJ|y!l*{e`C#g}hm`~V1u4vO2x|hQBfi5w>4X8i z%(p;`iCA`kUt+?QdhQ(`o-T0Zx5WYcb0cK2yme8lnOlE>vuHEMlgAAF;o-K(uNgeK zaJiOY%gBzAFK;D1mG;YG7|5HF2*U@~W2(h7pMQ!rJhx2a`lYGHw`3M))P+6rLcm-1mzBkI%sAM1ugPTgE zP#A9>6hjrE2H7uUl8?5YA(y>mg_|R9=lqv9lr8-j_)`KO_<#nMjWR-sJ!(Os;v)tn zcJAj}TW#S&a=O&NeNn{0oALIIVW zi9n3ThXU;tYg(|1gz1UQZhfyUyLUMEa&K;{79L*G@)7_u@oH<7B3c)s3l+_$3T)dW zSyduj$UFi~rV(|l-d@G>Gb&YTZ!RSzr7#}<#u03QNcFn)OBGVlIbFPqH8;GG zm{y%W#pm4Wax!W(uWd3V{TTPl?jXUkG>BiZ&E0Xr?aEQi15(@OR78#52z6f(6yw&H z0|%)+vog)t%*^bC({PoYXT}3N*EI@9o?r6)K9CVJxxRXID28MDVk+fi&OosA?(oKI zIT$tifY0L#MhaqpH8{CTbwX6Xf2^=7;}M8v zL$~#U4`x65lKo;{t6mVL>=3j*5&2$*3%HW^CD?fS{K@8 zf}Dm|TR4;omB!R%z7%s~?czrBk&~+t&7}f#h;6UcLd|A6xHm@4qlmRnXK8(1gh`gR zKkPuaE8$SRDAI5g3`)>C(*bb2;IL z&zo(>z?-K=m02R#-ifUkq6(PjWVftVgSrhkV9uWqCU^C7Gsvar@Ts@s&|X%08Zvts zmoHal%lLa;cr$e$7&>JiCl#VyuV_4{a-CFu(@2w)=fVR>OYK$@B2Q#R6iFy*e?P!d zO3>XGHs!w8`%eWVcHF1)KvL7JWCKcICEqYiP^=}w z6)`y{ti8Vg=#*E=RVzsb!ERMBv5u0Jm6cl!f40cIJP4}P0K6>a?tOB2xzwn@a3a>< zHy_(vFi4%F-wiLTN@<4A z3;U>z({J@Y*llFyWayxwMDrFb>O|Ju^|%0jckov!oNpHF!EokvVtje=<1moS9IWM! zl`9h`Ob#X;5;!?;(WVvEQ7SIyY;0^qj4>aR@DrV{jXZH^qGN7H&3Y|=w7LK+Qb9=; zR5j1g^Jzz3T;Y{TZV%ZiqcU>WI%Ssnhq}7+Fdm&%g8*V>Ek4fiqov=Th)o?ZHH7>@ z2i=ATTtBMopy5K14qhsqAPqIpQ24cg$O4^BDuBAdMM3 z>cIW66RD46vMw^|X?}oyUhHC;|)y!%xih3!fLO zSTaICs(Kb1xxW z0`;8x4ezh?=U=gGs{VfllK5o`8!VgozpUkhOi-fc=p8*&b%ZE)ZJ07P3{$G^Y$Pmbv7Susm)OoNX}l2FKeQkP%y&&yWqpnb|iT0TnqLyp*tmZy} zOnE#REq`}-eS#=M7`S>p*Hwo(iOC+^qu7$!QZDTfRrOR~Ahu(LyBCS4;~M0NUEzC< z?wKw<96j02-p+l<7tC=JnOQs=(XE33Q$hA4`{Q6-LM2XxAzVI)eBY)hPE#9OL1m1@ zZVZICK6rS{*Ep%ri>YIti6uP3HKti-jYaYYie{5Gc3ny~9giG+(~!c53*K%hu8+YO zI=t~73(go0jgMi`<$`bTbZ;jYVsp?E+iY5Fpv>62@Iv{E1D`NY!`QO``?X5*##;J4 zg)aO3u(qAjhA=d>c^Lf8TG;lE&V|`+<-i~ejnW7^q^_U zKs1ZGFPs1CZRtt4tvt1(XUk+SYu(RbhI*+SOGL;U+DAFW0L#Kj){fb)9K0d}k-RT+ zs=UCEI2L;rwZddGj=H*8*LzLZ zq%vB3lFJ&h_PVzD)Q79SI_e%sIZEQl9fAF(ae0Z3+TaZeg@V!K z2$rkKu;;gPF^U%(DhYA1rOM}yXKPc~si4pEWWQp80dXdldy^SPW-xGs$9PklOoRf| z^uD$;!!yQ4sGLcPjWS+nppklST5-`4&@H@zfTy*nSVf)4a^?-XV}Hg>JUZU(tLEqh zl$O_$q~%>#>!W(uF5Iy+ zFsxTLNCk0PTH6ZXJ+S}Q?j=Y^6P7y5wUqm7eA!tK!QWd>V0T3Fr)CqnvjJNz(p89* zjnA3{l`oQrJ)p1Z1i=kK8t6JcHUlW0Idf)L=gX!fiwU|g050{lOrEG?9mN)XJ}z>n?@q8oVJj&^bJ zHU8jKHQ;I4F397=G4Q>$1=T9(dOa_sjsWUTWNR>wsQ> zu3xc8jv=eSz&@(f@*y})+OtLGjO<0`#W$%NPJegY;5Cfb)$b64RV>*!K*hSuuXbK} zU=A#gqldc)dFyPsVm-Q8(YVBfI}A#FSQ`|a-&0{!J)V&u5F6!a7tpqEbo?yC5WAYE zUFRd2)5bfyH~ls5RmGO`2Sl-&)wO)$V&qdwpZFbh-~%5fy8Le7BVKi4fYlY>1-1)r@&bGQ3zALQ%HZVw@Ljn5Bb zcsZLU$wmm#00BCVw<~KD5W+vS%qyi3G}pzgZkR0W@%$qYBKF)Hk@r`8p zeT{&vK?%Uoo*;gx5M|h3CV;J>TK8k3j-?;>q$yZGSb6JE`thAndk6I!i=71QVB1nr z3TbxjNp|Rrc5XFRaYR%#1@q5MZoQ5+WHoyuY5(Xug7|&h3Yqu1y=Mv1JO7xubb&%Y z9@DDi{jto*{BYBAJKewG8cYYUlw&j zLXwrYS8_46+^u-7Vu{YoIU)n_gc10-HT__KRVY7gS>Q}`QX(<)t7ETtgn9Lyz@DAq z4=-=P5ezdM!>gx+@4*%V$Te}()MpDkF6=GOOS!%geWg5`efA8p>}?YG5#vdpq*_xx zbBstWJtc#hc1z12=;xqK4QHZ;BY)cJ&k~+}thBpVeEaIlnv#6@vQzu9Va*Gq? znoPNl5tzjA-{IUpjnG;jkp+&~*Ve+;d05&>yxWnH5U=oUz7ShYQrq8Rl40a9gzS+j zMVZGGjN{!CyD7NsGdR<)cxi`}($E8my7m5r4s-|w?3rYsc9oa}w#u4sGUq&Y8J&8; zstOrplK}X0GVc z5E(alHo`KW_^b;G7VCGFt8nDdl*%lM0Uplx5pJz1#jEXcrjEDxUO2>h-!|695bft& zfH&OMbM&>vyhxG@%QK5~3gs6_EH*B9{J2vtW^}i^E7~znRXC@+|MDkaSK(D+x09$-90a2hZeM4r=tWf(PVVE>dr>VR`-byRJP&Zo73^p z3(HV+7b$K)CCk=AZ@|pd5%P*)l-GeJcgiAvDpciqosC) zna~~Ko$^vB+(m0ILUXiFMJ#0KY5+gUgNck2RCLLYUMH75sp6Bh?9fC$(r(M_4W05D6=Htaa^}MF*$h>Z_L8MTLj#i zf*n1^v08cScJFKkC6RvM9+ucFHFiB2#LxX=7Qlk;ACMy|4DxIq0Kk|~a6yVZI>sRl&Tlb0_Uel$tWHI4fz~*8rr3MfX z>pU&Xt3@QTVnY`ZliZh{9^YT=FW?XEzmsLaq$Wra} zI|V>dDo54>(RChTBSJ2n$P3CyJK$40`b9^*5gM6+Apspx0ZyE|u8zO@1dT7xRw#U4 zBi6Hs5GEyR^l7Sxv>LV*cIms*1<5=&|5vQSJa*uN^j|GJ``8X)UUIoBs|zOTFAI+krUe5w z4C$p3hv_4&b%`Rl!)4;6tElGLh)s5={z84hzT(k^TJy`J8Q$tKVHOgI4;0F~eL8)sNGK1~bo8`)@5%r-^5@`|y^^_i@*&@}3D0kjT z+g0w^uKJ#UYg;jg5&_GhFcaH=NG@dS%sgGYSiNctHmPcgHk?!|swZ$t*yZ}3>7UFDBuwG{EUeLk z<~_T=aQxayHPCh&MYKrwi(hPJ-KHQ-rXW#TccL-n~j=ff+|T0*Tc!!=JPxZvzh z^U+mi|8xXlwmh3KzU(ogN7^*o9%rm7eTeWk*k+0wCVQiDdTwqm zJ&rVAXiYhGUgJ$DS!PTauXFdz4`G1IL=ty{7HINCYU{bXBiuOs*bsp1dsk9x-A2$&lw4}r zsg#Akx<8*vBqmxsH~A&5O}2}3zCh`Y;Rf@)iJeasT9FoDB&Mz_^bt2cU%#}fchzm0ZBOQ8N=@}azCZdPA_@nihjGd(<4Ix*MXQBT^ug=^ zm*>CC1i`VK{pXqc!;b&BDk1g{2jJBDTAxIGC-D9D!b8 X438FGj%{=!SZL>VJ*^atBUkjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1N=!uK~#90?U!pvQ(+j#{g!ri<^~3ZpM;S7;D@emrfD-oG;@VRG!aEBL@^T!69dUK zB~xsg9cOB4nVNH3jz zdv}iA%<`Jum*q7(hGBqZY;S}z#{-reZD$tB4?NqY?LY5d;prdg<*oAUvh3rf$7@tI z{X_k>;^mg-isD2oHm`u}1@;a?2XgMZ)AeY<(Z9(X866QH6l3Z2r`8wVF1$H$^Vyqc z=2Y$)$JFRS&AbfB>_IT#?M7{ZZ%h|lxlb@g^x&kIcn<@j?Dw+>Us#?u=) z5uEh4bcI1dq#jXgo@%s4Erc87kL7d#Eesb46V6D30I>#i(nRhcu z>ZH|as}Cp+R18!=2r`7x`iJ$ZC#uAjL`=yzm0=A}5Gg>2dd7Q7`%8=N6!DUIpFV#A za`xkF!qtRThga=u-iPwRP)T12qhpZ8xkScsT>@N8CKEdzYSMm-{hpdVwL`Thx=v)? z$aG9_1dr(T``2$jyp6sR4JwYfA-6X-|5iSz2s>Opn4Uu#VJ (egG9b z?-Fk~7hDyLz$9lTv*XDcW#FMAHso(`&vRdwy>4aD%0+n)G74X#8l!|~gu5>6vWBPX zP=SZE1(XL6BqgR6lHeI&onxH{rXS6UreZR3?ttSx`zS zV30715T8Io7>THDly8);PN$o<&Y$u|$3~rZ zIP+q8XvBDBJbKHr^!Gwdq7CQ}+R4XBp;SyxPFl%>X}h(2Z$7+4xUgBH5wSYK;0pYX zxE$e@<3@Q?_}h|}N$2fkta&iQh#@3C1c`y89z`D5P_Qo(chQ%07>yyn7{3;E%lP>C zqARd|pD>%vOf4gd7NM8PYlKDaVs~`6Uay~;n)=yvE8mxFaLebx2V>3QjCC)0}0 z7q_;z8VrVCke0-=+Wzxw&a<_B3k;g)Wod00009a7bBm000ie z000ie0hKEb8vpjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1E@(vK~#90?bmBalTjE4@O|i$ZkfYNh+l-6V2DVVmoiI9%{5cAmLo;6vPOuv*0dlm znydhFV@y;!X9v%jQp5OVO z^BiQf8OIjQ(cV|b7ELafgO%IApy+hEIS(xPX}==Z|IxGGwf*=0zGtyow2!nz8&NJR zufJ5Ud!n<8XSG^~pAQQq!eD+dol9qAGF-A<7-mSsc$~HYt0R zoIH+qv3Fi)UYDUuKdm>uF%DaYRimn%7k7H)dvOKa?wj2fi^WzCyYA3*1Z4+pkZn*u zSHoD?fCtTk@(1!25&Z*Fiy3wyO4K*$7$rS&#+zBxE6_uD0)OI z0Z-5)2$6=Ys#-&)QlO|jzJT&r^Rh3cn#$S#zIn2bfi8!mdd|Wyi2n`8O9uiJ+eck%-li|_w zXrCiKUQu4^E;U6Dl_E%Cm9lnU-i^I4-LsUuHoOW*8EqLcL=4^r(qmH4IE*BI66TY( zgodW#b>8Uo%kX12u(zGt7FZdmoz%8GYr*U!E0VE;h?)pAlHokv54f{9toAGIBu3Gj zd^K5GTk5{Y9ceK4Xuya?27uScroEd&c7(uKy?u4eu9$+O1*$HU(P*5<7RMvYY&Q4x_elw9 zk+`T@R^6-VH5d#(|Jz$M?+?qN-u!(2K>jPNchBDS-6OxBVGFljP)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1QJO^K~#90?bYp1T2~wga9{6lSd*^nmTXy*t=XDoU8l3^u(hpjbJV&gv8hcT)|r}G zo2hkkO>0-$&W8ztfTc*l2M`z!mMT@KMU+ZaM8S%{MdTuO0`~zf>?%vsXqN>BrZ4)< zFDK{4z4vo|IXCB|ENyO5BAc5O3Wb8Rl;j6jbUNLthqKW!d5M01y_dXgd+EJc-srfM zCD7N=)y!_ac5KcKdJ^w}pl4>*L1wD=9^<`V$lX)K%Q)8Y35mb!Yi{n3!s-Uql-U9P z3mmiO2EpFJ$)nZc1Er$hJ53|AK8H8>B!DeE2^!}8Y{g?@g}m^*xJNnZTyQ7A8ycC) zKEW^gMb&5U*t|jKatQbAYXvOh6&joKRa1xe{~#3i8#i_tn+E{E;j{egGZN+aUylHE z%#J>^A*1uih!xgZVZ|fQQL^&7aAI^0DsRvhmWxal;D33)wJ5gbFjxai0~SRlv$PuMrr~u7?O;4|BA> z=DUkmg)?*R1D8b3 zI^paMfk9_-hA=$g=m|-vlK(LfxHSw@`@HYu-yRva#_3gG7jDU9Oy#g**Vu;f#ztZ(;Rx|Myx{n68=>jWI@M%xa=8com z)cTe5CO^3k-g$(}>>iENyWAwg`^%%P5NK$*ETFN&{$LmlVe7otj>|qE`!buMTB&~r zv0c({jM;O!Tn2+d+B^8>0b1%sQw}4P){GuxKv(Vs?%R-M7(;?scrEz5+fK-L*F%LI zh6`%cq{=_nE&ac}D25l_Wsgj~lgRq8Y&ee<%5Dv3(Lx#2U{*_z)Pda8 zAVUuHu^0RFCRohJ&M{{*N;>5O#`ryaK0iNyjVjrm$Ih&s&Szu$8DU%{tkoeFM(V^) z39-|{@XrD;wWQ}VZ2)jC#_T;MqjTfbOzE#(TL|>sW%s}3AO-D_+_uO*#_Cwy5xLYC zK1DUZp2H}vl1y71OB)ii=ka(<)8=}n;-f;=+lTq-Kj{k^Z712_aRxxVI{9&l=-n@w z*IN4lr)xbGpBE+VcDv+`?gCkwdzzc}eH(747qLG-!>?&j_Gu>Y2cCXyH?}ENnz4n2=eLyrFTTX^e literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0104.png b/docs/img/mips1-image-0104.png new file mode 100644 index 0000000000000000000000000000000000000000..0b9a05a8425bdc29124cdd6d7ed8e393d9975910 GIT binary patch literal 3605 zcmV+w4(joVP)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z19nM7K~#90?U!pvQ(+j#{id=D4!j_uB8n*D2QTH8nx-*^*C7t^f)wcoQOLqfWrled zO))i@Gqq`%iqkE{mY49>MYq;+QA;NCLME<0^r25i{l~z_bndLSzWDHPIOjd*eSYWv zywCfd_gH59}Wu-eYrsH`aKQ`T@Bx{WQvR)6jt=Uv=b{Lf&kYpd+bY{U(y4`_Pcw79vr z^>s`8O^cJ3mn~?-tmC5$Anm)ph5w3A5W4GWgQRCB5q}<)7rZg(K|>%`VJtc45{;Sn}0mGLwvSg|~&f zmAZM}^gPs4`%`B$Gs5>m3X8%>Wo&M45{3;w8OC5#&s8Ik({-o4?|TNkU)eAIAkG`h zLlosW+)_rLS_f(*1_J zVVK~Jpy62qPsR(n6$EkPl5qks(XY5f31Tq_d>R z%t)C`b|8*Xu(kCym-8-mm+X+G98nI!9qOn+R6He-Vjp2YDjMD1-ZljWlgvqI;WX$& zmP)2dG6pjcTF*cW1f@Mp^R4zJg_H0lYUyY>uuu_$!BnfN#GFJ71|)_whj8w5u;4-{ z77L#$A4&=Zx1ujbkByIQY-}7Ff!MY{tJU)Qcu^OkFk$t(fqnO9`(p|#6pEdlouj&& zvfDwP3@=`fS+1ZEbPx$PGWaASV6{?b6PQd`h0 b`UC$H-H~9%VlFYP00000NkvXXu0mjfSaRLZ literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0105.jpg b/docs/img/mips1-image-0105.jpg new file mode 100644 index 0000000000000000000000000000000000000000..f20512a2f27aa3beb49e6d474826ad817e56acd5 GIT binary patch literal 1427 zcmbV~X;70_6o&7Yg@l9vfds(?BtgVjbs*G6#YRLUU_}D5h)gJ;C?IVW1yOA30#RIM zFoK|vski`wC<0=jfD{m6R0;{Q6+$5FP-0{WOY-$g+p#nK)%HE-{<`O$d7pDG+z$5w zV|R`l2S5-6zD5GTUBCsPQ7By^!XSyoW3deZ~YVTK$(uTL;rVs5c?mF;S~H4MkkH-5p|wAp3HPR=e@H+S!SKE8hb z`vXD`9tt~rBs?Pe#L1Z0Q*oy+Tuew5T)LceLwGYK^;TN??W}wEA3V&?$$j*!sJP^L z=?ih$&o#Amuj*enG`2{jt?%2~J38fk{fdFXq2ZCy52}w-(=)T`xp^HI1kitCeIok@ z7a8F~VK8V6PR9kIVv&O;W3bltdM3_XT<|edn!`CfWqb17!fJgw(@RMWIo?b#vvHKK z`k+JmgY4gdo&R5CpTPd+>I4R82w5JQ4A?+}E_xw7c{b9sG>SLcvdq<*@%(AP`Ef~L z?q@u+34)=0&mB#6C=6U`VKDpA0|ttSSOH%V+Ez7|P^!Hd%~xH2>87`ScW=@Y|#LF`BGx1zl<6rKI+dM#f>5_l1l%Ykl_lIi`MRD+*|Od*9tDfU~(`M2CrK`=2ueH2T%A<4@no}zbOc6ENqk1b_#hiG0XS) zPT|q=3^|J9^LozNOJAlG)RCfVELTdk7HWz2W=VJWE#@gcPqZp&aCnEHsie$j%lFOZ z)jcl^#ViAS{+f{7eYXXCwi>tGzVOa~cxpp}Nbcm8*WS&0o6}Vj9_h%BOahJXW+Cx) zn||^J&oj-Q!%YL~`at%SJQxP|)(;m5CCvCOFrZsjz#wp4)l}AeC8MIENZC~PI7U{N z%8Upgj=m2NJvex+xTjj?ETpXTh-ZQwBzAH6(a@m~u^yIue3I-3Bv?^p& zEvY@;Q#m7ujg02YCoRG^(prcx7|%VNn$(qrS@0V zTRQ#Jy6C*X9Vzp2Z*dFlBXLx$=54B|(8OcR<59dK+P?lW?S(lW1FVr0nT{n@p+--b=omlj)yhS3W;dDpOkyg=vG5ri)M&oN?FmDu5HQSvH0~%M8z=whJgr9by82QNVc0Xb+)Uk literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0106.png b/docs/img/mips1-image-0106.png new file mode 100644 index 0000000000000000000000000000000000000000..8ffe4398702f9d2c449f7cac9601e1bc41110516 GIT binary patch literal 3658 zcmV-Q4z=-#P)jW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1FK0yK~#90?U!9hQ(+j#z0-NlnHzW`T?rv};e}?onWkyT@N<tyK}&2D^nF2?ij{r}GM z@xD8AnO{S5SyR}zHMFJ7)Xq1C@&o47gc$u_V9smRI_!VKOrxgO?$)B3qI^|;qrP!) zc+e@ZlG>7p^a$52u5dSz8&Aw*KKsP_yp0Ev##xiyzFVVyT7~4FJGFvN;pcJlF7Ld{Ru-x70fhGh57F zQC^-?m z1-ssM>EGx<#g^Q%T+T32iU?1AZ}J|bsr{5qcj3zd=7L$W1p!9qmYSE&_m+5DEEWzh zOrYCVx804qn}(YT`wLM8CE)B(yKhUo}B8;Z2z z8sbnAd7hjDOmkHOSlv)vKzV>)sUNY2u!qTS%H5;gSulK4d`299A)Yf#-=_yG?@pei zRst0=@t4dnb-%*`D+0sLg@voav9X|{fCJ1tVde$#q*`f4TLx6fByz>fLaIZeG*LbU zK7h69+c>~rqJ1J^mJpSM%I`2Do?yX{6Q&n6*ch{MWMqUJOxLqCkf~Qw58pV9=e37n z>JY(Uw*@guG4(M_S|>|SvM!NTT~{)>jMzcoe!?T8Pf%} zgD_Nx{7@xDk0Rwt3ZxkZB1kUza`L9)O++YxUm|6Vl66sWgTd%AIw3Ow%|MDlRY9_g zGL#gF;oBLloNYg0uz&G4Yy|_w c#k_|09m$)u__qJN_5c6?07*qoM6N<$f+{lyK>z>% literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image-0107.png b/docs/img/mips1-image-0107.png new file mode 100644 index 0000000000000000000000000000000000000000..b49056d4f8c9f6f3e2731a92735d3cae562259de GIT binary patch literal 3901 zcmV-D55n+?P)-N00009a7bBm000ie z000ie0hKEb8vpjW7@)NybW8-A~66^n2hK0_}N?;7s)t1SDYocPsy0JG)>MhO3or#f-+W|KP zgghC`bI#&r@Z{Vln1D3aVR=5teI zY%ODwC^CXA<9Pt!NXcLD(l1!d&lAfJ#m>xHE)pcCh#4kaQ-+O`6N}-`&rRox#mq1c zH;p6WG1!?ISsdYV0A|npSrmC~8L}cf*f=>jFl{Yuz9`gxUHqGp_jZs@_@A2i55xYX*}0a9+V~OBmRJI%AsRq_9snpR z5g-YBWGm3`eGA4%1NqI1(V3W?`_F>@eOI_l{>T<2e~x2EL^8M%QO@j|{8|DuAOJ-` z1L{B<=mQhL1h&8txBw5}0|G%Phy-z9G2ns}kO4#>7ZiZCpcs^btzajp26dnjG=ny9 z7<7SS;50Y~E`iHn1l$2qFb|bE`qnfm2d-m5blIe!5F9q6;@5%f6v6$XQ$V~jD57=KJ0CKa;+vl+7+(~dcX z8ODrZrmUdc#_t;A7UrBtJI zROyD&v@%uMMmbbDLwU1ui}D5KM-(i@h~h)xQHm)0C}${RRD`NeWmCD-b<{@cS?V|q zLo=oY&{Aoov~OsGv?&#eik(WdN}fuM%5fDb9ibc11L*1WGWucqb^1G1EmcodzUn5` zHq|Stuhr(Ld8qN#O4QobM%3P^Gt_<5)6}=Acd6ggKxvq1glpt#?9n)@@pKMtj>{bG zoRT?*=iJtWHO(|5G*@Wu(;U#8*3#DU)5_AS(mJE{RGY5tp`EI|L;HmGqz+ZbO-G=! zL+7Nx`Vnidd7NDdWCxJdiV4R`Yin<{T=$f`cnov z1|bFo2CW9S4e^F7!xY0^hW&=Gjf{@^*$g(bH%l?AF&i?6%^l1I=DW>@EzlND7D9^#iyKT5)03IQY-NsG(k+86 z3oW}WpII4L#anH&>bLr6ZEu}!-DrKshGG+FQ)ts;^Q*0yE!Vcj_S#(XT;I8?=XTG1 zZf9=Cx7%ZP)1GP{V!y$@*ZzZpql3ty&0*5fz%kLW*6{|5#tLI?W}SCJJ9#;+b~@(t z*4e>X?0ney7Z;{WnoEnzqj|>j`12a)jk)T%a$M_OrEUzjM7OZw^XI|D`BCigw*KB9@O7s)j>-kv;};+|5G@>IYUR12P``lr^VzD^5G+n@F+Jtn<91DBDQaa2eVrV0Bp zwKMZFhqKJHinFB4oR{rfHYExYHDyEDi?X}LG}+J8fW$%XSL?4XT0OqTZ_RsyNzibcgYHn?o4+lbmI*f_Xp?xw0uA4_;87fY>6 zD@xyQ=5D_DmCaX`Uwzt=v}Lf&p={4q%vRyn>)YJ79Vk~W&o3X_9fh*SmSUuk7*I(^jWdS6cUO zuVC-ZdcXS42BU_GeVBbY`yMt%H}-$c`ntJEqp7s%!+zm@>4As?ea()|%`KWOWvy_l zq;>LO!okbmcz@H~#%!x^r?;0L0*54r9)Fwo?e)V!hkK8(jRjGA z)s@mE?T+gnJ{owmx5uTY<9o~R_aDFMOtW4%jy z@0^J}bFDADZ}4o;+4JXo&z_2(l_5865&KHheWL@mKTr6*KgiPxFNmCyZPu=`mN`;b8gSv zS#uYCx8$DEy^8yq_ZxmR{jpu@AU!_nJv#7U!Gk+v+_5J=W&iYkyyzkMVa0^bMDrut zN5>|8Coex<_;~zD){~j1#Xl?mT>H%A*>}Hq{4y{VJ2n1X^!(GWn_sBE*#FY*W$$#@ z^!-;EuV!ACyitF1;4SNI|GSuX6EnH*vF|HCn11N_81(V(r;JaZegpS}^ZVwI5ljF8 z1fEGmK~#90?V7<$8$lGt{hxwJsECLtqTodoL=kO34}u5rl7mP^#DLnSGgkd9(A)+qY}#@(iO! zo$de_-@_VWRACJQmIrZ6bcp? z85ub{JF_2Ol0+f_KjJW*PPezWD}?MdIyFT7qoX7A`}+E{L{bv7CMG5(pq`VHldG#M ze5AhKMPISIyE`>CrRdX3^lOL?8yXsl?4nPdy}iA`!NJznR$OAEE375#IGwV>&CQKC zWoKunsi_G`?(Xgm#;2#Jxw*Ml1<=Xma#lu+htJN=&S*4hlUHJ~7(Rl3>C~iQo1;ZX zWM%R&KR>^=wkDmI)f8|FW@;SiCHgfKkH^JI*l1{Raq;x@)V%Mp(lFlN-_xi4{r%#U z+1c66%}sQ|;V_I#OH1f)ZEZnY=qxWUTNyDP{f&(ctdo_7y1Kex@9yplCNg2u*17<+qr*Vosx z*{t#0YyosI2FA9wHZo%4qJu@UGLld1aynhAA&jRiH90wHo|;wIHqi>VNGJF!9ceQK zSX5W#rD)DWhlhtKtC57nwYJhwkx{U{y^Sc$3}YAq++UD;5F|NA#Uq`XG;C?KblV~k;Lb?Zw{)b<6i~g9v9{_Vak#Rwg2VCg z@q>c{>?&L@DkPm~2$z^1wxPnIp`lbNC59ox!5#{Q(&==*u&wE#2F9_mF`Q^+M45~w z#H|FYW&TE#uJnEgXHoi;PE8uNG+KxT77|29M+X(@(vdb(p!Zd%ENkVttkT%rfEd@Q zP7Tqf)Ez~wP@NiLyu?!t1)Sk!NQ^q(Y3Scm#>ekY8q3IEc&eeR%nv7xHRLZm)zIhs zFDH#Po@N!)S!$%&cMiUXlxt4LC5_u?=%2c9pJycDU&`{;FpOZ&37LYF}p`nU4`?o|~P5Y=> zYRChuURZMm@+sPWKa{x18ygGAzi9ivJwy}#kfX)|@-;et`vK{^yZFKa@;jP;Kg0s6 z0{Ay*j4G@lMitf&qY7(?QKeWz#{b8@7+0LQ<)_M=xaCLPiSWQ0@|hF2{IG^<*NIzx zSVOhz#4SIpq1tugmLJwo?K*MGPX#r^Fy+D;VpL%bF{-eJ7*+lPglVT0mMHOS00000 LNkvXXu0mjf*amT& literal 0 HcmV?d00001 diff --git a/docs/img/mips1-image0120.png b/docs/img/mips1-image0120.png new file mode 100644 index 0000000000000000000000000000000000000000..ed7e6d8bab872077d4535b5c47488be4d6a1f81b GIT binary patch literal 81988 zcmb5WWmH^C@GnY2fZ)M`LkRBf8Z-oVcXx-ugX=(WcXxLWZiBlF?(PisIOp8|{qokj z@4or6XYWqsh^fA6==eEH4T|31%+__L+^9|41KxaU8VpGjIj zQ=bL>OL*}8|4bOFigiy;PL2Z{hzb|`x0%llQCC-vN5{t%nyO}}zodTsdbsa;1IEQ+ z-~qKS{#U20%4%vDL_{DN&b8UCT2<_OA|j&Mg@w4ZG+MRu|J5_uTB{2w3(It=Nd?21 z3(sh-cwWrFz(AuI#eZWk$5K(LsjI8A)JV}I{r8b5Hc5ZSzifZe3B&q3`B$ za0=kxKFgm^G_$o|w5e_YlYyHEV*h=%en$nh_bX~QQQj#9+FXh_+?&(m7MXH#1xDw^ z0f7a@u{ayO&JET7=xWIBG_A;qJiCqaF7aD2U!s(x7N_#LOjQr`=j&M|wd#pOuqh zbnLIL?iUz1nqgqKO^Tps*u}UB+8p63U286p$bSx#7mckxqlT0I_<@4Clcf8+Sj2_K z_AOZ8H3~;=C#ID$!AMi^nQf4&oU16oP_9YW8y@v$Kum4o(jN(hh$egbL?$|$=PHU9 zV|qMh&#vp2NXCbbj#Kxl1vPd+Abq|pP)rDZ-N3{4gYp`_%t*B=*Gj6Q}Z z^hB&HB4_SqjvI%I&f@N&mi+|FtE4jJP>>Riavli2{u{t5iL)mRnpolXNST=~6>#oE zdK?endwoj7G4_r0=5=)_uKutfFuclQg@+NFr{HQwR#BS(6NSKY{>kUo-# ziM}LWnO(i(&Dq$CP^5>N31`0)VHEC*%r~Vyz6l%nUYwou-tY`DLV5X;VjfP|wJQmt z4wvs?Mn>>lkBC}|QpFbL<1t6+i@c#AUOzZJuRr*4TbF#T@fGfBy;Jq<*6^~;0bucj z8Y45b+x=&iOX7*V=ze?07lv@gdJ7aZ>8xwAI0BSvQzM$e+nmS_6ONf!*p1|tlz>4w zLPX~Wlt*M#fmcskJmZNPZ{9_h;y|v){jdDe<_ff+OJS)p%i^p^tAD5L{}rh#>O@@G`zUEv3Af%NhGaA zfvl5JicZ=9(0kY76O+zA?OiFm5>aI{Iq`a41J%f2Z5EZ!QqaVE!mE~Ibwm};bXCWF z(;lCYxRwq;G@$$&W$H)}7#9}c*E^lp?G0LwpVqmpaxzy1G8H=d6d8Qo2b zTmGtBO4G1?+{jjqNlN|UU?NgB@2Go1_*dG+he&K5)xRt<*cKl3QdF3H^y~Tdj(b{8 zk(=X3w6)+kwpHNu1g7TS!u8(B>W`{k9YH6#-yQEO4PRHTm(1+{%DR;XMRo5C0yd{g zM6KK|s?^rE+UP9^sCj9WxC;#sN?mEiU(tE%Ze+y;w> zH+fH>ENG0i7=2?<8$6WOHhZ_tY9C-0a<*{~^Tf6%v+|igs10_POPO8bJ3VZ#$~_i5 z|4OIB;`6-!D_2D6wfOVdMz+KD+x>1 z$UQg^XlboMew$7t*S`Arkt9P-<^A zf>!R5(x)0jY*2>Fb7|5^8a+lzaCbuk`%8lWbjqJaVn!_{;+3A(?HFmvLpe?vYR^Qk zo-J!sbW>>q<7C7JZmUN~gv(A=sRBVO2B*u%m-I1xrT)w}dPAun&<9?)Tnv7%>y7#h z#>c)*c~YIg!+@KUmo2GU&pzfwFd9%(>}VolQP+^$c?B-oaO9!0nHR8CL9aiG`eq`d zicF(_Of0fB#FU=#d^k7bx9yo!bMcfBpWMx%PqjTLx@iktNUEiwggZWVIR(IVRDYRE zDxVPSDlV-m=`Xglk7$W#VZ7ZM40Py6TH*=ZJ{ufglm+#lYM``U_W1&e0O_s zjC7IlRnq<}mp%~aBdfe%UR|*~5l)UjRLL`^8X(anxymJg?(M+9ZGnv4iRf5!>CDle z@qtsCl4DZQn@c?3-bxW#uW}^!Qqe)%Qkvm`QqWY>No6hZ_q&Q?>_#C|L|N;InA}JY zNO&3yX^gAsRZ@cO!ye@A;pL2%1AVwu7P4z94Bx`Zt%oXwZ8kf+p0JA=&x;yg`^cim z#+KmUbom{)I+IKgyUfhO;QJd;S&1P0Gf&Mq7N1Ek?|2V=Z?IK~;lI093r683l+I>p z)OUQslGpw#na<(oBRe;1Ze6n6xZED~?h zyVzP7dyujncE|Fk4no_hofSV+Loy_9{rHedR(o~bvnF~v*KLQ@wx2oMVTmk5E z5Qe7kgsnq_zh>~SU5w3d5DVfIqJczN7~`FmJe0*moD4bM_Xr8<0! zH+|Kri}L*-9a0*$Mjuabn3#j)M|%RGpBSHWCga;OcLy5fvRQUdC@UO4I?O1kw{B}W znsC$|h%St~2sqqMO908q&Yc7_osz||>ep-Xkz6cX8+w%;|9rnkBa6l#Y{oy=7yrrq zMz?acG z{B2M|?|jg-8{l(4*oL$BIouZ^y6b_e_h_N+A`Vr<>3iT}O7um@A^#Ka zI!uPRt9ri(PRRo0Lxnokg-l()T-*FfXmVY{6R;`sF>1 zT!hIPtTtbcC3{2r^=l|M2QqzobX!fBMc&Q5^s{*Yj=4i*gK;^S?AFb!nIbpV_cKir z$-AXGlWf-IuzVGXd}Aa+=IVSlDZQHaK9SV0y6>RQ9F}~Dw?rXkkWdj~kB@D+R&zDQ zREkdwUgnK)*p9`W8(ni0u0!4*;FfdRXM)Dx8{e)&h816ZBA5V$|IKVX2}v5NXFqP$ zKOx7N#9+CF&uruMCyfx;iesNca^K)4!rKm-{>#-o>u`WXzX>l1_?qvqN*&*WalU_M zOnbd%AMb4<&{q^`wEBQM)=Bbbs~kaF81~O|TiZ{|U$I7AEADqCE0Q-L!_BU@-UVEu zz5+6qp@L9v4HNqk8$Qkylowp)NvwYLUkbx8GzQ+7Mj}@a&w123;J?63*yzv0?Aia2v3xJz#{H zm^uZ6Ldj7tvTq3QKtz4kpHR@u!Usy}IKJYlwMBk9R-WWy{>J>89#@_M@fal)8k3l> z(cjeMuw9j_!DVx8B+z(-8Re`pb<0FkncBq0`Hcc|AH$k5y^=W{>HKR>JPH#tuNCPV z_q$ix(4(JHRCfA9sQ+W8&mN;!yZr}5&V=mwIrgsB{AMP@J+|+sa(@zZBWsKW+nkP> z@_yvaZ7jQeRseAXB1Mx6%?PQe@Ru5j2;G0Xfl4+vXUhG!w?*%D{{pLe&h3v2lVJzt zgWVdfT9-8O1`(jGqh&7nojX`|E=uHeNPdR97v?`Q+>R8Unz>_GKD58#7qCObsh0@A zoB$M(!{%~g$EN7|S<)D9H_}CpGoZFkyZyujagZQIBMZ&Qi>Y*k8)CfoEG1Wrj6)Yz zC?N!cnCTPT<<Rbf`w z9_lfIGUW51es&)IJxR`{UAAAlN(6dSb%#eYwz{N5G-gGHwZG5W=nWfJT%i(e=$|=A zTN1jAyD7GdgNORQc+MJy)Z}v|3D*(!P_yD5=S>#ATx^BeI_O|WteptNrYLzB>81Yg zDEYM=^D$fn8ibUlb2{;Sm4Vv3PSo@WF1C7U160{UjT9dWQgI>nwA4%l!`!Ljk2Hmaj6z4Z9KWNghd!TR z!W6AjuX=dWg{Kjk^cPS?WeW9Z9P^1Ey&6hm4XSr=5kmDprTe&`iQc;kiNJ`H>Vr%! zBkr&A1_Gx(jU)znZDocy&2u1iVBnzb{r30Ioyp+r5UD6B`~@>--8cqrsA)L>nbR$g zP)-!pTAV1`rt>8F2)I(Y{)p_b`U;2AIogP*tF2hljC6S*O!|3>R=+Z@u#sA&&(kSzk4YYoJb~}w_F6EJx3lx5LeQ`Q;iZdT(3kL^5^hBU4-}Y`+$chwGI?ggFK6eu z5)NC(DS>LW-(B7dc}vD z-0UOYd55*@uNn_Qmx&gqA-06A7DBv)~`O)vOlU?6*pSrn2rWJSbk>b&_p0E}W9Yn{4`6zGAC98^jYX8&Ep>51hx zAg0Yr;Jy+kv+EI1ns5b`m=~rkyJnUB7z$3XxB%pMAym^a*jW%Dk(R>DmI_5*Nt7w1 zA>sVJ4@S~~vGSW1gzTmtG?0*HcW&n{NuR%Z5Y+@qQlMt0lLd>*lr5A&As}d;5L!<` z6_TbsIKFmD@yX-`mnIS=6}xn=%YNv`l9MAeP7$)<$@ysMLXpPl!D4iW!Dpd9y!@;= zd*)h1YDv9!oiw!}=z&C}wP4>Z|6?!pvAxp*M#RqJk0)i|_71@hWmLiy6m0-7iIk`! zgWm&Le?GhAz*`{UXl&g2CcWo`GPAGRk^ZrPCb1H9UQ$?EyOiL13^4~KXUNKm@CBS; z)px!=STygXtK#$WI{#A2v9JvvWfA<4p&JcEIidfN-D3Ww0$0u^Qe;!w!EQX+8gUo> zyc3wQ8}_{vH;%k}hE!?laEcT4qW!)$!7p8-O;cuX4+sDyk7LN;skd-sX*Td;;|eF~ z2V`WRz+lx4i(X?MrwT}Gm&UeD`Yfs@=_bvuf6yA$H=IejUUCEJ^Ef#Qm=V<56IfDH zWUoCoBIUr|(Y+9ty!c3+6LlO|w4sVrebF8tT)OQ_$jAQyez!OHsj#4@zcjyXvOYnU zmo_}EPt`$~G(o%Ks~s*6QNKt`9e7qOp@4#UgMez6@efE?Ddj0|JZY*(yM=J)Cc@1a zAT))W4c=)dyij7}=-k`>uJ<`_Qx{OSsArKBc4okJ*a}xzmH4t>9fK;6Ir01`^Y*e2 zrsJOd9S)oHL$dFweqY&Yr!VZ+n7KXj@!SbVwPVT0{oFFzyytubJUEa0b0coFOfhV} zFv@*_F*0z+n5QomOz<7HDNhwiB;JAZ9*hXuN*5qR%mP-qA!?b272DHea5r=iaP=v{ z6v|9nhcS_ruqQ6l_^dbXVvRTYUJYw9wX*y;kGsJTpSCgHQ1QnxIO!llI$o6^!(KVN zvp5vPRA@>+r6pUWN=cqcNkLOsW?NEL-M@S3_C5Y){sypQj-q{ukAr* zERV^g7T@?1(yp0{XgVF|%XkN!)pZ zWLHVuCw<&FrLFNJ0U;a&n^yN$+|2qN1}~c=Y>zj zm>Lg;DfV)dVMLmyHCxmt%`nBP5;f9ngsQ6$%Uo6`iSMoSvcw0of4Ye|Kt>CZsT6Nj zVocwX5l*P5MU5_?_3$%(oT!}{%q;*-3|RR9;LssoFqb_yFNzQX`tOzd(uO;3B9?~J z_S$s<4Rp=m%>GWA7YbNmq&bZXk-`S--ZcYtqvy%fL!n+w!UmH&GuC!ZCm|U8Oi&eD zznru5>qj)0t$K0dX7SC$b^!`#z)AtYa>mHvVJ6qhT}GUF8H7uY@Tn8>C(F@N!6k=m zf*@*;d(@&M*nUN4_`#|>xzi8m4m;Vrb(ZscE4ZxA-;&OFG*|=&cxVmQiNd9Soq~p+ zq-hqvCs{-nRnjfTksAlMvu-O-vDsK5I;f*NUoyK_)~%23xew&qsP?Cg!bqsUx5>eX65k%7WyhAUmoE%Q?!gym{xvRhYig=i(^+0e3R zvl*2gRyQX+WZ2PrS?imF28KY1PucvQZESd$k@WU}fb-9LgtAAlfVe5Sa ztrNj}Zpq~u3qgiztUd;6Dex-TqFZ)KbWUrxetmMdL0Q2O(E(CKA*pA<=?G!0=RxG6 z$JgF_FEjeM!e&t+MNLzTDH24ueVdPVSFaMpms3R%i^(o~YIQ(*o9(5vge)nafN;a} zz-&{Fy0Hus77a2!{3$e#2z_yw)9+8&O7Zw1B$!1im4y>6;{>4}Gq3i;%y zIt%m%UBrVO-XhJtPIC%#({s0IB7b-2VM46Hxw4aNPZ}IY^~x^gsXD4t~8IS_K^`;lZ8_%@wsE9}q8gTVQ z>FhR@eGrLBOhaLR@(C7>JJ-CP?Q21;VAoZ-`#M5pj0ill>gHDrIXz!90-fiBxEuU| z=I4>3bH?u-U%RETUXg%@QJ(sG!LC)N6Oe%T7VThwW8F{2dQwY$M&T!9v)SsO^>aXH z)GMeue@*Y?`t)tC+X%DlEW-611FobZ#f>jA@m2J;>nH>(9mGae@X`c?;ccotsw>?w zH%Q@DsZpeb=(QCG+wBtj?1_&0$3(yCbpugkNOG$1HGzb-J~=nU%&E=m2rFG$hQl!) z{^gN0tzyyozq?{Iqt#8)F+{v;Wb}dBG8|#EDt9#A}1w^uraa z*t6F}A&0@mCYBp#@Lt{o3x@5~yj-}+PaaDXOiYk>mH!!&>d$HGOSbOB*d*c!M1wcN z#ohA*e)NE&cfm?fPE_3#Fh<7+b{iDS%Vz!RRLu3K1_Ksjr#O3xLoq%ztY&}ldYiUt z7oZBOvX+a>+)Zp$0ar6DQqA4`+im}C1U~LP$cDiP(Y5q8YBo?jzMpyir3UFo?VA9l zO){B{C?VR1M*UrwN45(wI$8IQKccxH#uIV77lNRi%HC!oV?I%^QZu6yYr%9h#`uer zVD1#fDa-*6(4a3k=eN+(#=fKl&;i^8x-yvz@VRnbo^rNi5B{K$MG{IThae+(5Y)RU zE2}D6E=-iV;DRE&`QaiEhKClutaoAit-&#wo=^qfxO~4ZA}1)nes@2ZocOK{2Xkn= zBv!loHrE{He}j~TH65Ug22n|dBm;77@((ryu4vX6GR~EQUgId3+S?HE#6oHwzV!PU zr7&@QmJkbQtO=cck(i85?msaw2<%5P8Va2vBa0&O5k-o5#rx%WRZ`5@@xY;);Z&7< zNycFS-}eb`c&#}z5)UTn0@KIs4JntN5@94FyrlNsF~{Tj?Faq$a@uN=3vQ?Ag41uQS8 zpzu?)zO%Mn{3*DGtmA7xe>@2(Iu0)j66$DFaAT!EO@CPCi$vDFhlvTb2|LB)-8WZF zz8qlJ1sH~xs&(FnN?qGU^BS=sd^ucC!2NE?jN5VNGZ094b&5g46ImhQCj3mu9KREz zq&O4h{uhcz8(IY3nceBTVA|hOrGzBpv$fs}cI;S}{EwuKfS{l)72o(Ve}rX6>!#bA zzC8f*YjrkgyNxQ&=Pe~@&@hgmsC+}pN}_xY}e3TNw42H zm+u|agtO{-)j1S-CNu8+rHfL=>6wqHmS;SQHdT~2(2=9RbD+kP@bZVgbZ8Kr>YX4gv_<&1djC$Y_3dnFCHcg}|#Fw=nGbJw;@3b-n{ zkfSVD6N41vPo z@C|#nzzJ;Or`Hi)JNksby4q-tyZ@%}E6ldV&e2E@z-!PQOTTlbLTey6a7}iU6=Rc* zPZ3o(=R-dp;?FlWf_y6OEKP~Wx_vwxU5ynS#@F4ysO8OTw-!-!yMR$yB+F02W1-W z#%Qn<%zq*^6L+<&6zSAtsIzD2%BV3A%>VRrrs!f>Y1_O-1OEwKIJ7KY`=5Dq5W|@k zG;!TJ*VyDrpL$&ZIPM`@7k`}GAmWa-J-l|e(<1BysaDEw%kB)>=1=%W+qiV9FO&nl z;Ys>be*KHK$fz=V*42|T6=(jDhY?l_A*v(t zwxPi7{}Cx0SqqtWUV;3>M%=$B2cDF$8(+ckwnunAfEr^xRIgMO@Xtxgznj+lcJvG&Ik0$qR|7CpO_mfpKO zTw?zLlZY0vSU)8aI}+feut3JzA0TYgPUG)~O{fNI-OiZhFZ5}zH#AbLh>INO%(lrl zd|G%6HCxN$bYOLyatx-O~n&LUJp?sJF4ZomcBNe%e z!AvI%|0R}ad&cv7bwRkX6IWBYjz}YQA|i*C(LdZb{Kq||B^fI#YU_k)HMk^gkOIYS zQ8a2?pNMGpw*%O5{;RkXc#Aizx`8go&8`2(abR8Dw3kc6qqSBCqRZqb>%^)8CWydS zFs@%g3Xz){T)iwP-O`j{Y4qsyahA4HG%}o$tq#jOWpo%6G$}t3B?%+oR{c8@__4Im%|WwT!=M z8aq8$B|7ur;^;6O(du(sc}c!qqw}ZQI8}jz|K$}Y zPy~`65TSeEiR%jyB-Mn|FSMU}k$w!}e0$hr?DI5d+V>tQ4fsLUxfH@W{`JDe^gF8W zR~z@!oe8>i;=dIWe8P&M(8<^32Z-H2K@-GRAVUn1&ck_J$#+HOh@<@nuEP_P`5_?B zVb-!n+M~o^R8TPIA<_3jF_(33I-z`ZSL|`EAmWweGV zwj?XPGMivQiNr1F!rHexOz#Ue*NJ=Zs_33{c)cYlZ!`MxFw#~=?>7w44&BK&yy#{U zxFSIyWqLn371?KdQk^|kSQce>RmDdWlPcx=iw^u5uCZciLC zZ7l#jydnd>i(ib=1Ip`bK9Pd$d_V!kMMRQH$A3{;&B2Sz9`p8zK5x)(T5YlCtsNk( zCP>2t3=8y1Chmv~{u2v-BOF>>=7!%l+D^wk0-^I_C}~_l2d)EH-Q)e}8I$FA<9% z-WDE;x}M<C!flZlycZ+u}e;cbmHGBj&%m zVy;VTcY-JKSq$l6qCnPt7J8DXRV(^`46?V1I_TBd za%;t_qvzFW-0anjxo`5T?&4}%zHby-@`e~;Al<&S3D9QHz3P-=9mlOoP1LRyrXfb& zurVY&a9T&y+|8KG&x=lRT53VR@>jpN%j_!O7VZ$uW-2x{6_16LmA66e zKU|^fJHRbIKK=_4(fU^Hf|rBcYEybc(9~+vgY0)}`l`b<9fwJt&69FA`Z=NX)~ZKp z%HIxMGT&!=hB|kxs3SY0``yI#EeZ$M<~~%!u_l_D%AP#M*l}i(8BW{b&h*Z=cH%|V z*v*)_Cc?4o4r~Ha?`ynlNT%-T_du*bdzZgoM(_Zbnf&T`4Bt(gWXII}1gr#SUsPTWk zEGW?(UGmti6FGZqTSlGj47z3Q+EJeG3Py{||L=|IMtdY!`So`8yGQ#gMH3u*!?fiT z)LytY4IGF}tf7)I(o>_Zj5o|EqepvWB%$r%S8{hs^WZf{+o?)VIe1CJx1X{U< zm6)FXh{h;KQqAhQ3H7}v5|QLZ=bYEG2`&l@?^3eCgbvv2Z)Bl3a#y8+r#)X4z_L3T z1cGJ=O=C7m9rJL5%o@01LA)6Gmn>~&d77hi6hW@Vl*Slg^VSV35nYF#n*9ymYGLOsN? z-BWH!q1G7r!Z-G28Muae*5%_PMCFKr$b=9JSJ|ztaYjd{7H(F)|7$TT|1ijl9bHBd zND3PxM$8cwQJ8b}rdAf`-BUOkf5f&`?eQa*;ubj3Ep#`nd9$~J+)ipvslgo26Pi8b z8H}Gn9dwZ5y2prXQewBlJ>>L?4*X5vT7CLTYOS^S^l_Av4ppWukg*_dwL5)8VD zttb{2fgM4CH`-A|%cIY6b?*DoXwG9!j{li`wW4=mie}pd?|FN#P19O%NQi{QfU^c} z)PAf=E+rKo)P7lmWhFgDk*I_as@zWQf5>RA6>L4Pp2-}Ms%YXG%dqu4N(HqiGc-6o zVGr%YZ#>Z@&Xj^)O)+^OX6X**s0~%0TnoD=E0w3Fw#?^SsUf6PS;L_@h-6b;GS%86 zG}wkO+-XeNHWJacAI=J+z%%LM@%6L*@RmeAu+NHV%ZM`VeVg`F-wm#aOayNcakb7RCh8a~^6+7o0qA@^TQlP?#P8`!u`_*%eYdaXrcir_ zNkgE)#_xfjSt}uA-dBN?VPF1VS@G(#k9Em6SEld4N@%$Cw2^MKI`_SXq!cHxbMQ^p zP#UilFV|k#56>&py&*SL=9jmZIC876m-D1?xajv>v~te&Lm9dJ?%Zi9)5QBsPp=E= z@$-ux9CWRgv(%K%B;HS>>1d?lOon}*#m6C>xP)Kr%vz+kEB3x!`wC`SI5lnH_#!sx zMF)A0qr3{Zv-t8ahs3KVJl0WM);3VihZDOSTGREW4a^_DiLdqevWyYpH?hyE0-jEr z=B}=;=GoA;A|WOwPum+!wmhAlNHO{$%!>PFRui@w%2JEHNz-? zxAR8^K5;$@V8^UsarTFw?(NZ>&BTq`8ai0zIyFj4?7FacT_L)RzGyn1=;@i{c3$mk z$qGs)p5BrrB=>1Hw9{#}0+|rw(jNwKHZl^aEHQ!hK6gf$@d^7HB?w(`0PHyWb6dtx zn7DSoYXY6Na-*T?rQzs35~o`wvA-#qlmatlI!E`kz=skvz&ZeqMFP5ghxe{cd3#0I z{8eU>!bN%Q=GRB#L(*LD%k2HssIa~+$hulFLM|U93>xL zDVaso?>GA4flHVx=i!fz^3EQoc06On>6v?j7x*Sz`!r)({X14QH1!eA#F(8 z|RiT#(aa^ z*^)~v={XSLqHKCkcG*TzcFI!QrYW;BkP5h&D?N`m2x3h$=J65zY=7zjq0{Hd=`L9~+3UQQ?A~0r z29I^71m~8Ham^km=hI2&&a70sWAgPuV3?A*t9tX7?ZjN}nmc>Vbw`u>vj~k~h}U?t z7V%~achlsXW>@Rf*|r+$(L%?7(_01k?siCM4GinT{O;U(9Pr66H@rl8Cz*FJ&wh=+}x zK5KX-)yDdmSpqnNq@#9@_kh43I45ZCiriTr&x}3Hwal~h79P`Psh885Xt5tvN*Iz> z^Jr`{*Ubojvo|+$<$T>&TJ~nSm%cS#*eAZQi6VSOu?47}QjUAFxKZ9WcN-tZg1>K&b_j=Q-Zy94fK2g@Dl`^&P_-7K%s_tCN8F=j>Eh? zP#B|zbGN!N1s?PtV7jbLdD?%=O&4D7yBW6L^wjURb2HtfL<20m>EtHdW0*Ct2$HWp z5T~<#F1z#X1;5=9A-bJW*v+WZ;Bj2OcpEI7*m>6TGVY=Kcz^Jl(m?9qShUstB*p`@N|Ajnk(`0f>gJ;O&q&?TQ=s z>3EvC`1U~{dB%9Hq>T=LDG1(-5;>dnD(60#xAu3_`CI0JnccqsA=~cdt`sfK&HQns z(|JB}vvB!H8bfTzdfMoae^blblX+$b|4w`ES_^o*oy`~8!c$;(uiG)P;{}ZuIirO< zN$u&%uyAKk?V)rfj*ofqY{a@-<;W4piAe7wS#|M9Yh^~V#uSEf1R@o%E7O{LT2os?&kTmrbUQuY7#%8e&2Y%T}}Q^_H(?0I)L9?dtN zTP~+@PDKi&ho0fdCMIOu8kEFr?_!zz_j1R{3PRqI^d(CJ?Wm0oK0IX)yW?t$ypM#s zIPQe2-)mUxc)DKuMBQ_jIHFM*m_OTy*zMj*NEj;VN?$g3wY2iPGh<($wq@1a5M#jK zUG@wPhS)-98aavJf>+U`H{yL`eChWK`5CSO(DeMK(k9iK5~mH%{r7i7U6^L+1XygT zb-x6G*a2`s*(E%Z{Vq50#@>KWYwVQNRz8LW?ZP7V04_7dr7Ij(ljrVQ$fY!~eM9`6 z;=3B;nRPdR`iPuD!1t2wLfV2GVu2)WEIiXe=QND2n{hs_Ri;N-xj{$-uz*&nFbCh( zbK2$NzCaC-e=;268%lBBi*;y@>F$GOe86-aa#MA1RPs|h*Cut~k#tZq_Z0NZD>IqiWr4A|!Et9)D)ex3Ia)Rl!%D{;*v2{`s21 z<)p$_p-a74UZ>eUr}g6a@rc(qHD~>$$Dn~$kOeaB&RW`XeXex=ILSf*JbY7DT0NaD z7A#kl0}AI|mY?7t&Q_U5E1yH`rr5LU?)G-A3;o?@Yh2$4r($EKOY2sq*Tt*1ra0?t z%7j%mn)zG-xk-&vGq+;Mx+CmTZt!^7wv3;Fx@{qp+5e!F1G$Vpv%KOx)Oq6bAj(UH z_!=o!x5;<69Ix+Tl94G@*vdY~0 zfojBW_aAT9LMThNiQjbTncn@2q*5Ojy~v~WeOniW#9cW5+OOcUyBY=Mv~Kt##0V7K zR&VTu&i0hqZMejeEIw|{+sT7D0k>x*o%)Uo1iPt(*4pCmlaSBG0L;<^fPCY!GJ z^V7$b};r&(Gd3leY{&RW5ot6S!S(BUaRVwI0>KjMv+R-k+}h)H!LOqZCLNiOm6QA_7} zz4R?ZT=!PbV0#~?=V;j6k@rIKt(%MW*o-CR>|F)T*?X<3idEHB*ENWD)pf<@J)7k` z3;g83Z%(zGEcuM=@7<;(8^8=S_O;diyc15E-Bd=og z_7{%iWFpYQLh2lTISu}2I z%dob#wj6>+TNdHjp&Kn*M9ReUg_5$!73RNqXJECgf&v-?1A{8Pm5alBI`el$1-*iT z!n;iCxw-ypCh!)8h%YocI{N=dX7K-``nejyeXo-gHk~1Ou{My$H7LD1(5$a{`_xvb z==yp=h#$>^!Fnsc<-fb&$yj{E$2?#y#g^2k!tjpuxRhS2^@gaJH!ASQ9Pvk=l*O4|^B0zm9X-qiL6 zn$S-#JI}} z`x?I1%|zZ5|7Vxa2QKYHfM`)0$Sn@f_1T*x?#&}!fV!sm+Kl;dcU)P0%?r*qi!Y^% z=v3ra`N*?I9KgM9uA+*uv9j3;QRDS!ybqaZI3sJFlK)r>Xnf z^QofyaiKzFXj?#Z6xZgqf!q96CSdkuEDpnVv*HDBb?pRnTsu0+wY{q_Iw4p1h1Zf| z!mB1&@BY+aC49o&+0bkK1@FVSIfvc5SFTNii?@R|N;7)P|(rU>WNK~Qy{@$$E{ z>AGon+ubw?&OwQSb(J*DR|b`Y>G5sRYI5V`Q2cb7@@EwA>Fs3AU0rz&zx(nWsrNS> z0#*3;P^#4Cjo4OxTeku3YeEiYcXDR!;&J!)?{P*dsHz^OSn`lpuuMyu$0UTT7cF_(i zvzLNOa$5ybLjUt!fJHsc?0O|Doa+&&!jn1@{Rc8r>8$sXu@IK)h$eu54eK^6aQn$g zqY$O;PmJq*->jzX=}R(b9BgpPZuGj3c%8==oWg2G6BZU0t&}f6Lr75ezzsuF$AE(= zrhwTy*Z+%BO>g(5qX|(_lbdT^&H*94V3pcdzLMcAzZue5g<0Gj#G;*9@ySwQmK={Z zi_oJvmn8w(NR!&mX1w{yskWAENL3-}sK|N@@DPV7HvFmtZ@aFy^k?OKOtumIwI<8Z zW=%c?vtq)5Pbp02DOj;0xnXPF#1B2g`@Z_Mo~0zGA@}k{o;%(m91!ub!_h;NwZCLe zdN+6TRiSqd`B#@^M?AZQn-56fIYx19S9F8221WR0VwE2BuyY@G~V}K9v6%c3+R;hk@9wp!NQt8%ltzkxx`zUG3{G2o6w@( z;#h!=fuWS`L=Rv(=UCaJrzll)(oAeqLbhBG`dB(x>vg7TyQk%afBjWLp~PM43{!0z z4@c;Bad&Jj)y`tHK-Flb8GB9Vbtj_pyq23}zt|rSzk*N`cyu-~zi7^0T;5>r^h8&$ zj$JnZDS^}BGH+-Z&z;#?bK)2kpWlEaqT<|*xA5ADDr;KT(!`TCZe2482?P)Bkl=2G1P|`+?(XjH?ry=| z-Q5azhv4o~I9>UD=iGa4_dQoekM7a^R}G52*Is+BSu&sX&bQt&z8)?FF^X}jFP!m$ z%6pkh$WG~KBCakjFd6SZRnex22~P@-c{!T(4m(>c)t;XETQdgMw~-Y%6+RY?VySZ6 zyqzlPGEjpWv(YBbJz1w*Tx7SjHp^%uo03r|pzg1Q`=dSZIQ?|_gBk2*g!E=m9__El z;0W-?7Ike60xpa;;otKbWbX9pG?AXn6&_-+2bp^muNSt-V;f7PErXHT)g$>->mDe2v1&I zx6#PNTK9)P%neYS|BkV_BE%wnhz~;!Cf!lJQ*@uxCAbDQcMzwu|0a~uETnDVs+bZE zM4?5IgB^ys;02^Cb;5~uNfx-TvpXOzOGscZJuT##X+cyD3OJR&Cb|GG^%nP2uFRsJ zuaD^H#DYPH`n@3P2$O-!oUop_eD{t`Tjz+`xwhoJmtF z_w93+HVt`Z!Z+$Rh9kA+9cZ=O@~*ChvG|=J;jyPAINI0U6YxQEI0q+&tP$ zIEW=ws{3wRG@o1|qP`IScNO%Y(}FAEJgm)YYKqv*6rQdhQJA74lifb>i0EB_Oo3^` z7~Rigqn-|wAK(=?G{$oC#t*skc>K-ZCxG005_k&J1y21vT7kLJdrGZHVShu>yAZvV zjwr7`C?X`GF*zvRc6|br@?i4DC-{;y&hV6DW4dU;-DWsG-_=-s)C}P2(ikj1FQCy2 z$p8LY;Naq%f41C{xX)kW45Apev0{MOe2mBNTIQuu|CKEvfS+L7#FNrgts#&c>1S*@0L7U0+eLVhehQw_jqr-Di5@IG5QF;6x%L$?mp0b(-C0 zkLolcIz&CIOA&7EmO$G~x*XW&$Vr^_RRJl%N1vPs9Hq4S@^Aa>D{6C&%GGajK3O-i z$t5aH3+t@7BNneOfT+fl@!C@7O3c2^wq^Ih_{O@|^9>(s+a42X&F~H!o-o5Ejw_3j z`T+{Bs?nzF9R25G55^y1!{$PtIKz|(lDtJ08-Jl1D5<^8fd>k`BS3gxwlkGL=!u_1fdwIKwMg7jzI~>=u0Ft zPqj%rbLLZ1AG8m36rJWsj+}43_uKiD*Nl~(W!f$myo8EX8wzQDPJ}weT(JyBPorsz zX>23Q*(YGU<32G1=v?XProZ1%p1YdMvHpWlrRA&NUZr-Oy&Qlx5P@{JX|8Qx6-kCW z96+Yutj!tJe0u%4u_}J(oR*MP2~BG&-S9&0Y@3f;%Y|L|`gaarpk1-G_O>1$t^1u( z#zCBm&jg-Dm9c%j&u{BQ6&r)@{6F*-U!Qq)GD9*8J8W>vW#90_BzWY8&Pbmy3UhqwGt z8=_+8Bd3Wy%*FpzUOs}&37ye~YLBJ#dcI^!?pAIu7vt(Zl7=P-u0Zz1$&20d0NpC& zH8AsP+~vIked$wBvtq=mM&KXNvHZ@N3}2e2-u)lxr9`-;MxEg)p?>T4P>uok{LRjpYw=XU3qhTg*(kIuDks%ZIhb0TE-PBxEXy@@ck%z5X1Tng{zDkrIT}#+YkHqgaySaRC8}ny_h`iMA{KKilP8r>ts5A9Q{zdHxH_l65-CT& z^+$&<*zNixiHdW&R?NcA*>xnRuF7~GGNR*pr)sjrIZEDvRBM=gm3a>Ng1ew*ibBa6 zDGq+NAAAsH-rOrwrb>B7F*Cx2YP8ZlFT}is&Ro{VL9yR6PqIvv2&q9v*uZ;mFyX3g^c(|@$x0L( z9UsE_OKc;&ke^9$+Eea8cj9rQ^Cx2)ymm%7l62{e9Igm5$|_NW$^8l5o|=*Y3pvBX z!$)T$j#5Y4u}8KJnbyn~hn{PkJ%)G9c6D=S*Ge=u)mb83#K&*AlF|Zn9mQpBRj0l@#$JZU4 z`|0Yk_E?lh<|WB>1+e@j*c*SBoYb8ZL=d4j`3F&Tiy_`i5&uex=TVw($Rht53P4EC?Fhcqa zoN1u_Sy!)w1lL`@6d6)mZ;#0Zb8ZJK{n6>f)#8Obn$8J9_fz$_zskSulIorgS#3X@ zJ7&l4d?6akf9AnjFpHdK;Wcuj={8-i&twzXg@BS zc-)UF<}1ompkh(dL0?(?UumvxC9xxNVNqj|LW#wF#L2Jt!3wOmSI1LeLa$ckgs}Xo zd})0eKMMPFzNvNRnr9locw+65?g^7)A6~b+zH8Xf+2d~+pF)Avf)VILL(+jWOH&P# zecB@UE81zUTqcF(H)XknZHY|sy(HC5PnGFI=OxVR@vg}X-E&T+mwe|3A#hx)zsIeG z^YH~P2!)Fk^sJ}_ox(~5Dw#)8HffvU&>x=F^EF6U{dEh=q8-T%pcgN}eZ672mx`Jh z{(BFwgdoo!V^O{dD5I$j=hSZU!GE;_A;w~bdtY@CB9xc$o_^$smHrwbJTky^Un5Ot zr~Er-PW`wwSY3oUt9oqiz{v}m;&}o?qeH{lLE?YTmWp$i6*!ze>RwQyUH&xvz;KAC zZ6S#l{LeHfQtj!&KKBJWmM>+Q9n*(>V{U9@!PHZ(+g(JJhx%)A7Gf*_9zcZLz~E3O z!GaMGiTDPziFHIl)LE?#Fy*6~L#<`3lcCpR;=C;@$3&0Z3~Y_c6D9ns4C${Uv&RiM z!rEqQcLi)#@`P^046M{##3&9yN5a6h5^p0|>02L3v#E+@2@>cukLAFhs8qiZyLDv2 zXCnxMGVmyWjYw_1bXL6VbA$UBtp5r+24w!e!s)8v;Nc6bH#?h~n>oi*z|;Jz^>F@g zUQt1}|9YAp1stBgar&xDO8k!!KHyuggoxe0Y}o=*V|M@LMfVl(W8~kQ+>H4CNmB5j zV*$i}MWW!dQ=mov&7bc7^#P|+*gwnyY+i?rI^VhQhuktLqMwmk!%x@UAfqdN zUDUZEF~?(m#P0A|>nYz#G8L4~eEvgzNE5b>n40f!#!MV*2C}J)TGu49foK^Tc zdWk`E_3lqs7)pb?c@|6GqO~`p6dITvnFK?l!rhzf2J@(VXSUEXek6H9H85Fh`_8HH zVv2Hk`&4UgWr#D%wDaM8T45=uC2@S?dl5$Gw#y#Op7r~hhAn;97%7|7B@sM%A1gPt z9W;8X&X^>{Tsr-<-q3%TZB%Zwd#~FG=jL0pMay)8D!`wtvhR3T=#gF(G7Pj`2`y;H zTgqZ+gL`N^lLE2KPhH?ko)lVF({DE(ZF>*SMHSeHz6T<%uoRktYRXscFovS>44%U# zj~0I;&#QF|?poR!a4FCN?xBRbmQs=ngYzn9!YbESmElMq-C>|0 zY{P}7tYy6U8Vl3eQwV#z_dLZhS4$x0)w)L2jxO=V)s_?>+X2Z$h^z`%)=klME;>|N z4>lN22Wqnw=2Vmgul-X=k}mesw$w!+jBOq{{JybNKw^J?{}6>-@ex9l;!DP-L{$v9 zuw3%6tz*4{__C_&w~f^=F98xH0QsU*996{{CvWjbLC~!pGlARQZM{*Ld|4_`u_xkHmVy}O0KTJNg5jcjDI!SMZGK!$geP5?k zjw2uknfsHSFb&V%nR1O5IWR+_m})`iwDV54yg^4% zEMcP=T`z%bF#rB>USE~lnTi$m7E7&O4W5=Hllm2HSbvyw-vG{3c{^m3L8p`!rN0|L z@uIzwMF8ObKz2&I5RSN6em%9uK*J0fogY3gH5i#9T|NNEZ9cuv5-N2fIk`NFsk+0i z&W$<9dH|=>J{ZJ6q?$b)8!g0I(9bV8H$el9j}k&UuSZFTp_JfcFKDg^)5Lh4*7dty zjRre8?x$!lNCoadsg}4D6^;4orP>B%G2$_~MglU|2;T5T%iS%~D-(!#=i2BP1ZWLU z%iIY9fa*y=+I*a8drs4;Tc;b&5Rt(cXy=aKk7r!m*<$T<=)479h8KH?Fj*WhiO4L8 zNx5QqFuZ#&w|YGA(1jI4A~(y36s>ZJGe3)8K+d4Kx4jqce$iMnLp%?tNPMl!qv#HgtXTAd1Mv6j$I0Q zR^zBowdUp9(E!d&DX&$gH?$fgOZWLbcapdBr=wSU{oVa+SggDKbU3URm`pz{{q4rC zSSniYR(l=>%iV85@HoR%p1}aG(+?Y}nCiqQ6L#^>5jPpp$~Kfoz}LGFvoH+jU!u*! zcQCx|%PquPRQq*r88myR8RV&MekP0GH%xX&U~}I9RP9jXI(pBH#IG!g=Z+^+Tm}FJ zQ=Jp_@KS6MHf*VgjW9bcv}=_$jfgOV>Bdq;al@s6mTTiwsAY^trS-O% zxUi+gH6hRVRwePS7Z9CEb4ukZzaTbwbeRX%uCR*YV9uCQC$C89PqZ6Km^%ecLVdo; zQ&=D}%uExnN>-M;uBb|3Hvf1Nyi{YjVuqkSos+`e{NAk>@BW`46ecpqJ(Izzw9)=y zsWF1pZ^H2c7D5)Un4ORGtA)3{%AP@y*-iykXS5Pau3w0R+B>Mdz%7i<5vDb}uKg0NX zY5#dH;o4#7$KIy{YZ1e}5zNW!>1?}(ou5xU&IdsIavTwerd)R@n$}pJ9}OK4_t;Y> z_EFj7`NoGbOb9>Oi$B882Tg3vk|w9HnV>ZIJ&!6SztjC2=!oS=;r@-la?nIyY5#2p z@z92L&#ysj>%Jm^6WN&v>j;b7%v~(eseUc|CltRPA!{V%smAE`{%J_0*p|~Vjq9Z= zDhDg@saalXrQSsiu1K}!AJE53(UiX2Fw#sA^4{0iUE1&S095x0H8t_a# ze|OpyYj$Q>X6|Q#ZC-%G+xz~EFP*ol$k0hRChLnPsbyOLK7<>;EUvegkepNXoihY? z=LVaRp^C0zzy*BLDpNhTLdkE^$H7S4D&aa&F$vzYBmc<`WxurAhxwa={HO2X2LuU= zKGI{q#wIc|IygclPd4J5lg|&jbCWNJIf1MfGJ_g6Xu z0`9S`K5yF!HI6iPlB=6sW{rgV$M0=IOl9WbTQjD)H%syCuWM|yIC!SWOT?4Yp5aCj z#-q=chaK&oUrB?nT_??kM@NJK8eKr9dDcrw#x~K z7Seb$KC$NNT{DcL5#l-i(2q3p0leuD5Q|Qh-%sr(+S1?^wV?Os)0FZzTfcC7 zVm;`IG9tbVM=RU6JQtj9FTP^A++vH-A&zzgTrg;@0+qv~eJ9Hzj(4IQ_qf|PCQ`?E zl4^c3MoTuxN7+B*2lAAbQq63C;mMG|3#OMJd1*iV8aWtvd9QALwb1dt@s=U|q(rQL zft-|;kP1SqzRtb4#BVk}I~W2B3tewq;?H<4$l8w0+c#&&{ZLZc3tpL`dN!A4z2)di zU+NCUDB^Agv(c!GF*3@n?2jKz>r`R&do25s(D{RA$h|mt*4O1mzdgfp?4)14xzkjC zfwL{cY-)k&xtK2+T+In!YU@Ak$*!h)?2%@~j{J>j2qVC2_%h*#)nyAX4m8x*2TGEC zVsGr$I+NHt0heXZZPXbvACryo+D7u@W5Ftjr%{ftM_e%% zx0b0->%?lwALg>)QW!F=Dz_w#c>M0(PemMpw~n>;CpbboqWkKgJF4Z9^G(CqIj>>8 z2D-2!F=gq&NLVCj$#9H^pxXz`ncx}cTW9#Oo! zs&B|l7mX!Wl;bCpJbA@oFFLQ|oE{lNmy_8r(h;6UUpk+EmJCwYI#8@h(1tyCazfBn zm~Jj5p}-D)ds@s?7AhG+v|(&Hw9z(BCd+YmOSc23)SerdACktY>q=~ zEoP25WcBlG!0`PjQ*KiwgTTLp3)!xbF=d)}_>_?qubZyg_)5LZI-}BLLsYrWF5gxD zg$IBZ;0Vpw6rC?$rQ~q*Cpua3)3b8ruE#|Iwfp$Z1WJ)ILDIRc?zMaNbjKvOn`3Ek z`WAAq*BW2I?Dxh1_*~yQ!#R7SwU3QfsiTFMT##*sa3|hT2O8ly(xC@qj|yvPQo0vu zUQFbk-hRIeXFezeupwV>2*Z_Yrk2n^6dx$!@{19&FS10yxn>@tXU=1{)aK*NLkZ7Z zB*%|gBn~a$5<(HNN(a@rE;~&aZGN|0=VNJg$}@khEkr1fVX%9hOq9TV{L=Pr1uTRQ zrdaOFb~nF?7>RvBb_!D%=-xBzJJj|<&PF(i$_~6Wew1sQF*=rVT1@Ni=dH5;{u|zL z6gxEfArm4Qcs&wT;ZQ+{Vs|Z9YbmYe;F(@xnY~lQ?%RHE2SIrWe*@{w+hC|Yqp79% zmaZrWIS#WYv-rbfYAJlm%+X}I3qp%TK_HF2@m-{V{7beWDmJ#*W~ZmEEko7S%vf10 zZj@AChm&Xf-l}RABhiTWP@WyAo8gR*j8LyRO*hopIw1k>NU4$Pn6rQ-7UC+qY z6R-zLBN(a9IsgbN(f4eE*E@OKFb266EqLHkA+q}+G0^@^Aqj0bIw`e#q$^jHPCNR^ zDj4f}EgaYF^()knA{{ExR+&;o@8%`}0YSFMBn{kET1KQgd|FE?JB)bF;7H%j1P>n9 zmHBa~HaAIqrK0In?Xi6Hn8tF;<4pJX;+!rj_r2BwE5H$46LXrjA9B0XbxQK+9tPh$ zR$mM$tZ@2v&&1_(hYijrlU9Pf?DFOOg9_n_4y!5n???^LUpSIYs|7>rzx^#HQRVIx zPF~SCr16YjKd5l+b9JUPCE*pt8DyrrxFx_b0?=v!j*r>uUq^=jDM?{ipT+9E+>t9b zA>m9lq+_Q=uM+ZyYK`xHm@Hsz?$R$1IOIw5JIf2-sr;+N6`0mFj4pGfc|{2f)g)2t zyuzZ{q<8%Oo=FVqt-pm92+a2Wv-)*@BC%L`9<5T)epkaTO1a7`gK9pnw2ZnK7=FI3 z)tGhl+gxYDdgY%kkAj#cwch%6%SW=7LC)1Hf_l&Ch-VaP1D%JsBEov2vy)XwMyr^%^Mi13f&J1Oz3oj}g- znwbySOo-aClvL$&K8Zqwso;Hx@P$8oqK{dS;4t^*ijsa0SeD6&^Zm=5z<>VNqTiG) z_mW=gPclVb%W;bSgR1C$)u_-Vy{5`P)xKaLF(~&^M)~(^usqgYroL0h7OM73W5#P? zxY%DBkUJdn?+xkykqdx3YEeH4F*>lLG8q_g-%^oeMo4tC$5RiW)}WCHMF`1648BOC z{k?)}T&%mUTBBYinp|WEn~P3tEJ< zDOIQic6IT9yV)PJsEGdiEF%w+6Z_w_0DlMk{~0JTk-+mg!fN(10BpYFN9%V?w9k#9 zqz_Tx)FeuB=r?P&!+gx-*gi13L)B*n1PMgFw})y@vrZ>eiuwC|Xh$Jz(Q5lRSyGAQ zxr;k8*dAV1F196K#Yk)P7E&r5LipkV^R5sm7O18l?g_2D#x=T??`dF)OyrE>L>?y2 z3YIU?!K*V3+#EB|Dw&E%wiOY0>pE~4u_7sro63#A(A%6HYSAWGih8mFx>B-Yw%k6e zvz`&}JK2_gb|}otrkdH=6O!gr_<102=b%H0W=5qRmVDp8I-4rYq8@%H(@~;OJg;PO zreRYi^N|&*Wd(mA1+0$6QLa0WfrT)J&xtZ{K_BhCFfe^#qY3$4a;0FsifQ;b>hNGe zK=Fk#U352NV$O6fx(0SLrh(WynU=970?b!EeXTV7s8%tmRwrw5$rF7E#OvOQEAmE7hLqh41{xw! z-5tzUCiqDhXXIylDj|BOXs$$YbrR(rE!i$XZtBLj)lcjRj*tjD zc%E6&Kc4-YI)arP{RfA})^mrRmp$~nl8arB2RJGYTpIr8)Bxv#$UM_SupwMm3rS_~#N3Pw;jVmD! zJ6{trG|_|-wA>U+{eh>IRoe${YA>%y42sW9d~Qf6#Lb)%u&uX~cPno#R}n$HS2>?o zpQY2kSV-q>sku@d=mUz`UcCFq8EikmR9Wl^h;R)I?pTsYJTcYP+3ksukdhYuI#9cm znYU~lSC>#I*srH{F2bIx^!=<5ZTPrWu{Ksz|0YJ!Dv3xs#SgnAQ!|Q6dTUE|^MDj( z%yaiAyxBreYB0u$B&r#=&+A`86)dW5U>!%5lZ|J;aq-pRBP8Cqr_=;by@|d1KO_dBBX!K}O`qhhP)0|Gz~ncWf>uegpHmPtI`TEJ@}##tTsJ?3^ZQ2AmC{Go zlsU{g7Sf-Tpe>$t5wS?WmQ6h?ca%^p7ji}7Mi8HFitqMSQ4DBOmX{kG$5rpLf&N8% zB;n~O*UG*)nznajh%7fG^AMhfXg2vTp%STqK0rpANCDGQ%YPbma~#qHH5~nVhT@(I zg3&UZPO#%IJlh|_*5=W!7dM{H%S(C;=PnQ@QU5i1)8S^v3u^+DARwD^wCw+jc?Q(0&UJhC&6gUyV;3e`&}4S7Z`rv}sB0dR^A}$(rpuoo(v$@C_r{*7 zlw_ZR$$_7hm>g{alN%B6@J}zROa2I|N>Z%l_qkgTlBDQ4e7Vrrkb-? zUtRaeQ_>K?U==Mg+~2gEcAk&jcye#oHNDs-6+V4cCB}VCZP={XD;h)aczVh9V0b5= zfY`5fkclK*l%fH7I}W-|3{m||bQrIx7L~l(Mj(gAXwmu+!pf0M>@nc6kX=`hk%>Yg zFa7NdyC280-A+{?NlHP1bKd=~u(Lb?7>u^zZ$w|?i52ISE(U6KJjAa}O<}6Os}P*e zT?mtql1y!FxT>E)LBpSj?0be@EU~T9Nab7{T~Qop$~BC-EY3Wc(m$kkn{yI^H{ndX zIS%2iud*;do(fC2EhgVC1LZb3xI6+UM95~pVp`pRa?sjY4Y+Ie+OC&}7oux?{r4D2 z@r)L#tA1(}LZB3O3Vj(J*MJcaWdrI_#gwc=T$N?0zJ3hRQmUwY&U~;zP+P&gDe? zRl5%Ctx4FcBF{!92)+w3TawB(ZOoqtpE>Q%LBT+&00Flb2n~p~g=2z*@H$d_hF87l zqm_N5s`P`4KRDO6dzu&Cq?pA4A)BS8e~dT17;TNzLc@q+dRP=!Snsunv?dw!+H#~p|9SJ|MWWlu&8=wj?eF-`oN6F z5vjkw2>AMHM8fmxn8suLWb44kn&y-#S^tIBlLC`ji!O@9;of8=abo)+Nists8NcG! zoRW!x^SkAqXc2;=?2xcMrRXsok68nDVgvJnASVay_7=~_3PeT9V$n`pqM@=j3gueeEDBNdpuef7oQ5MUbe;2j zqHl8hslfn?!ISvkX^vWL4v?y+U2Qh(R=p{vr`!+lpAwrYOA<41iNP0fe zXRx_DhM!YBmIZB9%mysiuI=KhtHEK3%J?d+8wEYdI8NG~qA~x|~kdq@uHCm1Yehr~>{;ZgBF>XzW3#HX`ZL~$l zJ~A+PdoF&vM&NTp+~x38FZ5y!T_E|;Kn7tXQIu}}Q~oJh$Fuz0mocc!J(c5v_?{bK zlGH&LeTH!VawVjrna7&HfB&vryDJH;ZgA=#FY?RFHVZ@TgdN?Y16tx>=_YK zPC3bCS6mi#>SP+@icBQ@P22K+SF3`Dsp`%XUvy5|QysNlcJ5sW?1Zn&D~@1IMJkzs1+q2E|nuE z)mBmh&OfL(jTwUL9shO4pgW!+`^tf-qJH~*4@xV^qH1SJ9_)n9Y+@5icpbqMq8}HY z{oN~xsVj^=ZdwBj`NX;QmVLu9izT$_86lr$geP*<+dyB%>8sCs5TaS?@-AZ#E`Fr; z<2}TV+q2pUS$A8Ftloy)_H-m9MLfj6pe6F|<%p{=cM_ntnkwSkEu`b$JyoR~aD>om zVsGp23=Lb8Rkw}UY*^1m#S=j?{PwoS1}c@a6_d~A1J0C!7|Ye6gFqfp>Rpz5W8!71 zOOx`~al&Fhjt>MqycLA+?*<~Wwd13qn1|{@8l@9+$-m&k!2id+>#UalKv6Pxuf3ll zh~M#|f$I=M_2C&IAzNlaH0R9OMM3En!Vm9BUU#TuQbX|;)KSWjD+-Q@XUO2f)&k4g zp6&SOG_)D#$y`ybJ<_<$0K|W+{LH6`K%k}O`QNGOC3)4vW*MBE=P$Fx>Te#UWU=<%7ZH-?pj@hfJlLK2VX>@q4d=L5kWx```b`_BhyEj?{>lVgIXfJlvZ0 za>ZZ`A5Cd;!$1mVpSE#4J>>_=a&hH?sHS+%MD8)0%#e!oB`o{&ZN*QAYtx9zs{CM! zAwCt{k=TIHlA4C5{U=}5_UTrJNNiT);ULu0v+|3Vg$=B>;iGoc2bg$gQk@+gDvYx} zMxxZ&7KF&BNW*R8JglV#ZZA5igAkALzuF32TViQsQsWD)^r#D(gXAw<6je$hotFJA z{p-5MtH{Mx*rqHy#tUDZbA?QoDQ|d%Dl1b*Xx|MpI&Cv?SoI!sMI_~%J$j*F_4d-e z{*h%6jdYL`mtUT8g)hI3+S?w9@ZINsig_CP%-uDYUA0*r=5Zirb*n)!A9>W{>tgaT zJ{@!$Llo41AAZ6$e;G;Zm##oyHW&T_6oG9(HjOtTLW+fZ*r85Ws4Y zyZK!p6@0HS%+|G0_({ujc+3`x8Q@W4N^ z*hJ3-b8^E|CZ2K38C>s`$DV6BDvb{SyF2v6U35Nk{1We+e!r)rO$g;v|6+u2VsPM? zB1AbJTr}W1y6}bIahT6Lif#;@mGv;-NFEl`3lXYp#Cdv}ddw*}+z7!RC6$%qtrHCf zd8Rvm9f0})B;XNnXQBWH^OGa^S0r?aZSJb2>qlH?04)d3(WZ+CgB?Xt1^Yzwo{UAI z>cv-A3qqDUuUEH)%9Mc=Zh{(yfw`W)4u9LKacIuH^%09~wN5PZq(Rr74yI4--+BVk z$4h><+_ddLO~$|&WUCLJ&|$OTz2HN%J#7(27dRghrOsF2fn9=iRGQKi-(UCwJ=2#3 zTtx)`4w@uTKprMH70=Jlf3(^}2W}6N2K-x3QNQMYWQ~csT>o&D|8K}i{%;9hm4EHXvJiD?3f5M8@2rhHfjY&6q8tKAKumZ`Z09 zLD2SyG~x2HKsUV5MT={2fD*pM6m+{omjS1t0tnSEjoh;2fj@Kt7z&~DbDIVGm+(`K z$w{L$+HwrVpB67Pa?m}nk9?K&72$ZHg9{ycbe*NmwKgNyZ20)`;|8&SR*{qc0kJF6 zHWGEaw$#p~Deq^=$RfAZLGn@U!an0?5egYqB5!Y=gi7m4qmxR42RD~*cj+C{OXEej zcCkf+oeHLN!K70qqVjIuE$Oqy6E^!}SQ8nc`%6)-a9H$_U5vDKMk>~Ri|1t5x8Q%M z^;GXm9x}a=bm4z9b`J=PEu@p+kU9=x6r;~ zd&O4BRV!OeX{U)Q4+a_zzI7jB;M~mI+RQ1cO*go!o2e1#yTxEwMGDuPh4m17OK<(& zxvV1leVa)u06<>0i%_#bKbT4W+vC9l>a1WMGrp&Y`N1g29 zKwV$w4{Ck{1_WMBGFr@z{Ex*dw0HjlvC06DGyk(QLEh;kn9wkgL&LgJK`g8>Iw}OJ z)YjThNEl8=wt4O~&;W7Jn8Q4W1jBA!T;rV{2#%zwX4BXxDvY!g;NEeW$dy@d z^72#ZnSMwZ?g10UBqSt!CmR05KCfD&H>DJdjhm{TOEDK~LO>Vek6yQ^k2rId3VgVL zv*s0L_Q784UYM)1?;hB*CB0LjO;ieRplsSSF{MAWOhdcLe=fuO2WW7)T?+{(7oqCv z<_V^+x|>w6av9U<8$_O1JnCR>W&nJyuyF<>3_OK|xv|$1kP*>jIhu%FB)+F9jN&mc zZEy}^wW-ZbeKJ6?UGuTFOvQ<9LjK$i$UrB#03}wOEN}hUzE+vVqzMBrJ1s|0JcFr5 zXgJYpw!azhGkm_VAr%TFslc>O%j`mJ?{dclWE!@XLbEdLzr-oE=3sHk5>lHLR1Jkt z{rN{Y?CFdIW`L^gn2+<6I-L2A-~_FZ_dXMaq(Af#Ilw7f;CPy+MWK#+e(sM7X?Fbl zM8e1guDFhRv~Lx&;YtV>wW@7clh%5l-}qv+`8#1nrajf~LFPk8H&PX?8|hWn;6L-S z2HHGh(?6{T;nss;@MLw#YG$MRb2dM3m|9pL)5G$-Vo4P-k^IchE0-Pizq)9cL3pP! z*M9M6pw684k6L-8eyWE}wD@>-b9?N{TR6Ellro$%08qtqjaSE@(TE7U__cZBZU9QoL#1#!4i0IRu@H~`E;v39x;(5J%+o=B#Rs^}qlsBw^16Aw-% z%LFgw1TdW!KswVYf!rl|CbiDK4!w-akKOpNP-@nb7x%?BUQ?ePaDRaT{pg0iDP=Ky zH0eI2FZbEb-1D>kr!t|49iX^2!1$gupi?mlR#uc#Pdu7$TyHf*Hd!j@qeU2+`=4f* zU(>O%y8S)g)Uf>bGY@&w5!S9r31AIM_U5-C+=HSlmC<^-gX;5PS=yKBu!J$5C8lb| z8BL6U*s?bMM;irE1#$zDOk#^@oOnq*C8T^OhiQUf(8^adIe8KuQkLH9rnoz+;_LII{p-WqLLHn?aY z#vuzu*h_a34GMQ#`Wq5b&sMdK!$72fV8$PhxG`@p;-R!#7}W{u=^>SsGS1LdqgomE zc8%g1x*y;Wm;%3C_8b!loTp6qu(M=rGDc1C?y$vVd8>{Z5MO~haOsqi>;^9IXXgH# zCAO)su1TL)MCX=>MtgZ>z?e$i8Cf%)EjqB@dgh2ojeLZaI-mZpZ9S#H|I*g8F<}ne zR-R0bJNBo}@{U<`^~jJcP)=dWg0D!6Z*lg6MV+#fZ|fay zDHcUyDBUDj=I|RLiMpir1?O3~&sBnh{8^E;1y(D+kbxRZF=VpJ0c4>q$?GSRC@9I2 zkKOlk)1NR~8t-6}+PuamM8}&^*;8URZx|@ zE`IXb^r8Px5eH+m?0muwE_do;Ug@J99A;l{PUNMxC;n!I*7$KE%Gc6G-`T71^7tMsOO}N^C3s z`05W{yi_0AMX?HvoT`CPl*3GNpCmA?kuj2m9(|CgmrzEmQI73hr^&|P>C&jss99Tv z{)2NWlg&BxFFKZL~@ z`s)nkap~GQe(h(Y`@|)RCVdjx>C1FLX9ypx6W2WOZGa!Vg>wi4H*9fDS~oIV|L^mV zq?BRo2M$8tnTB)PutmI`ghT>IVxIBro){uk`fpu^D7JL%qaThg?a0n9Lk(U!eXzRL zJ#@t62luGf9AJLyhv%}ZZXeZIzcOmB$v>E$e&BvTLcPL}zTC!22IBrpB7y(vS&5%3R%dZxuzHi6#J{tLL1F7^p&I_jvumyDp(8v3yFf zLRX**>9nllE1ZvBpnISlX74`zpS^95dGN0d`YY)c6Nr?l6%4n=34}`Bq^@^Fknk{| z`S^|ovs7F4xJez~9kO}=ZPx9VN#y)V_09*OwLcwq7>zRyl&aQDz51XpV!v@d8QnzOFfe3F}OT?$fd%&Apt=5P| z&b{1G`Otd_RqU}AWm2S>8ulMb6LYTm24V;Sat~l4Gj&EZQ7IkTjN0PH}{NPtqse+<==n0PtJ_q+aEzZh`VJG_hSe>*$kIz zF4s*}JtCCh#gQfSy~a6vOIE=fXQ*pgLGJr!J~Exd4p1&?EFpQW+-KhW5QlpKrlu&;C>K(gbj{GUa=bMBGT!{gQlkNir={JuA_%_f%>$Ttb>C>p~{#b|c zL`DtP2aGlQ#NTq&U7d+kI|EK@buWUjL?8 z6DQpglW7}~aIN`Zyx%@?n7#cWn^U*0$#gdGmotjZ!GB-Hglz4 zll6y>YC`G)0{utiYvTL5(D{20q4kq!z@iS}nyUvnNKbKL3j2`5uhYhTcH zewG;>A>pC#e79!ana%b3AZqQ`(lBa%AHR7xDJbdIL_P&G#^_=g!?d$g_u+fcXu<(2$T} zh(a5>)QCucwrBT3ZPm5G1UBVVb&@PKqN|5g>!OJIrPao($ zg1e1)ZJO-dyz&l_HSX-$RKHua-p{}U;^@Js>YQN3u(+>an61_8C@?KQP`W-lDug@K znDZh5-&=?#`C7Q=GHs3VG|eW4JQSsCJs`T zudHKxlNQBx((}mgvd^TlmDz7zy0uN1EMY9`y^6u3&Dt^yrbJlbA|vw+Md3kz{rW&> zjvV_O>*3qSfq1DNhr#AQDqAArlhlI>&g$>57_|9rcgLvUU$DqprGyCKJmtKRY1G08 zuCyV5)4qm7vk3$Ih-CW>1_TZeAt3_a7I+Q8tH=wUHu!oWKfeaL|2+Z;D#r$#4d8tE zj(RTm_YmBG-j2UVg!s!e|35WIMm$YgNQn5-sb(-ZO}d81H@+-oCyKi(UEaM%jn)*jtACh3ovuDi^_8?=?z4 zqXTXkCaG{g&k?${IvX%xE;sSqRmCG8#?dplmwol-N5$lRWs2|Fj)Zpel1H>Dx*W2? zp8Q4D@o=`Zc@{Su>+YSyC^LgOZpU2;**@8NowkyVLaBiKBX(9h6xFn_*Pk-FWc%9F zuY*l=`TFysKOb|DCM!c}OdsFafJ`Nksf)ho`i;o$o7wt1Go?Qqj@hF2hN|A69NA%D zx!LS>m#=6mj^_&2q0+y?BZ3VrGcGT8PTy@QJ2<2boUbF#s%%UqsF=*|A#o}SOlJz= zqm#u9XJZEEJY6B7Dwl4oU2Ukn*q}uoqIx9Fl((2_c^2CLqZB_MNI zT&~^#t@PnkcQ?<_^{#E#y-W2&wWiuYj1(7E)637}tGDxP{JKw#H{nOCR+!>mY!W6e zKZOrK1zwp-5o-3}+t5GNi|?h+ zOLTE9STvqAwYa$W*;TwSss5ElzNafT^`&ecQ$OOamwJ}6y580DCh_?R*L)Y|#;h-{ z(8ry2)>UxZTeg&66h2eOtfejex(}3A*7Qoc{BphFQb#(CsUJ7y8%@C4V$W$s8obe& zD9UZHQFUQ$$C_$@K*Cd9dvWwL%f#E;IyK!9nLVDB2%K|aBes0&f z;S)_{ld~-%lzG1Dl^MT$txwy?ylbFrbaK=)r_o;898q=}bU4xblk-}J(%>xf@a+@B z(G!)Dz1vEg(I8uyCyd7uaRq=2)-8<^{Pjb7G{&G#e^&+os_i&=X!`<-o>E<14QF}M zPXtna@H(cBLMxfnf2?HoC#gn)9~Gd#sGHZAI2>A{t#P~c`1IT*!Jv5o{Dy&uxTZPt z6bZ%b*2%|(SdE{}*A<&SJmW;Z9=6HgK9)z_q2-Oe2v2m#L-XkX)BC=gs6x~MzlW2@ zkhUthqaWDgnd&tI(j(Rc(q;+ZAy640>wB7Q zlGfHDc!$@8mOV{lj`MmAx*BhwEIx*CD%?7E5$N%&w zzAbl%+KuB4mDf4zHeHQNT5Yyj>y2ckubF0Ie*QnGd&{V}x;9%h2_Ym9NCLqvxVvj5 zA-KD{yHjXEg1ftgV1eLPNa60m-KBySP`K5tykB?U?sNN&aekaL&b|L?)YyC3-fQhO z=bH0*0+=LI+4qwjAd}@dq=ve3o(Zi?-URbui<~)@I#{MtvYWqs7 z$^-Wo75AK|m|c7sQEh-;AeyQ^=_+FCsJ|?6S(N(C$Nf|5I)4zEz-&>HzkfY;P&@w-BFMjaBe;UTdMAxWy;dH3hNWv@SZQk zZ8K{oeSb!$L9Q?J$%H-~ZfMA5YTy7GJ%0E=WzSxx%kr?*Wv%+vIvcCL6hqtTKoyfY ze>aOr3VrpYfq!$;o>aH%#iVX z>l-*W7RTSG9iyG-wRUTALVnBXK3c7n<^g}@xItGV-c5bNmu9;!72ct2@Ylu_f)M}S zQPWFBY`gW!K=sFVyLe{8M_$Q&HZ$u5TQMQj+`R#uO8@SsLaDTGQl>(DB4gP^9pW;) z4^WlGKAT|ojp73gF^uxmauvON+*u4@H!S3&29i}w%ls28LtJSB>hAU@xz%ySp8%^q z#giA=?8$zKpZVVy4JwR10qTw5K1RvYiO*w8OL1%Yx+Dw_28smmFh&gX;zLm233M&w zIjkW}&i;&nh5cLabfSF&S^8^S&O5H;d@r3Vd|T58M^P?<=`oS-3Z$0)O(0ZF-Zdj@ zxjnPr&Y{M-IMKg5_u$&w?{#qh`*s1U&R$}+N^VCN9JF1CeuN}P1b)q1ct1IK1PGCF zQ)5o~Zt}i`gSdx<4YxFjHq>0Gw#dHS<7V0;zAzD5bGfzqd}m0!La%8+)tO&65n&_^ z{eWJVF+^BcdbceRoKrbDkI|93&ojK`AToSvy2mV+;TfTdZ|A9rgnPw`#2O0skU&>n zavScSVwa3F^iYSLk*_HCc@HP7dMC^a!Ihv4#eT@fNJe8=txYBOd}E^Z+vprI26pv*--vL6nLWcA*rnuenvmz9M5G=O*VV{8i5pB%ufCiY)X?fF)B zG?nsAVRg1)V8)l<7V!R{>-*)6GuvWLg`yeV4YX-QFl$U^u^Mf`tLK&F?c!Li^TXrP zEIyEFKEhxeFA%_%xEf3ewV3vBNA@O{-N>jkI|abUfJ09b z6XYVEW*&{vtgF1t6o`mUkue)y3y&3BOmv;{iP9tXS~|zpoqiz^`}p-9#p1*uG5}4x znUp3jtM(*Fk_0`7<*89jD-RM{H{2+MLm-phO zXzy_~bud+pzQtXcfL+tYw>XvvQ{z;I)$La@e>&t7bw3)*tWB;ZGw3IEFyraf?&h2A zbv^&NGWqulRM72VUs@(j%kXLAJg0`QP(JaAiAJ==dMcgNiv&gTo$XxS1ZmT)=a3F^$i(SU=pY0X~RVZwQ&7K<2GqgtkfW;10gDi;-IAa0BBf%!pbn8%>`P4s!?f|#5f3@CRBL(7?buQ2XIvT1| z*trIMQI?r4k2b{Xu3YmU!yk2-$_G)fkT~Jz;M%J43?D$?TIvnwuQ!G_f{*kk0h=ar zeU&rFcSROR2+Pu(dAtLGJH2MyM@;eg26BhFEhKZ{c12v2@_ub(%%1tSie1SGoa(rJI zm0Acs9ng>(xHGx!w7;<&CCd+v^@|rMQ7JJh()nn#(G{##X^?Hw8?oZ5i-b|m3Jp?N zxF=KhKftPt6sq)q0Gv?W zty5;Q#gvZ$OuQ+rg4qLno{ z*vw{wvzs~aKpKZ5#QtK_6C^ezvp^QqS{o)D2vt(AO+g~ntemda0kIJeXNE**g*hVO z1FgRQD0P2=uQ_pcAgEyVkD=BA(?A7J#NXcMJF%U;t$p5L*>~9=#>Z#CabH~^BriHV zeC=*(O_VgAv-2N}MGOl?JhiEmf=tJIlMH^b(-S-waiwE6vMh}T@QztbCLrGBp-mxc4KoXqIHtj=eydf%z~(odlqy;S zWO>7cadYQDU0vCFfP63B`TQRE-wwC`*8%^=g_b9GwJz4<=xvW{0{5lDy|owLP9T+6 zbnv@)lzSq}mP^G{=4}?$ubOYn&e-K68#O))~@BRKVFk)?pg6k zG}?Pv{0t_^e`33A*l(!Q|1*GvF-nB)M|IKKLVTs1*SBf6xrHzh_kb<@M8_O*2cg^| zY%gCnI#ag_+$MZFKxl8Rt%I`uZn z;BL3B$69Z44?JI&-L9G~eVv&S*_JoF?}*40JU#h0Hu@J3TWa3GoB`v?!^RxM*J}F% z<$4AD-JF`$M}{_mmr`28-z`?s{W+MvYOfrfUkN|SSr|C>&LQt>C^VQ6bW8V%Skmd< zl#K~^K8s}|adm?~NR*Z=q)P>QxN`$ta9tJ%cQkV)n_afgB|xFgO26){R~;79QK5EV zM-$AgNM%_mUutkIFal^P(i=5aU*gr;{!1NnjlKV{+KHnXEy9MUkHEB*eYg&t+1_BumnJYb z&Z^>uYd++3prza_5b)#3hq)V+s|k z2aO=o_S2NT{W3}k&s4afee>?PyW<7rYjAvG^Ua}T&}Jzq;DIpa&67=OQU%J zOe``}4Db?br}${gXPcUB(@GGVHQfGmn*(^vT1H)6U7U60IB!(+H||Pm`85heV|Q9+ zU#E0Pa5Lj{LdG>*1yFL0gxbRx@@D?1w9|KXc0NY~e9qGoMc6N3b@XM(XmmMsl1l zazeI7aDs-H_{3q{2P17Bfb?Z$s@Qi=uQvyyohtSoFO=hIa#|2f>I z1u6p5LX7269h4{jcJXxfTDqK^5n$}S8SmHV%2RIvv;EPELZiaQzmn}F?ip(wwu z&9wY)FZYHIw%RF}DI)Z1B_=D^*hRJdiI^A;Z_aVrv^j!gI(a;g%Y#Y6oV&Kq*&>#} zkxJzL_m7KAEZcT?UH5rAH+~+#W;v0NsWIlK%mSJ3IQ{8&{Bz~6SXBi)#`~mpLVMLIhj@c@;@}GXs4lcf(W8 zug!*!0!>{okb62-8nZ9{w^-@jk7^$->1~T+cI(?v(#~A7S~2b=v%r?p!o4M~FAyYO zmr2O0;&^_s?QRmX;@U`qi(RUKkK8Fa=aji%fWRh}vv3s+8^C}-vjY3K+j}m$*dMMt zX1wyg@y!?B)%Sd)pAbPjmv7;;{=MPTLjGH_*~%C#qBXf6n85Ih@~7Gfi0&C4u-1aC9NSobM^=-|S*|vgl8~%MKxxT5+S&kOdV`t|ggRy`-B81_tuN?t z<=mq}&t1L8xHU**Jn8TFi$(Gm{tfdpgIkZE86`-`)otiLuvkP@C@z1ah|;+x+`tnb*$rSVEWlq zVci=_@TOZPPvS9Z%-LyVtCm~ejYO`aOTH&qd*sj{AfLQls#4ris)fhyzRe9Ul>DGG zae?JpbTu1arYjGXYd-5FK(;~1a9>et_*@6=W|R2M3tw=;SMz15`BPDHgZ+U4I#=T+ zmoPTT^SrrVCN{e`UFengZxFvQ|MmK*6A3uy_lS}tKwKJ^xv-q>FpcB>6kss=L14+PE&{|04LayM;+M=f$}XjFeFyuvK)N`9;b*JA10u zs7bP@rcn^~qwOjI;!1p1p=0HI$p@-^;`!V2T$t>N_4CtW(lK{YB-_83Ptc2@9d@%7 ztIMjcPb0{PC#%E}vBF>3vQzjud2+kT&T_WyNKg6-MW5k*L{*I^oLcW8))pw`p!Vm6 zF&b7=mkvy(7|ES{(O#bahuw;bW3Qb2=r!aQyV>LW#cO+Wwa`y?kbI5=@VJS5N?%f2 zU1qiru*MbYKq)KoNgrV~JEk{6SWLzfWjY)*Sa41j*7aG{nKGeMQ-MgGS~0A918BHRfDqIWWGv_{@i|QMCEd! zwTlYs3OT*tC!UO)&^>l>6_-J;z&%i!f<2vv1uz>JcfyoJZ z#O$jv@};UVXuVOBwC&L=%C?b$W&jnKPJejZ&AzWcGM(MN5YDoFau3jd{92vsGYK2@ zzQ>jJ8&YBA|2i<>S@k$}BZdD>_C44#IbDh5E>+I>u_1B}c3SnW=~2}~gHW8!-E)tN zf!grk@X^CjPG(+C!oE&PdM(-ks*%z_YO`K{rJEAG5-+iJ#o@=mZJX1d-aV}EHEXMw zDal&%eJSxg_W=--D=^p~PzhJodK$4$tlWI>veU)4@m!KhB|r;{Rlhs$itk^1Vy_x#4~@2`eC(3G$y#&aXbiJSlafc8=*!q-C*o=H$SQh z0tbfrA8I&kdyIIaZ_@Bse!cs%`RSw<#`Obi(f#2E1DlzoGd|)#PQTr=n@%jdy7|V-_i)(1YXRzP$+is0hl|$s&yH%c zw>^({3$=7djN5emllV<{?w8vjT1LW-SN3AThcRmrPv(DUaamw#8)ix$=@y5zsOQ1v zW9lBw-skr9RB_h}IRcri!JJ$)w$$w-M4#5=O|KipWlK?;*WcYU!(y!iydibk<1nKI z&D`2^DaMkLVb2yrY??}U54~uS-q{)50l+c6K=$^@FhAcAgf~|JzlHsJ5AS|9U&Q?z zBuu0!F}Fcn)uAKQjiEq7HdPU&Z-j6-+=J?-&epG}(NpRB9)F6zI?9T8l4xa!{vWkE z8q)(@bLEW$VUbcy^V*S}J4ZT>$}wVy8dGJfEQF1<&+I-!OiJn{sgMWthmLB8KG`;> zwCw(^LBndI&PPHRcY;4);4(12ahFBBu;%7e*&qIqMqDzUC=SKY2S0(3S{x^t=pz=7 z#I4GBwPkylF|nwXQ_yPTBA?}a>ascdgDl7`57H&&|O z|5PDC$hkPX{Ewsz5=+F(9fG=A+fe@B4!pCxk?=jp&N*wbAt%~L5!-%LCQk;_S_N!X z)RadvCDl4m-C7*>U~4UhuZI$$Qt0Wy8hW(*UUBeu`0vDHK7h4{pb4(QDKc@Vy-tu2s@)?j;8VmN$cqwzu9b5a!1l#B;PUix!Ws&ftvI>tT;2O-+r1`qh06xHil4c0^R-R zwE_zAKpImMq$iDV(J8@y63N#j%eT4b9qj3t$%eT&U2L{qKTNDi)n=MG{!ychL~?5x z{qk?AUo1h@fCCQNE5crj+=kbCI_EKtSq5tRd_l!5!~_#CuFo*vk^DqgS9cUW=hjs# z>Cobdt!x0{N#VD@6b0Ahg5e>nJIr_YNa};_=!(dAV63$DW^x=1FzS@ZIIRoPC+qu1|ZyWJ{rxonFrJnC39i(N=_z z*k-46osSnvtp6>;IR4~H|KHZiY#1DlNPPCC> zK2~LP;xtPl+_5WSIZ=;^eBjVRCpHfYiVvDk{+?_2_Z8tR+xPU-nJ~9)k0r_r9cAmG zPq_EV3F3Shj1X%kIZTzJE4-Q1}Y=Fb@q{gA^bu6nV0t_^9#J2^jB1K*j~16VDVb#5zmGc z;_l_@*bQ4SB^MR(tMG7wiUb#t-WELX6zjFoeAhff?cW!#1V{PhAbycZAxJ!$)SK!A zxlZhBewgF@mm6UJMC}1Dk*GmBq`{TsdX0B%mvk`pm#(TFmy3gp=xBrb8mGDW^I0{v z6hHR#A0j!$^3lH3#by*hGDp2GO0C6OtWXVLu_P3q@OLw$^%owp3Pf5YN1vJ7R$Sa$ zL61YJj~_eywG;W9rl$6J0=2U_eLmJ3HVb= zWI@|jbt-Mhmrlx?8*0GGlS>)xW$tTg@U-kSHEiZe`S0vThT9qz>z<)|yK+Q7;vsPp1xa%nlLHT7o7ueY2P+M- zH2WvCvoQX?h2gkzBWoK`oz(9bcLJ&q+8qeFTC0ae^yd1a zlGF8XE}lq&6t^Xi@RaD|1Y_@@vme1E9&+ijy*Pe4F)IMbCqcXWdEnp%bmNB=x5PNCDuo^IHpP@A72OzI!mdjZTynX~8pfJzJ zR2*&xrpSBQIzGA3sV}hj_*_*NO?CbBjX>Py*VrBAH7slCY@K@R-w0w8NbTzVkNw?1 z#e2pV+;$_fiNN^nt-ux$1YV2uZU^0H$poCvh1W*Bd^+9j4}YMlLJh_P(`GDtB~Ud7 zbcgS|4~8O}=+?NAxe_|egf>y&;b)N35LtEN)YaY1-{45)8X_zkIv6U|4s{hJTXnd9 zJo=C^QzE|7kjQU$+Ix_aWO8~&X$}jm^Q#lV0qS?kcP9dITb${SL~AFEd%lFIVVRq> zK+~(}W>(Dy1C5Tm3#1d})YPUQAT+A7i;pQK>uUpYk25w=9DUx#Db~1Ji;#vJnGgV# z_wZ5?s57Ey4Tv9o(atz+tUVg+RW2XUJM;dqVYa8RT6}*mxX5p#tmupw!Nmb=6Y>t+ zGpvpvY`EJGq@NvAk^j@<-Phhp?Yf&nI_hnlzNc1Nu1(_qux7cNVR_a_1l~j$$!V*6q?Uj8t9e-< zqrKd%t!R&D?#VyMCxhQ){QAot8hKPaEZk?pV2w_U(yw z9kx8N-zH71w2x=@*>X>z6&=hJ+fO)(0qfQP`l|SdHt?XYyDKzb31Lgz!-@s6o#5)S z$0_HY74&=-+}h}E9igVWaUz?pFZ`-9w~2{$6gge*pX&Jjj!YO6UNn#18fPjAA{GAl zY>*^VBy^>ayzmx~841<1gK96gppfhBbwOc#G^v7+*VT>98~X`;Y&C$v@2fM98{A50 zyXv9G*-RTA#;CRJO#?@k!Ay2qkkrzZ#W+di=;&kaC|O}2laVz((Q;8O*CzcYe5ju$ z{Bq34^FJ$Ox?*VXJA)s>R~piD-;`}88b9nU6|+^wB0{Qy=siY>q}xH=*JcmaWj*kz z#tmj!tF8c1^%Lq=ujGWBq!ljh{h7?*?u>YrT^|b;!O?P#mCGe;QNwrP!0cV_v7A{t zt(SHS$xsXdF&+0}x8O<7Acfr~y0!JCu!4h1AEo*uc+%akZjVBz)K~(zC*CD!J~tMt z;0Z5C_nLPtnfw!Ma_qMTa6>(NF1eP#6ugzb{zSVtWircj7f= zHT9G46iT#RGQlX~s!U%vLIje_l}qj$K$K!HT05giUtNLnRcqeW+p^}PT^1^?%@GLs z5JT+)SQ11cS1ku@O|`1nsJdIzBmaxmhOGv##^Rz^Pq@4AGt1tk8rJ1lZr^00!8ZJo zPI8$d2CZRxrypa>dk4*eO(c4Ws*QwjCLC`DJu&|yPqW+-aLx5HTb1OXO-nI6)$se7 z>xw6>Atzuwy}MJl2!;0OKDbpH?+l+sruj<9JN5fH6|fa&?o%W7GTgL}XITC`<;q&M z3EN(x@&hv&L?*Skr#yY7{^bgQaM092DD5{Os2iHX?YtSoA)El;f3_RG=C8C4vLN%yTj>bsC+DsI>7*-6PR**_;;Sn-0{3;w_kbcD3cZxlJ%E$ua>*Z_70?(HKTp;IuasXVtbsp3tuRz z%ecC6sJbq?rm--5{lesFG3c^%@Lwc8w>>NbT|a|!IgXu}idxli03UYNc|F8+=~Ze` z9%xz2Mq^NXS9pVa{c??e>tr7t_g>zqSdfCE$P_L5H}CjY4C!Bm;<1~;qbE>_HGlk& zW@q)hLvEj9yqPM|&vmO4VQaRs*0TI-kd=%f+}zz2%yxwR`(V>xl+`(mq|Bn@n(tvv2uP z{k}vx6qKmdXn}^-<{&$?*(S_oRsMqecyOqMXN!>YAEIw)ekZSherYY3P2S+>vp^~i zb5`^IBKN{{;K&0n#*rXiH(`kD_NTM&ZeRoM3k>t#IiJe4?VKJq+3u2w)(NpDqkyTL zerD0O>&8zu`{lqGVr=Y0c89j>8=WV7G8Z>V;Dao4m2pP~z@hlJ$}7eO0P8#Z8l`5C z-b^9S4=Z4)3?^ls~CxZpfBcM_Jk3{SXQ9T`j zXw>2|YP5wTcauUEP!0tZ_GY4yx)*96%^qFHFAa55UQUV4Lp z&m-jiPF$;o|G@(`4iElk!4h=nB31w1_td%CsL4IOB1^r5#EycM7LSG39e;2sy`B~$ zTGr6yYApM3xhZiazR>eW1z^I~vgUfEo*t%NNVKzDo+aQE0LT(u(S5a4^%Z9hn(Mvo zANAA~WV|$D$&dz#-J6<)g9($7Pt8l$$=S7=rJVRSOM(%tILhpDVZZJ`>A5EJd*4(2 zklBlkt~oK!dVl}66Hu}!u3I@kpA~d{+i29(+MRE+W5n#t(SwvSu^C)63)kgse64;y z(WYs8M(tqS7d7fDsQ2mb6a*&Y!Z&)C>^z*Ss3qV-@fx6mjBLr z+}P5hT%aaR=f|(q*He}~n4?2Q@E`+h1|q3IbXe5k09ANqx(M`TNr3IdrJEn6&>&#k ze0IZ4BQQo}V2nz0@-bVi8oSAv@L+uHmZd*&lkIiBtbiu=<2{b07T2HLaPzsb`~sas zDY@oVkc0Uiz?}cWcf8i+62^VB_7-uVyR^xQlivr)wnVj2zoem{Oq${o5?)?eab{A9 zNZ3C)j?Kh37`nXLUW4G1%67z$x3F){(Rr3M%;GgWW;`YvJ0v$F-C63h^5nxo?vKKA zj3;@RgKunC5YO1ij#ff-ptIGq=ZgzN|5NDXG8 zK3+uDS)7(ACm?-Kp6d?@9tQ8;^}z2z3%MbRqPJJYSc}Lb65h0)=h#`zfmb{6T}{;m z_pv2gv(6LfaXpS3@t&#l634%EJ@3+w;O$2itA7-4#4%)-DFTY`fQ5gm&6%4Q zHmXgpX!bAvd`8N1_iAY966{_x3`!4aUk&FIi8Rl3GTm~DFSbb$9$6AvB6Ws!@5srE zA6WDCFsAZ!xqLmwj9gDJxINSGYnyXX&qg!<|RU^Y&t?BY3O z_HB#g_E8+P9Ot%h3HWB;8eed4(rtuFJ z9OZKvANyu{N6nbDCX<*|x`bzK8w5@)Ap)FN=Bg8GGmQbxr>r)Me0zDUtC=dGbd5>hl zT#=7eK#DhS4w{ZEI;;BS4K(q$v`Z6TX*Vp8Af{H;MW`#v_*!K|ENDY4+E6Y)WF|W% zQG+L`&Ho9}-}r5P{Vzn{l@ABiS2&u7GxuWV5A|P4^W))cA@}{*tRy>1e*{eIv>Ego zC~2N$?_rlmr>%``B(#43om%p{eTc#cH`r#=Os?_brlS-qIo|{$(Uwsw{47dmsPTskdyL#@Zo&!@sZl6n46tmwXL|F65vuT@FeZt<|JCs9 z$$TS$Gf}1Fx51P_L2xhzzDldNdju|%$GAQ2zZ@%^)pxJ1(hq(9+H%6e?p6OEo);@) zhU!{1RoS*ukDUObmooqs) zcvaWern*zPlEm)25AK$o{klSj?l}uJTi%aoeGTQ3GlKeQKKqx&tlGY=Z{w;`K8-eW zAxt!$)iy7cs33_AuehY-o-t-mD64#a@qzNdXtD|BqEb7O)uX#Nh+c287k#Z)9c)gO zTj(8^veME?_%Jq#cWV+wwnD`b*(m!^c*$(zZQ>jD7YgaE7httW#FI`dig)|_C zXBpp|W{_lA&lyEezf!STT5zO}40ZwyU?ZI42YXDrX))(aJ1m6+=$r2G8=>Mm`gxc4 z;=FNuv#fWhk%PQn*l0*D;f9*TL?`v>p|wbIILnz8T`LoYKxQzzzm*pVX{cmXjg2>f zCH!SV9Fj7rRXM`Z(x;Ejd4(Stazzba%F_*BOB%^N`TmlFJGx#imARFAN?vJyiw`r z!D|Z-){%u^ee1;wSp~**%QTuQRB~1l@linP*?uI>LaJ5ERp#I391V~RqMs11wU{V4lVkClZq4bWW;emKrK3%qE4|U|%@SUW<>g|aUUR*+ z@bGWTV<<#xS`4iT*)klYt<22RijA5&D27xB`|aBk!&z!dL_)zIdKDCxbz9hHoz)3%>2?U)~YtSTAn?6I!#6Cv56bky-I^DV*sUq=`Vf!|2Y%cKTO+y*xC4e@QwU6 zSdX+j=MX}nhRMaA4F+#>2>qaiN|#xXsL|!yQjB9HWyUaXxRx~;=Y2}xqu6V5cYuqp z$6VoQJ}R!{^makS0pdW#X!nNhM|m1I;FTT(u3Y5%whiGvk^Yq>qDq7=tf6R$$P>yW zc60xVWX&~!KvyvH>A#!47C$v%Hw1U*xc}51m{~0}1I@|?9SaQZEC~tgExRiJP+@;u z%$-aoau3R#eHh7GV@=$A_i>|!$E!|*j5VlNwPKQr|86za?eQhrSdTvJK;LOtJ4&Vr zvHg1jgL-9tg&Dc(=5c!oQ~Ya1G7`JXmD0(&cMAJ&D7DewKBn3{KcCjy&i>FS*Y)3@ zyoqE#PAJdH=w(BDe6_~WC~dzl3J6JV!E!x6QE1CO6^xD)`Mi<}Fzq)dMsDscS($}a z3)Qom>+Xzj@-{Y*$?I{*Kv@p}g+d@S{7|9c%J4iu%Xc7sxGM&zt6c0LBs%}zyOpKu z6`(Dby!f&R-m^lTV<@-g(XDkhZ2Y;qTR^a#?c$)$WIAIjD3sh3cDr{D@_x&-b@YI? zkQptzhM5n$XL>&ZJDgxNHPOWU@#!c7cn+-pxpafkHN8hU!i)=(sq&$p1KmUgRH zzjZ@v?hGL4n(9TLGFtXXIMg?#T|YkR-AS%QGIFFDt*y9ocB_rv7QGL1+HO8&y!Dxo zUPZHh*sW7RFCB4;2#(d%vDoDT|6_{z90XMMquV>n_0OGZ=GF(W{R>wOj8^ICS6pNa$mj#g}>By@P5x`8)7H>SSjn@ zsJ~(xqUpqU%+sH_Rl;W&vvyTcvIVs{69)gzYQJpnDF{(uuU}q~zuE8^ommp4{x@gZ z>C;(zU>!Xyh)X7#oxH)b z)Ay#h6~jwP%byQ^2QgaJZd)OF)xNqW9+a^o5|LRW)`Hmxa9H0D35c_Cjzg;mV01+fGYH}XaW1gChv})cv4zBHo7epiS{8(w-ZLOcr{V(Mh zExp5=7U4{|oO!GdWNE=`J-#o99d^bxQIWxf-)=aj7(Qp>sjlet|Px}4zu9|=nCU{ z%bR*05GApCm$zeJMVOAWDrNvw35x|^bJ2S|up6Yigh+-euBYGo16FHh`~x?lMPP!# zV#j~`Qc9Fa-`9(gA_!@(%{D!y z!w}e+35!>R%3D6#K2gLxJPp7Y9=W$(Y!GN_yUj3XVmncZ_0@Wk`|ReWQ`5+8p>4R$ z)iZ%72_TD|n}~Df3M&Lq1B9^V+}b7U+s_#w*Npt#=tbkwgdq0;l96{m^gG|z*Jg@g zQNE+3=A3jM`sjih1R^rfo)v2p}_hgwN&FU1bZ*c*x|Q}!bADGL5YaS zl8=k9CkHWQqLMzkYP%_)PO>V0lQ$ON5F#DlkUNp@Vc?pY&C);|eXjhax3tmAb9L^Z zAdagT!^ZdZtKesQmknsfvb$BA->6m<96Xl=I|;`>HuJLH$Ev4b|GO5z%JJ*Q`(J#7 zR*R)I=O*TwDLHkoag3;UROn?zVZB*!RI3Yleu6EZ2}!jXkCjsk3nM z^tOAF8ljw6UPDa5%Om+rbcbEm-e-jT_1-ukMdbxtTh1y#c>m-3_iHLiE<8Yvly|l{afU+t#VZ5Y^F%8+>Licf6Tm6X4{ojX6uU4CJy8q)yTWPDYu*;Y(7x!XHyA4 zP+fPY*PZR_H_nN8i8DC$$J`bynjy)9D2bjJ$w#^l^Hvl(JYKLrx3=RM^~B&Gw`ObuIHg|MS_ThH3@{&s72vk;Ei zvk;!UU?f6Aa}gGX&k{ar@g{Br?z+&=q-vO2p4nf~CM88aajH-Z#Y5GGTx-ti?SIPk z_0b~LPL!rXpE}J>F4Mywzguysaed$^1!<^-YztE+iVdf_aspiq*i7Ect8T4;=>_bu z!Y?0_#w}YOniMxL`}!f8XK0^!4=KvMsIr7JP7PEQHSAUfHll8)UD&bfS+jnSU(!~h z9*JgRUPaVmj0v(69K&F0(o@Cx`+839%1?qPTP-^Fk`Fu1OPmrl911en)PuSH2PH4m)=KF#G)f>Qwj?W zlgLNXWk4z%ZN7aqN23>$Q?v`8WUrmTphEVj5%E%XwS1BuTCwS3yVrRhP6+$&4xwC}oK zY{r&t>y-e#M!TB3?D>~9e@F*DGs_W?-d<^#dn<0{hKEYT+sYL)-BXX5e>36AxYYN; zN#KvF#8KPDKv5KQwnlp`NaHLe3z7K35}%GcV0P@dM3ylohM!;@4*#)Md(--SR<3hm z;_22+uJ(D$A?E2f!trX4+8o;QZ59E=0+!EEko_q~aIM>=hIM{Us2}bkm?7}A!>p}I zD-kGj@C5CC30z=>T&knnB+9`UgK=4ON?ENZzm_9nGoaMBTHHCg6~>5f4e#q#>aOG# zyN*2BJ_N3b72(v4#RHdSewg;_6gV1m{JyD%TskmB-a!^la+l(pTfJ4eJTQoqxvaPA)IgV9{#(`@4};w6_t>N__U$2P`o^~_e&R>A;(E z1&PJfEkGcZ8K&n)*&C@rN}Okx(?d=JD9EWzd1fy(CAH8wbe&OG-Qma%-ka6dZDxbF zzk%jIMHyTgj6KN(D)}9oh_aN@Je+ghx*zwi%3yT9{Hf!M?q#|xn8*s-p|+ZQqLb{5 zYRYZPSNz+|*L-O;eIe;xYwm@uW%X^@)BGt)?>fxlMn$s2i|Q<4Un0NiRc3U;PnLj2 z>|2asX!&|UXMpjJ)NN5}mo&;xZEDFQ^KJ+7HlgHx-U_8?&6oO3Szb&EChP=S0yS)V zg$`>wO0@~$i!EPQMAw`d$Fp0FW!8IAFqw6{-v;jCE#b>=;e&ymOyB9pEFQ#z%(<{z zJ-8U;`&6}wAjPU@XS>@+c5HlE6l>glh`CwFTp2p*A%b@Q#A3E*ZoQSvQB~z~i^{EV ztrnR%%;12yFWp$n3B)9D2U$V5MBA&YkZ2g`^$ZiV;VMs%v6-#S*Rd` zQ(BsTJOGIt3VK^C=jd2bEW-(HH_+Ir@0@3iaZwdE0Soi|9g+FB z0x%3?)S7c^v$PIr`HjhqnWzJiP&ld%N3B1VK|I^sKgqmhKWrGhjI`W5n;m>Dcll+4 z&gpSL!6VD1_%t>9gzZCU%MoQADEkBTLvkWq2)x1Ro7<%DMh}tmX{bCatpC-J6l6K*uWQX*TzFWADdZcM2~eEjL}%!OzUW`qMEhQ}qn zxK2|$ef9$rkK@zyfIq!f1JZ6|yT5MzXN`KSmESCe;P=zTirmg8l8BZUYr({W zqW(nXn*Ebv%)&}dUA?x&NlEE#wxE00%F2WI?B6u($i_BO{rk-DJhfzH($D+*Co;PC zt5^S)mFxeXzp|S!*a1|pBxlbKa;qCPyfT5$e4RaG>bWX|Y8)QOSPS^KG@0V?czkng z{gzBWJh~Am4;J|(audBTrxJkQs`T*jUB;G~QlDNsmMwrnGMgbuzO7F&BYJRhl)~p^ z7gi0##sXMJ!V0c3Ut9n^Y@pO-V1GJ?Go z`AY2|q<8pie;D%lPxHlLQ{1;&LzZW{(;bpT5J`efMI5~3kyZ@_3g84|(s_`rWsDQW zUfZSOp!@_Q&1q6Mj;aZ@iwt-(wl6|$_vseaIsSP@D;~|;VuNQ9}Anf&upc(bUoS@$+udx zAkvKB&Xb38Qa`UR7#t8;_Rizc6-3p1!#Bz6H%RjiH&BAX$If#&C0 zDW+o*cDsN$mZyP68+T}+ad(Ht-QAtS-3w{lp>cO9+}+)waCdiY+@|_@ z&p9(OF%$9qoB96jsI17$y{ob+*IHNJcO|7S+TAsvYrhnWP=hj|9JOWAaUR*q%GM;D z$bxjkn=q=e^Pgvc?uL0gTKt3rJe<8*N&IaWsotw7mA3y~O%ZHrd;(hb70# zw8Qp!$(OpOrqA^<+!Kp1-7g6?9l9IdwN=EdfZ!&={QJnxkGBo_|g0Ld2Z6?SmmmrwyAU?*a!(CA9B z3+q4$a!{}-K4Ec(DFCGUN~X=q<87U0+Ju$cK%K4PEW)S2zOk@w5+J9%QkPYlIn1DE zUD-1Gd%h%22-SIl)lrT3%~C3fPKAVoq_(~cLGQk>%H4$l6h5Dmd4IN+?Z%cbuypgn zVfmRotGf?bl2%SCCm zV2(J8%AgfcKgQs4+8$M*ZveYy?ViwvMtLfF{ibuu*^pT*^gIP}C47q3kulaJ4)zP4 zX}pjxw22%r)5~N(2BLEA81ZR&A^RVZaRVxQCU2#dCDb1l;FAnP*(rCOz>$bm)Jic- zcgWJV&lvs->Ey2y4tFXmS2pgr=36pJ4<_rH6`XUq8hNYHI>J}*yLql~yja9cNVRr> zB<+Gh(=2KzY5qQBPgC~X4MzhOg)~i)kprxDEUvT|-I>?6vxD3YP=r1Wso_wl?5-20 z2|3hy4WqWbrR2+#pf)cpy$!xFRpL?biJi`=D zrw&Xm>~f8<`OU_%T-_O<{&J+EOc)oRjWioFr3IxyV< zl#x115f9_dvJyyw+k@uHbbBC_B_2wy4MvhrGcv1-I}>@#?1n5nJW5~vS7~!;4SXT> z3!gb3D7>yf%ZS^>d&d9bEneV3Zd>t5!ySCRD9+^(PsxkWj*RE9>!#lV^;1Tyl6_0j z3kFW~tmnPdH=@WjYWEUjEJew`bv!(_l}-Wv~j_$T1FsIx{)-!UCKH3RzlQZ z7uOdbI~2)+9vR0xvGnRCqo#q3C)@tUJY3E(*#s1meB}r&fOBDVGZo5oi_}MD;&gw& zDn>-7NZvq6vu0LsP`W>9hKDq>y5d%?Zg#TKl<>coE(}k@;%7iq8*ewam^P?L&dz=u zsezGvYlt{xjZ_ip4QYuL=Nei$tPzR}*Yp~k+K_`Yud+7K3*s+VYSa-frYEp(@( z|GlzgP9c0ML#RaD`K$CpF7o>I5|B)3%z^BjRt(&D{f)Dfy!TC<$yFjz0CLwjno*VO z+&_mst*G=HWR$so^mkQkZVdI(Q3BCoEA$R@+rZnRhD>3$_F)@m1e1Fp!vrQb3TT*2 z$6xWS;W+dRm0-0#)@MI5-HtX^dsIs(m0iuDZ)6uqD|+uy%qIL#iP6-S606u_;4P?# zn3YABbJ>x``R4=Sz}bH8wNx$BV;_h6tLz~$Nx^h6lvm(mFR9ix9d3B9p4LqNo(>a z(v7{0+?>GBtKxyq2uE0%s68E&bY$FNU>;tg-M(y+d>y<7zp_JX; z@)E;2Lr=5cG=Fnt4_H`t_rvPt`HzHsO{&$z^+L}fe_mf>91x6bzcE)Jdm!9=tP+E9 z!6@x9J)<%-)O6pn%RS4&i~UnowD3`3>H2v z@_KWO8lj8xOr#cvH%~jD7=7}pib-O5gTofS(CdxGu6@{BbdEKWwWDw%1iHLWlmy0M z5jw==UPKac9DbJM^kX#T9-X(^X{=x21TshFmx7i$>^KCF5mH*J_*EP&GG9CEpu)Hl zG+97p-h^F<0HcjY^Y2fwADDdT;Tk#=j0cvvm&BW!%GJ{>9}v}&!> zzE$F@b0ltPF-PlOFUU#2VaZNy#hMHyipHo1p!4wO`E!v-sY1J?32ZZE;{pE4NRTt` zqU#pyp!bF>i~A+D5-h!zRafSBQbXoizx|u%BMxOXeo4$}2h&?YKObIcI;<$NprcIEOOa&4&Uf#Bmx2gu(oPmiA4i9qMx7N;cPML`8lM>qiei=`o~n-f1k^B3w2Q>{n6Tm(C$-oK7H? zb*)T$VA6U)E*HKt8wz6>C%sFf9VJQ1yN7@R9`CB2`q+lb8lMNZ5u15n#cW4%%^;Si zXiudbN=3?>Pa*_Er0WNvtV+}>Wv{mTvzp5SAkx`dl6%bcB7$ge7u{2L*>nSz24Vnw z;*o1=e8lw?gu&fYPUwc?Cvu)ZbNMmkrVLTe^8!8X-+D|bA2)VSzFJScIj^o3{8iNN zUz;&$1FEbMy=Tp>&WRxsJLEkGatNBq^21IJ^rWb;AiT#>#p+4_=m4CG45CxP>5PSb zbGxf@aZcMbuYWWkJE_8Eq7j3E+x<<=zD(W8+@kYA#nP(_Ndc*&Qpf(Pi}#Ejisft~ z_95MEzm8d{;9oBy1>!>)_?|jq=31N>j1j6sle)0Ja(NAQ&jN4I$>qZ4Y(#(VrLtHm zrh3w8-k?+eJ+UNF$Q&@F74R-?7ohHi@{ay2X>MQm9EETHYLDaPdW^#S>s6RSe2|`8 z%3X7uzE}bDrj{aZ!ZALX!e*(zJ+cCp{%+lFmbVm!iA$rh!k>*XXpJ4y`Tf^)7!!u< zfBvVMpG`O1k?tniM&~;v{~%UYd(_{pWl@l2DGS(MN*^X7DZ09c_2}Ra&GUGiSNVI? z`M>)O<50mKip93JTjTb-hq5A+-me|e*C{FN6$Ww*>}ltJ9VZls=b=ul1gUL6Z#+#ewn)g7DAI{fzV#Mx zA)mO;KeqE~c<1o@U!P1Ea?cC-Q2n+=_PWl;U`{6@$Z2Cc(V4x={kyjcRLTCDE6lMF zYY*Mg>7K#$>wivQH>&+#)8(70AY=vpzV^S>2r}m}uy{{Q{mT=8rb1d?ERYEY@T<6A%WK zU8GjMOs1_Km0r2 z&bmOEJ>AgBp4XWAY$+w_J_mVa{uMZLnqym~5G7FOBU32<>q7fY@W7Xiust_JCBVrv zBFd~KteU3uZma5-0`i4{#fJ^<1fjT3U(>%yEwR5S_;^O|Z=8~Hrde)qF+?@4j1%WL zq2fGe82!O@ey}palMBsdlkJ{c3*3$LH`~?=Zw#^}p#^bQX#PC$Yqf;c3S!GsEjNW< ziw(1^=+u}3@e27YiMjP@Ok`>bW^vVtgkDBDp8X z57ZTjoZX==A=@X`0&L&YA5V}>?~i-(do`MNUA8U6k&Yi1@=cSz2xDheb@%BVX*H6u zo;U%H>LI@jH9p0iU}}LAq4DElnkOsxmCE6%RO?1WhVwI*F-Z!UxB>5U+*c@Pz7_HG z(;kpgx}Bra|LeMeZj&|0((+(sR!v2H?_7d^Y1QA)~m{m=s5}5-Is$;+QGGs zR44qFKl6}4judOy0B%Rs=dhXP--9b@p3Qs5gJi{EgXKDN3q!;0o3d+3DSigw^22%g z4DYF;l9Cdf9ltq@Op{r9mjYv>J+I>~2R;js-g^ggceZZw{7>iGqp~-T*Zw&xgc?L7 zmC==@V%7oCq9EvlqCv5a!jp)t!T)9fmX}miI=`|Pm2Bm6Ij%C@F0U;$VwBS2)sxT`_=EzuDj?+?)V3^HX->K|u%8D?jo6QE-|yEyT6|f+E19o| z;Ih+u+F{EVm9{DK*p*i%-3UJRcnzn2RVn1>(6+|I%Fi5Qa3xLruCvBom3};Pq;{Ky zanZw4?^_zZ3`uCLBw)RzoaL`eb=a35eD7%nux^9rbxTy$1Yb|L9PQ`zj*EiEXR~KC zqV?2Zs2iM)6j_;=n6yTh?Z95JX#i-)7Bl=~_luT?#w4mJxIr7JTS;glJbF0 zXT!nApr8YV(Marp@ z=Hy{jZR6GF3ypX`CrDPm-wbB9?Gi==tY#SO_ViTaS@OlmNezb>5@im!^m>_Mao_2R zsX@w3oj0IL>V~1Ykhs6o-Qj70XLDW;XIhb72P?>!Xk&!BdyiIJDU=#TkdIQyOGUVy)EOEgT@*ry4}%(>pvp#tGlCsyheOm{5|;qW}Aw8M5F#%()JD7 zpWDkvG!bq5HK|Pv7KnqNJ>(ZOdcJ%JBS$#FG;p1?LNrZnO;$_aVyd<$`%_GL&qY`F zNTJ*n8lmKaOox#9fMgEm(-m)v6Gvjj^je5Vg36!+Yp`1g3xA>_N`T>sA@qryZEU-A zLguLBA=ZhFFCnzF6~L5lOXzX`7;Dduzm@uxVQq7f-Uxg0!gBvKlHD~1Jnqul&KFjs zj?RuGcOJ>5I+QZ=g_SQTK+x9-xZ+<$>ZoqtjciNK&SR6Y83FjNgNr#p)QOo{NP$Su zf28NtIfuZA+-o!K?+4t$MFqnkR> zN~rc5b%!7AI)M_>gwXlLLw)*H>F9cA&z$&p^JB%T<>k;AL$+yQ+~4`inNu!%QT3i2 z!Qd}MXJ4wTMrsOdb!RCILlL5S*raG2rf)CRd*XsnnMk8~5(M9t&#o5U2Ugw1>?+h! z+F-Mrlp4gT#I_};OHBD3F&|g0?s)zeQI;^o?u;)l;hfo>xzd|S%m+*^l-&{bHI}C-zMdVGRS;d6j$n}6 zN1qGh|cPdBt0)ym|^&j?6k$^Uc4KNFFT)1F3npHf|>mb|T!)v?oAaGimav~|eh zSHa^VuzYva)rbpu!cLbXBWTZLZ*_(Ri|H$7e(;lbYC0W3@A*gY?b>%i<19o>HFC5_#EkOJk3ZY=ZCFm8kqbyLnZ0@;-FIK4h3BGX z>m~=rgL=J4Q&}i}?k~j&uglyAQ4r_VQcPA+CY*hQsBeZ;LS9gfwbh3te?F7hbFJv5 zPL`zM)4NO9PlzR_%s?L+lQs=GI6(eeSFw!5Afu&{_`q&C3eFl z`i@-Dw%~UmmD~P3n=2(oe|(j%EeJ2yt&`Fday5SIfjy-17OWICFH2#Jb06I)nyGH< zkLsz~nrSC-2HqB$o?@_xu6hfO{V!Mw9(GdLpo6KiaQWkLjqb*eiSBR*CQL`R{J)a^ z=9hR0EM8E*MMU@WqCcce|H&_T)eKGl7#<9ppY zo~kGAcOlXmN>&-965ld-&dVCGa0}mOmASY?vgfnMWQG)FX)^aI+XhO$x{C$GXnwJq zwQ;gKdc$T{r+SD#fS0ls2+l`A?#aLYo*7P!YH+E#$wNym-?jN zOcLBWegcVe?2KU-G?Knh{UEJwBy2>1v@K_eUYuP9ivX`iok2Uc@&QA8nSJ*9^JzH4@-LEG7x!?Eck#-~vd8*a&zOGa!&}w-D zcEXw?@K7Rpp?A!GcLb6$?^hYS(je+S4y!dW5XgR^Fys(5d^KJZPR4Fa zI@}djbbcJl+Cbt*f@{Bc@L@*oyaJMmvKy`zv0qkiRYaXKthNX~+!aU@)CM$(qH6Gz zQ2uoX(kOj*(!31@#`;fNo#OhmU~SxQl!`;N<@r=ZS)S)<4CYtS)0;BI6%)xHub<_6 zp5KxfLIy`dOz(S+#vpw`MuXDhinF3?asL}kVZQ-HSYUDQ6C;2 zAO!H$mmmGoEsk2Ch$0-j)9gT_uI6|glSs-11r$(nknLvtkI zg)cv2dd6NAA>8M4^1ogIqt4dQSG9HAxwx0j% z?^U_ixK5be2zXQF0s% z3(Zd$uvG5J<#lw%ZpKIROd$E7IYSiJ{(j5Hwk^Hj3P(g__d6N@Sk&R^&;@BI^BaZ1LT<2Ba;!j7;G6nE3|%rWVm zDk^2VY7KDQ4yvA)Z94Wq#;M>nM+7zve;v8Dv9L@P%u--rDFb@u<#ovX^=3#B2J6OHv?O+BmwDkXUOdagDs^7nS1 z;+;;JpH?;cyr`a@Ip_msbaY`mvS*Z9JRwp{0OmOs@7lBj)vg z)f2GiiqgjMkFAKl@ACvaCGer}Ksjm!n!)N0Q@QHjsq{kyKbxR57||~{?@?$Uo>x}> zZWK3Ijcu&81T&^j&>uwK3@4v@nO#coAOD~?T8`~x!1$UE%o;$OUtgKu3Z%9>Memuk zww<(gWZ)Nb&DKN4c7G?v&J*G^R7*JKYhYPlk77a})$erUvfiixO!UOm(Hz4pD%G#G zYR6aowmw2mgUM|D?Ujntrm7e&G^>d*5kA=LB+`=DxYRAVWc{vFnAF!8S4J6w^kbUd$%Sx&wrPIfNYr$Z16{+Nz znUj&myT?^SgFZcHu`DcuuZhpCBbZNmI!~O#w9%M*BmW~T(x2PnzUi)qo3lF>8jd3; zElBjKwLMa75oN!u=~rGOstrLShy6fYSH(RvaC07G-4;*hc(jgoIHn_J4;Me+mp z{wH>?4Zy?;SN;W~yzPW^%LAD`(SBlcc-XsbGD&1*$nw+>V+vA&M8#1_0$#A z)Iywm=|Om-YV##&VC_g9R+dJmtAeG^XGDBJ;n!OhlHs2c7$$4lmCumc))&kE zRsMoSKUMJkDbOb-gXK#dcT8Bj1`St#x2S_{0Ibs5k z(?>f;y96#2IFp*GkyYuoF^d%~r^xUc@I`I73>A0QEz zjE6S%#7QVWq>AgF!H&@Jl0vfO!%!e)f|onbczX6z^t97aq?m%|961n(RyVx``>Wyw z1`&jBY=*r=B-rL*bNxJ-ITku55y!}Uq#zhIbC5eFq4kbe$2V7`D-Kuq4;%d9z-;ou zqv@613+*4T(gw`)YLHu~Ace$2v$tLgxFtrsW?SlN+cj%;DhASXY{ZQM4<@tMHy*k% z*8Y2PBqxpn*%kvd62b0fcds_}TEMam`-AlslVLvf?Yp74oX_?p+2AjvL+y$A>Gqf* z>lF?_+bcaV%fqw5jqn+>L#R6!PZ=wv9I-l_mSioc7weah%vI_WA5KmuZTyJthPc)vYn$imNgp&hw9I$mpt~~N=2b1o$yAW_v-Us zU)e=}sug`(mxN6++9qUH7L%Jbfeu4&Y|bF>qZZ2BC94D>WO`)zQ`)2YKhy2{0l)7Q z`thx1o)b<~36Tz1fy}IOfkpE>f$l zmau%0yWBEmRt&#BY&#xveHt(m3@R_^n*rjd^`9agy=@|`55CfUjPX7R)H(e>1|On|N{K8IXLWq3?{5F>br z|K~gTO~=M-SEF`rXU|-k4U1bT-Ndg7ZSHm8T1*uyb*Sgz6VvUXw(4ZOsVVh2J(6sBJPuhFDwwNy9oR?&u41W zBJ4eSri@;h`zKHOkSjpv@wv**LF$_FgJ`bLN>>cLBj){!RV|#HR%*vhC;3a>NBFUo zubr1!OA20e;rz5=Y_BY%PtJuK>yk8mFhyowuv^xvBV%;+9l(u3D8$& zS{bbx!|`Ynh2@~80-WY+A;NWfhQt`JWqHO%mfd@-P~N7*`WrR7Y5gBJNko6f$|BNa zKMy$B2ovhxRJ29#9QE{jQLA%Xk|>CNR!fQoc;uYdS!;bJ)N1FqObU;neKnt|;G<1b z162v}JSWnTmb@BzKTO`=CA3t~=+S6Mzd-9v*F2K)oy)`N4I0zotq#B8?mb#vL!-q; z=RGV$q^HU!Ep#$6xNQ&wsaB0E4c#ylklXRG1XOlX{Ji%J#3qDg6?OCJO=n{~CjTkOjayH@PT_q%)2J~O0czM&<482aHfCKj=tC9;K)Vui)~3*i?d zYbw8MGh%a>|9-aVp!XGuK;J8h8Pho+?OE56v#wPr4{n=WYjMTHxCTOR7R0;JFJcoY^d?34cDCP5CByXJ zB`CCNe_S&~ok}#jh4fD0yp$*x{0`*HCZ~}exbRdgBL#Fu7y~!__A5kRpalg5i*(z$ z`ol4O_G=ov&Q3N(nm^Dxsu2mZQ8b~q&HQYAQmk&EN6%_$LuBuy`sblNU^+lXVO3JG zG_kp~iiR$*L_x0OgGQTl33A@COv<(UxK1d;=OfpDB}kRiz)cy}w0{nNU|c#@Z+Tez zA@b#At#1#;q6_#x$rbBlQ!IEiQwkTvNSDLe>uo^^c3q@+to<903956E(mOvvDGaVU z!_>w>VlWY=Z$4ZRMZ3?s5=B$lX86M?3rWNs%`xo#e|r`;hz&rZxfnEU-c|9HKcuz~ z3;S!f^Y#=kXj{5%(M%12$UsnRo-C$^ z3$6Pz^)m1-XU5f59%L(J(;QfR+Wz8z{%^Vm!+8K_FnoGO=ook|9Eh}{B!dgySK*#Y z%c1#u%E=I9ov7^hmLkm+Sz!8k^WI%LL~a=f?Y2QeyrF4OjKL>z)tcAoMpc1^4Zh$6+U*hUUs`zQkmla_q_O&~tC;{Y12fyr(B|C`At@9JIM$ zh&2PX;hvqSEIV;AmX_5}c=u+0x-^@nsL7l}@o)Sp_;)p&(yWP*r8w%xevq1p}p6D^}F?cdkkREDbl!s?K5S-@Z6`@ipKV)aXM9OD*@rO#`e z`T|wktL%pk#3l9NZ{VXUiddO@GIJJK&B65DTUtL`n`cbb$~~#A?dmw~xl-4+a)A@w z2r5#Zb8VAU$Tcl0>@lrk0c3imn6t%V|4A;fmgVg)_6e zrjz=jm&EB6Lb)h@=u|t>kEc#6G}(5+vAalgTn!nfU;JBwc$J%Cw5l>44T(ZE2?KCrQ2F< z8uR0;b3E+SlEPr}{|8|_F%T9;O<-eg^>mH!V%a&$eu9YXO_lDShB?`(VEN=%3CBuLCf925k+pSI00drlZ51q_`3PhW1#$*(KTfH5%^+xB$jeL<=QuEWgF8?@ZpwII*m<6 zMq1i4Q$<(i2zr(XeQh>qhQ8!4OKy~ov)ZFXd(%(HN~(oBq}bb0QM9}?CqJ0UxSE#k zp^UFIsxs$MuOd`|r6+zg|Fg5UQd1hF($239TK90OXeoC^ufN?cEt#@Y%;2<1@i;p# z(+Z!%K-8SOpW&bV!yOkfWbgPWrD97oY<&zW)Y=C^2RCiQhtuRE?&-WR*FLo3IRyz6gG_S z6?iwv+MY|&h#uFt&ZQ$F%wfk0ypiU4n0PzR)joc@4QiHhADS+Znj0D#vZA$&^MayG z1E6}gP&h+E&~sK*9`nU)nggHo{-Cs_l^_T|exAprve|n#H#uNyIw$+;qfw(`UpALP zm*Cu2uG4q?5bS}e*icPVMzyx=mSg5+ek0(>lT|}ou-WS5HHve=Yf;tMD0I`LaaVaG z5&hxRw`?d*xKNjri|cIN^S}6WjIO@=1plK>L9mt{LcU8ya6`dv;re7Z%j{ZBdnQnc zYgob|Jq%L>0+gG*53YL+E;zm0kEx7w%-F+ zI?v5{w|#@p3P079h8Ccc9{f)qZ_&~UBMtT6z5ft0`8q2M_u=adC4c;k#Y&r=3?lo^ zt7d3xsC>}R+6kXKmN*}M?sXh@|HigY7d3)L6}hU}ntW5=qPXz2E3_vtqeche!|@9m zoz=VI=(r9Fhy9;VQFIeUA8|WByjamQ(nE(z(tL2wt)Y4sU zNhENC4B7AB`CeVV0&|sQbYie4`#f8yd@WCb} z(@W)GJUq@JZnUx8d^wNvOB6k+(=Fj5rhQkz&rg7f)~PxmuO~~&LP8WBCpw9~cw5g_ zf&U}JAC$_*mvkcAgWV%-%Yyy-1~0R-n1QV?8}^q|h{hpX!F%^+PXZ#l)w^X_A^f!g z*rnbF3c-E@jqFN;?D>~#?a~&vWP4hXMncLci_Z!b@feCxcAIXn7SY>i;5&B>Xvs%( zk+`)LL7u`~yPv!`Y-N#Iq`ZA^Oq5)*a<+2!__xWdrL^lcd7)~eB9gO=big{puC9q$ zDyrt)B^I}&+q`TjH0JZps*VXRBiLcC&x?CRa{CJ^A7ClD@HtdWcVFyJi{HNX@|TWZ zTp_c-ArzF{#GSNAKE!YJwH8mUb@WxQwQ`(jMjPOl-n87-pWfJ)fZtoHQ)6KYKHxTWb{~#_$QM$j7Tq_ z+TO{rqA;M=RhTdROqn9|D-XktZU|=2o{lM==Y3@-gh6t=U=d7m5hVMFP9HwfihDz@ zo9%kv?*xQiZ1|0ca@jwcziP5jB^t08wRNff^^ViDX*krn)9!l%BV31d1SkJEAdqn+ z5rwo}VWYD*L;8sC)dug%f?hJ-&1pq))bbAwD4l*!?p2>poV_F}u; z<0!>?Zc+Pso~@Lt6Yzl92MnR?bOY%kGd;JJULx6kt-k}Guez7N)%)Xj0(k26PXx{c z!a3~hK9=(;aWb;U+ZlbKN~rI)dqe}Bs{>mwd(c?i8eXHaFkSoakC|H9XCevT7YIIyj)$!p;2K( zueU8MH1BqGjaT!g9opLib@-?-EjWT3_CSfD?q!uIS&pI)k>jIJpD_9YMZLHc!^HQU zvB$FzS`>AH{^9e-0Rp+R!3WoRy`MahyTj@+k8dhU}d{>+Y=6!q5z&8n^Al7x-;}8aH&G;MGTInLDUzdhC$y>iP2Z>eU-;n=kDOF?vZG&O=BK^SWni zpy3&5aNB)j^PrT5JCTTX{F=CG>5W|0ecGaobw>ErtlC5#8sRvtD1>|YE;>spjpnVW zZ*vc{?pE8?B3v>?d7RUe88yVha(}1BO1pHfS#Y9FE6&I>7<$$~6VZl!$vP9(S;wBN zwp~}gTOajAo3=lD;??#`lOI)xT!2=3ywhQik~$es|$J^XRf8d`|UbD)y*F-G>|FDR0vg`n!S2v@liyay2F_^*pXlqqY9UO4A` zvpxqGmCVuHj{eE~bff+*S@>1Lp-=F?{04f{h=c4*y(WFzl%Q^+o@i<0+)zZB;=yz&mucbUVb16kZeB%!`Ud+otfNQz=?p?~6)f-;~i3iD7 z?oPmyB&H_&*WBbC>hile2JM~8RFyF;{7~IW!1&_F{I;!Mu=3M8q3fL~?F2iVTJi`A zY`aox6TeN*ie_>d6TD8F+`mUu9p2GsuAvEWkl747w%ug#8@2aV8dSynlF%RcVn*ntI&U&u zdhE%S%B?i){-e1Dbd|9D*hCP+IU-dqq`>aI7GQC;7L(BF?N{PnO=gK|NIIj#{g|9* z=M#m52fh|&*+DshK-)?-T5U&$aI2~ZAK_g1{V`e`AR=?*=U9sPc}ZIpBAn;Ybc8-aQFFrI1?a=+5o5@6W;EsKvN2I#v3vxtB z0;dxn2O$EZYn1=Ef}e;=c5%~r9eo#{MX#S8P}N5e%$;K#Mb9%f2H)1gQ|voXaY1u2 zXJTzU!_?+l#@}S6@-!Be3E7bsqAYZl-r!yccl=lVe%nSqvsAV=>8K?b`EMCa^FK29 z{|}@2*)PelTX&3XFHOIt z@Lsse?7c(OmFkGg)&nUl;ygX4dHr-gZVe{hCi%AKkI_B(3DUVES|>E!)IXv;0%l%02* z>6Dc;j|o1}T5{8n%?HyS!6r?d8Bf)joQ6-OwBRtuP1MhT#`!um0FY*c1_SpmVRZzc z2ZkZITP?k5FJ}!8FWoc}C5!!R_QkF&ey6>&4otI76LM6G-BGu8ERl^O=?wN8WFDT( z=Mkw_jD4xI5MW9ROXb!uyVx3x)q0GqZnUhsj=A1G^L`PmQlX8bg`0P;LgUX=*V8_| z@H)^Kz)`%q;ci@_wcs!`l{@~5GJ}J+g*4M5TbXiS?M_$^P)}J3)`9$^W!#eACiw&g zI}?1-OWsY^ft2)z6Zi!#*@A%L$w^3j-%y{bkO*#$Axw6~ z(0lF>>4T#kjc7ea{gq?psEN`7v2+g=H!@1&xWQJl;cS`CuEFP`wIW>YASo%++Q;=; ze%rSCAY>ucJj?5^y@b%uVzaxIykW@B^*@py6E;Q*MDymdN47IwWP+{@NV(-LCoO8U z{m6v)^JB3$n4a%5=WHw>frfYsfsnLAp&Yu6WF=ew-an5aBT!-{^jXT4;d1q!CBUeuze{xwN{gvtRaPskBb5REp}@7S;Eb?mDZHETrEy*hn(&--Dnc@h-~~ z(eE@0>^&E}&&^)1uDt);&Y@l3c9VLO1HE|zdka)v94HC$%Avd4p%t0Ezsw|M_HC}j zXTF}~YH~&+G~$^p9$H@mZhdlG^2{3>R_l7^Uv1$bkw%bA|A-sMr>8QE(5*{GTq@PO zs*0;SxrF95_=hhK2CZgL)~SmqE&4RHVN0JIl&uyL`3nv%iR#IDF)Dh@_iPsz=nRf6 z#)7x#Knvq0cO`lG@n(Ye_S6rnqwBLOU!_dmF%WKos~^LB-}qvc1BWQ7Po*Z2@$tjA z6+bje3y5W~)60&?&~4lmnn&-WadgB_&iUx;^?gi&Fz}ogqBG~Wms9z6yIuB*vjk}L znXfxFknWunRD-z(hE1>3jsV*o(S}}Y_|-ROy4uFIu4U0(p7D(p8GqZ0;s{&y@O;2g1CaMdhL$Oi^xD>9rk7?TmrO86Qutf0N&wP<;qQ%Ro%0Vs?1rxKn-) z*vgvQzkh&94GdD8!)72uab?FA+5453hpvm;ZWd(LAdNMlA{JQJ3VM7LMAv*ZilLRp z@CekIYx56%wSeK$Z=i+H_?@lX23Y3Q}3`yYAo)EW6C|6lSX zj^=<0Y!{LQCJG69^wFO&A$cWTgNq?iZ2~PS=H{~>Kf5-?QLceD zB1v@5L_$%bGpA>-HGh72fi2>!V~7_DjZUls2^Q2WV$nGs&t_O17+}5!K{{q~ZN1l> z+7JpS$-b$&sXVcytc?GhjlzG)v`1Q*&tvn)z~9{Tn|CYB)VDF?7?#sY_@+@a*y=)0 zMymzhjvldh_9oP zI-XKck#kapKdM|PFnA1ZOpk12yV*lwFFZ^BIXU<3DQZRP(+8Ze&V@**N2N#SD?#F# z6n37sKgh(Wwcc{Za+bF55t|kL>$svpBr5&h+Z`JXR`50HwZ zEy`a=Y3H8y>%gjr%QB78?n?I#^f#gMu8}AA+AubXQl{7_^Z|uGKUj-S7@b8!ZjE|L zYYGo13n(a#iu3u9r4f?U7~isaRkG4$G+yF_z9HPa50FXRgS$hfV8Rq)zEYI^c6RZ# zkYwI$Ef#jd9k~?5r^KmF*GV|2DY9i`p*&D$tU~>K4_))&b9VOCWnl)RA^!ER@YkP3p?u1K$+Tx4rF&u zl!P*0o-YNlsqhj+Z^?x6brmAiE}>Ze^zlMR1-Q-B%HWo0-c*L}XRm#z8WuagBVw^s z3&x0~-Z65G`)pt!+^E;LU7sl5yYb35UJoH|m zur3k~`D}Ypb$4BTW7Qh9 zYF(_c=KDSGobR?C2~%t%;OZ@|;fc}kfxoXl%~HvEfBe^D{jH~X4Hc3ME-Ia!;Ua3= z-NQ3#Ep%VkAX)u&zF`nFI?Mc8}3`9!vb!izzuF50uQ;>xG&gS-Z0s~df?7hE%=e> z&GtV9FrhiTfVDFIDQE*Ljx+uNap3H;JjRaAN4(r>|H*YKwtsp!%j)#_Qg%E1eSXFJ z|1cu{KehU%jH@pdwAep)x+!3oPB-4-{9e~(>?z`DhdWZd-^lC2Pb%=6Rg{qcCtpk0 zn&CYQT^-XM*C&@i$D1Y9;}|sg_F{1HdhgF)iDwMO+Yg&AYS~Jli8-FN{o|kY&6ot3 ziMEz^4H7PP&+)A4$1$b{VNt%Sma2{rF%=AxAzbezfuM$|hZ-L$$cY7wN-=V0XWLRl zgRFi$XNe~ScO!q?5xNA(+5Gt(*{mFH5UX;`R1?1bGtp+0rx=zBmwQ6*YWKP-PU;ZF zxz^m%Gt#Gy47N%=zvs(UZNpY&FnmuyEA#g!c zRRHQwK{MZnYQX{*#t^G>wV>&8xu0rensrc4xlF#Et9-rjA}MC6*}cKpMMDYCTfNSR zd`IsuY>0|t*)KUdB|EogF z9WZ2e4A>BL>?l`M_qf;eyeyz#CPmmD5|OhwUUzMiAzP^F@VTqf(v zx8{``>V6wA+RUy=a@CderRh!OZN;cQYp;QBHES=7|@o<5DLKRLk%s#s&?XtPs? z<+NwXpgJh-%e0p~)aD5_Rsw%1H7z4k>|rM`1k_3{3#!mS(!?j`ZfLsE@{B73bQ_uS zGn76=eN)6eF1DU*J;xXsOm{z_Mx_vBamMdL#xrbFwZye3FBuNJ{X|bM6B-saI8miG z@0i(OgNibSoojmZ3C&LDKb6qBax?njHflx$*4`Gpj?5wtcGUn4-<_uzoy4D)EGyr- zLq38g*lz>Y+0XgU%2FO`#hG);5?;X@Pmk`uyfoJsLE0RFKMbuXdxG4YDqbYJCx6TI z8EGbYUUG&`6Ll8YEdo~G$M;+&;l+}E&IZ~~>DJKL9{HyPxHW?7+)vHtBAX&{ zHHfM*8mR18fkgVprmiUn^VyS@6U8!0!1o(ScOdmf9M_EBf+Ni*E6Bmi!2exY-Cm+I zbSZdcPqPwID$dl3I79B!>y(hewzgP9^>}d0=iO58jo{&2+PU?k`POGo)5BM7hJcWp zP^_#Ztv^T$mCgEs+u>0q5y)#ugCe3iKvVai-kmA*B~pQ;<%V)jbDnpB=zH#_ffn4mVzQJ#C& zA{-WOpT$#NM#-AxVkNX%*X-uH_ZH`Ct?3P6)F_ddZRxvhr}1DXJ}8;A%(r|dUk}^N zmBC_CwJPqmk5EB|u0g(Q-`YXV?sl2STJ327F#`uG;02Dm_l|4KlLE z5?YD%uAOBuwYW%B+<7kbX_Sy%Uo%niL?(?m z@|4>Hd6P%#_2{*B?A7^D=U1?<-D!{`YQpTzr02d{9Vf})jAW^sqX>$?oySX&)Wn{0 z?V?28vWgEbqV6C~6e<4gkGQqcmFntIjSActOXY~$xKTzcC9M~!iin7C-3T~=`Qum3 z4(9i%O%euAlM+)q>kFf*hZ4+8jxH8ne!P=gqXiMSdah2mRtg>Yv^sZNMl;dO7Z1fe zF;kXOi1G;$c-Z3}cgl6&-61FkDA#>hvCgk6hD0)k%Rz8hN=`g!v{A-S4g4z4#$1`x zPw6)yrU5}}6jaH`Uycp~a;1baPrn2@I*1o!RaM{LyP!ndXXvR78xHq7a;I#G4k1vZ>u^C$aRobneOa0B~^*ieO@Cp+S9sj5gU z(ePi@m|6Kw?V1tG+CM+G&O&W^T)Z-klBzy3MtX{2quK@zQ1OoIfg`sZ>qPR&V^2Ro z9G45d37A>(SkWz}En1Dq4#>nOf6q=713i==j=jBNfoC($W%yavJN&b^?^ccl{Hli_ zfE(-g=})uYmGG-iEW%&0THiABTx5(Ce$;zk2Vfg12flB+Mk&@jD)ICfEz9hb$GyZS zYX>)|m`ji8%VW;QOZVEDI6?4FQ>*yrnJTwIpaJc|Ws9k2{gZY<0Mp(vXn|%}mg_K9`3Lu!*c7NL34dpivMZC?=UC|XGTN`z z1JIjO1v1ksQnAfJ6bp+Tqm7JkWoOG4i~b;y;CWv)dH-{H0Sjw+5?*XtEzk2pI1yNY zHmFFao{PZxtdKuM6p=u&K$+j;fh%$RRK9R#>DyFm1~e7&{=Axz4A!f8tftfD?UH82 zqHl`tB#-op)^is2s3ijmFF*3rF&KmW-uqat4LNM{yW&C5*wjZJzuSip+WKx`{#CHZREPIeZ5&>MahF-uFyLw?!o-)|jmcdqVWP`iM`gZ)IZ$_ZQ%%wp*TmJ4sIrD8fgV~pY zk+|LI*Z9~}UyqGjs^WD0V%()=y^l12Jc?UMh$Y^QUGA4Zb~RkJ-U#VsN(#!X*+7>WbqQ)` z5oI2)be1CJ^PnBm+EN1#Wj_c#O9K;-KkwgzcJL-S1tE811jLKhGr|FE}4JzM9HQaOSW6&;v4- zk=$uxygjQZ7d}DFGOA>=ZDP-rKN7#!rES83my$xJnM|gABFj~LksG_`R?hdJ2egq^ z#8SX2X|#guMJz3}M3i#=#2+(A`3!A^oa&kX8zDD2R;sUG@Z%6o8}9X&iM4f|S0Xp` z0=vQ!V-IvL^O{B69U3eANREl%p001l3nN%E_Z%6l9f*?ZCOy?vAT z*-POTOGb8Ht!S+^+G~B^A0>*p&MW?J%3sxvkxu` zB?Fn>y(yR`dCGz?z>Pdm&}9tLpNlr$Z?}10%f72BYq089sy)6+WxISGkZAb>67O8X z;}(38x~N%mOf2BR`92vPDrsqLZNmf$hZ-g(s|`!CgD=9OfWTM444u1km1JdQI4o@} zm#@*qM}KXhOHk=dlPvV|#|I5>&zs}nOWLhn@L^R<0N zw{oHCj&qAwSCKC!%myOoP#Sg00j{Snakv+T|84#Bqi`S0L zU|c?i*tVBW3FO9M2huqmb`t>4(|sq9|>x%Sy`EA*x-XLBu}zrYCs zi~Y$Ming?hL_>1gHd+G8nIVOP;fRHlFMk51serKD-xEbWav(m>8stkqsGs!4$iFsmc%I*k$VPqLotcKa*=&I-+nZSIJJsj%|0XX&%t z-%|DcKZh{CG5Gk8am@c0S2aM!4)ELhsLJ(z?;alYEcb&CEr%J8_(s}T{XXsCLIb8R z;^9RH`t= zT3#NEXPHWBvr&SU*e*D^UHMYnHoM!4RV={-T148*XIC!$G@z^B7O(-&x>>IbLV*TV)u4)&g-9WKMOpZJPhb1LDvyw zo)|8-eP1}ny9L;#v~~a9EPKUnC8atmJ#4WzbZ`l@r)8KjG{c!FuoV$IWLr~j$1dHE z7i+iTvYXuyD>qgYO|rUw;wD&-R?il8gU^DP0jNZYTKpSJgyjy_yRBqxj+ z{JAwY-t+BQ`y8Rrv!5x zPZUd9ExfKaghPVkAWYtOP85uc$L<2Lp7}&dVy_#hoy{JK@UTP`-*UzUjx1rdM_o~e za^JQ*`JFqn6ZQg^3I@+E+S}tj^mAAY!jF4rtm6`odFiUyM<*|8J5F5~yil=KXi8-CxbNH|GBLn8?T8#_MNq!iZl2v{V- z$)FP(Sr8>zX@fmKoDDG3#IPx}m8j&4NqFf`+M#-D&;?LF`Y4=!)-Mke8((zt63;O9 z*+W&Qfu?lfw8Bw_g&wDxAXqiiTp79 zf|UUN3%@*l_@fhR6yf()%LPR!OUP)sBXeQKG5ro|U||0#m@nDhFBg{x0H~V;dQ%cU zynLu=W%B2c6{~nslIlD73#e4pWeMW<#llN+se?qOOZu{Trav`Ekziag7|e4&g6IWs zSsWk>3`!N<1p(@Pf#cPS8X-i=&5GIG8aQQoy@~HGtcg~FTs^%81~Kb1edw{W7$v%+ z^S!i>c~-9vyV4w4C>hOQ@8wejD=z_4{qGF0ik7|Z-NY|bd$ANIts>6IO4~byg;~;` zrp5MZ9~sYml~TzAfS{v}7`rAmoop6QPOg!nHu72m&2Axdr&qFZz*&`vKLvN~W>P`z z*+3p05f_;}ZM_9%u$zSrv_Yock0n#EYFswgB90bJtSx%dZW7|`9Ir^$cvSP1_St-( zMLK*ORFpzFql(1LpH=ATPc6x`8x>K^{A7Ls;RXm_haHT`MlA8O_&+H}Dm0v_%k1x` zSBeNW{|t2VxpJF6?3^_q)MUx|RF89=E1K%#)x5W~iP$QNleV%^ZK7;mhzi-f7O#f1 z6``=epYh_gkB16%gVv+ZVi!P|nXTRtm9cUC__Z6oOER2ctXv@i`nh z>$ksNJ6*kaJ7*tYgSog~(Du8jlEIy|0zvPnArhSo2}u$UyP|r;_U;XPq@23~%^o=g zrIGW9O~iV^*`tM4H(};lK31w@P<85SrIn9UEb=x+AD;*dHcrNU7aVZVXaGzC~s=TQJ7E0W_k-V|O$9l}NpjdApQ(l^|lnZH%!>H~P z81+;rcTj#jOVVqy5#cE4GN%m6E=L?5AD&~4AIe)7hQB&$+Izez>9#>`aRbGpvQ3&z zp5p0lT|lQ2XV|?oJ~mSS52(bDe?MY)sHL8~;>o`)=G?~_&gfa|N&H$SZFpk#fYG26(V) z$q-PuwN}MSuCgb7zc)p-`;uKVWvxvr>7e#5-iC0fLG_Fj4>M$e$NyvcBCA^|JbbGT)4%5-KDDBS2Bje5Xmn2XPVtQ;JB=y?Q5r4_IHs4_03zuNincIuT0GWcWLm#_hrJAf?g1j%g_5w}+_`@x%lt6OYQL z!m8T3+A!e}O4gI^*dE5?v5I#7lIuf`1h>x_T9c#?EN0ZiT9+TTN;vsVOZ3n3+Q1C7 zigac~g-l*FtXkaF&()}Jm)$cDeP~5PaHSBCe_tg~!24#n?6l5jPSry`w0&#&Wz;SM z%pMV-tU=3hI9hp7YC|bSPHGy37}fqK?4{T=i%ETc>bv9L<9PG+X+uS5u^JP zIoiV>GqtJ-8>jtOCfe?)^=y<&hFTL6{F?A-;wm%!8tTw%pPrO{n>*O8E>?f)R~oBw z=(%S*;>z&9T{YX^j~^zQjF`MFabLYpWLs$wbY=FJ(ldQ3fHr=JGD9*jBnWdpmacwC z)}?vh(JW$CWqYC+`Op+&w}{2stmjp`kX!9tingcDkZ`2M$oG~fNZNWCU3y&HzsLkF zD9I#ZMIlzvpKg7XDgYp)o;>gRmY0n= z;7M z8}lo>mGJ(XYOIoI=0}Mke=ki`Lny^)GBYEI1U0IZGHpRB*)ZRV?{!DY&8|gb; zQ5mokeV0bi-6>0$)O!5Mqcvq(d1r058G)hL!w{D#;G}J#R|{SsN7rBC_0{p0%e0zG zRaOk%cKoMDp90St3(BDrCF8L+tm)#ErZ-T-7Jwf^Do(}uoRBq@SCsm!X^Zmc(V35# zI*>FRk`C;ao5$=8|Alvnl6@c_4dkqHKNh2PKHXfoiYIDFmKz>5DY0Qms>4Q3ZIU@d zF2oWY0IUj0NW3BDb?KR&_Pdi-{?G2Vap)v|qb~(cd@$9hWFogCZ5SbsC*Po2&no}H z8PuZwv;C)YWTgFvn*@}eSy7*$y}gX~pQTEMY{By6N;Mvu$ODuVx{X-JeR}|gHRFBZ z09c0Cf50{=K1a=iqekDJQR3$huFC2LqW^`kx%E+5FH0%ryTEollegWTIO?S2M2j$t zVYKbCs(*TzWD-+2330Cw=Pwsom+(LRWdA>{%w=`H58&H6T6aeshC2g=p);@C=lSnh zEnu>PCB@B%;{-%>cKSKIU=yVj?1vx1`-pkqngPkEd!JwY3iHtOSIFWI6`Ev;iz1XI z8C8#p-}?8x2Z*Zwg{>ux^$S|>oLD)Xu)O|^m}g#uCVr`?-X3} za{J0lXDg|`LA}~G?Xh_YYWc|P`+BpMU1mum&A|I*zHCOP+X=m3IT~b`nEFP{CWQmJ zL)K`&*nyWUlsR~=ZIkn5}#B8%)N_e59M|zs2O!ze)kqSrp+~mte5gKZOSYRm9Ksxci2h=wy>Ual?jcQ zf18e%cKo(+@4*jDlY*~>XJkaCDySuH4b6aDH-<+aTac#8!jV`;ip2-pfg%Z+C5StuzJE zrBHOTN(i*Lpi<|s=lvHY${n@xZUI#34Fd$?VQ#yF7uNnsdfUNvd8eo808g z9c0{4GJFhHpO4nx7j|e?+3sKx>;>IZZYwHN*dCX;nJ>r5Svfj&)CkZN#1&m4(;@7S zC@|(dKbC@7f5oLR2FBk_jZqf?i{##9Q%l{Q$;ZPH66Ws*K*I#44vG@hXqTlSiq?GJ zsG*~R3qLLg1Is})930zm@==0~%A^XEa&xiUjklsmC@9J~IXMnBC6`xARJKN=U5;2F z&D2kpaO}3u{xpsjNY$LHL!HQA^BMvb&6Z#70}28qasKQ4eiwxjIk9D!n7T3m4x>?V*)oR8;i!+A5d0A zCR25i1@9&QSotNKs>_q1XdD8U2oi~13V#bJEaC5^HVLjaHr|UYj%V*5?Cgv+o*N$w z;1mq3tbUmsch@1e-oJ_&nZJ#%Ua-$}@xr}7F+aOF^Lao6F>ZxNN%JB4Y7Q+} zlRgH^=WB)U`A z&8jdyEAY`@ijKkqSHELecxQV2L+dxi7PPv2JiLtE+P&i5Ga?b|-&|^4g7(u=?{4Z*)X$WODnR7DCzP*>0-T zNcrv~y<}cbLe47B72yZ}f8Tc$;rBGl)^?G>lMxhnQst^dw?e-f1|ZCl=pt!%$T#-l z{?42*f|%|m-gM+Bwi#v?6Y(qYV-RWEYWKnOeOQ~_@ANF+5W&tfYW|c@0&ZrGn4I#8 zq+#Y4X@Y>4%XAEK8dYFSy{Vjo&vAqt$rh^&Cc^2`UQcq~Vuww3`;)^_O-y#LJ+db< zH*d}kGoqQ_`#jqTuX~d~)BVjk=OW5hMO7BtlU!8xoGh&ZuHCRX_ zedk8RyZoM5B5iMsHg|WlWs%HIaHs8=oZTCP2rgMkxD~9P+J0L8d*0^UO%S^5@V>y+ z6qY#&Nf>;(oV8%}B*8B6ARNr#@fFgxfwe86Ri(4c7fOp26awfq-k8xHnR@c@o6U}` z6@=eKpt7gts(OBUBZbRk@?)p( zi}n(K1TEqcGUOh_seL4u{sQwh$4hKsDpTL%H@h-+G6je~Z!Up4wEj*2R%AG{!6fMs<0}m`ML`T}r201->iA zg+~KMXhk%df*t1I{2XV8K|Buh-bh$qQ$%%5Z|>Cx9Ad8Delr<)8A;NGwVWl)2@EXc zkktus^viFA9(Myj7`5c$i@uG%?w*FG4Vk)_=s2-)Mxd%77pK{rv^h^X`ap?byZ0asd2Wu_tR;$BU{4u*z6^j8GP*o@x?ljOd69PjIlUcPOI9;|CbM5-1-)iMqf zr(TcW``ST@ALl%}z4hV!^yD`UjlrI~*c`gFiI{=C60 zH0^@sus@&K-?J{kW)9hxJ+>OS`>Nm$TE@ETZ@;^DlZfQId3tzT4{&GrUXZpQ0DML4 z$)59NUx>q|sZ-E(*3IR~3ohM^_pTONvx0f1JP$p7pk~zj1LV{j94LbH`c+w-6&q84 z_B2vhZ0G%Z$`8)6MbRk@_v&++ z|EiP+Ldmt>LK_b06-eyxib0zR4zHoc8L?7`l(`{+AJ0&O!vmf|{2egXmsGe90pM(^ z*LUc3p%50MqC*=ND`puMQT2T7bePH+-+CS4>v^Dlm#Gh0pUMoMbtM-CPLblBpz z1NmlD)e6D340c6%zNTMJevP1P1G4LW-dfvLKHzcdb-jLevrD=sV<%gY$p~yn-(i9X zSF19jm2IH!NEuG47FOlPFLAlTvt73M=xgLEs|`G#rg-bPkF~T85D~6#9V#6M7)4h%ho1ENucC?fw2ybAYVRY;q#{X>H*@#CDmDX zK)w6TR5G$fkp(p#v%tJy0#2{rm`e_0CU1st)rOquyL?2iesGBGTVmaiNKrD4HV}&= z?q$Bl9k<%s!=8@EAM_n?)aHCGG=^`?W)O*g^SZx(2R2J?r6BNs;sH^Pb~Kw2E~t#K zjmmCCzq?hcXU)^(-LEm1F(Ku(C3!u*2&4=T4PtFn&`Okcb46Zwyq&emH+n*om=Oqul_QIAI{ik-_H)7`0a zZi9gJwCV!nbY%4UoJI`p*gxK9-&RC3AnI-A~Q zJ;kS|PLzA+_;RAlU(8N~=EI&Ev^={B>;OA8k+DBke#?*W3qF>z5=FS=c*WiX+UWQFhlK53c(FH*nl_2i$| zLG;CPuEm3z^9so+Sv@hb^7ur{MwPqjpA?BdGf52fIuiF!X7cmI{9Ww{3F0pMriXn< z|D;R&C4%u)>RjfERm^RyhgqmojYj@DC>%onKa<9vf-h)jVE(@z<$s?4iR!IymF1ob zhKx@CzYhB6OvU6-=M?}A9~OCEGnNyK3EdZOu`1edXR|xM`1_hk89(O82Yt6^0n9oOcZxScP%H0ST8DvRK_4UI-fNB(-u3vrg*FKw7No^%k<{e3#{~`mhW>Y zx*rh-nE7J4mo5pb8c4CIQT*uG{h^Vv4EiNukd<6#=DLaKFJM~p(Hn_TA6ZUfr i9CrVsOa1F#g;F&f{8pxpw0VYueI!NYzLp8=`~5E#trO}1 literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0004.png b/docs/img/mips2-image-0004.png new file mode 100644 index 0000000000000000000000000000000000000000..4e273c108584fc60d6a65fa1a63fd89c90ba615f GIT binary patch literal 19118 zcmc({WmuJ6_b+;rA|TSzB?y9qfUraqM7kR(N$C#hk`Satq(r5qyHf!HL8ZHqkPbnb zGkD+s+1J_E*`Lmr!v`OIWG(JB=a^&sY8s}jD0K~&3Kv0;YckT}stAJi27dn!2OWN` zDU#TR|6n+a$*AMt;7rUZ%_0Z`A|w7--TnPWs+%5Z$4Q6zR@5``*G$p{hF{QCGE|7j z@Ls2;x1m$D6W@JFR$~14rZE~5yGkiF83xX1sUazuC6T&k!gTc7$%#qR*vulqPZGQ0 zmWGR(krD6SZ3Pg`?>zU18`TDWKBQneM zf`ZePFFw~46liH_wU@C1l%?S%G+bJ8y1Eh)!I^)X$z1QbDkvyuFV7qBM06~436bCg zrHg6KkOwnKUC7f#v+l`~i%=Zc4^YAfd64PawIE7BWqSIlhk=k5vwxBt`+R~dQj~%4 z4Vr>K{0A4?Nf!rG?2D)!UVtbYMQVp_mxfe|-gE2#WgemEi8uLQ9@KuvhgEQ)t7g$6 zqxnDlA!grE$$A!be!|N`SiIa;nv1_`(M|#yYVyCWn4r!gfAWuB|UFkqG*)dpGjB*ir$hf&OAkz46 ztmD0d>Klz=!Z(uo`i*sU=JJDP@FCTw5_mp}qLut#b zA@Xo?-L&Xd`6(lFcyclo^2u#$+SAk1bRsV=kNx)TKV#)NNlDsLWmrgOPtRa)FT;?g zt81lqE$8{`p-<;i@n|ta^Z3F42y8<08n+FTh!NyM>6^v(RRp0iDZ5|RMU5Un7;s05 zSfj#{6mg*A3NQMQJewLIWVX<8kOc=5J2@P07q1!4=%?F)3$%!+T;oT&Kap31{u-8# zAqasK)fSTlmd?6#)gkA19v|&GPFoBGDukb1gB^q6VnZbV#D%+Fr8z(BTQgd;oxb1u zX=yY>oq0OE3k{LiTd`qE?P17#`Q{BV;x8E|iHD&RK>pwjr*Al~yurIQTO-m4Jbx7} zeOJrV@QW16$RZzp4@ydzU_Atp#uIVk$oOd{>xZ=Lh39O>V@%NxAK$5tQ8gpPX{#1Z z9wGH=p={3GqIWvD<$j$FT#TJqiySCpBQe^nVgy7177xnYbaxrA^m(7O z9HWLP|IO6>)3|bRgnd;?K?qZ zMyQnY1IM?ke;0D@NUH`(_~_z@PsAQz;xs8{B)?L6#DlP%#e7h^_Sa=jg&{SH3mpmj==bRmK2tW=q_@ptku@cx)z9EG{Lx0ZgSaB*=pdhQFk ztSD=0lFL->?(N;+ekN@4EuyWhjZ44I!rEF&T-@E$GdU@#wyth?cvyCLa;n~q^Uj?r zyQ#dKoaOcP_1RfvRaK|imVhu4R>frg-1Ky@vL&44BkM@=iazdk-V3>|ev71LVPPrz8U8ea;x3b*V0C)>JgfpXHnw<8 zo5=g;r9T*t+EA>IgRyl%@ z;LcJUJm@d{gM}P&@h2_xMj=hE!i)9~BkVSE6sx z^djDe4mGsoQs&7ndIq9OM^6WMQAEznv$Vbueho}g7`>ZW?Cwxs-`6%KyU1HQ)?tJ0xr#Ka_FTkNNWiTdhX*CcHO`S~k4<|nG{<>chh5ZL~k z=#==_rTPX2ALHUMkWZ?)RaI3RrR`7@Ug;SbCMG786&0bHRpOKA+WNaXI^$%Ei+NUg zsPh>Si<5+6tGiefqq6hDbUzLGwKQMTupAwjPQ24)VR??7K^gaY{S`hXuPIK5(Mvaa z1ZfeuI4w8(k=)x0&-m|W;@u})!@Aa30F73>Sr)4tXl5Eb_z=S%$@kJt-o?igO>B_9 zxWmO2LM`rwdeurPXm@!`y#B7BAvNAdyuuY8duD2C0|%o_I0OU)*RDlIN548x=s20- z<#yVrl9!k7S5;%%Rhj$h*pOYfFMdY64o|1AHl0u%4Ox`03Tu?Ppj>wz64&GFbQS2b<6DH{7mVC@SmxAL43x^cj6zs z%g%)@EiIjwe#RY2Tcw1BMI{U98&}S}*q!yg6W&gY6J%||LlJR!c=+|J7+rJ@G^s3A zOaA0-?qIPr)m(9$cv%*)Lq_!5q_`nQr_BagsUx9AqrrGL?r?I#X>xXQI(!g+lyB(h z=m=Zs?BKBd_b<&uPnQRgvNZD|w{k~%PX2A7A>Y4$pYi!e>*ubZ5LsTn2ir1hr=p^w zqeC@WRb6eLqk@MQu3c_61vS+XMGK!OFE^Kx&rIIIfd~1No^HH4m}?_&0*B@2&z~Fo zm@;y5x{aQ$^71{O9tVbswtu$0-De?x>xqIwDq!8K0B2JzQN~{vor0&(LyQ1GZEkK7 z5fRNKGt52LnQY;Skj%Kv@t?1=8&r|leqq;Ea2e4z%Onn`vRbP0@~r-CPNlwo|MQ~= zw=p_qbjQiwFOjXu+J*J?2G9Lf=m#$^?l>^Zgipp4xqshqzH2H~{nF~1Z>g+?UttNl^vnWEIo10HSn@>z^`}Qq4QCgC;qM)E> zdiwl(Jjc6t?@s61ymV@qpV1nV>39l4+{ z>!+bt`??u(H>2)5FL_^_{X_h1C#p)vY-l>RrW+r?#QOA!!T7~m+LKqaQUZHRKPH-d z>c!W00~cyOe*Bn_FapgjIVI)n>`cVx`1kMM{0_4%)%L+7-KQa@`g(e|DPJh>D;*_B|{N`~{=U4iaRM{?tfQ^0>2oRKGow&=w94)7e>{*eg7Wc#LU!GugSZ%tc({bmx(F8u1=>$;z+~v zc0d4O%k=a!DJfQFW@ZkK{L<3c?!~e(8}jgWa&w6&$Mk}7Q7(!Q zg+!jmhg)^fY+*4u4Vq42**4bAtRew`;2hq;=?gr7|MTX}8~aqJox`04qt+0tD_1x! z!U3-@8hlo_dhtRaA}Jx^20i_CgpGqE(0)ZgURY9pmp5&wXY@Z`{DOje?!q)3jx@_N zv%6mwc2G1?4h*F~<{U5uW(6h}Pj3jM{J7wldP?UW}`cRX-z}qooU9gYn=v5w1K)Ac&8TZ+l^9w^?0RNY1*lQ*Jes z2dx+lx!h!YRAx?2G~Gj;50mdE8MW@k7Mq4d45N7vPUE6Cvc}7{^Q`|F$bkyDHC@4^ ztf2w*lU82Ncah+~i!o-`Ju)K5#>U3Z{{83ADD@|WXx5^HaH_*{eqC@9eVP3ds8y)5 zzdlxOuTZ#G!-=VFNP)dD41B|st^O)@CI%+ zN1B-`TU}^?Fk*F2O0wbWhXJaiqeDtkQb$)e+#&SGk000Rg#9OgN}3krjq5}gW@IcZ zbVN7byZ5{5(DLF+TTP}g-9u*EI?r zL%>dO8ZDReu=~>*8fff7MKT#~b#-;YnLXIqNfP$hP2e^-yzW@5CzN^)xCxLejgX5) zTPP7a{rAx8rN=+o!Y*|Q-3Ssoy7Q$U)CdwO3AlidpLtP)tpQiUD<)tyWKK$VVv&g5`jY6hf{Yy3G)xHdJxX1bNsN?;qaNmPSV>X{(>CR{jL$+OVH$#PK zXlP)AI+~e21qZhS41+&2*Avouc%PppB{FNPt22Lj+xqqEaFPDR#0<*3TsCCC%ZuFQ9Y{;$F%nZ(Crwp^M`9g5jrW7~eb(fCwAg)v zJwC6X0N>mRI=xadzl?;$^Rwgq%W>^IzcyT0?|UXxI-b+={y{(|Kh=-c){NAde-Tvo z*f}|Wbaa>xWGg&={1^}`@IaR=mpeiX0UW!)+xy zk!X8Y%Z(QU$!^OM*fyto-75nDa&?2vHf9*eXVU(YN+DlcJOJ|Pq6BzO`>PrPDTUeD zx7N99^z0s_b^_jmGuJz=az6HzpGrYh!ra2*u!G(YjvDXXyLVw$q@|@vMbl9W*wncE zA(nZl9Rr<)Uc~o(#M`X(Di`yfN`g^O4`c4ufX3sA!iOb4(y2eojBil(4jd#{Gd`$~ z9W}G9eM#bG=jLA8-W8Ycip=ti-T^3ov8>@hV@Sd9+@)M?u!@xQ&{j75Rp&FsE55i- z`pqB03UT_XWQI!D%lY=dn=$ztG9|-Sl2~cAzi!l!eq(Lwtm57Ku5o@m|BHX5%)Me* ztVch$=KeJLKByxmsOI6|z(XN~zwkpe%-hLwbqLmO@5r>KW>p1?#svJ8BCgCX9Obpe zLJ-rYhAR7xIaUchx%lnY<#??-NoJpdVpnQ#91xzEi$gtWn>eLeAu$H5R!rSj_oFw> zwGSA-fEpZHbu(kX%H35-%n#3J_qqN`d3w*=jRDu_g2YFr(@TRwyFTQgT}M)?1XMpN z*qbr_I^rdYu`Wqt=$}^rdN)gMb>L5}RX08hPD)I4MiNtg%J+B?l07?>G&rf>Y8Fqb zA4W`hZmet~YY)84`B=pwRdV`Fp#bIkvHww{AT0f^|vLK z!etJ7(E>y(u29x;W^rL~9%=1nT3AtI#GLQ`IAhve|6qFk_ng&FudmCzqZAt23Q4#p zNuR%4?cdfC`NndZ@%L=lgmHN4E$=24r)&Mw<6r_$i`e&!AXHzI9~m=B*_mS!;dz)LJ<}z9JM?x>Xc1yJ zT?^(*sVj5e_G+QscTTSjq1xtWGo`T~v6}flD|#JltFRyyr6NC96wN}!TNfM>m4lCG zqLp&)Bw1NWf3x7Hk`ZSZkNT@A$SHfuvA%`-$(UJvXm;u${a;FCN`*t9(Auc>=~Xjn z{z}*9!oK$;XavuVO7A9D2a9%6_EXF7Qwh&qd5G{|xxV@DqvB>n=#+KfG&`k2EzOes z?E%HikX>Oe0(bYti(ySBQq|{)tgtCTe<$CmZY2q}M(gH1(yexy>5j%CTQqoGrpitG z?7{OtDg(6>#MA9P6qyocYq|Sx9bf3XDp(GOXXK=7BiqRz=&qlZe{M)`(nja7@pit{ z9!FxV5>DMnd=*Y>7*4-uQJ**M+mI`&Z47&@6bjag5ClEc;nlerqwVy^RMgw^_DOxN zqK^gQfcjG{Xj^AqZk#ib`A#cPoPm&6eEg+JVs_Y>mHo*O=ZBkd!xT~Z2RhBmZO8AT zySmeta4=u`S4gIR#fb`YlFK~0Q_D^Xs{V?wTy{68TYAs4%Mq<5;0|wI7J^!Uh^nc@ zk@m<8kO#FJ$6BrBbNq9os}5dS@BQO~h?-q~WxL!N9vpo8_N|MsE)BslbmZSz7ef+1 zVkX2~$ocvC;lO5=J2C!8w5JHk&ChpZ*6n={STwh4#ih=|NcMLXVQMhI#B0<7^24>F z(g-D|ULZIQwpoCX{zqdY#Fboj)OEV&&Z?F4n1K|(Y?3^sT{Auf>wt|EH=bRp(OsRr z(1+I%M?44HI_Iacb*A58PDRCA$v8aofQ3Vltg!JZ*w!LIoemc3`hL1TTLI#`?yv{E z88N&W!XUzZy~D$)KRTlzy~nuBG!+k8k)s|+bR|;P|Bex&_X$Y5fB}O37&|pbjxIpd zg%CdA|H~Hn-~1p3s4;j}_B{bpYOFNTtaZK|bteZK)|@&*K zK6w&IT7Kk;i;D}DUEtiuo12>}D=Uj2fR>k*%$ORQnm)*89c)gW0F*YqC6O}#M8wD2 zyR+-|d`hgs%y6yqvW=}R;KiZA!EaHt9860FerH+f>AY^6lfz8RtgOq+%iMZ3F+nzO zu!6+`v_R?PcV3b|eS3uDC-k*Y+edhL_ht7r=d%YA$dL*kMggO;B@~ zm<*bIeSo8mkB>7kt*otq>Xa1p{*CnW=PZaJ$iYxG=&wKyhAqZq0z%n2F>?z@Z$*X6 zmzP*&Kc%~Ql6qjhkd^}N^264D8xuEZX{U0O=y&2?M@0$n@>1P*$_y$GwczM~p$nDb zd9<4q9xf*@kB_u``z9&=yP=^WC@4rGoIEVldEwi&D_5=ruMEwz-oAYm;c=W7XZj6` z`sdFd2QR>1r?H?jgfAQd)5=QxQoOhP(eGeuW(E|mVM@!SiVA*$S9?=#Gy7XJA8~}C za-dQf@IP1=vt64gGRN6hQ|KMRw zYieoTIIjom79Fe>(Rb&Xwa zfJXk|gTuHtZ=j45?r1x$4&G)eDJ$!rnen^qgMxOZJQQy@0xxz}hd5xzD(_7`FMSHf zh>wrY`mU3>c-wW6QwE;t}Tz?8Z`Sf7I9@r6ZsaK5n*b6NI7(ncLBYjT~9sANHIJAn|9BGi2 zMJE8~&8A&%K>h%A?(BH2c==~)oN})8o1h@f?U@^`Af=DlREa8(g9R|Zwq|W@4IliW zlG3lXHt~67DE(*8=v0O-pZ(ufb#*m22gl8M;72P!3Qn)$Ca{aWkuJOu*=1U!U7lT3 zM9{GV2o$=LzP>&P81%0cbG(4Acz86r?|kj*x{A#H{>>btC@mct9^MU=O+Y7%gRJyr zT#*lIor7;YJwK6*H!}ObvjAI&KkN%ANp&?f2m;%GUCA4I0>}VsYikBg-j)^?I2a&j zY8F3>TtF3^GqbSFp?;c`!?5^eTJmk<|! zs;&Lwqeydmr;89g>lv)yX+ZPY!36Lqcj4&(j4R}vIzbEWj~{=5-2_L#-o!*rMWxi| zk^}@HvHs|a14S|6eenH?Afy)&V6x5>slo1#wIZ;5zLZMEj6>#`o zrJFx7q19tjd_z$QDC5y_+6X}(_Qb_fzPwNxF}P_222G(z^nQ9@83+N^$nYHOf0NQ zilgG@b94ke6JkcF%@jU!f~W;OF1O#Eu^^L}^H4yQ!)aU}ErlAMu5;y}nO&Qz|95_N z0=83+A&T4IPc392g>H@65h3xwh3O$=-(n&HvWM7G!Da`6@U>zUGBw%|88fB??O$Y>5; znb$!1lGvcBw_^FTun=B`63^re0*A=w-h}g`x&51eVw{a|7aG~ zF}y>T^(&z$)w z`YHH_=m?0Jvv#1|*VTDCIXQ*LLZwZ^hcx~NMG4abx*NDm*24vqC%YpE-7-eZ{)>;{;m1>H!(5(3+`4{?8q!sptvdwWMI8t9fes~Bn%AbrwLYS9tsOX zNAmXZxlaRo$7lW%%mjW%rs$3}$SKs<*Jt8M8k3Tfqa$@Le?Z^YzVj|8ht&`3^{3KO z#}Rz(h*Eb0UHWr z_D71Kcqg1&T^lQqihO*0e6QSn{+-m>c@L@@T#wO-2`x=c;#VMBq9Y7UtwK}a+0AW& zy;@OGVQ6xtW9RVD9ch7`@_=LJdv?5|L=PJP^Bj6C&np;H&{s}5pSyEiG{y)PJ!`~8 z5_zA4BD%M`3nGuz-Ertchq^yrU8m3Pvw%Y?-q{t$dYe?%!{a^?I_+4lTFxw&HwMu? zZlbK$F$|gYh0r;nTkX7R8SyQC))*QCp0=&6t-ZbdB?@^ZmbTse?^1?&vHsLJp>^)> z+K4?sx6|9LT&4jh!bUj|K=3AFdc zUtRDVM+>k)Um^i%AqbtYJK|=fwewje1KI;XLhhKd#>R({lHFZh0rhrvcBHtA&=MTn z4!7q(qF`nM4~n|A1P(+nv?N(fG#f@@g~OH>QTBK!NLlgG5~IMhG)5coZdQ0Pg4o+{ zJ@h)L7_Ni?3Dy@WE$uZo58-Jrl>oUME`a{LE#iubqQCDXuAxEJWU#ll2dN{lzQQt? zWXTvGce?7r2mqjY<1^tGC6B}9NO?nMJ)gvBU?FwO6TDr zY~=~iT7#2=h5)Fw;*5j+0_Zi+*GF_nub9M(g)pqsj(5TNLy!{ZJc+NZt(QJuHMFRL ztSl^QJ5&^y32`epH$F8rJ%dESSlM$25cpqgO;5plY@UNx1>IrWp$0FOP5o+LgnZ)|OBdg#w*zhI0?+t}INLZ3731J;AI07{x^_M3rG1;*IY;$rH3 zXS2=8+EXGBW5JOSvh2?U^Bf{v8&mZ+6bH?ClK_J|y10;bcDc>?TtUG8h6)<95fl_$ z5sapNU}kTBz1+yq5Lwn0hD-vqj$a=|UIjb>7YhOnnBgoBAJPt=>zuOvgWd!#95t_L z=k{DH8X`=$1#MI9 z#ib>>`%ajis-XB{RN^bivO8bO*tf^uofz+}tr3iO^~|b*tpXbD5a&DVus{W@aWQ z^$ZOBpgWwOpO@rhmz5Ep8$s^|QWO~xaS1FZqA4jUf$0GrpMXvVdZ+^pKv)ohXPSH- ztRpX-qg-5F-PT9vmX|vWdC)O1&=5TGI}FE}M%VdOp5L6^aR*lf)&dU~*U8O|)pIN^ zE)L$i2>J!6t!{2^D8clmCSR}$AQcl474@vzj<#=T+!vsvMr z$Yr2scjETa$1HGD5tz%x(Mlwlr#S!WnD3_Vrbv4S@@EZlD5S98o3KU^Yj$DXkp20YJzCY2a1aju$xg7YPAVt6zKK7Fq3l$Zj9qvm970wpO3&A2KFb?kDPg+4Y;Y|V>ciK&7 zYHq%#L>>%>8fF{bU*T*>N-ZrB*Msx`Na{De9wa|u=HBxQZ9vQU8v4Y<503D)1A`|UkZjE5E2ooJbn6khZ_VMJ+5ky(fIjEi%J>SAAymzWE0Ay$lZX4tAUkv#L1bl&e zg9IWX(%jTU=erWM(!)?a6y^>gHyF2tE??1v_>mKGG*fMuU%tFI*CHp+ovhY-+|5oXC7$*U;(V<8+~DA|M_P9 z)+6sj$ld8^YQl4x+u0EeeSmD(T(STrbUw9g`2db^Xh48wwA9rpsHrcuTd$*A1r|tp@Inih%({cf53Ub>|izL7S{_`O{xePynCI-ye~Zk}}>W!%0;%C^HQ! zF1801#@F{UE210sZuR%+Za23<`Pga2v}PVZ9v(#FP*W`^ryq8db>+X7?gGfSu60oFi7pDK4xPfx>MF!WAMO^uFH zJW7UR#7`CZREZM!DMZmigA*|@Fd%=_EQ%4e0OvbfHTM+v;p_ImE7t{VMje3M0p2@0 zJS5ETh1p>Ta0tMsGA%C$hc*0$&#XsUM#j?4?qGjkztUQyX?hpiOBuqb$ zG@u1CAcq_K4AYK@=G zRcH5O@TSI#4*s_~gokV&?wDw4jX)9&Ty!-^=%Ew=??MzK@Jr{9AC)lvLJbWKKSA_R z%T^0zY+_RHx+Y-R&y4s3Y$`0=fUxM(JLGzalfAu{Y#tOacnW1Q1xU%Yv_Q_gpgl8A?$UG~#&-G%(DDbSI~m45HIqwDX2xDnI`eYN7K=;{O$HC5y- zGc=~m5dKMWntwz!|CEWdtw)N`W7A%W0*-=I63C0BfrLak?c#>-VVp_O+_`@3T46x} zbJ^L^9w6qxFART4p{d=c`B`B(FuMuKxG-lBPSB7H$;g+~U=M#yX5eH}w5(pLs?jU* z=Kxuh>3p?t%9G)nK1r2?04Xk3EvLs5Pdhoo-znXT=tvO#C7MGZ&t=Jp?)M%xm&nnA zKl$s?9Yhp@YL{upoPnD+Z^A%NtA=sS#MENThQ;O|`eb&7x{qo8ZB?i_oDguOzg!S|cM!{ed_>z?^7buhK&SFeXKo}L~LK8c;N2?cgNUT}cKRC4!haoJVq zK6V@8TnQY?^Z`+om4_R()?ceSv4QGH-C%UioU2075Fq|trn^_5f*@8W!o-AL^%#dv z#1|CqhoILYEs%TstiB4|_~<6&6>4j0+#!UAAo#6SHe+u-eBjy+*aR2|ipph1;3rnB zw4>t=JkTdzF8qLpk56ZKoKf$G&!tN~>pox~xAm#4(0wu*AG&$n>X&|8)5N?33O$-j zYzY=2{_R@?3Ek?(c^MdJ$v+O$A~fc|lI>%Ccf>y{YY~nWwD1-KEQtQTijKZcV}XHO zc3J2p5N~9z69#n%0QHNVc?n?eyIilCp^HL?S`WE`0BB&nb?c>H>W$2_w1C#R?QYLK zdO-7BB1Yo%oUkhrK^@nc$0Mhf>Th{QsLq#+tpgUGgJshF3_zBVdmmsdd{7XUo_tY3 z5XN%?1g5Ktg98e3<0*pn2m+2fU}88A4g{E&;ZhKA05oRyS%BgJqLj$_;ld@}!=VTn zo0!PS&W66Psi3d{OUtVGeiTLojGWGTu3cp~Piks`k!_io%v=f9mX;n+PJ@H$w6v*! zqv1Bebd6(R_u{*^Z$aS%^MYvk89;w#=1hq0mXvIR6b_BQUUVK}MkSS%WJYIjw3*=h zz~cjIC@!s|lN=Bb5F4vvodd^PLQ-;kY%J`c`OQ4&@IZaP_w+#O7Q`>0InAN(c*T-q zIJRCdpe~-Gq82!Zw*jC4`iIrf@wx*s1ZYnoMxa92ZafE;=sv!@+=_XCCicm?s*<`GG^OK*l3A(}5vGq}Yhn6N#K_zi*Vj*N{(iee3}XyB z?WrNfEuM!j#nM9WE6nL`QN_!~NBu$%Wl=TOL8lcZ-7~Tx1DAgI1B= zeX|~lbX%_6TyNuv8%H0sD!IQfV7ubk$6B z`K^By#z=X2IT$Oyi!+}vXzdo&P(;7tQH^tJ|Bt*1V^Bd_8e%bTVEDwvk)#C##c<+s zZ+(xhuKw2J^}}4`rVuM1n~zUG{%;Skswp6 z3Kj#jZM^e%+J%m(DSas^b1>AzL*b-Buo_Z)bDus&5W>_w1@RMNwE!<(tdF8$VWJ_>LIAYsml|WemFoHV^I55JJE#=U zCMfa0!Fz(317r|0b z?iRsvgXlgsHg*~SJ^u=_K2@KfK7eyKR&vB_;49xm#FIalap0uh=AY^lKDL$Q!4`sNswK_NVm_ zoLf-FfaJC&g7ye{44^4rXx!j;!j8&+XNi@0mEdN3SId}-o*k@Qcv zUEMuK4j5=XMj)60GSoMSnL_6PAHKhT7xKpa>iA-zl2nz22c7lLYMsEM{tB8a0|6<` z(7*u6;e!p}2a*|MFsH`HeGj(-BDxu=rKCE5ZNjyd$GPH`-|JsL% zaV}}gU)8w1#!M&V(%Ikd1U94t2+J=1j;jRz#@+R}V0B0}M8c3}s11)HPJKLG*)ANe zeeYfJgmL+pudgqNEkVE12mU;J_Dq#0@{s-Vyj_3QdYh9oYv7NA*7ui!#A$Jz^}7S( z3yj&EylkADsc?%4@+(iDjsnUDt+fF%%@66})l|UV&>cb8FtN4ufdpk}C=N`T8NZ8030-Kapz9Je ze2(YPdgOHw@m7xMBbZnmw{Js``peYR8MI9>a^O;jws!EVS0jUim)t}{?wwsZUouqvBAN= zLlzs1H*aP@F9MnjUAcMZfnf`BdEdt}DA9$+Vk7rE zan1kfuF|YT0l)Q${?~2cn_>TtOT&rQ&4DMy^m?$_k=+gP~E6uc&01 zl|D`2(uW&lpd!FdLdI4AM#%O(wnxT!s!!l!fb(={Eou5$6VwilPj6sgU~gq51ir){3JGsoRn~G@srY2YoCo*s`y6hgZEC8k!%FX1w=pry z!~2|{j`?A+ZraNjQBA2duZ{pXMb`&iavOo-kc|U2H32lz1_phYmaq)Zmty4?|rN9`c<-u z^79`+s|V@eOS6^awxbxyzeTLuHIii-z$^+ukc4}CVT9b=#c%{<|1xF1Dp1co3K$>- z>8LGi5?f;xBo#=_s4@_GnnPD1VwHUyPt~z=saK22%6)5ml|h3M zr_$fGRm*ze>PnUtM+1l19Vk|6OsgL zWnm-okWS{}vIA2wHZ~TtT$JbE&xxxVyJ7O`xmXtPqbxL5hwUCBfIc$d)>M$mo0kn7 zrqGC?AHx~Fw2=WSfp-ih76Vp2j-#@Th80sT1ff79l~3fEgP(RedtSWYx^ri;?0NWa z?htR&blEB69sv%C1tzU$H+;;of>@iZ!i7QW^!7f51>wODkBE4G*F+MG3F58TE2O&d zRGUH%9;~gb*o>BZf$#tn(Ea=Oq1~K86dasKnI;_7m@sx%uS3oMJg=U*^=wPPj8!-@ z8|n8qEOLgi@Sq7D3;K9HXbR?+OPmX^6K_%_=HslQ?L!nGS0Ai8ZTfKTB_ z-HN*!r;4JXr7hO4KUnFz359^)nwc%j`Cc(4K3yVT6;?APF%f6xxS>IqD*?~G@vy3s z0ZwSsqpM&BUpqh9740O1MTTk|7#t+E(6q3y`26`Z_qK;BbQP#Eg#yS!y;XgCX#2e^@pOjvw8S@WA8U`)VO zOt|j_w+i5aPrxU^W4`p3Kvw9Z{9ITFTssJK1DGUWoPZ_>0;srP6r6exmk!se0BpmB zTKKEN)R#tyjg3B5R;zGn6(IR^gNHNZhrr7L2T{W1fa&JVjrDcuc-g_>Veo5yL#P4d zLx`_~_s(U|1hyG&&MedKfT#@FABH>-?FZT|ub`0Cd-j;UOe1T_0VO`8ZCxGlQ6OIx z16gtLw6iM$UYYo@Nlqa?xnp5*c^OP_DzIIsspD)3b`)G_G~kZ32Dsz`rm6(7h3xnI z{F+hR{{MMBkhJDMMKbV8u{3b6q(ozJ7+F8Lh7)dJ<*MGy#Mil1LK2{!3ov`khafCQ zKsuhP@2+TNp(3VgmZS?lFaUJ;zW10Bz{2j-xu2D|M%T6+Lg0H zaMqadwm|^~77eC&ja?At?o??E)LQ8F0wZhQU<{lf&>LHyn-T3Iwbd6;OVD^gj-qu!uET|B@RT5F!ZVi>WE*=4fk2QsIM~p&q>=~?(W`!LVuBGL z`B={S>f3kk0D8dLAMWaciHzzqfIqz3X$rAy`@dO#n%fczVbe=s3-s%Lzmje(&)Rbd&I zm$wS8^drA>Z@2*hOdeJ;KQ|ZBR^Nw);-Bh#Xg3Z^dNq701F>j9TJCTt?!`D$7{D1A z@y918;hJQRJ25S53afHe!{cxehTFHpo)S=S$-%YhJ*XTkJaV=bYSpLlLY$nD+bHGu zI!MapseTmp=dRM^7>#u4LumgTr?;nz1 z#Cp0kjJlbuTgQcYgY(Sj2nD2&KocIdIe-KY)`-)~`5OSGi5oC2iK(b`w6$*+$ST|k zV}W1aa}>yKtgMWN2*>2fa%$_E&aSQ1`<%Q$eZHnU%;N=OH(1f7B_#|GFmU=hi`gqt zVF&_zRPlo_i0e8qFyP7vh}M%}o(c$PIwlNkZ08NWsbq14V4jHk_ALB^01&U+ac_V_ zg|vWYYr#Jb;}1$mx-yFJ%8^DEIUg-m&#a%hbJXbMlC=W0aOmQ32gbLhz;R`vB}9h*}pkos%NT9Se3KyYmv+WDeCz3i(5!2 zdF8{h)+ZGP7;!U=Uf`r+l0736i`V%?$Ep)wms-{N?l}-XVuFg2l0<0S5EltrCS%Hs z*2`D*yGQgAa;Xp;1;3Q!(HaoA*N6A*%#|4HX#(2#9w$*N1Q62h$wFm zZoonZ4Xv&g#0PK#rAsD4gtWlDR3aK0xKw7!cJh7E37lgh_FO@h4u)P+obieIOP>8Y^T#8wUge=BUB;|BbH@Gm41#0X>Itg!qHsN_*caNHIsQ zgI|pQb(-v%f>2jUzC>DZ?t?J)%Eag^BC2XxlAV12^H;QnV$Q58S>%^|#wu?R#{;`i zHwY+8JQd?}QhQ${{Re}FmH3s%A!k-Nw*xQd0*3m;s`%yq>_9*l`Y$i}@4szyc_9V< hiKG8RmOtVGBiC~T%hNhZ3~mx2G7^g7MPi08|1ZP-2mJs5 literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0006.png b/docs/img/mips2-image-0006.png new file mode 100644 index 0000000000000000000000000000000000000000..35261e15952714e1fa34f91fa4745875e4cda6e0 GIT binary patch literal 19830 zcmc({XFQhS|37>ZvdZ2wB%6?xkgV)YvNu^tk<9E(vR9J5_el1Z>=3d-$c}9H$M^fY zU-$3-@V=ki*Mp+CuJb&O&v=i|afYZW%ih4I#6}S0#$!1tbp%0)gRjpqQQ^)H#+$C{X!n9~a?^9VwZJeGQ>>6W^gW~EO&G10wETHqsB?ql!}{aJ^PniM*5r?Q$U zN`z?$b2*_-tEnEv4(jshg;t4N5O;hUPbi)5(2L)v)*eFj?6 z?f&HS=Yk~HKC%vE4-*=jjs|S3uRGW42auiGca)G|2gJwQKV}l%Mn(0trX2`2s?QMj zJUNO{%w!p)T5IJgD%(*=;4&EB#6%Fx0Mr*R!dh=W>M_^Mkfzs^@rC5 zR#qPwYNl!OejFo8{rv`F9A^yQqmqYO7-*=O#s70h#gRso)y^7OsSoL!aH>SSZowN; zUj5^^MTy!sdi*)`fe<6|p)zg4|NQds`Y{nE+Tv%Kg-uz_8xQI6**?DBsLl34Lu%`) z+CCb{dcNd-|0%&svHwi7A2nxFbT7_EY|JW2;1xyXNNR9_J@o zD*t&+)f{<<#mV1}kz<>5Hi)bFAZ%b@kg1v{N~FjfJGdG}!6#GR$;RxpEEYEF{@Yzz z>!G~52+g(4FEaDs57z8oycq;`Jm8kXwmQoMiq@wVnyhI)|KHG2g zYA=og26LlQoH+~i5+v0h3nnHK5E`2s;{5RJf3{IhU~sVet0uD2@7g~OvEzj(&pY0) zrbiy$`$qphs=og9%a_%$v9a~_^%eG7T3XqkKDn%q^?v_eb9)LE>FDmJqM(5PV;z)| zmzUSlifaqgGQWIKUAfO4n~H*)XuY%hXu0L^^i{yro$*|8{LQ@XL|jV52iJwAFS$Hy-3Zga zKy9bCUW>{T8cI503X|%dyGF&7yTkpuL^jIFMPhv1C4ng|r-g%K) zz3~2kmFyWMQN^LBd4`IpDt*SKn?IW{)iH~I7N8fPekK2S@mxO`_jxWm?evMKk#=45pe5}GU#zd3sWU;=a=E^E~r+u=p z8WbQ$ko4hK&U4ypvPzUed(|g8s1yF?WlyEkY8uhd5Jn}?xVj9O1ywJ!o^!Ymn|{1|F}*C+@1FXE&$dldgK_rq z+ihm7I=h=e_5!?4=GT|CaMUjU6YB)cJYUN;$`0-X-rLQzwd6EzSqz#}nLV-;;17X{ ze3#{;7{gt97Cyb`WTAMMkdc{(Y6QNj_vFNt)U4n0VRpFszFk$J$4^$Vx8K~F{rsh| zk(!$Nz18x;#zYjI_{HBf4anK1Ca-^6v+y#|*MDzb($FBn#l^+WURYH%*3#1Q?VH2M zr^gT6|Ej(dmQzxSC@tMiylbXiYFMoKNGWstWE#`I%9vbRRn_Tu&%)dLlFOjMKPbri z;_S)e8i%>22Tt=X(b4!XUc3+&7k6~r9vK;-x&JDhTG)9kPc1GkF7)2N<^F^uKC4J7 zL9ToEs$Xt=XJ%#=bo_~X^JYzT^$kqSyy9Z5B4KI_zlF86eR~4S?gW9l~YQR8@71#+5Jqo~eJq$jGRYA*O_Dh#01Btnc2weOpY-(Ceuvt>d>; zVKOd#IeB>o3~t1yzWz9dQFi{hp5Bjm&ZoZwWu@rxzb4*gwQ-j_4RdpL5)9d1RH3*NctGm0_c3fVx9hUt%E;$lq zW@<`IZpwq3BJSG^<`l$E&p@fX{dXCryH#3P(#SVi6cvfREMkoYb=UF^-Fot4#U z^TmmRf#JQdak zsnT+JZEetX=pxe6($0DvSlHNoJw0`=Hj_)X#6NSeA1VukS$1&KCghfuUT%7@`6@DN zuuzV@md#Yv%5L~1V9mdK`w4>VtPG^Ajplx?s}ptGk?7>Qm+)%y#{wH+==t|AUgr%( zMYzaNv$wZsj4ogH=-TVouMq@0U~ysLR#frRS_c|&FAvy7*!7s`V*BZuqwCnTLIYD|PKb+J|IOl< z{a$8%ecjf^W@>C_s?ut(E1Y6!bMuSKn)>npVKkkFh6W2$=H!u!aZUtwK9HJ97bllS%jzLBdEm-=$VUN{ed;H#q{n(A3maS0@Y) zuM>Uus-tv$eLXTwWNm%TMpEN(Fjlh{=f+5OVFL{cf)w1WZagbAuV%j)keVD2WJSfktSVsbJif`mvBk`=?Q$m{FR42oYix*yoyzkmN_-SLeb?I_dc zmq&_)VPxEa0RdQOZ!P-c>py>PpV!jXu6ez0iL|`Ct?+7VhBYelj!JsVF}7J(cuea4 zcJpQ6b?yJW_Q=r@CMG7kxu)~orEY`IuL4+)a7w!l&G>M2v^8;$ht(pQLZj2-rmCL` zzI?Nb*VWb4#@ry60kQXIvb?WP9spBT)+6TFd`-3hMDbQ^?+&@j`<(=6h54E^|9QDd zoOAPByGU@8sA4zdNK)+52=3+?Q_c{Z9Ui{Q638`c`J3te%QCXEMhz}DTQl|S+C|a1 zC7HN8WV+>!do8}#?kFZYogQvN$uz2W&d$l{>g{Fa**u(#Mo3czOdHoP%g9t1`+M8U{x04U8%;KEXR&n*JWg6 z^tt|}Xn5&zd>_t|iv#~)%NXW2fp}}~RptLa8P^eQXBPAv3eUU1eiH43okp3V= zieY(W#of&fFxlF-wEJlyFW=2!7oAa3hOYC-N=q+Xi$r`zb5+`}J$Ueigk8JGth}*t zroVp!uGJ6Y21Cw^dVM!~uRER|9$ZFE98qel!@)+Q0 ziQ4nob^GFA!btpV$FE@)MIvceK}W}Rw&7*R`#1l#pe)`c52t`huxazyp%)2CWm0sm}CNJ!GeJWn7~cHVcIF6=i8ILu6bdE=TiP_A3l8+*qQiY=5k z2D;}$mwyPk)pPR;3fgi5S*eQC#WUB&CME>$S^hJKNl9V1%8f#8&Glq`d5#2{e15fw zedER#=Vk1$&d}iCp5ETwgM-c4&;K?iN|#w*L!;YCFdj(0CrXRgewBSuRDxSQF^orl zW_EU*gue1x^u4csHqp0lbaZ+eMSj=xd(o4{jK!=%hS?8;=?|XG>Pbruvv{!*n3*j> zpUI!biJ-r8M|PI$?3$S6$Ht@11t$+rPXz@9fXT8^v`Wme5LhYE(L+#f8XH9g1qB(^x&YVxe~TUL^B>iJ(M4)*nZC?U}T)%mx}Nrgo}A(2JK$!O-IpkKd!K_^*VU7h~% zLqu43;gUF^KkeQ#(x7&V`>*~$YV7tp@H!p-nese6l$Mnh_c)3ku!M-2yod5R{iTb` z$mpoaw?BXW?5_@vT33aKheH|F*3k(Q6o`|0u}JpgQh_i+$j?MKiuQrSOx^B$t6yp= zbo|!U{nw(jQQb=yVP#44-1xiXwDYA261ZX+c$a&}9=nh6`o3_J=M)xx_BeLdqSWGs zbtJ_y#BZcqhZxDu&ffcKLmwl@C=-s4M+D{4eSc*Da!Mst=$6%O=rpqDr~jhD!^h6a zgxn*ea3De2Qqj@TA3uJK`0VXDWB8$5JZ02i)BAFnkaPcbngN-D!)dM6K=DaVjG94N!^LA&mM!Ou-$WZ1Zz%_ri zwzvjqRO#Kcqz{%RI=&5^op~IununA@!2JIG8*oH0E@O|3qGI?jTpUskpIOu_*{H4{ zoQjGHY8sk*yk57)&_bltmx!qtsBc<9{NT}vot+#M`rDBQs^yPCn}z)JfZ(9yU6nx_ z@8;Hh?3yc=Yx4nL2Kgh6T9#QBqN{J6UPs zwnHw&Mn~_Q9BkxR;!KQ<^)y@SqfKfOcXxNM!cvD;j}z@r$FZ=H_No`+EVXi)4W& zJA%a=s{`%V@eHjziaw486pJXOeEw=x=Uh8?5om@)Blz*6vvq>wjtbFV%4H4`Z0Ov) zi-qFj<6o?P0~9*+s|VdTto9+%03Ai0$1(2(dKZ~a=!@S&x7>^^Epzg2pxf~O_`5cG zM>&q`y40E5dPOH52W z+??)r6nyZ&bLDH2iHQls7U^0fF(#TK^FGwT!3=RcJUlv4x3ZoQx&Zp7<-m2dp0kh& zd$FcL#YS$~%R`k6^MsOeGJo-#iA0qz&x3c(Ru6~_3bW~x?J8Q6$x7!J+DQ7%E_Lw! z_M%2s+<*4e>+~)?Jv}SyT33X()LVOddnh&hFIE&6#WX0tbw|i&bXDMEd4oxcbg8vP#_|nSbsiw^&%@yuuiUtohFp zBo;!MMn+TX>y^G{xOwuMUp~|RojwhWbD3L$d#vAbU&_5AtP zN&bqa1TUY!_w{tK13!~QK-vK~WEV$EQEB&GFLt}>pawjA_)w3y(fP#-07ao0wD(`( z_Nnwdp02T9T3X`d;J5sK^(h|rf4ZX!)0y5)=DR_L9EHEcmz>>?@>JTC)ec551e)O zreDZVj-SSM*RwHse>Ajg6)yv?vbhSPu)sJb=OZ6GOY=_z`*>Aklbtr!)^c~UmSZB zwJ@(q8yc{^hK2^91P{E<>WrGb)YQ~otbA4LDM-Gp5U=0pMlg4wY2KGEXUP^vNlg5y zB~Be+QXTA&v^4r%z327%baWZo#d=edlQRu372+OX?R9g9sy%Z)-anN!%ZM0OxKH`d zqPGOf>K*TNxkW#V>rrmgc@>L(C=#gEYtP!1r;aY0TwLC$?o(Vt5RPy9J z^!_ zJM2vpstimmz0-|{*10w*2`W{9z1M%oLGsLQoMLc!+x%thRK|4}FVM>Bch|(wpBY`O zYZWaOVAO=Jd^_!+p;^i2$AFPcN>t4jYynlbOB>v+bV(IR{UI1UNsZj@m9P z+6d>8P2EUy-g{EQ+dRo&FS!7?W$o~%LeBYR%|@{vg(?c-W4g2bwW&E{OjWMWdgLPp z+m&ASPif`7VMx ziN0wgdMC#uF+5!Q=CV;0k^5D8`DUj|IU&hi{GcZxG*-!N^R)}TJ`(e3tD0$%B$r^hjG zQNoQ57ab(?33}X4*lM+F)APgYjq@%7B=RV;ac{mukPn&f9$!b^Ypzc0`8ek^U3$k| zXG~H|ZMI+ZDCa<**iQYdRM?m6sC4%X@clYh%mL~v_4uX zS(n!SuhMh`EF>+m-`-c*L_%@9R`MPGbH%!JuLjXgo9uR zU9yClB>LL8Ud!Ij_LHF3^wr<&K5SnpO8bHJ-uqi`>BZ&P$>7FWj&yTt4thr9)N*lT zh|8t;RMXMY9WDgb;o;+YFc@|`BgW@RU_*HJ4(2vq{&e51Up^_zVqa;bzKD&(3g|C- zopDkSJ$u=+snG0xs1qGK5FoV}KUe4SVClSHBWN231(^x&_`%Hg;`cfCBf1cDg*z(ZPG$ED{v$# zR9h~L*0Wfxm^$xd_a@kmrCq7{LnU6AoPn*z(6-Lb&I$_HNG5rCc=8GhPmgxZ6e`e> zp@|7HOf>oGPIKP4TUkMiWRhVfl~W#K&5c(teG8dg&)BE$I0-|py7F?I3Uh4St-Aax z#iSvXzb|P!;OCb&6W_nDudT6qWQjd@I)0AsU{!zxTD-+GCP9AwD~sVQNWlIFi+(&w zChpgPxbn5Iw}+SNME{4opfs4FO4LIEu0l@z0e3`!1-%uR5XqK*y-g4&A!@d`w^*0W z%rYmgoK_lsk`RMOj?+m+r8`*-9Z4( zhulb^^7rxa2X9WKUK~0YmnULi$g3YZaFHkUAEfd;HZn4rO$UpE0Bjjdh&nav11KL? z7RfFSxE1Uy;D%t@qjz%uFTdpfaDY_LBh*8ON)yB07%Zt z=<4jWFgFL(T4OV62|#6$0tkfTT$4TMAJ*2tH#VjlUOo$WllN-U?hr5{_z9DCHDO`> zslqSDHi!Rp0*`f^ZO}3@8nmngy)6PD{w9H>j2w9&YXOH?#`gBM_xZ^IbYX|-n&Nyr z(3pUm?*IMU2)acU1vzeDW#tPKlZA;=qkPRyS>1qrKuthh$Vf>mra+|~1%d>)l$?^% zlw4a)Ee238v|P|W{jTE?mIANT{o;Q0?huA!%k`ws;SR{S4Ws3laNEIt8Tk4Yfc!O7 zQ~(Ola8E&6qm8KsGSbY>v=gb5M^!)?w|| z@DEkhy_^6Q?SZIhQE@R)Ag`0PJ6OU%Ni%bE1z+#|%HjQ#o9hkgF9;f;drIihz2--B zYrlVo(}|}a#l*#p=WFD`eM@t_KHAAFEG(3{XXr1PnU%E!jCE{NZt3sF#9&_^Hc|$7 z!qd}J^h-?*sIWDl^S*L+epitP%oC_27WXYlc2&hQ_q|`hy~@kWS-H4gy?O-+5*K|8 zAb6=Og75C#nCRBFHdA0f*t<#=01go!xFPuA9@&zT5}-W{NkESBZRRpQefk89%4Ret ze>$dX!AS@-Si}d5h#7yh)_$6lj&82_so+{zXjD{fMTN7IlWJKQ=J-dSqqx}Eeb9|z zul@be5FfZ3|F6IpL4!%XYZmA)3Q%$H@bG(RIyZxjjSb=hD14E%x3~AnlP5buOiccX z#}G>7fl8@DY5_OBQeaaH0d)aL(X3^R*uOY`BbG4-fH(cYo5c{|UP+>__dY!IOV-i^ z0q)b|SYy}gl5b)Jy+9bHdEm~^&rggvZU1~QBz5+2bPX;-QAGuiQDbIi=Fz|S?xpP9 z+&g#fh`ayI`|tt5a{_(2Kb}(~SJ|Rm7uDAof)7??`{$Q-?yvp*({<06-lF3KXQ9A_ z6A%zoS`LW3`a_;Bmo?15zz`2_ZrX`0kixkt&ZX?^%!{;icA}>{*U!LCP5t;GkbDeU z>lNqfVc7(E^Lwe0__Oatz~U3tK@S8i3mDNggpG|2eoWB$R}X05Co|8)12Q|3=05ODW6^U^pMjCC3}!@`kRxDhb3n`k+k|b!z`$re zKb(f>ByrIp?(I+DZuR!QrLL~-CYmlcORBD?*YI*f8xJoE1SyQ`*K>1n7U{mc`BwtV zQF;a!4?4@6*RSIe5|&=KwYPH{G)Qr%o|Q0+MTw?a0lOalDE;!~OY*?G z8nQK6?w5FUy4?)9s>VVL-+~59K^{m#N_wRc9PKPXQh-|hY@#H4*c#*k!%9mf*nK%U zOb~X2oaRCDRpeNORfRYa78XYJl~7UHZV$o(ZBrqhBP0sSmVT3mFnr6w@uwq%2=SSj z(LZa0Vuv8K;$Gn<kTPuxtKzvq2lf4%pjScyva%`?;XVn{b#KAQt?8OEa=_ z16&og4r3VI<#joVHu>NZqq zrIM){Y%&=_bO#$7``2-IXZ_0!QpC8$2L+ObO3=Z7Ac;{nl0W&lD}oB~2@l5=T?POW z%dFJKqezc0BqUU1@Hu|K^7{4b`)-XHUMDuN(YJ2ha?&k>ZGa>(s7U zrL#YCbPbx-oBfp=fhMp(U`xZjV%@x1Qdp>{ss+(x=5bBJhqvKIj%S8p~WJG+p)Iv@_s%&=LpbdiZ z3X};!%&n~2JAiM4BD+-karkpV)g|FDu6dNveb+4)S66Ybvm^Ljl{As%g#{F(^0wMLjJQutxj#~-_!u#XPI7KHX9>f@$)J_%1@Iym9 zAXN{4g-zW2QO)jk0*%zu^Bk54gdyoL(gQF&YibT*8!Rj=s;jFt*~Y;EyL}t|)b*oJ zbMqyH^5imH;C!0%n<|SZG&B@Ju7pQSG<_~XA)!Rw>^9)!zsCzFpmQy-+BtW1bj(vg zv>*tS^wxbd@|EAeh1_sLjnHb@KTayz0R zT33{n(Knf234z}KcyQnBE}|zXD$C2aY1BB(f~SPlY%BzEU0YK_n)JTP^Yj&OQffj% zt>r-SLqCjG83webio?Uh4h29qkb;?+CfeHZc9#|AC;ztb5VwPMl62lze30FD6jndmYUcT9d81p@C9G*yT5Vl440-UW{R$jh4ew-OUFw zbBq)Nr*7Fi1WuWr?=`9@w~Y&EX2jUpU_B0&c^vNn8fZVPwj?Ac$3%2N!G!LZvhKyk z!ZI{G4D~fAS2D84T}lu}7bE^z`HzVs_HqaNCvBL~f7V4>XxvXb*bK^sXmr z6JiTNpnTc&^%fKq!1^X9Cx?36nw^)ah_0f~5iZT7P( zxDXgOse?^)y}T{}o70MU$o)xVVPgXn$Pgn3EsXl+_0mSgBwmZ(LzxUK;G`SJ(Wdhz zUDX#8a&0|5_w$pNiFJ;1Vlc~q+g_)?1$SZmbPw{5fo2uEb#|5pDT9oY5m%Cv18&-V z+6JQZ^^J!aVxFN95dmhlZn~F$*NABQO-)UAb~XOYi4b4J;**ko2QDL!GGNTp*48HG zeSwSUR+wWskZu2gp)KeEZv8X@3G=x;XRR@^ZsH2^@^EFuFRh5BpFE*JT84&pU=au_ zBIv|_{`f&jJ25`q+RF|}4%p#ve!Nfz1>uR@o~e)RUTU2i27Gp{y(}@2yzX!wKm@dY zDD}}!It0lQd@J4ng8*|kLDTvE{d>pX(|_CThm2vJZA1%yM%&sXb>~2zwTEsEx1|H) z9(%uf|I<8%tgE18MpE7@Fzb#K5fOn7@2k-wO#N-CI||%FDbcl=dOqX>gbRRzC^Crj zYrmmAK0pozTp>9f+qHSvEx0f#>wI4 zed8AJ)Kyu|j&`#?e|`i0s;1@`^pcQEoKzBD73^lGg|;%2_MNFu-WSJK&0gn$H>faF zK(5VIOlmXc0q3LEv@;Zve{F58)fqt+78Z`!g0JJz0#leQzQ&D)goEJ+BN39B_yAdG z!R=aEUEQ9lydJ0-u?Tkyw+HQqO3b6q-wu?9f`U+)tYPaa&ZjkQ?(VO)f6n&x*@DLe zF^fc}rOjqOy8hJbpa`hdu<=*kRB*7*7h!(N8(uHKGgDMlEGjAjOC2jEerjsU($W%sWUk6a!^MR^ z&;-ooz`#J*ynr+*r>%EMNmH;-uA4tPEh_<(;v$Ix_LM(;Z+tG?m8XtWWdX=VEnqhZ z`~)UT0$qD^b90|Qd1BD$c2_{))l`LrlP!9hG8h3nu!KNV6F8o{{ny_&2p9=;-f_4w z=ueaSX}lKlQc@jYI)Qy#XVQ)#D=X_3yD$i)g@aVV$Vi-r#|i31nQL=ZRTVg%ur{Xv z@*hb`?kq%(jHvS_h2zCO7ovtYGZi<67I0Nu!ICaTU;@V#rfC=$8FfnZUjwui&4r#F zee2QAC`9!&q$`pp%vJh2IywphT@1F`eIWI?+G#=3bkIfvs!fD^Hb4zdy{cg4-0dIL zSN=1gd3f=(LyZL&8b&Wh0I0US2R$BqGjOQdBf|jDKw%OWZwB`-F*6^w1dX`<(jODB z3^2H(8BBo`gC|cOe{EUXt*ERF(Xf%y%YkHb`sgDhnaRV>9wsz{1$Yh2gQFc`|G`zb zE|DvcvL?yfzU-XxqrkU+nU@?FoK4G0>2OjqvL&NC0E4{%u7TJP5^`{G0HNt7NDjIX63r9tgIcxO4q)9ih%&Y zSX3gam-Z05gp$W_m(rml(5fX!)`{{6T%y6)b+D=0{&LU-3xN>?|LzsBo$nGAve zMqu1*O-&cKw#0zTf>#B|uTC5db(jNSFa-q#l*yHql?boo)YLNopU@Y;!3S8gi@UtM ze0e%|2_g#2+d<))w!Q_>AU{8!iO>e--k@hBOpTM`&g9c)TCkHQ)9S_6c!XfFccIORn^p7Iabn| z#3}B!P=h&HSs{2Ft*y&yY5)TZhChK1W{WhUzIo@~J*^6PQOWz(TW`04b#m#JAzNw( zu%x@Vz-C{)c=6d~jWA8w`}D9lC@AQtgb^wvu=$I#;}U+P)f~cSpwe6l8I;&i~aj zHG$jKK)>VUJ8QiHHMO;&GG~hBAa)cdmgbe1?EO!G???+EPgGP?7!{o@HIU#jGXv2A1`l3RTUcY zdwm^7+Ri{Df`}Ibe={aV4MZ;xh;KWurYu5?Kx2w9WFf|uKG{Y`^+n4{N=o7tzxVuU zU!Z)p{OfB3l#$`PIFY0wjcBTqApHt3BxRmGR8u4HYyB{6t=cOonJ$Cl`&wQ>q565z z12#6m1Pcz{XGa7`i)H2WyO^Lr!#JPiS8}(87pux=dhk8c0-bE$H!3Qs?!)6Oxfiu5 zp+=ytFy&wdNMg+$=g>ZgUd#`fRu=#y(ODYrtG}yYKCe#?prm6?`bsSx8XbMccI_@+ znsP#PkbJhS!44_{>kPQPMv<8h=64@Fpb6vz0w#J*G>|ZeLGB3i?3A8TI{7o_f?f>H zUEJ86v;;eaJq-A2l%j+`{hDc_%)ax*`I3~MM;WOOI zxw^#$(nw`_M}_p`uE2=>{+P@Lzwo~8LEgOX)*>ubQhvF{TLB30Q0cm z)?=mI5dya8{QNnEurm{4yxbQ@jJ*uytpgWY5C<#kRFw^ATv80hhF?V3*%i#Sb|82` zrjO?`U`uJYg{Jij2$kvySx(DOhQ&4;5Oc=_v45`Th{aJiH@&V+krd65+v0n-nx8M` zwR`LlqeBK+LEa3ZgMu9C38aFA!xjfVJgw+$5FDXpFf(JM-=yTX27mxem|hzjgjG6H zQjDNg1Of4Q<4Uyk^V@YG5J_wDzPy0)17)ITrHt|}KR@u%vO!uT8pONgWbBIw)98~E zcd-l=VxBu*pk2?tU6hM78kyOYA)vEAv&^Xds-m>llhd}a>jp7!HZE<=_#TL!;Ko}R z+yaGKN=8OfS_?r+T=5VdUS5#7#X$UrNkas|!omVr92OGdtHDAK^-=Kd-KjE@YjN_N zEG&TN=fKptf_%7efTF*josn?~)*F~2jHQUU?aV_;f!^@z%yIlJWVEj@ik)~)b~a3u zf_MSNlADpSeQc}>1Xal2CYQB$67(#boG)L!65!!!DUFDXgq(JRxe_(CVLb7(p%R1p zF>Ns8whd#vttW!pud=}EsIndJ>*~T#fx(9_FcuaUr=^k5b2bK(Orj4S+`euq{T$@g z2r5CZlfU_}i$JkJl_#Ve#vI6PsbhY_{Eg-G#aZ?3I z#_(LA!|qnq);g91C5L2Y-YO?#s}T3Eh*@zUx)meGP|4n7Uh%$?I{9>rg*epqEg3!) zpIU^P|K3njy(T7!1aquKq!AqVdcuh5&;Q{ZrF1M@&ab)5g#xE zl9DJ4R{@p-REb*_m!&s1Y>pVhhnbi)mlNQRU|vBAO#<6yi|bq6&rW4Yh>ng1m4my! zn07~B+|q^}OM4FoSlgoKF6$eH15oD6UV(>R4>-j^v0UCUrV+5iT6m2qpg&ZiXc!rq%k`n** zXsyo({n#Lw-+|F9FHSE)V!yOMoq#bx?Z? zs0Hi;M8Fk-4S#k~3uj3pQ}?s!(OUjii-+#Cdf z-UOh#u5NO2@(A=f!X$~gvDk6^^G!gqpdyN-IhdLGG1XP4uPD1|MO+9(O3?4@?^iTC+y2yqbf$RW89h}PCy$Zu*1*BW4Jb$V*@cXUFi8o za~dQ6z^ssyX(@nstMl{5W@e0j8FSa>#^$U&WCv2OL+CUF6E(;_GpVZhT<>#}Oi+93&=is0tjEy^e{soj8 z+HXK$AYthZY;5nxNRvvs0_oP;I%q7pOXU5Cnv81-pcX^8)0E# z0R<9#T-x`=0|NtTK&3!41Ox*%81!`1Yu8}H9CSIbQ77Owwzj<1Ms7FiuKjzM4hS+% zK5kDLMs{LmnZft^b$%!L!2I^KItF-GAmRY97dz6q1BXHpM33;pY!B(-`-0{hFbUcN zx(yHRK1@JQ*OuCO*g%b2F-XjZ<<9b1L z7l&{)0M*Wj*BwEpdU(DGwFH(6NFeQ5Ve<{-3cf=i3ffINt$d}RqobSB=8!MFKdXOk zPk#^_*A>tE8ybhMuCB51JY0H?ViM@41faaLvjgrrnBVQG5cj~{fr&$f zjEIPs{qm;PPOOli`nRKC@{>NLSQ5CuJ$0=D4d${AgwzL{H76W_mVn{St)_qTRAv;Jfnd*Vc!(46-* z5V4(j(4Gufh~b1pK^MOyMUU>~J6Z2j7~Kbn4>UH0*B=pmhri zF-b|RKmj^oJ{S5tls45iZHtrXMd`=+|6TLW*tnnZym07ibE@*r^C~!}gnsr<2QBlZ zb?QZp5JcC}Hec#xu|b}i_tQoeR`#-i@i`3a@e^$!5HW7vya{lWkr_@_Xt2D8LCEZ6 z85R0w47fTd72AJ8{fEPTMC5tDzTnZRx z?()JFItZQD(Zl>40(ohogWBhCZZ1~@*J{HRZA}fKGipjx`>=Z zW0E@m0lfsAlwKSRi_sHp-;0`%kPtt=c3=V^1{V|-!fB>&8RB##BnJ>1?1Lb*z zi9k>8sI-mBRD!Sv_8n!!cTHgrG4VThRzcA07}4Xw#mM^b!KZ(@Z4|_I7)&}2gLHq# zoWdU!E(g*C&@3r+;6RMo#g-4I;B44@t#m$EQZNkybdZFMtgwYz65YD$;+2Br=E?L? zIe(hc9>mGN-U3DM6h&s&jqgfQI#`+GACwaCBYdFn>iK>z4ZHXjW90oAN&w8Q{|6W{ z!;p!yiwiXj>!O|8WB9>Qwb-i&jgJRY=*R4A+N2UQ#Z?R8S$Ak*_$8@)ZZDDs>E#MX zjlo2Qa@cN}6B82yLaL@F@m-K$;($%Tn}nDU)SN=!W%{2Ely{Lm+mqjX4$KuqT<8|$ zDkkN+Ac^5(Jo*%L<-LF&3)S(zoVli=f|r+Jv z#JuF;Iiz=3zyJ5&|7Rkpi;m#`Ihw9|W}8HRZr||_q4XYeh`tb5e1p-+y@>&BtjUUB z$2+BeIsLwCNllHmtZY!PIh>iRgg(vtW?CISA!Wc4j;L4F)ztxn1NP`vrW$x5fX1bI zC$!!=_(CA8)BW(z6FBzx<%=h1OIl{cnHv!od+ZT$c{#lSJ;{Cz5s| zz_-wAP5Ym-M6x}g|dB`X6HSkKCF7a2_@stbZwOG9#C1ZF)Vg!Os&FI;qd{aSI-5o z*jGB=1nLh5_EzBc-TI*Y-go(}s;ws{eX}kcs#N2V>go70O(#DDZ$%z|w6R zFvEa?fUcrhV*_XpJ_~wJ2(bf*CpP<!~p5SsOKf|W@Tl*Zy z?+lr$WwE1085BJT4Lk~7G!@_GRw!p$@MeTDoPSuKdQZcoc3&SGBjYs{EI3Bvaa>{d zvw-I1nj^@**ASraobQ^qAB0w5L?^SGJ)!5NZIm;~#r- z8#ZDhlNAPn37L&MMiRjfH)M?;fSwP^y54EU*EUF%cMv*jh#oYGIrK>!20|fwNJno!8eLAq4({(*{sRke7EI4)}oR z^J#|X0;D*^MYg$++7aa{~ z`FWDLzraU;5QxuRvo5gP57)=pxwss_F$c{fPW?!MPc7l%P%uMIgG>Orhrf&w-kLpY zDvXH12pb$YZD?#fgT@6j04G2u!BYqI(!tTORC5$F`y-TmH#N8zIG#cY`lE}>UpNd4 z6OUjk!y#KvDA3XdC)0Bt41`>E@fWYM410?Xik%ddlz^MV^frujCzO@(UDUgGGc_aATnOx_aj$$!6Ty`ZF zHKKVk-k*U)LIC07-~jl5qf#{d)JMgK%rJ&|08L;?W)YJ{~KJ#S9qKyKM!Uf(61)pULF>@>hC1nBXDCX@<*gMb) zdGFqRtfserHIXze;6=6pah^F#q|CH_DUn} zrO)&Th$)P2X&31}hXDuhy1pgx<$m}e!88bW0q0x*BfA1phojaYCWM-Uqy*C|Gfkdv zBqiG*R=m#-CIBw4G!zK``T6CktgIEBdxKJYRbW7LL_wg&0r@V>&p!rd1x6j=_!!JF zN=ey+>udJxnL?&2(1a^>#c&UB=b_RDxD&w9=Rlx^PrlL1Ild6AX(LjjnbWLyu{mWqxjL8;OG~547_{O|0%!-40k}LcMgsL@d!m#sy%O3!Gjmr@ z4`!`&w)zteZ6IT?37xfhq}Ts9*#bT0aA&9I+qb}6unvI)r--~PfFjsKYY%=ByfgTC zkoy45+)jcC7?~U`eEt5zwCt~VRh%V(v$Hc$3~>GeL9I7wF4!hz1rE08`Wakl2s1Dt z;7!1U0MXjX(#FH1vB&n-e+`1%A39oEaLI5S7tR5}QNpMQE@xuz2mDgrRB(vP)Wifu zuua;Ud%(*EW;Te)$%V{&qQRbQaSX$R>)G1c0^0xc=TDF`plra18By;(ASYl@;735r z-eF?mw}rVvdIp9?VCC>*p&=n_!&yN+k;a%3lV~xLnLqMAnf zSAnr=XRG=1TE9ATe)%$>q3=WaEIQ;Sgg7a;A)JGKW@m@%N^!ZIV07h=eYG?*gFT>y z12|j>)4xky_4M@I+>XEt0C^4SASn?MBQZ7rg;{;ar=lZ&o}UbS=8QbDwXf?O5afP; z<}PQWkZ*2jNh{=puqhXOWs!WGr{_&2`&OPWLgs1)7#3oe+XtSY0NfeIko)@kEu3_T zRKtL}o+VF?Y&a^oU6l_}P>Nt21cglW@fxjUY)ttmjH#jqe?fuS(JMn4EMPfHSc7-( z2w7au1|$&#=pj6hpyOUI;sdb;LT>MyP#X>?PE_z5gR62mYx#>UYwnu(9^;}|r!?411< ztj<}M#pDVn9m(-YbwYkhdmwsn3GZ&J;p_Q_?F)lm?MS%^WZL_r`;^EB6i)j?Rr+0tZ+Y8EteS_o^h-GhNYHnzU0DPeg0 z1ryUR=%ta7k=QO;op}o0Pc8KlFKUX5IRj0g4nQaXy!y`^G8m6HgW65YKaEXEThsG? zZ#MrvcVT%;3K9{97f9&nqW&%KAA8vULEYcoy)wtarFvhcm2^?#COq=YG;Hiom7e$X z#DtFMb6`%OT5OHxMjf3=`PY1~g@#Z1b{}vEAY^zn#(e7rjC1{{!_bWY>bKle6f%nn zfY8_v(LRCM@YSzLS@Ij0=nQ5CGH)+xVq-@^;|F|rUE{;WA-wS?n3>q=rt=1IIFj~( z2on<;0#BTRau1_jfXRSg+Dg5>5crwXbx_;c$?aZ^#jgA3kk_JsrF6y$^BQjUB7Kdz=>3^ISMLd*P|=OX_sESdU3DZ z;`?0u-U0nb(sO&Me#E}P-x>|t*ARAk+ZJw*6QW{2@$m;`TpjJaC0w!SVuS{ilh+}@v1;(&WoM)`cx-`^hwVLf^u z1eyT5&CK-eH4iQN4s-7;TwKr{TymAuKt7$Wer9ECEWr>1EeWLJ=BZLVAZLUSIXmmPM9o3{l7nG(szk+>{cpo;IaTu7(@~ literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0007.png b/docs/img/mips2-image-0007.png new file mode 100644 index 0000000000000000000000000000000000000000..259d8f349cd4757c405e1107de8e28d7476ee9c8 GIT binary patch literal 35668 zcmce;2T)X9yERCXoCFDifCvqeQ8EY!D%dnhat@L+NN#cx6+vinmMj?>x-?r*=AfpJ%OSJ!|_`Q(c*Yl!+7v2ZsXu{HYcW z4xTd(4n7$%A@G-d+8>_4F9J_RunsZs6G&|R1_$RZ4*02pj$hW+uYfF8o1h)cplhcg zvE_@3@M(fxFmn_ReK_(KnD{E*6OUJeFNyfjF3&!E@8@(k-m9AW=d|buME>(nJNA4Q zcsgd&kEIAeA8(L?1xmWL;dja`6n%2#tJ3?#>O6BlG@tvoo9$-=Y=5?0nf?{9ay8&h z0ETh?fAz1FC=O34@y~D8)n_oy(rxl1X?(2@754%(-=s!oKAP)6>4%o&TgfK3_&%rY zJ~xTFh!sz7cNDC0­?opHYqA?ubc>$udYif^}#1A&)dxS69FA|zo`@_1}GM{khm zgce_+#D)VuiG@LEHVIg3^BW|2t=qx;Z3I+Ez|yHGl1t!5K#nHN=OO!JFbk|@zG~37 z1Trb@arw1d-Mpbdr-B6|4<061X87`DI1aPAAIUpvq;T;9JUt{mtS2&uhivUBd%d*J zSOeCIsGv#CXb{<%K`n_5|DwEb**oK4>3LqjSZK73a^Ii&?bbN`8)#krqXO9`>UsA~_5(!~Rk-K~ZhkKeXD4TMb`V2*azE|t8O zFzHv>BNr012H(CtL8YXGrd(ECveqQak|!nKg$x&t4TM(a2z85w+ApvBKf2+3sM3vc zLI&xcMd=M1Sml<(EAL+#cXKSyEBYUfrjN1_r(_poV+QNJN==JGp8-7;<(8-@sJ9b2 zrvTeZfhM2aIDIu6`wc(&En|&>Q@eg`Z=GpK=5L18?isIHxThC~h10}|EwWcw31L0m6UN0nxF7BK%v zVLxZ?w#g&gz>rgOg_9Y6LZ5pF!Z~jgW?WHGkvQi<0a*;-jQC>UquNMo4I2sb#dial zXPk)UeOYZrWg0yij1l;2-v5;6S0moZ1IzFej2iK8E*Kw4ZuM8yEKEA*Ass&Q?YgH< zE}p{&YpDtqCd9-nqciL*4R_|t9#PNPhcp9SSK4Pr@S|LyyWYT$ z%d)Eq$)gg$9!;#>iEkciW(_+ebP5=Omf(-AQYⅈ2l~2Ro>>icr>@7kSyA9yJk$N zQu@N4#bJ3PZe$j`CuCM0hPP_2j_rW`5Bpicr)%uxz zw@ra-6(p7yhBR^pAwIKimbN{r>%H2AsRz%I9bRP%aS_nj>~p7F3b>Yfw5a@8bzGLn z5p7E-+QQ7d@lkd0;+pbOJ-lc&9^2N|rqgYf+dOsF85t-oWsTdjR>lVh_0S-{nLvnb ztJn{|yqATw$fy1ktQbEq$bKbILzO35VgU7#uyh{@A_mFY6cHywA5u2(MVLyvN|-=S z%ySh_0`$*R3q#xvb6dk2p2=gX&1{~+IAh1&_H@a(v?SMfYc*emc)UH(H|kH~^nM3& zhH=#`!4AnHkxsDDRPsqqHQV(xKOfO}&QhvmZ=}7l-D{uIJITzO4aPZJ#?PMgUSU-*U|5s4+|6@OeyVf^_Nu6)o>`dOQSOm5Vt`70^woV5V-u0KdOd z)*V0Z3%B=o=cZJY;Jeu`N{%ERhE>`qv&07{6#dxa`cM~tVOKk~^xQ^}3nNvDObR-h z_7+MMjXQj3Ars5bh$q>qe|xCWJ8*IJM&v^I%_k_Wz1*)iDy>y`?UyaxR^$FC4mXM; zqE`ijYXxzx7!BqlTI?^Fxd=>GM+``&0=|bM5U4)!RmeLQGpln?)1D}LJPFR~dCH1) zOS8hJ16~W`=SLualAWIALokW~3gd|N{Xv{tFoojZXvf9az|GPtC?-e2<Y^yO?qFLk zcjq()BNWpU;`*8xGk*}$#y(}ImwhU5-cr>4DS2MhEvDe&+v#(NNUGBI*co|uE7zD@ z|D682;|Ii;38Uk2!=BoaK`&?(lr_bcT8?N}YIxAPGC*sWNo9L+*Ms+RV10fCzSbH7 z3SpTrRuoe6TfbrC%$Q^LTw~nhz2S1S<$XcE?;$)oO}PA(uijHcGV28PY#;Nx8h($@MU06!@D2L-tu3Y?XoNXn*U!c_0i(!o%zIgnKe_D(A`EWjVz!p8n1Z+YIfJ@lyLO1- z){5kFl|Z&kh0`E@)0C9u(u6_U_bf^!)Be4q0TjumY>0u(;PE1`8)32=>mh*wwJX6c z!wP9aE(t}kBY92oJW4C~1#T}+uIEc;7M0Sy~U zQ%X*UJh&iWrHha>ZJW|t%cG=|MxU!6U&+y9s2I3r-gOvWoSUc~O~nps^DOYri7Z|8 z-NQ^(-8#{4e!ar5r{BUoaF6fpd->?Y3${Wqh@w|q_$dEP#AinheUFd2;(=Y%R^?^A zuCI0(tfm+Orc&)*1n!p}THnS5C%?{q6EXCg!9K7`iSTxn_dfGrFJ46>v}Aboz97Xr zObP-I2@UT!**822;SDx>HzxjdP-m)3?Ll?2T>GwF!R?WJ$Q6My9}xb=HT5@aeDbb`ec+#%mAOOoTeb&1HBpBGu6}8f0T-A ztjU9VC$DUSN%U%e3D!h}y1Y4)6B&K3Ke!6IdOx8pYesKB7LfhL{KUq5Wp}<%@2$bb zZGrP&OF{=dqM1)yagB@G-4F};W9OvH7`L(THpdR5vgs#kf%BF0CxfL4RXI-ggWY*$ zUgKtd%XamVeiN;EViYBst2RDT8mKU+KF&uXi_dqep6uclYo^~zCMs?_JpUr-=X?R| z(6MawJZH`O5rx{Lk1P76?Vew!tuMjf#BFmwRjG~JWK-GVcqUH7dWlPNLW3Y*brhzJ z5z`E7i8@y;6+mZactKoH*~%JVMb(Mkk%OE=a>=7i93FIrb3dRP-y&{>)P1YvDhuO< zh*)VNq2@ei!BNK(w1g#ujk&!`cdjI%rg!GIYSs=}bm-@O=XD`l=;=jMD{?Ua&Y{<7J^D!$VN zHAWWh_on7A9xjk{YP+|}l6Mzm=1;6LAcOd!m(MmBh)x@{E<+@J`{rUx4~h({g9;|b zSWUD%LMI&^y6AeE_zl#n*Dbm9NsPG>P;-YbmjZv;G=>hz%2xHY6eRGG^-t}k7gt^& zXPJu3QIp&UCvVYF^m{ae6BE_imK69xbaTe)Y13S+$(VxRMY#_J_a}XIQl|-NetJgrgU6Q+9)NXsTYFM zDxTU1x--y<*vFI$j35;}l1&h0J4TcxZJ0$Vfvkee-!!Xw?R^ut5?s{`@yS=;id@v? zCxR>I^qVSkS|}~tg^{*c}@<2>fLNg)yHlEPAm*WrbIfb z$!}LRT)I1Iv;$J(;^Lu-vS8*SaM zMNg7dx?hJTmS=GY+R8VnG%4Ased0ogNn{@#sTfh2+`2Sz!=Dj~|Fy-vu|w)m626Wq z>A_3n>rK=@G&ndr(R(;{!|A(<*^dnRFShZBh^AVgi&^??jB3XATHds@xA}Uca{fdm zazBYH+m*oCk)cOf*$L#&2#(alxsKhj?}aU|Mr6;wD;JvejY zE4+O#MAmfV+kSUZn}_lT+pD9pim`JQiC+rz-_0MRoeC2te){n~NX1E;j`I96mT{q3f(Zjlj#p31*F`Yfu#5W?QZGiB$! zXwpmz)hKSCkQco)K5VF$9SdJ;)strCXFoTYef(+VoCwY??sJda)cw|CNT6h_ek<|^ zLr+!Ifr$RaY+x3@y}44r{@Jt6!yAS*CQfe>dOqs$OnQnQNjM(14XbUE98V3>%B51> z+=G%$5#u)FZ{J(?=E_S~<^BR%Nu`mlm3?wTdCGnmq7SB3dbAN(5UactCLbg5*~oK9 z03`q1YDx|6tQ6@t6~C2QTq@@7D!V^8CevUwHSZM6a()XEWczbEE9kN+M~W$C-(2s! zvtre6Y~_ew&;~@Xj)V_t^RkZC!Ju*hhkXIkDu)Wm#_2?LlH4{jnT+qb4=J;Z*F9f2 z#ZTz{<S$A78$|)-rMFk^)Sb3s`F&lH;+1)Mck0M!gYkE^_{zU`UUuU?ENeDJ8zX4wN)*eWT4b znTQ=<9>PN^&TjLke+D746PmIU%1}4{0kvP7E95XvZ{5~?dIl&vAgwB92 z7c>y61}JHRKqFxJQusjnR4DhqG}85XAj~8XV=Oto*I7${*m24kOH1gC7Qr`AKxb}I zFMdleX1S3=kis>?%X0pk)3j+2Uu*zhxV>Zld9RGHp%8uw&T&$qp=u+mlWFDzsM{dr z;!!2l0JlR{+Vm#5Ds@aKBy8Qgu$3x}gdCrbq+`T+!Fl1X1#69*iafqS_MHG1_+U|t*yRMiXW}tEUI5(yM=87-x14mF;wI}GTWdNN4nJy7ql7LH{6;a0vCKM+R{kh7cOiwzjiM3i$_# z>&2>6Hr_SNRc@Dm^lIw^?eQ zxmBLqkV;$1?U;M>Nx|_ED3D#1$frVtYS=p2Os1UA`2z&Gs`Gqga5Zt%j(qa0`$f-L zmGtny-Ezg&go}P@?~tB=QuxQ67mD!`AT+R2)?i(Zz4w<-n%PHLR@?F%=JXiSDyG zS~2B$K5^!9r{8&^I1vPfi7FU&jvGHgAtHz2kWY8~D)9;P|DYo~gzfMehEMF*h(^`# zl|CcV*x3hf+`~uCy(Q`}AvBppwK)+-{3*7oHPwU_8{A`2mnIGb^zYspMwPMazQD3zwuQtXA<#4L^W3ry|W}o;i>l zqn8eVL-8Kh__)Y3aGbfK@^1YLzPUm>Tz&3peR|}jsaKUB_3UkwZqMdmJOfph@ln)W zp1QcLrsjmeo{2kfThfX5Bf#oJj%Zx^$rUw|rbP^MpN)?%E5MH&zJk-LtKDbId!+9^ zqB2S0U+4V04v^99*7h3AA5;%GBl^4R!MgTI?ECC&b{0v7&Wj?w^5+q8Tmi7`gp25Q7HY+b;`0h8ZL%ACJe(c(z;zA~hje0ugYuGd*(qpDq zWqsu)SBxBA?~>!fW|BrxGJqd_C55jxi6@r2i6M>U}TAhaF^_Ubs#&fx+ZRBN zzmI*CUO(zgJxMxuhCg|riu8A9mi&EE;{rk1{^at00|ePpn5ac2sWZi3AYc0_qJ(Rv z_$7+VI|=(FnW@= zI&t(oB>ga-uCX_5MS`9rpDv_)2*mH?w-Jc`saM{Xuv3@g2xHp>wz;m4YK|h;tvpai zyfq|kdm)yWRC_&h!TjtNkO*z<%stI5hoy=VJx_m~#E zQ8_4?h3|#K*n>+20=pHU!Qzq8x|N z^CY>GvHbS1Ecr9?}1btfA z%mT6B1`ZJ7E%un0dB`BG$MMBGQeA&=&-`WC`P2HHa0nrgIeHH}AjdoOq=%Un4hb#}WRf zuio4A431sDtjPKJSAvGLi`*Rq{;7{Mp^H`owwyux<=%pp1(d~M z8{ZbM3#FnMFU~BV3RPkYW5J!)@+bnad&JI54ydZ#*5*zqTZ4jU3DUELRfFyQI zgz82eza~}~*;-ybf#y)$QE1H3ZUFtb(|I_zcB*wa=f)&uF7n%u3fN^(`NAZF#pbe{ zh)qD_p8W}$nIwvU!x}fYP#VCsjDy#g{))ohR@vv-q+5!O>1;_CsHRGU~%DcCDv4$aD>lwtM*>* zf!OwI%&GLA2peNPm_qo!aTHtEHYX?N+#7)~OF9>}2CJYylwh?ir>e;@n)_RF#j|`mr!a z>L3UiM@%;=_(5}X_+`e^i5%e4qK4H~H9=|zQ1#4#seyB~<}Y0Vw~EW4aAB?)W{tbd z*Fi8I*BB$oYdEi~Jbl|*_mcz+^Xj?4y>hwIRW8i^ym#J=ex-2-u(H(mRQglm2|AzX zFKsPdhgjxFLh6W)=#w)5SY)H8RYATE%Tz&@#K!7Vza}@xEHqd2FIZt$6oMmA44Zvj zP(|f8ruV6EKw>LbW_BNAR8*z}p|`G@cWO@B>i5Gy&RP-VlTbH$!=*n|@op_y8A|sB zG9h{t(zKFfY38u2k;u@$1$1%TV6@g&U=5G))sp!h*jFZ}qTv$|IdK4P!-Q7_BG6Bz z{-(-X7gxb|fnzxtY7_Ypt+$77S8OF%S@G%-INR5~TIA=muQbTQBy^eEpG22tw%G%{ zy{-UYm%IUPmhJbm-v$*$vDN(gr)$l2&A(oNgu5&;=y3ZPhp46QABH{1I#{l8`jOJ` zq@~}>brf>Yn}Z|hqjgzXwHy&M>j1aRfh50WBGZa0QLCry)W=K)G5_~o(;4fLFod69 zm}3`7pK1y;pirgv+!9_Tnr$L2Iv{*GQCCN+MAD%TUX4)J>ue5@fi!KBpv-B)k^Mu# zu(F!SN{JMj>4HkzEghZMNgil6q0?%7wd0%A`lqhoJx>{B%T%t!ZrGu-Vl_JdbI;ik z%!O9hs8f$I5iR1|`F#ZlJI!6bR9{IJi(WI_{yQ@ivj1j3!!z)j2Tx_)k!Y?)M%^Qz~s+D!{4vqKS zC9o)!O*}NTb}?qBm5 zC6_$}zNI*8UAEf#Sc4aj?z$kvZRg*Z2@$lBT;S$S7~c703Sn%h9O4~=OFbJ2^Ncx7 z(s5;3gkCT%N~>+(;Omk1LO_5q%svUf`O7v>@3tjK4hyxi z-q1=hC;N)~?L!Bpe@1i2>RD5@{aHJ`7EHj0#>)2{%I(e?^uz)%Ye?8!{$?=AU=Y>Z zts7ZxQgOok3E5PI(vzp9{ZeTa&( z3Id4W1kYD3BoI{E1G#gZ&!u+W{`wv+E^MEuf=y|q*mVr$ywq2>m_mbd69T^Il zI#^8HNO~!QRC7Ny@>_o!2lN9Us&0oe>{uG)Zrs+)1TpzYhaeZ`|X zCCxdrakx|D+p!&(NnIbi0Koa^i>~Ev%U2%jW5c~BS&koY6>*SaJO5BB+@0BD3olXQ zU=REnvKkh{>K9>pkYD4CK?C z*k}5MEDEF%Ygdcy9enbGFbY@vRo0j~*5^{#ZE$^qj|3XZxAEm39Cjxh(umn73Iklr zTZ5^duI!mx6DW5}EV^TUE3EZdkpOnTf9YuT`V1B9?1`LOZ_C^SF!Y~4(@;5@+_woh z0QR$)MPuA}^rKoL;Je{01$1R(AqBv9Q}tJ_18$Ab>7p$8w5S92%r#Xq*1JTOhmd3A zX23BRAdk4%iTy2m$ThPRHJ9I<6B@Cm5{m8Ve3%B=ndXey6u;(Wj=m><^Ohk~(s$}( z17+mdgIeBKgeS;HB*;ouflsS%X*BU|Eeg9<>#zqptl@65p z)H(in7wQz%Krww5jca_~hoh%?&L#7-(WBTwIgC53s0XRi-6jc6{k#8|Wz{gN5OO>f zpJk^c+aAwJsXG266oirmIlP-NEOOd!T4wwK%PkS}Xp4$`?2JDp)_NyxZ21%ls-%y_ zN5-PKPOjd4;#jX<98-YPAO(>&%&p9Xgm9aK$BdWGlj1c*?}N)^PS=tWXe}4YcA#F* zPA&-vEe_O%wm-|eKN*C7$|`LgKoQguH{MD!Q=UE#O-BjWz^D!S2?q!%@m6p*3l2m= z$9iffxX!oIw?vrz$lTQz?>vbNb@$oxoIc-JSbjtnHjh6(db|lvoV#Xry;XGw|0h=G zJ-K$8qI6y~abRMB>we3sdR=K?g6!*}EbI@hZ^xotr&U8|{^mkQF6@SrtmsM5!nrV9 zhMb?c$fZEJ6O}FIi`EFasaN3JwJ6(YD{p0x{WBdGPXphCS&+d&Yx!c zC+qwr|7K=KgzLurD83)`=nmqCVC1G;Tk(je8j+yW(&wfkXX;;|M?^Ec}tNL6O0cK&SwHB0c=a`P>QY|UiEJ_qBql|As8hM1+XFs)@cgqFPlnMW@ z`jsPAzhcR)XjgBmca?QF+k>u3@9_euHtf)Uxw=jaWe1yBZP*PYBbf-=HCgYPm=(_{ zXC9^z|L*ZbO6#4Dkm}##)Ui7cLuk+auN5KFE z-SoHEN6B|qdr4;o`vp_I(dU0Z2A@vrIzBt*dr}r=lIE!t&pUGU}|@D`KU=@6rN0IX@fOIv*&tX-Xtz@iHgjZ*HJo+?zB(|2$i6a%+D zAmCiG_aOP>vVLZ2)Fggm?&#{G*ls&?tetZ{u|5XZ_z|UXmGpjDVYbnO%Y>nYI$aeR z&n~Mi{Ye8r^hwHzy?P@ctJ9s-S)^Nhs1q&DPQf__A#`pPBLK`+g@WulHYtY6N21b? z*LvIEQ`i6Mom7yvyE#4HcSBBfEuD$##w)<}0Gr-ipk%|0Sa-cMnOyWl7zfH{;<5dr zD`v^mc(pIlQ(Og2zNnxuMHWempci&aYaON^eo;0=*iWY~{8i*Jp(%_Fyia;-vyw81 z%dovtsi{6_nZuh^pT!ywN_RhI{;=PA-TYefPdcFm!!6{zDly&%+~2P&Qxg%spULeW z#T)%AubL1IWK!lgXIw0_EP-{Jj<~ry7sRKE&94|P)}KU5DVc+qj|wJq^Fvtm@B@;l z28ZA>@Kj@IrozqoQ9*$y$P5C6&Sfs61k@)#=`t+`=4QLHVl92fn!^F0I@*oRHtNrh zyx=+Zb;KYyO|zE`uP^$DU`)qd)}{{9pX+^(gwdPe93xfY@z-G@JZ?%u+l1e3h0;jd zJ&c0)IU3^CNHM&5()Cn$$d1ecEu=`d>&$OoUN#Q>e2eM`h<<2A%kWqy4#A9M=6%zcN{lA5dle^6;EE8Opvte(Q9UTmLjzN#%&nK zC9Kvod^8K2t@J|5MjR`Aj%Q7t-)CX7y-*iopbD3{;hIY^^Gw$>Q=+q^?HTd-Fvz8S zynuHo%c;xJ0z<9&RcTw@EKAFO_+ZFd_>T!Sy>U8A2t<=Hc7|R*=9RaO%26vcB&_oR zFM%_E@1DcpNU#NJJm_oLd!vqN(oS0MU5F*UyZ4@SFkB^i_4%M~{hJ+-h$`a>2OU+G zlWwWbFKPJZU!^^e3$|!bU$?rVsCYiId#9oZpdh6?af;lZa%b`RLKKKc#R1_#&{y)) z#>)Lk9?I$jFTC56_$NMX2abJ`uB$HuN#l@(0x9kw1mF6JeSy5ninPlY%s|`&_#>W5 zj`X6%Ug53h83Q>bm#Ch3W08nJ&3ZeyTug<_j|gk@$h$;gbMwVU{X|_mISs%3w)fP_ zmE4&Y8OyDWzGG0ub0qV3E~rK{gJfETX}{ER#Mht#7q^d>W0J#9Jt-H@GASM@G!FcB z+V84wSY4s$f#N5xQe0A^)BTGQhb>%4`(|*9bK~bPvO~DY#?L->DGV))^r+q->*U>1Na@c{a77tr%PJCR~isxDx>jocS8!{QLNX@%>hA zUt7)H3%RfI+iS7x*=J!urN^f*@Tx7{8vXs{ZfN~jamA_90hE15ZGnmySN}hmnt@6~ z*3ah$9Fc^j4y+SgGi^$L{x4ZubzSl@ThlZsd%1P^^2S*RZ(hBfy;hUYAy^pXIK8{4 zv2dVkt((`n<{m$XDHE+{YfN#zX!cEDe(b+fZMp2AxIU9Nm84amL96nu%`A^s^vD}Y zU|rywn&keAyddNz#c%*5c1c&*&uiHKcj1LIVF4d3P%negOcppZ8DgU1?WP6m+CO;M zwvZXRqDNl2|H|_&t6qyHw2No&?Znea-3)*idEydz*M;MhlE{?bkeNT7smy*sy=?9W zky~+*k9kB0l@3V?VQz61cx_X9!W=)D5KoV-7O0JuGUppoq~S@R@nu>>W*)(BS6y)L z6*+m-j1!IJX>DT#RYbNDBh@BrhFhvQn;+j!irgdfhNtKWN{mJD$l%|I&0LUqQ;J>` zQ-#AYP_ZoRW#z0_gUFBxbe%Y8<<)hFxrI-($mA|J`SF%DO5Xw!k?YLP|AqJ3Zpo@d zB?i}|Hbs*qgEGZwMy&Mu8TdsFavr%et-YVQ4Oyj7ix87xeh#`$Ftvc8{%}~7PuOKj zTuNMEe1(1TeP^n{fFKIwkvyu;5c>`>xkQjrV%`ibix9I?-)yKc^P*+#Ub} z0n?xZ-Vtot<*re2XL~CdGAG?-uI1)HYjSOo6Vg19*WHoVyK@|;Ytwvrsw8-Nv&H^Z zrk2cGY0gT@Y`g%5;_uKEb-y)GFJyufZ2C}DuX6GC@DCfx4P-s97vF-|&QPnxKA*63 zhCqln*N!-#sZ!Sj!RCzEO7Vm!!CKAFikwyjLpxmHYV8+7CnI9-vn57p#7jxbpN^4G z;kE~?5ARD}T`C`8%iTxmKB{MX>1HcdFkUZlfOgnLD7&)DYr4+L=xE&l>PN8YBH^QH zbe-kQCA=RSTz{8-5>ME^?f8>R_gcd)vA2!Dmy4f2NDQ#}BUIz1=9q>}y-f4{pRA&B zWd0K^%$w+`lAM4xmRQ|8y0axuKbJroIVj$KK`R+VXSGU!s0-5p>hxeOnL=FShje3q zfqj<`X11~uuaVX%yH*r*9qb|sr4NdOESi0-GnK`=lGmSZ+gwWr5!f+V@{gRNLxkJc z?T$MR2er(aY-_m2Izl!WRa@9m$@B#J=7=xb|}8z1BBWOy#KMFmSLS16+(x$(-JHaAU{Z|UV zC-Fo;!D=((iM5v?@x(sf!u^HgP%&U0x1$CK&1FfE&>*D$NJtmke9z=u9&qq8EJ%!< zhMA96!w{%g@4vphHDrsn!J2y_k(n2_#g0rfD&)06{h7oXVaK03*!Uu(Juc|T+IfBa72IO`4e$AY#z4dSy|VPtKPV|w(^~5xD!pfO z9XtmT+YYx5Vm;N`6|<(&`A^E_6IkVqqU+f#nD(nOBFsO$)%csDrYqr;6O%UJY+;9A zNdddJ)RY%aF;hfS#9zEpe;FVR;XD6#~&g$XyCj* zra&{(=yk!Y0#zWml$LZXBsq_eedu`hK}(J<8JIl54AyFZ=Jb}iYbbASd44-`VMnK| z!K0ju@{1X-^Gs?5#ax^kt9b@LrP?^wWxy7u5sU(}V%LHL)>5kiWc;$T7bs&o^$$w=FESldE>rE&!zD_KJAmb1t$!_W?iq?v* zPC&jV2BSUX^ZFfR1n}ZC%-B>L-DaORBFn}6?HlT)y-2st<@YjkKGU*7-|h{Ifz=*b zY_=L&$EM1oCN~D6A~UZ*^*&FoL>E`uGLGs$CyoZyF)|Yf-mRByeY27Y8RZi+z2@RR z{L8DDz=*xKb3n9q%+SsMFN*&@U1zo}NptT=Um(klJ#X4N&D}F~)St+AA#FAOhH5j- zbbS@bWM1QE_98TY7~#o)`Y%q+^bOcn5}+6enS^q)dU@VckkPN99QGYb(y*9f^;$pOYm%wQxEHPBbYb{W?xUR!3 zP`Ldx!E5d(d@c`W1P}$RRieqzDJ?F&N6S_^?_FU<*!~^(ZK{F1W*3vfw_DLYO&l4C|*Ryyym#O{>3CB(KO-v$n90)@dFdT)=uX?6B{ zi;b1!8>zSTKX18{Q)rleVX`GGOm?!mjL?1oMjsl$bJWrVtM0o@j8*1|52JQvSmZQB z>pF1RzPp|E$kyyJ7&LKtM*A#IdneoY zel&^YG*4*V_u@2Yd_|Cv2hGaM=K9gG_vp}{Z+?7#O>!Dx&BKfIk0BZe!bf^1I!ZDOgdtEj z|2moXXv_I7Q1QLym03k9E(6y-48#3c!aYrK5ydmJ>9$JM+Wwh>p#XrRjUnUQSwh}B zpRfQ~P^Fv~T_yXhwwzL0gQV<)aNfx9#M+iK>5wL?YSb@{LAT%wam9Fgsy~+ApGK8{ zNMrb9oVtN_z1&duj{zCa_5-6>{8g1$toAQc60^F2JdjJ&iN4x5w)_ux*|mf9nrwl_ zxLCKw7!AT)rcgMk8{lTua?wRjTFQq1u(-6HOV*5PXckoqogaiPr#j=lLFO^jWD!6( z=KSU~Arsf`f@=cD@LvROPb9YGC(&v-=&!TzjVh>+YIcsx)gj@@PgCpGC)sPJ*B%Uj z!u8s*J1EJzK$?Tvj7VFb9Q%a?@6$GP?C`zM#!?(dkr{vj^xl$YzUXr6r1abaS3yeh zc~h#wfFhb6mQJbUFFsniv|*UGV4HUx)76Q`5}<9jdwiC4(mET$SZoJ5ppZPL z{*e`R)3$qc%!TV9?`ut1l_~IR$7FeAr~t*X(szw#pnrx;{-Q8LY*;Px5O1jh;+Bmy$owX=_IhT}PUM|;70B--cYISXk*U%N(UpU?fA1ZT@}x@jDgZlc8wTn=ZxXD8RjL{q|qOcRY=m2nJ&Euwm4$T4tN;d zzKSwieU|uhz1t+d?ZRJZpB;>Ti7Hk>V5l27}4PN6$BI{v+Ok1=(jMuf^MkNG8Ci+kf>hgXq;2p>BIF zcz5;-gYXeJNVcXbu0^G+B7L)+j)8M?|9fg@HG4p)OnaAq{3r(yB>dwvTmAi+<8(J| zmak@d9#!Ql!HftAmA7=}B2l$39&tYB<)H@38lt1D5 zX?9M1Ski{%KXrS!3%&d8MV= z-01WLGl{seg!YSu7fFxjX{q4WFz5`k=m)-E-$YT;ivo~=Yei+rDc}<*9=t!7v zLmhl&T(f)6pw;x`vuJ!G*12^J=l4yUBZY0XJkGTgduGhVJT9CVX9jGg@)jSWeS`HH> z0?e!~GrVG|>ayt-^V6!|K!>Ub%aCF9CxeJ}6OW$hTa0NG5z#i8C4zTF%hSVwkmrH4 z+|1tWA?&CSQ|<1v<`K!(v|*BvR4>;(E`hq$mN?pJYq6aGHTqBF-ctX10YrmLrEd)i zqtUGy1Wu|^+-|Qg2m8d;q)6KipeJ3q)YI@-i%juSE8tDV7De85`Uk$RcqGgyHHIrx zgX3h#1Gx<`W<3vtaCx%!9Xeqv3QdheS2&>CXEL;_hE| zEi(aMRmtrdZ*%E(yGn~nItPuB8_UrkWUuI!By(TM82;aMgAnCUEqf!xu{?W4LzpvFNu{i4XtP~+m74VcYm!v?K5`A> zc7%Pg;mFLtp&yiwYp(5jn4R|L3%yzJiBnt!XY#TwV z5Rpq#96$4$ycYvE)7&%fXy~bGmhM= z+lB~0b{GZfg#cPx>Egr|SKI2cbQ`G$iExBs(b{qK(4^Ws5{7(9;$KoIQuw~6NE;}z zc5dCb2te0HT1JcGW)=i-A`-4q?6-xBC(^|9e$R}Le4`CLP^5JJ0U#W3$yR5^A(CWr zJMYxzvU(Wx<*`r_;d)?iK%8(nf~7$g_<}EJFHsK*#F|dh5=T+(%cedCBT1YlPt)+&FI}& zJ{aZm$F#=ZD#f{cqRTY;rpmRe5vx_`YFE$)(dk{_1^bxGP0gr((E?5#7DAH&>tUjg za|`Kl<#+CEAHatuV7Q78RQa#nZ8~azO^wJobKzpUqg=?Yr&v9jIgZO*fVWf)+Zd5l zZ)JQ8@jI#tgg4xI@`*k+`Xqjo@IMghZPbf=P_fOc7c&q&Qhc+`czBxu;Hp5v1ho9p z`YbVy$T@tUE!5oYJGRoyP*mis7o*~04afv#MV&jW%w}Pj7Li=9;~RiSVbllPEA$p* z3NTS%0Bg~&tL*=BBp6}+mu33XaRR(H%?mgEPSg2&7H&yy-r5r2MI>Vx32VW7mfsxf z|78fgt~vi>F>F<_m9?M^Tt^1N(Q~-wb1^_w7qGXHVW$~;A89p1ppRiR^W)FmdPDoY z!77pSFx_%*j2rMz8m)ZvVJ1N0A&Go$1k7>G7TR6_(BnEID2}RWIDKp zChi>`a=jZh7ee^Kjg!xk84ZmqB0=%K0BaQti-!ZYN{}yVCDssLX-0){mpm;k1I&y6 zI24t@h_xp|9iEsBjU!|3MZod-*N~Akuc)?&X;?*J-_MW5H0Q%&www@hbN^5=tMt=FV}hIa-p~Zc5M+Z zi};^Q{12JG&-=yvs31M>ciVXc+xxe&Pd7Z~d1;<{$I5VTzg|w#Tqapn_i$|0qO0rF zI+`^au(@^pj}FTn(SIG5LR*Qf4L>&>V^US-j5F@gT6n+e``i-%+^JImu5Qc%;td^g zEZ}k;&}+U|^#@Ctm;diQV`5JtOJ)c0ox=Wekwekl2g{*Q==Z$m{pB^cn2uaOD^TIP zag!1Ff(YD3!Zaq*^}&BKTCci)oH8Y+)X;siKJUvGhD$+^V}EykJ@HWVD+LHOpv3$i z&AoM0l;6AePbeX&NS8fQc_BHNH<8sP*N%a($dm`bSn)?clS`z!_W=$?7`3X zobNf$dDbuf_^qFdwOp)W=Dz2?_r9+8eqXO^->4x*^_*6D%|=>IxB4F-x*8$3;vGg&D#r@|%vC{&qt!ol0vf^&ji5pf%9( zpk{|)+5O>r^+PcwTS2%4;VWX8HEi7%{oIB2Cq3Y)02fv*z?7^88ZgHTO>A9FEAVB< z2WmW01c3+A?O7+TO-s>G!;w+oB2;P;=J}Z^(9HmG<g9{m6E%o@jufFm+RvFHjn*%_Nhb&X$%k6Nt;K-oN zN2`=9%~$gXaEHX;!1GTQ-mM(nw0JOOgj$^67m69&_4qWj|6-5!eyhCtPYLetB=$VJ+}tQy6bErM^8*B>f!IL%B=Lxl>4B^2ak3=3ma#r0u5O{}nF#$&tET zF>hqYl0j-!R%xqLj@SALqpT_HI2^Mj6;)5&INf7mmYjDVq@Md0qOvci8Z|7x*R|Q6 z?i&caFIJ0-X{s^D&>p4x|z3g6!P zJeuaPn{YGf65DA0f#UELfw>;*RW!8aSD#u!eZ!Lfv03yJLlxeMV+1()LK#DNOp81y zR_IjF{|Udah`y~>=J9NLNs^UN)p{E=q%G4Q=E_X8Bd;1=Y2{h~C^uc3@j-(*tt2&OG03t__Ouec%XQ*N>(f={4uK+qfeAq2X&V#j^R={r z5dNP$9SG1!3{ePn>I}NAg+v9Vr}x%_qj>;?$glS02CDlf^B8)O_Cp@T0dPONo1Fog zTQF3eUG^^uk&UrIN)xYbUT)JXE8%AbAR2!fwu}V#V{$9gsfFd{0GRzAGMV&KhL3~KgU?4PpC`Gu z(Go<(l>@T_sYf>2Y zzVuUXa7$K}9e|p{==#>Xo*8p44$%ixvQ4oxcf8!^<=2LebaK#Jy`^M=YW)74quE0> z8i|4Re6qT^znL6!XlNh`>S5BNO374LAj&Q8{9+{L?;qFmxD9i8cM+uqL} zEmBb9<=D{E7L+4M?_97e&-)du)cVCElJDOzLlL?v?Nau^RXVlj?s=xy__1s<{b$W;l|d@W?^cEr%?zpN>`RUNr?u z`qKI?kC?XT@K=zAx3zmqaNgo{RBtSBmOrP@a#HBJJ+Rcl#9(33&K4M*UOz=ACAA}tv9D;#5Au* zM)QmD@+s5b_DB92I)Y7A`g5O5@Tt|v@f(-R z%KP?+!N;!hNBLtJM9BW&e9hs0%%G#Cm{UL4oOi6ASpfXX!95h^Ew)_NLz!Q4OPx~6 zgztpN)C9h~*!8HDOA71#bQ+Dm%=uL*^PzJ}+hRl*If+9iT*6`n>(*_jTMq+p3!QG? z!j^c2zb}#b*8483(*F)a_Qln*r`vIko2#ZPY_os?I-pbK<_gO@o*$~>Z}KWWG+*t% zXhQ@jBWQn`Tvz=XGajk%!&1|xKl#PaJW5+6xc{TpC!cWt-s9nA2mIhfv%2!WOua-a z{Hxbl2o$?STyRa5{n0joD73TJ(9MFPS-O$Jx_gt_&$+@fQ;SH`_WDw31o7!L z<3Sf=N!mcp#oL3Hq5?l$^*&_Cj?D%T!o1I0--!zM)=lwfS~;P+0kA){)MofiY2Ug1 zKvAaTB;9DmgX{;YG*=*sbXr6@HSPEYmAYHNnfFT!B2()#X#+HS>Sd3&3sH}P=-`in)n`}xibu%p(v+%)=2Or{dC)cl-l9@-@ z<`6t~cv1JbL8L=EUpb`iM66g)#(3n{(}w0u-Y+>--_AvzcH)Qs`J1tnb*U)T#7t2GhMa zgc_QPypoM?ap(#eOR^CUkrw0}1=-QHAuYH2=OEwzl z6A3;Z?mdCJn{JrDVJb#Xlk-%+4Rv1mDT=fYpSo+)W|#HzKdViMv1mO%b)Vw?8g=0M zQl*U#dtUWR_wt#y@ozZRb31gpLd8*k^n4q^^{*5-0b&06c(Dz%Ur=%HhM1qAR|IhT z2L_xq8!ZZVIc@csUcF5nAVP0`=h2_pYE-k0Vex9Z(h zVMMbypN2z)QAoaa#-ws@{M_hTiSuaka;MJs8O1o0M{~evLm@za#TPY?Cj6_K@$h`% zFN`SYQmCmAA%wF^lz+Srw(7^joxs6Rn?DAJF9fhl>xX`h`-Zb$Q_ zH{I##9Mr0F`?EJ#WApPOZf$W?JzFsYU*PjYbYu_uWvjjdmO*$gk7&$bP*l~YLt{S= zGfh%R{4S?Bkw@8*iTq6Y-YlYs427N15WNZG^bJJ3q;IW6!p$sDu5|6)dAt9&}z&Z*(aOKhoRcaCO%8qcKUHAevfIW>tr=M z3$35g&ejraA6~nh>@w9}LOUml&DxSO6lZuYK%uxYx6_=lC;76m)r3ir!GiCVo8hpS zK%JTg-tc%q_eB%&MF;GaOiAX`#A};SU#_OD;ETm+i>`@cM#YQ}Ub_Qa+c^{a*?G~I ziQDII3D8amg@_ZhWvBHtTF=oA(skdVs#?_b6k&*=EJtfqujvu5-Qi!*K0(z-=TyP@#T1c$q z-7+!eonD%p?N6r#Z(kH-e<*uTI3D7!JaRQh!)0vOM784XlkGj& zC&r*gi>{L8Ju$}^-qR4-glxf>$|l*pDuH-yDg=AsB6EHZ9%1eld8QjnfxQ};?QT(< zah>S!93=w9&zrdlr(wnqkV~bC^>0+$wT$hs7fD^6j86rfXq$f%>uI_z&2A7=DXUar zVCg@ojxELDm4Drk#W}f<@YrZBowHERq+G6&cSKH-X!Q7QAg7_uuy|1|)+R@d+5aD)cqmHLFL-`t@6X2NvL)NzZM zcPo4FpNhtqLQo(?Ug=m9(5}TETLMiuy!*KOn47XFa;h)!gqesWNL<9Zn67m?3u>{= znAaU!#IpJ8hl+Dj141|M$-db1UR{x)wK;1f5y>+L!=GEf4Vyh9UpL;5Ge&-0&^NzP z(&-i(I%w*}GInWA0~1h6dCY4ea^n2By7GeC>P~oHhWC98N)ZSSxJJU0&kEYaK$a^o z!xUD0N9#w(WMO@q-_F0nA*09>gd%$ zF%Jvl7w6oM7Z(#)O7vsYT0uBJ5|v%gi^nC=={-ds&ClNQmGCqi{vc*3Y-%%czEC)4 z+}&{?)#%P$rRvFG+&9P+#|ivhpEZ@aM+2zsX%~)}0~73t(^VCu&)~-!#p2f#Vs#EimT`;T7WI|sSAhSrpOXHm?Ve>Zpi<~-e`X#0bnbWeq zrr$CY|GunZZWf5H+y(c#HqhD=F4T`nGDjN4j1~6lm#IcICI@x!bJVlxs_hp)Linui zV}K(O-`NT=@Dw?0pqsnuK5*P*wVuFpEe!xYl_0O(Nz51da?3%Kx9MW<&uFo&k@L6F z2gn!HyNm)Ssl1lON|(Kd=h~7N_DwTR%1g~HW7>_7N2D9^gAFRLx;HqA>s>tgQ)Lsv zn~$oL^4;wVE+^0Fy#cYKWF^C}YCE6QMt{2(Zlx{#oUowFos06V5TVIu(La9?o`sfi z7^ZslJ%_~~>dw$i68m2JwRFm!d$|(kUf(X|*iHAJ^QT^N_u8aUSBX%}jLy~o_j?;? zgA<}2<8^iGgiMOW$)4D1TfPqk)HE1kkfCU2fz=gyAs@DB3>f#HZ!0kF)cOd!XCTgu zjTo;H{0Y;Gc_LL6Pzj%QoVBuyp)f_Jc481>X+>F@Y&Y{`-Q`}f9hSeCniT68m1uU@VI4JcF{U_uES};P-N4q(YY3{zj9>aQ{g39F* z$3lI_Bg2o~rA*<0KsSsQX0!0{g*KKAQl0Ozjj|u^fOp+S-dAoft`fQ3WrEg~hLO2s zzUHZ^oNphqBpLtpHo;1Q#{* zOu81CYp_Cx{2>|sF~Jv2OKxo$qr;g$LRuQ9g{5~(!S3t!RWq&;mezADjsK!tG)Dzj zdxD!Tun~J-^iSu#mpw83+x&U)^hy|N@wL<~46{xU`=?2gwFJqc(A&)kOBX9?X_!w? zR#m)}`JEf3%{2G~c4IG#*Wh5Yhqh_nd^TL$q7BSqMiCxObQ^4ffwC0YQ}q-wlw#&v zg(Lp*TM!4|zzJ7~$hh@BDktSiBiX=XD@eOHB60#(B7BNp7QV63OzmV?2jA%Znc*jR z+fA6S>7saB0zTD(Fyayp=-F9fujSP?Wdo zF5Z|zI9#skIZ4H;-bn#`*sPzSM*&X4I+NC;yiOOl_fw-T0{lL~OIRTmh7z?|toj=^Kbi>Ihqs{(j!=jg5aYrSK+ zYAoi(v1TRL)$}S;IJvfQ7mOuh`UV0XWAmahtITAaJ#Br4^^N-1gM48&2I^OiuPFy& zHkytbTh(YdW(lCa_%;*S)m){np;AFfMRW`8uew{#+Tk>5V-QPKjk)q(4UnQuuX0XAv0GjoUvnz@4yr6Jxp7_mD>) zEk(j^_uZ?<)xuWd(WaG?gmks3hWkLDYX@PTPoE~e#Bz+lkE>Rwz&g1U8Mb;sLikRv z!IMfiirRJ%Qxk&AWR}a}X(tNVv>zVIU_WH9`Vdf5aUSp;n_wP?rR410pmMGN*S3lT z8$}RP)OMQ}iE&bZXR{ykCxuiwEKkB*LMt;2SGiOtCad?;se=v1Y>VW(x5h%NTh|90 zl=|VmZv=50Ucyw=Kn#8Z6jWFLhwA$R?o=I}N(AK&t5=FagdX>Ik9yYZH-Uhcj744v0DkEa-OgxW5t3m0Y%*LpZg;W zs_(03MK@YXW>ZH{CPvd+@p)RMti_G$M|+~X7uJL z#tZfb`uw%?ONYxtF@6njrAa`3UY0C$Q7&>n#xiEByB`NaG@p}Bhy3^0LWX7SVj(?2Jvv>kl$a_K&i08kqYSyLeE3aZQ!h zI+#P#Tsnfjs_@$!gAv~o^vaELu|s1@RG+WuO}85nk{1{s_Tx=I}|Mc9r{(E{YBb;iPleZ>VyDx(YZI zj}{;WS>cC{OA+I_;q3||mOm#h#L=sLWaE?1D7wKB+KU45viZ1g#FK0R5Kpgg+*rZ|-dWODF|ZmxcMEvhhm z;z@Kih8MjbJ>3jciHR__K+s^mU%g_a3>qA5em;2ZaZFH&Etz*J;bim!eg$6^Rxn$D z6MRm?a7ZeTfx~8giMpxQ%BAvwv~B@n(iKu|Jp4MVt8qzQC7BE~#y2|Wo5wN--JLNh zS{5E!v-u@!uDe~quQK`4nh3}bt0%t)&7Z|EeE}yOodhzd5b`vlNB@A61dM(E|M?fs z$x-|m)DR5AC4lOWY}gD{7nO{VK9Ey?)UU&)Zj3cqG(rkCmE*e3NoB~lnPgx^eyZ&~ zbzu=)$Z00ynqzO5jLW%BCEm0}_v@}13n5SHXfKZV=Vw-*DSSwy_u8PGh& zusG$m6=exHW^3`)I2u1nTiJG}zyMu7>Ue1tlc&FLIANx}<*qj~+6WfGMBp-%kmhGz zTx_}|mXT!HcJJxjSy1Q(YVf`T{bfPga|$%*b1a8JExWYrAz%#{0c${<=2@+B9$JDL zq;Ujm;Qd&lGfj%%nn-J8Re`Au=zp?sqZmhFVta784sT;p$%lbNK9#WhSx>>k@wzeq z-kV+RWsR; z*aX*AL95{!_XG7c#4`|mUfmZ^S?k^`JAqZIR)V6cQNB2dX$IjsQ>cg8c~Kd4{TAKi zslv}XcW;5qFQpF7M%1NX>v|puFB*1C!XLx!^?=)lSyk%MbeEUypqYpOX`IkG} zDlI>EgH%~aFLWin>3Li!@BLEJv{Talgj6JN0xc3)yfYuk`Hoyxn?o>U#n}vZhmw^T$M{1zU*2CRz!p1Ep7#4FWwZE)c|Y9;f_iKcGu_`j?pYx#Oq4Eh z7E42jHl2}8|DqzR&7Avqz4QRv*nxNK@JCGSKhA=uVFjC_O0qbWA^q-YHzbPRH)Svq zEYPZ={>iz|HzlNL)pqr*5$L5ME~D#pGG{v|#x%Kf!-k0H0#^V?P_DTFcBbgmW2&^8 z#YbP;LkU(&J1YdbwP`~5{$^OEcRym!2~IIP1o}&sj=u_B#K^gXV)@t+q zKUb>MA15C(M=8u+=>@O#U{p^8gzq*X#K|C!47dFwiO_WKO*5$X{&g29#2sSaaAuhjyt3MKx3T;^uv|Tll4W}9lyL0ok-P@GEZR)_;nD6# z$PmBJJ5H6+4bg1Ar=_m#_gZJIyGr(kXm-1F-Z^h=BVNp2f7?BKvVghQAP_4zVR4zU2ofsF~ ziCe*ZkaByLq)9k|6EUqYEVUArB_FdP9^&8hu_O~3L#v&nkb+aneC!(29__-frF`Wo z3D5lbddlLS?|L6b+5?5yU=`J(@iWo590H(J)v1YVJaU>9hdIcyZyg+PeZgK zPW4HH6IhM@IvAt^^o<|OiH0MpgL?adn-Yj}4^MH8V=eBD#-k;uQWi&#-OC;SA@(iR z^q{QQ0=N<3Y}zXg^H=y)j}t22P%r-2^K6YQTA4R^4vVa3W$eqGYOcO3c0zwT`IytH zNIAhYK_6 zj9geRwwQyQc(tovkOe%XAyOf%;p)-CMNp${a%qTS!6zS(iL%JMY-4n)C$~M_jpO22 zWLo7^u@H7n`KDo{)VDOuGEQnt0)a3q^* z&v9^i%j1D;DX)u#Ln6ng9{DQB~wai#SR;5D!UO~JrhU^<4* z*V=S{)qiGBbhpU;GdqTZAz!}K%_{ig)g)hQT+=&JzbFp3)3TL|GCAu2evqeMn>m;N zZow4i;0bHoAewx_s@{$~2Wgcyjc;akOKxQIu_Vd${IkAIv4YdB1iLr zcA%ga0g=%^AS$Y{7h5DO&l^&}9=0AZn+3`uS$;*Tw&n;ZdYE#qg8TcrSI= z@S5hFDfG<@3>D$^=<+wLY?hN9i$hM|Cy@YQ znhrKS{f5nMT{Dl7%rLJsBX1X_3@`$sYT3vkj)>H=Ox1{>AHq@a4s(!O7#t|7+UA-= zIdtCQccegGEXjhZa*_goO{w{Qq!`+Vl|Y`7KDJH z@3LBrtjqS@WgIgDR)|%-^fz)y>5lU5cI5u=W3dPqB|c1A*=3~R6E0I-Vq{#oo?5dO zwsT2$x}V%x0x_}#J!0U+s)zYzJ-4cZ#RD|`l1Vn^XvEH*>K#3!;73Zi`e=&kr4-we zwwPeSsq2xl?5r1fb}BJQxv4Q~MRMh9Y&VoTPk^W=jUb6@|Bbvy>T+x|o{=rIk=M2?9`;dp|F_#DfjxxuY(o?m zNuq(Hu$oHh78+k1JC|NZLCPc}+9~(|h=zQVG}lb)LSQvpqTxm!V?^TfD4@3H(ZJeqf#%_lZRRM8;!B=Io7wI0YDwc*qrwVJpYx@1O^VBN&a` zF@vVjpuDr_?a}4lNL7;;3nATaTA~w~Y%_a>A z+QsoB567(Vl|UpP#hx@pjed~<&#_`n=ZD4W6N+(v*n!SrQW_}y4XwfQ>T-Bb!3k53 zjj2(S)iiNHuA^%0a?h66Ya6OQz_;bGJXHhqlTOpJ>#vsGzAY_loYh<9*&6lmgcbV{ zj}xz&dT%)iG=z>4qU)Y~p{#Ky6&r}y)_FljoJ6hv^ZoQtPTg-%qNf4qL z7nLJ&%kTkqs}Z`tu#gvVs`}rEd{qo7Q+G4@9ZdVbqX)$5tiG&d+Nb8ft^ zoQj=DntO)j+J!Zgm`$(J^kSWsIiKRHnRxFicr-oUME7%QX$>dy3;;x>pkH9fOt8sW z>Z&2`$eS^~{omx9e4{gQm5)LEUF&I-W2@CSjNzM**2uiE<{#9J@%FFujvkDc>uY-S z!+0ng!DjC-G%4Z&ikzbb`#FAEzGSs0=!R5#eS(XS*T5;VQnK3_Kvk$6 zJHO&4zvSHL(ck#WBtbPG@wWY&XzSuUMVDbgKTE32)^!DEm6s&3)gtb zo_dg&h3c|<1t{rlg!peSb$7{v9s*4ILv(~Yr0E@`NY8Ca50hTjM1K5)w=ldon`5RX zJrGLr)1FG?8J6u-qiysSDq%sM`^tf+M?=6ZyN)Nk$%47N3R!kyjxb}u zV{*vne6zZ>YRJ%>7{0T6D+tIGAiMlkUd}oK?NNJV%&v=P(?zypf#Ibd#P!!Nbqe8l zj(d7SLJb|e1jeS>m%R0NLJ+7SCrPJU)}f2bMjqt22$2H^$WsX4TaeO~Ji#Xxa3t2A zakV;iy7-1p-`@s+APrW%5`0qO|^Lez$g} z_R;%xCoZf0bQjI~bKz9p(KskJ%@j>K46WctQo~Cy3cnNxsTOTPKY3EybsLwrfgg z{52)&X-82WpHq(oPzH)Y+m=HLMi*POZQq*|ks;B-FQr)b=<7+|;DsmI54y{_g8;U= z_#$`wL*M&eP1p^}9Rq3Xmz3_eBeo_PSv!CnNW%KPA<8AMezmy+84`_P($}pFlb}R_ zDncU^HfGH#)mNdNy25V6-A{prV|tm|g2_Lh#8G{KxsZO6DJ&DlM>rfpfa~+iTIc{# zT5mYnc{i~I&(#_hlK!#dM}7Zw#mIrB4YI7Gm>sQCeLxeanbt*m;m|SU+Lzh9dXy|< zkm(}2x~PomE;+Eln0mG*IY3NTYj#xlsRLbF?0I+;y+o5BiqbdmAh7K2lkq9&r(V~C z zmt{a?kkU0_#8W-0ThW&n;yQ14dMy{z8mw&=Lr%K&CO(=Y%oyK1qVmM{awu5vDDVf4 z6i?L09<>G<11RgQaO&g0trJG^hK^ey)oBDPYevicgde31-bFcoJ@Jc&SiQ!z;Xo~u zM650s;ig{lhgOf^&~w7yrzhMhe^aER$foCJ^ehb3=9HF;H_(u}>Kjum@&H30Gwjgy z&K_$aWE&?#Bl2vlC;2XD2QQRej~S~>daP}r_e7@%52Ft4;QT$wQlTd=(beu9TU#%# z=3H#0*4(9+AskOcsWJ~2J-L=3NxkZ4xB70cky^o*-K6hWt&Lxl-kbIc;fdW z1p}RLB0g@TOX+^Sp|OQ_g=L{ArDtqk7Qc=4Vl>kTNs?sf$-5}O?oq-tfoqd|YQ0N+ z7$>=rDNV|!`u^Neb-{(lO`Q|3TdyOFb@l5RtSJsKuu&*_9(C2ub*Y;w*Tmr^mA7EZ9F=_|r^`qdVsyGur zsZ^twZ_|swlObgj3^h?Y?nq*q7gLRRIWNYoBj~E8g7fo(p5@GLUS-`h?RaZNYo^JgjDTVAZxCwBP2Z{JQ_84aMXPma>$*uOcjRQfn#qX%fTGG#3{ zM~OZW`?XPV-#5-v7kA8-R%+!YDLi=!U8g@SnhjPw@I_Fy>6~zbNiSraz3WSq^7C>1 zM%&pNeyGCqTG5DcxRwoxO}!U*`cIcBRFUrT**ChaGYsB?TGUSvUU3=Sz0>4mDWcy= zwQmmA+>0h84c;Q|jlLqg@@a;G{ zV2}DXL^Un0PmO#H;o>cwdz^34Kba=IkU;`z1P$r5%M|4}y-?NR%B1{1l%Cj+3V#db zLd~tQtAa}jz!iw`n$q*GcF*{*7ez~$^pZP+U6XqvqK8GBqTn0A(J(sZlP#@&2h2OD zaNEh+BSv^Cv3%+pOO(L6A8dXzb#2*Dy3b*Bv9YnENV(wPCo5#A>-LkxD%k|YG1r&F z{D7i!R`H!sMc@-Oy&w}!!m}IZ>p})o%c;BRK8_mV+sB3gU_gitvxqNI*@f`g)gjkP zO7D%s#ZK#%|JH!h-VGERAGGd<@cw;}`U6m$$G$R+f;`x^!+bs9bdL0& zHJV$z23vJ%2#mL~3m7t+N{94Av2$sXp*|A?o&2GV5mh$P0Ywrc0W;(mbTi5xj!b4U z{>%Z4PKK}dGIZhU$DCs29}Z*-Twl$y-zKT>#Ovt8z&cs?Y89;(yB7VvqBZf$`SXPv>=*fK<_kihLkp3$0h}VeCQ8ExJeD{kqmIY=<6Wy(vkvnD_;eWafETTiI zgt?(|G=q}OsM*gQ-6cGd@1#H%s$R14hrbG}65bH?L|JT1zN1$joL*v`sE)TDNO=;F zI-kBnGk+@qK?zV0TP^NNt7LOvay14S)`nqctmolDESNH|>Hm7&9}Z|~Yvco569>eJ zYDi-czeTZ9h@j~Kidia;F|fqHmGh3-_;a+M98~Xu7KB{HbOtE-doB9b9&7Jc+5C2W zkz*JCZ)>ISpoUD2Uoh9;ff(};O8Lf$kGo-15(^54MCWaVdG+F-vfD*|#-%&dgK}#+ zwed9e+1$yTG?vv=z6da(O>azXUfmm08)|fhD#6`pz3-#H`U^&QR03mm@|In#@LAr= zMXTfSz>Btq(bh<2#ZSK-;HLrAb05d$4kMLZILu~iQPo{_#=Q>|y;r^l?mXqUhufE4 zB0kQPj87qAgwiEFA3;7H1|Z%BPCUpTH+J&w(C}*9dxXn3d9iZ2!yMl>_$TeVubXppm>r5a|(*0c*=ql|1d3j98g zA?)|aa3x8X#o>lUBb)r$@?^NtGH-BFjS|!qa`564Mt%QT?I`t><)oJoC$0{fDD}Z9 zAXx0W@|O6DOg&ZbBsC+WtwP@gYYEtNaFAe3J6Ea;&m@1l=RA4``@?*>HKN>AZM>8^ z;;YwVgGqw_n+F1m%1068DPhtzkm2}r#FY1+fJ(T3K#V5Ye{@VmG`CQKW`QdAB6mj zKfhAPSoZagjNML`6l!j1xvgS@f%O;{_jMi5=(uB}#-VAokK@I49Q-up;mm&9|2@va)gYnJvz{7D{t}-S_fQ zs(|75=-k0cs-H3dGHR=NRX11%YOq4c!cy>l|JA?NF|Q-Mox3b#I$*zfG#>M(4+eR8 ztx{;BLvtAFa?hEg^jc}rXriuyEx$o5Q&OkQ6e&)43+(dy@P&rj3liF+8+Y&iI9|iP$GW%VKM3$>G36#;q)?IB zo0v=06C^AzYu}UQo_zhOW>DyUj(p*JbPGnA;9Hp+n+aUxwqi*8(hQSw8+Syh6W+*CXa5b{_#6C7=LY*o z@Vn2XsOa8QO(W*Yzp?&`)GMLA<%9Fs|4^MS#rv;;hh54{D<&JC@*#9~u}3q{!1K)Jysms#i*nOJbF26sa(OsEapG%cmTsF%Bgp= zGkwFMI$b`+P~hf4cDgGi-@o`JCUX6-%965jAT}$yX24}%s2Vk~v>J-P+ukYxCot9I zdvvT16qO=UX7JE}n+Z+BnSlY+{}l5yISz!xDnt?wK2pPb+>g&p(gsT4&mFB3W^hRu zk(b2$=${F!nt^C@kB-Cp*q4EHiIJ3IxD1l^RDL(#d!Lo#8m-^^(|*o3T$^D5(lL321!@78CYSzEB9sM2WlDVgpZl?!-N3O2yn!(Ip zGSfN$Xdootzo0b=9`HgOQB{i5KT-JKhjLYLK#|b@NyYGvw|Qt2nK9n}x5ED7ypD2h z&3H$XMI6;ulEgC38z*|t*ijlsa}UCEO6}Kw$Vfsdhv!N}2=awG0WXYU6fWfceSR~zf zBe8pKg$_-Wvuy3uk)}AtcUZdY=m32X`l(#$j3T`Qw+w8TCZnbKpPfFBE4phaNs+QT z2FW@MGh9CcGGCa5hKpm`SMgbWOl0d9G3fJYUOq{U>F$4OxmE z13^`1!flm6L?Ag?ojUOJqktlBhCXt4eywZ2-!RRsZ9@S0&&ZYn@gmO2!H<+c)Fd^q zJFm2HVN8U}FOG!l-(=pLY>w$kC7 z{F=VIYk&jEYOx_>aLJ74@pZ<^;zKP4&A+5*9luVxu=XgiPA-zX46UCVyQ~{9uepnt zQH2=fxZUK^r|7x>kAsD|-8sYmeFPD-PZ($M6I*;fzrM#%RZLs^u(9d$xjwPZ)3E6l zTpTPP<$0crHFpaVb;>MFK2$5-kTc>IvZkY zePDcek{VRX7soj^F!5Lfc~eU!nki`l^sk*KkDdYI_YIU6Jy{L;t@Y&3Z7%CEnnsk# zsPCAZL?()MH*&`!ttIPKqj1_7J1&++k`Au0WhlBC=I7B; z)Yd~m-~;3FMghT5H|-H&yx@9zA+tbD+B%)!KjBy!z(e=f3?A++*&A6t?#YU$NZsOmpBxuVw`>?F{FY)|eGUVx678kDpTP=U?Y5*0I%`pD?R8``J- zm~t0+#H7ZJ)%{K-*>26vC|SyXh6=!EdaJ;)n}^5O&ujIOrT6cf%;#Kmv2)TM7?%vb zX$mk@V-$%vQ1my3Rh^!-pPoq%Q}BWLd&8!Yc2D3aoWCbFW6q{VHVZJOQ&ZQFj(E`~ zmh(!b3TRp@m3MkKTu>KmG5=&rTRsSK4U1XpCo~=$cCECah+-(U`{YLuQ{8L(u<7S> z6$2H@8(HK6f>G=M#n&S-iv$(KL?e=R0~W3sbvPThW*h^=tZi~Ds5$=D5958nK-@rP z`DwP6luXpkvD=Ru8Nf_ajBaTB{) zU$KQiSNz{W5dZ$!|G|}vRtrv3GW4&0DcNkF+K7cMa9?X|BkXgw#uO4o1f%5t(;vGZ a*M5}LCsTA^LcgJae{xbvFN!6M-u*8Znl5$# literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0008.png b/docs/img/mips2-image-0008.png new file mode 100644 index 0000000000000000000000000000000000000000..611acbec4fd031c1bd3770dcf09009697fe6cc59 GIT binary patch literal 187309 zcmeEtRZv_(w=PZy7AC=Ef?JfEprFwD{_{W&+7+8XLA@79iU_K>>Yc2^ z`w%Q<(7nu}u<(uXrpB@wA>@sXh3+mH2G>dI#jRV{E`xl>SI3jbgK*QALJ3!yShTUU z#u&%O=4RJk*S%GnSn;CsF|_Ei^mUF?&PrRGO53bTqs}@^L#V!!{C5iz9ylV!`0u8; zX4ot$^55-u_%_!6eCVeO(*B?EZ%sJ1|1++*X7s;5{I8Y%cWeCL1N8rO9!9??<_gS8 zhQW1wLSZ+yQ^kgnB&VVq6ZsXEF-)A1MM4bs0u2Xa_%}mN0|opuw~&PdAV!7bvxpxc znrO<7m;J`WqN2I2@FkO}t5cU^H-!db9VhH5Vv{_G?!WxGu0QwA_@;q{m>^&hBEDqc z*Z+&B&a`AQck@u3%GzhyHaHM*y;)gbJy_PxPRLl<_y+gGNpKS~Gc((72VxuDrE@Xj zxy6Yb3Iu5v3A&ae=BYAlnca6pZr85b52B9N82#dwnO+Q)lY#2X7&o`G6&y6@d|E?d z{%at|Fqm$UA|Y9ysWpWc%l$CDY5!%uLDu{f+}9n0Z|e=W5Ma_Arz0^uB&cV|^!bW8 zCetKXQ~&CpMKGyAkd~E#`eI07Bhd|dq>%~jCx_f0qXi>UlVWmS7@t4a#%6#yA8SSa zMaRmi%7OU6X>C&+tbRQhKw8T-fQsg@Mas|!jfkNk(G@eGzIKP?JPdA|@J!4M#D=!e zkYi_$uB+3#vcy{FaoE%}4|7Tz9-DKnh@tM9pr>0X$o2O|vi-Ct|W{ z7$o1*a^aSwxRsVGefvd8++)lCXq*?2;j4(kO3>}QqULymVqoBk(pincep>f8KQU2X zC!#l9g^W_ejevj9X000f{{4|*snt~azfCMvB-=+R@Jg-Y@_Ngd;wtt(HqM8CP4kYF ze;Z{v(!IfaxjwWTpc}oGJIzhoXd|&@wf2Oz<9{bvXbi}ems5qiN{qrG9BMhKdzg!? zQ_PIF|7#-JZwqtTWA zV2?AGh7&rr`f0LgWF<`2t}}{F8!fjHgtMW5W7xM{Yc0t&l*W#Hom|mfiz!{x+>NW- zgA@{E4vX4WZM<$-zTAxeocgql1U8k7wuztqR7L}G@PgiXo{v%jobXM62(_m6T-qophTlN@Iqk zybC_kgWYJ{%FgIC(;4M?&P9a(sN3DJN8b0kiU>RHnf?reBqb!aak3Jvi)H{#ky=*si z^%csd)o&{QxoTJl{BUcIf5Z@Q%$K)cPc%L*a7@Zs}gzxk@(z2EI!&Jv(0CB<&f$ z0lpC5Gk%os=!-Al;U(g~9sx9#KUY74KlPmbYTT%Qt7#9+?K$}Vb zY2S=bH}CN~yA;W0y{}qjEx_vhjkeRv*vpYn8FRYz{t1!h((ebv4${iFMJ4u_&h?ii z4{DjdZiTMHyka?cq!fYG1?78s6U?Z<1M15pVt5|p?+;Zq&VVTix{Zh3_njPdn&~fN zV#6nH8jMA7ZY9nE;vUn>u3zR=or>*_11H%~X9X@cA0C6HxBjG$);PftyC6GvJ$xa0 zACz{@TaG#j3)E<}=l;x+ULCN8V_hWD#!wK~nSC%A#=73BRs^@lbs2}gHOrKFZO zqgeADCDVP&koPy#PVpI-1@XG(Y~eJe3;2| zIUQb?3(0nv-nO@@TZp{vz4!iBSs!s!_Mhd=z3aIBjCXHq_OYg1A8Q)c%Bki-2f*o9 z5a;{RRM|Jck=bu-w_wa_Oq^7u6O>4kZ2ace@>f3-t!)7;63Pe2fR42XS}yVj2EX6m zyILPfy$rr?{!{IzmJnE`r2qsqfjI8?UX4B923KSLtat5%XLUd)j}Hr8L-G z-ZkkBw5BpL-uFF3^GN4a$;SSmDer9KV*nw(KV9FNOLbv!c<{TGwRL^08Kw)!-#^(0|7kisT|9}hP3Xa$6mmLfhLM5Xxt2z8p z7;qi_IOUqbhd^&U51ppn0W136#OvAID*4zSqq^4!Y64F@p6@_HaNPr{=b?16v7i?K zfR<5Ka?iHcXTQ$fes@#1M5O0So~#qe!!Q!nDR-Ew(cEA&i1uwPJ(#EKRycnjQ%s+n zge3Rt?a5?Uw5&W|fQ6|kO4AWv;P!$fl3~6x>W1f;ChzkTnu>E|H_O35F{MvPKXmXo zLYrg1EhW=CoE2-jeYA` z66rqAVpZC853%dt>pJ$fzV{Qk4oedh7ut1mwupdd0Ic3A&lYOwqIIZ*ByW$ryDJU| z)ovHK`GW8^<3!B=5IMQCAZ?aMHqBf~iy_E)7MhyR8JCp@}>@uyaJ4 zb{+IV0%|7U-8e#`8-2SLtQ)s2B0|yb1iyR{eCvg;1Wq(_VNLH(_`Ej{a;yiNK2@IQ z@y%4D&}}OM;z^ilS?JWGs9D4qNOC;scVBsXK06tnOQUaZ3-AWB71CTrW_jTz?~#)% zGkZ;WKZ+wF(RbiDNH1zO$f8l|$PqbBepo#uBt(uONc%>wf0ZKrOGn~}`Tn)*$y?V> zkC}5ee@uATsBO>SxlWi)8~kvc?Z0RKI}6XZK6N8ay)PZwS^dHSZ|q(dW!N=2t=N5( z+yQ{;1h{E+{cv!H(@`C^!Q(!1>{+$UCo4G_*K+*V3bv(1G%~toIONYWvA;#C$@j2f zBd`zwaV#;JNq!0OIBnEB`2WlY1+B|2ySYKQzpxL#a~K*`ldEX{;vtZI!hU6QiEJ|ZQf@vTh6MV@r?vPAluPbK*7iHixjiT~qpqDh! z=U)>8>5hYBE_JNk2CRKM_Qd2Y*eNAwOL9Bx{@Xq7tQ-xwd@)h!n&H)qqe!b5JxMXO zGFn?H37HimQa-cJJCy3om4;>xq~PFmiL5pPX@{@d+$2i?rN)TM{Qw%auecVHhpyO4 z|HaF=b#ZJnLhQd>EbN{hX7=MlAWU&BUy?nyb|3yBRt`2uU&WfR^(3hUEss`pqn@|n z-+SKlJzP{sD>Ax&X&8saxhmcdoq+R3#Iq`^shU8y>haWRWF$l@Q`9}nY9AWa{1XHr^2|l?ZUAVVOsX4X9 z+>Q9K_Lk8a##uN>?6{VkhO0HoMvov$ADp<5pYvfGOCn|fi2vdFndu8tH`u=0ddBXb zJ%tF2Ae?&})0c?i^HM^r@W=MypF*HloV$lF^%{nC%M(Y=fpcbkr)pUk@$k+Kz{$NF zI$my=`yr|UlwV8phr44SKg^0;ArS?;=7ekPBMSK($ghPUG5cpmXJBeFH<;z-l*3Yww2sO&V|d0yhT~j9zNOG$s?w_5@bMoug-8ZeX&Jp=@YUZDH29|H-3;Zabho$?J#s{y_7x zGbqq2@AcyF@)V7}Gkv&VaP9lc5xr&mGWZLc{OykP8U2CS>`L(Ey#Rkia^phoIB-h;s zYWZ2>rwb9BZtPF&yYmten>Gv!(Yk6+MZA2Dl@bIf!v;H{ZSxhkpp5a_JwEiHiOHUG zKjPb0K53wZTUT#v-F0T53fDHv>*FWsZf?JxJ8l(QH`GbK7s{8rLxG;?_e1fAWd^Ts z0lo{kO#Kc*#MEa-R&XSdK<+5uKm0Kxd@q14yAm`2H6q7(oDEk8I4;4)-aSCC2jIg1c4vt9)5hk-)terQ ztNd24E~wGpX0^tt4_~9J3}d{*7KPoW2fynH@9gzq@zP)aolw4NBaD!z$@n?-@Z#az zE9CuUr|9C*?hRVr&PqI)(yNnTW@{(066(#g3bCv7ey7|1s2m>JOsV+Q=A^`0G_3_r zwC=#r@M`(R6Ocj4JHB+?dwvn`{Veb>quv<~e7|IBuXd)qJKOZ9E6W<3^?oIPyMKRU zd7H%Uj5=Ry!kQNre3+1H6#ow%v$cQr6=Yz{C4x2E5|Qxx8>3alP)zSX&sOmwLn(i^ zosDje15^6slj>#AohRT;#4Iw=#;;{2^?^hgg7lw>_;34ek18JE&N}Wv&5$@44RNih zuE)iPy)It=4N?6b0q?h8Yqh-cZLe>`@lE6rnKtOVHR72)*J-U=vT1?Hm2E`o^eI6R za9?G%nNXZqvwtoAvR-aS)yDIb7@{8}2fi_Hc<&31O*Rlw28DkITo_%<+wEEjtcLiy z0ej}dSzG1V9IxF?^RwOJ(r`Y#^H59$2!~6IwOk1olL?( z0WqkShZm29@foab-`{|3{QIfp>KtnN{$*car-jXfDAl;^u5$3neG}N{s#3stdw&!!>5P$G&L0;}pn5v(Lud%0?Vg3_l!*`L}{U z{9Gfx=W}=+PO|r9c(bJzfcZrEnO?jXS_I*K=kfWhkHzjxv_pWf#et!_R65zv&UA?H0UynjIGa=C>ls?)k*R4bFemFg^{(oZBhZpQl zpESD;c#7G%DzqpIZJsHn&t$8C|8$#535aZp{M)3}iwN{0(3nA}R8{%DE& zbgXxS#j;&cB$S@7QZ2gMX|oxrxo01Dby|$VVG(dD(3-Jy{M=gPvYlZYZP!CHD#Z0J zGU(&Df`Xk5U#0B~P8*?digd$P`LA(3_ZCL0|6KBpWw0PR9MJW~g;(}E0UtQeRIwfP zTdlaHPMw=%e|i9+Z%HLgq=q}cDpq`ncs_5TX9BUbHhGp zW}xe@o%VE{-f#I*0G&}0m^>-o$afsWi($K}@K!k%v&S<6d$0bC(aCfB8c1U!1%J8n z*uHk(CggbZgobPQRfZuV#Q#9q?&W$#$~b>!Khr^*y>OaRjh0nTs+I)w+6-7kmn|jg zDQRe)?G%R2T8VP0B>keYZ*B^&qS=X51O2gHqiv(wr^D5v45rhNzGq()dM|y^tEok(o|8Ww%KcO~H4b#r<$6-=xXt z73cGRlhHCO4qm4$Jo_%Rxd%Y2o&)iBzasrr|HglT&0C=h5;|O5%uauhnd9rWBMU+FOK z|Ij;Mc-l+RRQe`_WYR!Lwy!6HzYk}umm-3YkcxG5+?g~!nEuNy$n{q+_QVH2u8dv} zTs7MTXxz$KAnDJt8#*4LJNxxc0ly3_0 zhT8yl?XGUN13#iAy93di{?Y5a*tnDlwt?HH=Rd{Qy$FtYYcUnFZLsfbJyD}=@4p|8 zOm6347n16p#*>zSjO=sldteewC`ljxy2yld3#>$Ef(e&a&xGyQawwOygA2zex0|XO zNe;s%cV4lv^Tsj+0pk$UR%FC~Z;sUeS+s0Ic7*yLLTBdqT@!|2->-N?`6nS63 z{Ph&H16Qi*J1Z@c@t!~%35iMJVcrJd*JutLPn1}$)LgWBqd=ujJqA$+y8-ml!{+I47ljTA;J z`@r78IkV}PsHT5#@#MJu(n;B5^9YAb+M!4mk*kp#eDXWun_cLuz2Wvfr!L!3kPkX< zIJ3v`{^^-Su9FJtAZHRupMX{SK z-1KA_2p*Egd z#{1Ybc=4jGden$zcyJ}!Ol2zY_Hr1BqkU!Sd3xQnvyceS`Iz|wgU%7`z5{tUIOoVN zIr49Y7z6u?)J-$(%hGqliv2^)*t{MCf!igh<2V^!n3e6%@ehX{Sc2|GvR>9nuUo

1^ov~uu;I)lNPCyO z^pwg8k4d0EfQ$a?2q0pp0YA2|(v_T5MloJ^b;0F0TAeJQ+Z@pn_=M2;+nY}&`SmNm z^Q3IEsn>{wRn#%p13>Tj+3w=>P@M5J-n(~_UnQV-Zr90)kE^qAeChTf+Wy=QEn6n> zHUpjEUoOcZu7wufQHAg-%jQ6^SL;_vj#rM(t5_QQ+w}bFTwKT&@_Ur`^Ef_djQiKK zhlire{<2#6cP3KHhl;E%N^eiRs;Cb&2>7n8wb|s?N=@{-CD*1sDf`=7k!vd# z;cR6)thzogu#Sap8uv(qaqUAJVxcqB#~#@%71h_|M(JS$JPjy-<{eQm$;1yi-PD?Z z@H|>f&;3#-4_hR3oXB0*`dbsF%AcDo5q*kid{0CS#PF(FvBlRgWwkUOg|6(vz<$FB zOLBcFg$XTnuo~-dv||WZ#ge@&xG(Li6-|J&*h={pq^Ikd`Lj2^ZbBActI;Twt)psh z0tH}*cmo->4&CZ8`Pf^)Em~K@w;T> z>F}r}vfV(uXN0EO@r~64wd{1g1+xv2j4^d(U?iO`^GoLOr}TznO*R{R6hp_)O;aHcM-QP;5l4ieH;%DoM-R30Ew^fH zHR8s$`-gu(2W@+*jM4Sg=U$U$6LaH}^a$R#oDAaI%^Qz3Kqc$&16jhAqu-+e2>9ip zv-X$4ogoaKyZRTgR#=Qn{|PIJr+5l31@qaX6+4(EqZ)=IQCA38M?M)6aA>sDcQbqV zOIQ$zC_OXE_{QAnM3|06|2tF6U%a*SO`@flByU2sh__h^PDm0oQM)(S{dOv>hci&2 zzmTzXDrUJ0HG}uG4JPnar4#VV#G$4vF~-4?|2aP!{*Or276epMT?e?Y1%oMcY2vxX z>Yb$2rBfDhct?J21Ed-vkNm?%=f2qxlN;;w-?-f*DKlsBN*&cViQB1?8ygIZR-lMf zf-4%7{%W{9>iQKqH+b3z*efKGuJ4%qyf)S)8P-r(w~S+I%pcVeZFQG{9#Qsf(d>qY z|C#c{C75A19675s&rN;-R==$P!reJS;>(R+8vf2TIH**GI~F9^D4maDtP+rpvKKo^ zx`;2UEhElgDviY1#NCd(h1sN?^tr1d;_B$1iE!dBXEA5N{jT92D1^0Kucbe>#3eP3 zlyp(h6hrVz2D#L_a3pXKd(hHd?wKtQUh$83{Gi(pu#R^WPP9orGzAXxDxHU}l68$X zjz9pMBitrH)iU=HGA9AV3$2IzzcH+@QfqfDb~|mG&!72!zY2Z(+wlp%*Z$n&+x_bW z&qLGu3n`JNa-iI;Z!D9&T)A($j&2F8oftO(Sek!j(SKuu=s}*HoQFa+sRym>$Dqli z$cIvNutGp)0FHC%uVzP@Kq ze$MCV&6eQPwo9a!r&|>^M>}5-&0?gvvD0hoMsRW)OfdmcMnRG}_-Ie7E*`VS9Z^33{jr&ewJUh?8nHvyNhOY)ta_3hL&r+b zMAcN$XXf1r{Vm&igD1^o;*2G_rx~+@*_cm{XDA!w_=ZA)_yB4W8gu9K!o)~1jg63N zNlp^OkN`fwm_#)TH~m!1cgdt#A-dbAP9ioZ$gYv(a&49Ayq!ts` zP&k^HwBn|{xG8Cb1)n9k^V^R7wO(|4P7#WxIsKs>=gOl zl*`-L+Y`0xp}du7tq$^~co2!{$Q?%svni--O7TmD2#cY#Lm@0{WS_4Uxp zswy8xJ(Tv!9QRyngT1s(Q-C^vfUT^yV6A`Xt|tGO{tu?k1-B|l-nS<< z@CANxcVf~DQ{dsSjEQa02r;6RU_1O`W_=pOp-Yf*6Rtg)Yzv=C#PiU>uuwG>)wFj_o#wsG*3qY0_BSF=$g z^m7(CY(S(l_y{pG%-Q2zTbF#LUW5|JM4jIyaiY2(RM;+rDu_zWiO0WG=U_gZIvdov z+ji$6vRrw}W^JTOz+@0Lcx%l$Tv1I9pT%5=_kKYtpY!4r5&DK?>(vG8+97D?Mn;#t zp$19bG+hVm$%vh8QTC|qepe_+ig%;5s@Ow^R0QRtDP@k4no8J{#?NW`2_b1Ml(q6U zW4o5f*=dp)j#H>659ayLNmuXTV-F2Ek95Y^xV8|v)+wSVk`>2o9h)`~;kHRF(2Pob zA77v+$G$pYekN{&PY8iHR3wHnZ< zg%_$C2$WYTZ{19~+*L*;)Tb^D*J}Q0O-WkOF6-C4&XstbDXo$T=3B?ZY1T$(s;`ot zCkJHnsCEFApN}+e(*x9*l=L4@B<`md=iXvL#D*Csi!N4wGbKMnZ+L_}Tr3Y59qN)H z3E3$G=|)Wb4}ShA|1i_BxZNA!HCF*5=z8hNx=>sHj_{)V^3xK9(NxE$tWrBvG?1UQ z0tC2!--&*BUU9!EMc)hkWjaXVFE#p}Mb*&J(lRtO;bUcuLl`NGqqtd6;(1OM(Zx6( z@QlqfvEsN%g2=CKIp16SmDmBB3#FNTG@>d&^Rf)y`Ng>9YnSHHzs15;dxuKQ>v-9r zTHI(BgF6cVUiVKI%murX?!ONFNwm~LdW9LfCbu7N&;XYhoMX%&=R3y!O(dhcO*l_+7GTK-y zUVwYS%I#wTE$%;0x6F;JAEJDATv9g*G5N`=#YE&waX-PsQhX6{SVA@Ty_PV{Uwte} zGiTzY!3<8F%?ep2e1Kkw(H~By6@iAMDvvaC^<>=H?uE+e7S4`fXw3-g4^m;9@DqXM_Zbo?kXZfinuC&CDUfLwSA{!>JUh)2Le)~8j1Mz(C0e?n){r%# zN|?W)l`;P0Jo1(p{-=f7$CDzdxYji8NotDfSyAg^*m($1=Pq_mw3pM3!DQ70D64+% zdlKvb)mJeqD&W<E~rxF4Uq3_M|RRBRkmHu50qU%NznJC zv2A&k(orE0Ib4=` ztRJb*D*~T)eP%m6-*7F2SECj$E`+NL@3ViX%NhMA>Jbsg zD5WJVnJj1#$d-^*4lmp(l6F_;h@VhXUcaDOgT+eu-Mq$hNVjE~PwCcjM}$@f?*yX{V;c%6v+Vbgm~mPv42biM&4qo6$(jiTN9{_6(v8B(J7c@Nlj7i53%?6(rL;qP#UI4pBf{r zkTJ$3>3|)=Xd8fJKJi~<{HTx)q~dPXG-}QQl!?#d%k@W+43xo4%BOW2TT~ppF}4LW zsrFdB$kH*q)!2|Mcbw?A z(<;}C9Ph1T?9JX)5Bw?qy~WOGW!>jvqiRlrI-V^?1dKn?1{$EdyyYjRk2zMP$IS~K zTgysf!KTmraE#ln^~AV3K`57D+lGC_@@$+7VvwubmNo?|$^z-@cSdN#v3~VynRn2* zNJTs_EiHtkW;{;=3>>S!T{!+F{ZzX!hC|`#lcq=r!j^B=u-ThnAT|l;^zsc5Pa{+xazko+Cy3+Vq#>4et;NVH!&*uVbJQZLf}t=wf{YQJ=Nl* zyg5shJ2k_oPuZ6IZJCqF_vfMaJ1sUB^Ov@l-OdOP@`?a5*I0Th=3hyU`|(K{lo%Hl zWG68-#rdmg0Y-qWd|)N^SSkI?$e}CQMT;e#{UPnv!Ld6IY0d(RYB#9OXT0KxQ)|$p zDxV)PBmqU5Gy0E5{h;JtP>V$}oDuiv?Ms8+viuAlwSZ)m!-5`y4S^|;Rm`D0`lBy_Fq=8IXQZz=Y3td1Db||4^s2J9o zdn(vZSlE|8P(6dULL7#!d zg2nz=)Ko&%d+$M%f*XT4Kv=L?IEi~xVe@>q{(y{x8`S&has2|#F}te(D`Vbc+T8#< z_0C3ocZ1M;r3;@f5pilmA$lWPOb*-aFb|}FL;nab2}acZY`#%<<`&}R_R93R#vI+0%v5w?QKDLLl1)Fk zn&RnwzG|~cgj3+|N`vWgV$0=u42mC3Hb)>ck#;XeGk+upZsL+mCRHK3a*|~fNR384 z^AA6z7l?ghJuCCua_7VcR^zs${K!j`la##^+)VgpxTQxzP@@>4XDnr5=$9!hZhzt2 z4`kB1Ws%J#*vo?H&yyYFBVs|{v%<-4^@bn-ssa9FrWt_D-L?sx*oNtDCx+unO1y!5 zM&SN+{5GR=b{z-~)}PYlg7G8yh*~Q`)x{fk^J!ZC^40s9(sQS<|L-q|;Jg+3_Wk=U zpXWWtq_MFR`qO;!o+DhFa-Li>iQz4uBGC5MbSkRpaO3dhOG&CQNPm_VVayr#@{JeS zi*ePLQjKg&{F~&o>5PA~lrRQshgA4DczSVl9c{{$) z@kxHaaR%OSUHm&k1>N9`wPp4UB;s&5o?kXoH-3aRf8QU7_R0n?{adn-06GP1cUJ50 zrr8fK>!+nJYBpQ9H?4Yflra~QFdVq?kQib8cx8dEB9zl#5b6TTFx<`TDvo)odHI6fzsGh@M}|E}L(%Y%HT#DPzriQcP>V zkRVc|QBH!jxb-H{%3xSKMa3$ad0fwiX?p1y6G#*3vR>`CC8%b%to|%+OT1C=jFHO8 z7H8(1ii$WdK(ho5M9V;@qcSQ9D%ekkGnnCHVjuRo6Vhss)S!Uyt18J-%lE=8%eZx! zq)Lp$EgC;Rhjam_vj)$L9R87M3l&8}Q^aGYXqHstfxs|7Lb|D=V+;62l2pDibRoHb zP7xon^xtsaH@nt+Rk>b^z3#j(JI>dm)$bef-pAgIhYT^YRw%N#3rlPoR<{+hiXG04 zz5WKMC7Y#Qb~&87db+`Q@lN_$)s1T<_dC3gei7U3@h@K1_vcr~`a$0bM-I;Bq_?8X zrDn1k{8c8}`^eNRz7NCr1i+Za#ppJ_rCE9^@*d3Fe8eMq?6<3V>sMFoa1mI+$D3Z9 z56wAqMya3AEwkhSv-A2_M8AET^gMTPy%6Y%R?pOqP9k#hbt37tjc7O(-UTNs&J*(6 z`UgLJ^=-06MQguuDfhl%(0G5?U3yxOLn*eZxwci_{aeraS#um`LQbUHcHxXuqqzc} zMX#)<9Gj+r2TJO`dv=_EPgraA`;(M%&3oap>+>uPx~%q@ZcwZ0?3SbxNk!+oLNj?q zemxDF6R}-Lz`Ud7qKy`WCXM^>BDf(*^Q$C;t!}dFm6q7x!7%`PcM0WJGs0Zs(E2Hv zOiLb2vnhhTC^e&iwjH%osi_nr$vOu4_xOdMfS~kBHLRHehQ1v12>SKMp!rVj4G)s- z#QM1SWip9W&Mtya4fMWXHw#}f;?0^~&J=yW!lu-l?-0;5h-%l4Ze+ggLUM?$69;Ls z_UnzfMaAte*y>gcbNq!tsCeiz@~t=n#k(#?$%M`QNAz)zL&s+sP`9TAhI1)d%uK6B zY3r~D#VV!Xx@)Z+Z*A55B=dRA-6WTo4Q2)xMnE5N1X|m?i;k{@rYvKYN$z(o*T*6Tpm7BY6RzuJ18>(27{lHz)F-R}x4A|gMJkF5Rbo{w~WncG*D z;82ISlc!PU@dvFI!lnbwdfzSb6Xr68@`$Y4%7`2%5*XQHUJZX(Ck(RviC$6c{q9n* zccpsTQMz$;&w+%^GuW> z_EZ598bZ!aFSs0%;SV9)-YCH^pWG0cbh0vf+>1H0obc=B7Eb1YpAt!@mo0Wmo==Up^ zhP)5;<|FVWdX_17isn-}fl-k-Pp!B~9Nx;9eA!3H>*vB^f7b;$ z#5LTGHfj#QtU#W)g(Yn9{qi=$rz7Ct0Oc#Ka+HX))o!XF72Fk04RY%LK&&J<9)PtB zFXK?4DDA^v9CsP9*3o^6V)5{e4mmB(VS_U!0HY+f1nwfl98z|S*jNv#s2k{dS3*1n za2`$Hx79nwJxOL4HjF6AkNhz0`1A4&pf+sqU|gz$>mdzOqXD6HOQ26tiaLoVgX~o# z4a9@UATdm(WdGtpR6U9Hg>lu=Bbm**wGj!#&te1LXA!carsExvVR9kZZPDJkNTyrMgQG&ccr^{yo5C!K7CbSr7t2tR173dgOA zd(PcdVE8Q=ME%SsM{u~Fe@P-J&|ZP4u*el=$fZCazXY4rhSY|R*8O$lXW^3%1!cyB zuC33sQ7{V|ko;PGCn$Qu#|_EW(N>X?^|s=OfA?4or*i`B#`)G@DAniY1*UoKeqRUG zg}hx#5i!Cu7{fvhr10RnW3>`Fl8V3@P#44EfT6ah*|4kZX#+pa)omaoWJFS1r#$x? z^d-`X5a;@7(uf1g>4e}+OnaW)+8yH5eg$^7t~%|Hp>zdcn|eh!FXp*FbGP5Fl)3IV zI4!joVO99+Jrw?djjskmyD+SP@uv#q#5!sY4&y^zw~3C##I1O^qj3TfLnyGt?DJvy ztj_yZu+{P!YmtQej6X@0A>=*bXZ{V22uu9yHH@lZe@dwF=ao>y^Y-?yM~_rO0yfFC zq0*rW#w{CRU{Mj#DUV*y1SPP$kni=P7`ZbK)F+$)sW}e}uDNVcS9Gd|_J=*o(^Cdy zV1fzOk`3k&{+y@BBkRZMBD(z~ZNp21*cH}KA9X@l<}Gs# zS!+281DD)ubPD>>_04gTLQKb>sVk=T6k(Wg&B~f+b@A)<243UTtU}jqZHSQCW+2ao zz{}h!T3EegSxBcVcM6J_jkme61iY|&HyLz-qj!9@m>&ukXT;_>pi%;v7%9<&2&vQr z*W#2F*P*l+=e+ZI;WjAF0t4oeCJ;- z-b?y%uFgWJOeOeCW86!utY2-Wv&diK9{2eYP7{B3k$p?pIu+@ptUV|D8;?&Q5ncmY z?|vida7uM-N`T(m4}$Fgo8byqsLY?5yR`5kmQ>`GgnSfsK$e5&y}QuSUmnk0z4`=M ziy2~{j)+9RWWHgs+IkV%&AWe@(DlI$#2u33g;fmbK?!Gpg_ouu^b_Kt1)+WveF-+5 z!|@+clI{q*IIw7G&tub2!xpfF8K}!=`OZ zC*`KZjg5&5TbqF)3mlxPbrEP4AFwY(Pr>sBraHI#CIg>&-rj~-T#s+(HJ-uot`D7E zTfnN5e?51Grvn6oq!rvRpv0x89ny#ASMR<}pf_|?M+*XE!xd?g_l9!qz9{(OB;Z&WXFR%7Z z);*cn`eM4e=xYFp6deg#Ek9g!?@!5I4|N(&i-W(X34y&Mt7ijY+VhU9!M}T+`Gdj* z9;kKhdfI9Xesj&loq*SVglyU4yWh2ko=$cIVL9c1>Y;d;RiY=r{^oXP1Apt`zH=jr z$cRx2t-S$y!Gg=_Elbkeg4xt z$Z}#@AV~wV(O0KvFPDFabGvp;uhK!Udt562ah_D{1ROOa$oUf zBz(x|D#3_8!SnpdrY3Q(45K#I^XF>R6_xq7$@NijuawXkWA_0oNy!i&byY5J#pfN& zTdJP)F$U;4vC*FkcerJM z+6gpUG|XZ^pHW}&f;BT&A`eTFiEy&488|@1!W>p)yxs1J5Gq1B z4kayxRa-dOBcl%0hsbW^ZPmqH^hsP_0FBQ6uBS+jSxEV9k@+#XOOW;4^Q&FQ69xN; zg`gcHMoG&f)rho6@tJJ<%^ZuXja3G>H`iUiIoY1DK{nQ^z8B0S?=?l^+>P;AQq)PW z&^PRS;$_Z|!f}S3z@p4Sh_>YvvttC7p;+FFKlfN3V$J0;zbynchA zR<+@mL1)Y;*R1e8H}wugI}1P|aNS?MJVea8=dEnF4&@I6V#i|ZG? zURd1nwIZh#f7k(if4!-?!JVqhj$a#pXt-?~tOIruDXHdwmf|3R3wA3zzb|YeAjlDzK>eAYT!XFVyUDJGWdBR3 z8p^kVT&LgsywqrPW2q7duE}SivNT~#>1p}!io|so84k76jf}w80t-t`%C(fxqm0Y~ zFL}}X2->4qCPtrve5Tq5_6;5ry!LXHa30gxZZ#~`6Y%5S|ib9u# ze9I~;%fC_d@#zn9W2}PeDTAc2i)hk9AoEttd8gb0%_LhT?SMohB!sE;1xd}OCG$AN zyw2nLTys2B3Y968gIK=8L&xTdZ9Sq*+4icK!~maRQZpYKD=Wi>O*M&N@C&BWMmi3rt8&{s3n)C zbD>t1V_LksR5(w`>VCibLwwN!G3>Q8gceu$WW}PC$g<63bC^@RHlm(a+U01~UNf{4 z70@|s_ERgLZfqed`6&w6C)LrVQF<2`zy(O{AL6b9_>`$?&OAxoMV}xewH=s%pXHO{ zUui0q^5K(CSe7Zlbwy5e3HB1sRqYgXjrskFaLNEUw1=C^MzsUj(Ro%rS@bNj>NqTe z+Kk%s`nIoP_maL(x*O7NlSXvi6r`)Pt8SqyRkfK*Z^`@lR{1Bh((|2(_ezb*V5(=i z0pm6my1dHMhSpJc6X0R7P3*nK25Cw7n0FKtNImpTX3&7~uR%R$j5U#vnHhE}jf?SS zkJelxx{O_-*2PwJrimBmF1by&9exzft%;rB4E5be+jZ+MCX|K$h=O)a;=y@XDR#NgsHs24wmx9#a0uWIqs`)SMFoENv_s!W z*I!oXGb)*DG`t`v89T_44jCoBFLd~$nqt$2%N zlev;nY}1SKK|MyQ!L{FC=J9JfN-;CXTBxW$3d>7T4~z-_?VTADql>NF<&`cM$pzR{ zuhN0j4X#W{`smCn*1|cQJs3d+$g1+Z!BYCvzx3~+J&(?`?Z^8^t6i7>j5)9w9ctU< zEyPFdxDwj9zUJAz27!p^_ptbI&#r>I^s&v z5*DxCu%q1+H<%mb41 z-CYtqxVr{-3x4Lir|$UyyJ}Z$S!=!B{q#%oC(8$GIT0gEs%7r3P(3}@Z|qmfWs0+z zPEIs~UbpwA4KVBB^{&(`p_$>~pXTkq9$$)0y?+-K(b{Y@VV;tb%8U#RWXM&8_H%{! z0crRaN_R%Ju9-PIZV_GQqOBH!9puHAFv$n%h^q!%AiXpThSL12ga!QZze5W8(qx>Y zdKOlq&SH!Z=h#F*{xQ7xxdj3QUyk6-x3}n4+Yz|bf$aB}N~8 zzNJSRD10w4XP;A4huY~BU;+E-S>u1L($CGL{=HXJh8@98me}^58)@$2`@R!wOUf9R z!`H>7&^}UIkfzv+ zim&}#7RzF8nA)=Q%AE66ivo6;6P7EL=-iBZ61vl&tcChYDj+wttbBHb5iWh105@aU zbvbW`9cgxB<40xPEZOXt7=2}3=EHhP{Qj zfau()^L+bzmbqz3{6hZdC3{jF-+9Z(>S7jC_3KFCiq(mwZ6x5?EH6LO1T8%2ajV}; z*sOKKam}^Ir9(rJQWyuLh&B7@12UrO0d8bT_`J9lGCh=Aaz*L50Dl!o+@?%c;)O&Y z36Z&M-zN`6U#P!?FVsOg5Fb@Ccy&)XkP$VkfYCA@u(t8_JFj#7&A!Rjh=gj4%b%b5 ze-ky#K&@8#s6~HGj{_o-zHG&x(3Tdf&8f|Q*=w8afC)Wg)B(w3bSoMq(3Bk6qx|ID zzbTH@fW^dz0Y7H2k7|>A-T0y_P#gDTs1Emeh`W3ueEwGDE`N;WNvw@P_8m=S`K+6g z^&SlblrxfWA$wOmD}F@1Mpd{6?byFcUqw}c=hRNI2@3v!J2d?Ivl_~xX$o9&QcirK zx{a@jJmNsVU7Cib^w?6_3YU7ojxG1f>pP3wqe2OMK&>x(GT}7cDW!FQcS-lg-pvZy z^7Al7GDrSyiZ^^~{yUL8>rz4-?~`hUR$TP%1%>D5a!12KGaKD@r*A z%_ivF=a!b+M0iK8r!7^n)9KLi^IHep<#`8qS0xvfUXq1!CGS$x$2ssYYz7bAso3zjDnT;><&`Zo9bEiOA!7;kxQQ?6SMK zw-)b*!%A67|3Oe^V<140e zR~tp>Qbvh%niY+ak}iJGpe&c zVj8}oyP90TbPu3I4(U5?kXJokNWdib_M@|`t9jQN;EzF={L0sV%PkHUShf`kd5nkE zQ;g?fPQAk<PnXoPNy&U%~i~{rG4imj%hSlluhg|^`;!S-8 z+_Q2ZKhKo8$}S=P%O&{NJXclJW#xE`;B#LZYBQLTzI7(cWGEk7mU$*e8XerQDFXuB zdfsRNr=UO(PJW1Vzs!#&)r8Ar)t@MF^94oXa0%&Fp7Gk{MMwHzO^7vI0zMV#f!JA> z;_lhG$aoj??n&$`^UONdZrK8jVT51`-Nf*O*RBUw^`l;2aYyH4Qg<_{NBc^AHEkM; zd3RSv61Cc0FB!O$53D|F5{qwTxdlz1KAxSOEIwj_EHq1s)y_iUm?-2l2=;-bb!+|0 zLUBIvi{)Wf<3f$q$O8>Nv`^zgc_@zT${oSZW{^(s_wzc>1dwMDByp5f41&SHG4 z!^+C2WzU!8j-&IP7S^wMzDXM2>!SFn;m9Csv^%zzxu6E$x{3-pv8s4o)OOs490nUt z^OpibD+y5; z_wiR>UVi-TkWjJ{Y|!ac7?PG$83NiF`M_SCL}!$Uk0v=9&-Z(s_(9uCZ%#6h7S#i$ z>`_>3KY|U0xJO)pdUUWS#dTOkN15e)2ku(r9=t0JR^*zh?E<_@#0RSiqb^5$Ck4nS zXlMuNH%5V^8A0z;<4+SPWbewy zIDG5Dnae^E&@YfgADSnNZJ~v~$vz)-7XE$8!s`KlM9({+RJJaO(DX7IMV z@^62_8;885LSAn*b(3BzFw*{wv+M57HzNDxZvWqgUl-}9^X%`4M|nkcEz*lYsh6Wz zFM>Ov7mnu}VZU(lH(Sg**HY}Of}6`uSD_o83fs#bc6(kk1qP{r$JI<61Jb)nAJn%N zKQ1f`64RXN9OCu6O7~|J;huhLtEm(}B<9wuue!oKMreL{2f>ow@1MTb+KDr@g-$CA zS+_kRBoDJNPgxo|nNsic#cn(EBvZ1~V6q5YP`tkGn$2R20Pp!Fy{(7iT?9CXrei%#;O#XemuCGmbiat-sj z(nuOKW)X$0bx!EBNYdX6nlbyc*yI~|ZijzRa4tu`4!l?_)e|-9@Z^{s)6SkQ1-`lE~+DM#Mtyx%~1$%u(z{!Hcg&D?e2gWq58*1ah=}#3x ziqjeU*v8}a?4=t9%CSehL@Abck;x_Qt+K@SmPPW_8YTnBT&b^Sndw$4U2RyV)<_O(pm8z6+oLkGXv5tn2;? z=BCCCA6%i*VRCCW{K>PA7FWOp@dsW+H4#wKu!#)$KRx1Sy>7Xjh5?u!-B;`;AK#zo z8lNjj_9O^}04Zp5^HwXB;ki(8Xo49uo|xS1i}9NjNZj?|nS50JC}J;t65&>9WD*_* zn$Hp_LFx~9wxCFM+ss-Kv8e^iseywlnxo2| z82pamx@C%6)$xA|>b!Mil`A_f5cCH^0$j_D zQlKgVbbtJzj;)K5$2-cIQ_o6a2T;TVeWCkBR81X-lDI80OIO!ZHYM^vpO46x?$Lund!8Hj3U3Voelx zp~MkiVYtI}^SR40FqLXXRfyj;3eY_%lF>-SK?W=;yB>4ba#ca?z)=?MGmBj=Thg-U zVQ#s3Cewj+mL+NSH~w{<+jiI$_GRb$?fy*7is2o@$b|CK(ft94nxChgBW96++!3dh zi#e|{NTc>Ww$1us#4MSS+ZmjIa)cBY&9sK!b1H;U_*w~(${JbG!ISUWh|6pJ_7zVS zYtg-k+gK0C`VUgE*W-n5nlwx{ig+%L@M1S}Q|@y4U(i>;XAmuR- zLiv4^!F z&pU}143q;BKK^{<7xu8df$FSWjNI7~5_scecbE{F?E(6jQN{>u2Z^S-?=erT*!n=M z=%dgiiU(4A{rCAyPql7qpF2+UW0buAQ6&{G8UC!Wx#bxIyY;L&y{jaBIZ#NC9Q|8h zl^y0bUU@E;KNoVd`|k0$TzTeO@Kk~K_ix7+H!SCaS+mv-4~;3?+uCU|0?~uyP5Yx6?ls7519@5pWPFfz&rvG`AHvPJ0hhl=<#}HZvBnd3o@# z{~(@BxbSKN!koQOK&6s!_@4>k=r#M&y>w{AzcH1nHy!H9YqF2Rp$A6w3 zXx;kh^p$RH8)mz+bM$m5pmh^@A^Ub^9{Wt@CB)}(lLPDnFoCE03J-SGyQRq+hY4oe zsAL)Fn82TTeg_tct0@;*@JKOPq<;f$y109qFBS+Hhmq0ATQ&bKat^UcJds9i2S>4> zt^g3(k>|Ke7H&n3b;~Z7G#foCxdDA2n40tShz~K;Hi#=tp;6^DCQM}$<@l_?MVhrw zriSjVPx;UN!7wEQ-wHQfA(@fkIIb#!T_X)q_55<;$sM+V2667z@ozPh33DT~U-liV zU*PM9GH5XMZC_z5&Y?W~dZQ@0{j9*coEV>#8M)er`_Zhp$CO0UKnKAJi%ev%*JrWa znZL<)JzRl!4(TscZ*hYY?PGPH0=YYRRl5Ui#0;sL%~e%bK-j=4JEi4-4WoWij7YyI zB)%Ct`N_>>aQ@F?hY@e?lz+oxX|?&Yi6CmkdPmAuBzS%gm%BlLv`q6GBF5YI1;tGxcJQI8;SfoZJR2g za0CNVh76yj1wP(m7kb3AIJKxXbNT0zN!6+UFUVYbuGS zuX)e<87BGBUpAgg`#2M%D@T-T;P-x=P~z?uD7_1z{({79kHSZ6Rcq~s?j7WH(T9(Y zzvhd=Y`}+!1WcTJ*=2)$Qcy~GdnM{eEITo^SfK+5z`~!pm~gn&Lq<^`zT5GrZ!P`3 zxyF~eA{LR$)e!oM)PS)Qmv3{D@l!aergM1@dmARlO?)np8^f{F$QU*fsc#1X&CQ<> zjs)tO3qRx!co*Q0OZ;&0;sszB?8Cr$?qskDG~83Oji}n5s7qsmaZNKyK7x+}_FZ|Y z(#vXO{5mrRq{*bF9Lc9rf$+es-(GESx&rX>S4?`Pr3Qui);{0!mSgeZvHV+$2_4Al zXmVjLI^MU@uFuZWL?IDLK^i@E{R49}b}}t` z;`iI4S8WQ)C#7`+B0q*g2o6!>OHJuShYP_CVC4g^h8*<%U8v4E6|V@;P5dzZP;>CL zNHj-ZVS2etT(5f6Ty22Ay+-8RlODF#x>E5FS!&bhF*@sdGSs;h{5!XWeHKCCeuP;~ zmF{-W57EEa;H*>A`03?l?pc* zIm4?u6&np~M>;M+7Ixay>s6AD{JE1xlcLa@L8n9d9!Pd=$FgG+4wRyLoM}{W|5p16 zZxL~tiYV$`$e>kixS9rjq|63Fq>_iI7)|4;tYUD&p^s9ABR|9TQVaqLKRpb|e&c|f z;SYv?pGN8cp6CfIl&h_oL~Z9Y%#N??rwoohLKLjTh}6*aM=Jbc&L|VaJuZ$Ys)_oS z8D=TjI|2(hZg(RO7R&S?bW@{9*5ey(omkS3e{;^&18hq6Km$(dJhZ-5!_U;;!c9&{ zc*Mt%Q(CjDqM4g)<$0tg?oWdHv!HdmXk!*Z4DC1`53NHJ!xEqfIlWDZ*^o&>fit#$ zeP&vTPRh!)grk~zA>~!ApEdPZ^)fXV4F$)6A!K%^y+5C*v>X46KoTi@a92w zwzl8*1(E7&jHex}5i_~?YayrHoV;@zX}fF~E^axUm6*1Pil-K(%RxcW2nXEBn-Qbt zR4Ux}gzEFiHHw^6-zKAih`Eh5XIL@Ng~HqIKv5AHGjG^LFeX}uDJz_b&xOmGr0t(~ z4g7JB(wo;IIC1#2w5>*QcufZ_w1YwkCC*|x@F%6bGJnQJouu$}ONT*nv@cI2QidgX zon9LGtxB(oayf;sRaS>kQ1dW+eLrfXc5Be_44vaUj^^>uNn8Sf&2q(OpK zeyu31)A}&CslIz(9X5M>LOM=o)=%S>PpFjNmVX4iR2x0b#2QbWN!?(#&G9Ot>C%j< zIR1{-^1k#gD7(gb2*YbHOKez_JtCO{SxmJ`uY*w0_s}Wah)9MZx%MDFWOTI##}-=G zC9i7U;yxzJ*4;$5qP%|UU(Ta^kmmudcghQ!>BC~*A5MThG?YofO4FvQ$VMsrm;(c^ z3AIDuXO?z8<;;U8svZ5|RL$v-gx-06LaIlLco(!j6FtJEH^kRt0&BK!*Fi1&cae^l z8BsG%bfwh6LGL}nCYP2&&-8Bxg)9R8B!`ZjFoMlWgeT_{zgZ0Hxxu4c4)MGpVB3aM zJk7^$*S}o}F*XL{T*l^Am*cHv3K9~kq0kn8W$1oK^YG=d`}NqGf{HITxG}OZK8u^P z$;D)dROA=5gc(pDmznsZ!sQVDVfq(t^Etmwdts0F)_Me+(?q};a`Ou^KLd{CunN{c zEvRpo=gn5f0_&~HfXN?0)q8;Kpcpd-w`Oi<5$+nP&$4inR8A9vJ*AoVbGPGlnJ%CtvgvXK2leTLbqBn5YnqJ1=MR@y#s&FsYcLBnNV7VopR!V}h~^%Ctm7`I z<)(>2Iqs|Z66KBXy}kQoDc3hGp5SmU@=|BJ!OFL5nxv7&Yz;WnrnX7H3#JpFJ2;!g zNyO@o4|f;^;~gLCtGh5qVX#Vhbvt>d<2Y9ok=FifjE_qD_=kan#BNrMa{*f&V3&y@ z5d-Zu*Ay}Mte1!T+QZT?*2)Tu?6*DwhwIAb9st5ZH!%R`h)}&8dd_x9^pt8%B1_2=or(J0&>{{p5m7q3#f z^Xkelso@|P@>I)n=O+^L#5t64)VIszP#F4$ZeGSgN-)^{5_=agwFhMqgi`& z6sf{(4}%%*oCE67lOOx-WoJg-w{}22buLG-ibsey@|y6?3oif0c9(pwU#W30sNKU)qDBE z2;#+lNW(u}3RkKuFGTj~qKK)e!|vLmJ@I=qb-`}CU8rbk(94E{&u+;&myoJI-U+vcv znO+5j1ulEXBy&N`4}$vqF+hd}(Q4mjaU#xFFz}d#$f3(l#DE}^8@5*rJLoPUiVAy! zMEha=2tR0G2lSlDNI4nfP`|uf$T57aC;GdiNSi8ubq{=UhJ19&BN97@7GEx>TQ$&* z4MyLuM}JCL4N|IN&pG=&csYM(#VJPsp-TUJx;lyFd_^+$^6%d9+FU4RZ?uS-%kjDA zlT$8%##;yu*5fAmVV}sU+4s&%w~DtJx68$DKP>E*1;4FibB+8i)Az*=yj(w6#mdHB zp{|I5loGrDHW?*krv#EFrtMdAZG(iaji!Qr^d`leY>O3`79Ly&2foL??=3 z6zII*t{z-}p}u(Bg+&#_9?)RUc6bgao5=y?4CR<-ENA#J?G)oJNsf4Ed`>4B(b;b; zYs}@roBa9R-DI{Kdyn@zS-xoet_>m)T&Z|l8807n9nS?*n{yC>8ZR9_R7BNZ@~Yyt zZ?2v?sPu5e@$m+xNeJm>B@Hb3La!1w#~qA0IX-kD648f@TV8$Qzu}6!woeXrT4odH z|7@w0`H@J=SBape8|A=JI=f&d;J>N{6#>@8_ybvSyEcTbA`qvS_(fJx1#o-;o|O3F zDDt>wBQbJ1Ib|ziiQWIkMb~qJG}XSUuZm~huzy^m@;BlK1=!!6lXr6{J*E$RBMe>W z6{7pr`W7?_!^(1)r%?Vj4Y7P)YHIy0QH z=Z_>+kF)y@OQ$K+nrFV`nddS`?>>F*@0ky)eP|`9^;1F!T@xkD;FZaG6ViR1!Zy6i zFfITr$E=?3$dogk!r!G5lluW0(96GoxhcV1mX*2iSdrWhnHv9Qg)ZA>lWfB1e#g{) z48#B0IzuBP+hd^tFI9*8pBIOgAoMDcH8r~ebDOzW%@6qbF_Ok#b_Ut)oM~dR2C*YT*%MvgQ2O`kuxu4vc_v{V zO+uhJn5)^wFV{n368{^yxOgVe#IAF{)cyc$VpnU*@?q-B%;4&B@fwrk+3A9@>(!U2 z)ivMmF#~US<5Gq+s`mSPa^{>%L-in za8z&<<01<7c2Ad7Lo{#Pcje%Oh8br%#h6wY)f}X39Jmx8_-o9&;4eO9ehwnhZ;m*A z6D%jWh#W0Wt=KTM;2k`!;KuyiGRIlPSlq1HdxIUG&feZUB`5$qJK@1!lI8yvSC)FOf zkFe(RK~;ea5XXrZj&P_&Ancd8bK++o++5H@NE53r&g+d?uR}xCdaJlnwUOU>nIHCycTT zY~OE0|CTGJhV=v7(3-Q&*ZOm>Yp4#sC+QzNV=rjDVki2b!GQ7e56I$UU3%jtJw6#y z=Fya8h_Gn3x7EhbKjn3RM??S5?a4lXQkmMZ@jg&3*2>8oQ1%7R4i(C-NBBqfmy9cw zRJ|VI@D$87W-+4Fr>xH&nkPD=oa@ObGgTC@&B;}Gq^9x}S#H-{FzWO7#Mkt6p2zw- ztpeW^hy_eGu+4mY4Bh2bACGH#UCj3sdaR!{($d$wjSpCF%)Z8JJPW#7mWh#DQ8b~) zXm9J`DM$CpchywNM6|Dq1wTC&73P*xp$l@gLmYdK?x=Go zq8p0f8c#ngO8LlG5{b#K;OOd6*I;|inge}8XW=MZi=|;Ewtf&eXUGi8T&OxE&^AOt+mP#gF6M81T{J z{vdn=vfIF~I$b2SEa5J6HstEpuyEWuK36s)!+F{xndM*;A+K5Vzd3T(#x75;Y5~`B z_U|tg8xy3NJsI*Mc3>kO1o5NRrVlw~O5N=_tJ_Yx* z7kd|!0Om&D(w`O5XCw4Rr6fCG505Hek@H8o+c;BG3NMcr_iSSAE+wCP(}%@ZA`R0K zY4tOQmGV1v6xpJUDP`8#>v@qvp6(<@pgQZ)NSI@W4E_+pdWycy@)2&N! zY|zFkvA+Ot0TJ8xW|U;e+5sEb7}VNEC=|aUMTXSRP8JQaE z1-=~x!PU>wb|7$5ud!O9?mJm@_6eL-gigZ4(_e;~#E&bVr)IRG$J2c**19n<`MF_W zROSY5H)}Wf+5SqZq*&}`D&HuGMy}=Qb;;?h4m}}zN{;t$C;953pqFo9A5ICuDo+f& zm_NP@JA69@X<9F#1@VOmQmv{!%~O!_JT>P#SqnD?Je#aCoAS-I`?8H?`7V$8|GVz%?U?x|ZYdD`97*2cr+c(9dE-!Z3x^C=W z_MXZ-mz_`9NxW`pq);_K#|JaN_rs_CGS%l{mSX4wVEETw%|&CW$tsk*KHdXKGX)mh_Enh^NWajw zq?8_uuAm(gPLjiSvCkebKcP}(H+kuwprd;>!ey_ydqYC0TcRqhZv->ung?6ZVAb_F zvM!ktKw>ZxE@J7Pq2|Vm1o0;8ac*Q(Vq>)qb~wNyzQ=N?90e8y6hw?fl7ywDbEqky zh&;H#rER4wwXe(ijajYQA|9Np2@a?JW&6kwxb)=ryRpO>dSaB?u}NQgm4FfYP(*>_ zx#z34C?A>?N>ktv&GGk@awKI{Y@(Y^*?~sY>mgSecR+3&%sj+Hq^;reHdS>O##n9J z4$=>#T?z#>+JmiBCq9)qCu_CP^lJWGUPq`Cp6iMT34JN+@@?$;*ce5kIXEkn40mX1 zP;#%2z6#bUKuY1XR?xT}<{ql6)0+Nk$^PkvX(?l|(8rL!mv_2u}iD=D9GDBfKi#V%3nS>`65^lGO zoabvXp?W&X-989qJe32zkZQy8pDc#=%Z()~?bw;8KAtr+w3yAS4sIk8{cSu`t1Ox^ zR*~0GlYsckwjy==TT0UG*pdzPi!+x8P24ste%~iMw3%8IkZa1 zE)3CVlfTMPv!2=ostH@iJ{EN1|5R#wPxhz{ay=A2KK>qChLL6f@@(B>17*!hdNDt? zH+5*3xvW`Dk0d%3?xoHrjO8}cU(-s{S+aflsmpD9MK=PTql1W&Ie>0}pz`0ew-3qq zHq+@$fJ(T2BQW{Nd?3?)PQ%A(l*km@Y~qAiapmB*paeQ~W9=%>5U)EKtztcBP#(k+#ak z-fBYlsrox*?O=2qAI|}c!kmuFT=Qn1Ox0tTPMlssY~JrF2RV=&(BfLQ(-)=RCCqnF z7*);_u$tgMl!v0pPBuGlfkS;Q(*vk1FZh%gER353#KE_AY*f^fsj@}3LV0)VA)X78 zno~jdyptS+f>JS)N>3A=0C84?(+CAbN3E)juY{TmFH2d72(maouQ6m9239O2J z^~~z~^2Hz_z3TiihTa)9brA{-m>>H{SCXGlriX^9Xm%eB>F8nj-`u78UB8aP;~gx} z;N~`_ejGQi+pezH+;Dizpb24)$g2K+dvpx#VFI4Uk~BskT1;=tihV6jX>h482L`gE zmhE=N`J^yC$i#DaB)G zM^G#lZ?6<^W}?b-PU?**$UxJ5{SmvRx?y63W>P}nd)wK1{Ef}d6B>Hb}7aAV(6*vB}7)xo;Ja`xiuQ}}WUH9#a?#skm(EUp` z%VwVXb^KNx30iI6+ObM!Ju>O3Ntk#>B9)w6IV<@y0_MTuodEY}Ch^;K_C-%KjFA3z zm*Re08&-RItEtlJg90K3u>zPVqK>?$timM6iDNwiK1qDr6<21$HE9AKGmNISzK?h8 zo(<|f37)f4-;?$zB(jcfdjRGPownqpv+KukdN;UyeNdw36QuC6KaIP7h7l*Du9|LxFp4CTN!fXJ#)Yd2!+8~ zsRguQpF|0T&W00e z(vu$&$ZnR_fSAObjtiERtC}qm1wgQ@pUSOWq4ST`=AL{>6Jq74nUGJuexJdUAkXTm@tON zKQbJIsEkWB%pUpug@!eeot?z=Gu}JIKv$SWWOK5cs0A{xjlWqK>J~001~qzMZ*4SNP>{EU4sz$Vk(2!m-hN z?|Z4|eR~$kN*$x~iu)n+o)PGPZxH17c>WfNUCEle^FY2OEaXUc)^duq>a%~^vIlLy z7-o5U=cm1ZavjL;us|mih^?1!J1+e13}#DmNAUA<5e_Z(dq>`8OE%(+7xRiu62s2e zVezS|lM}DEb7I^4-nPF6BSKa}njpUFS3jW|fx>j-MKDo@gF-icOd8#3>RCrlVRW9;>95V&vcxY2%=^F(2G>?l8 zKI<|a@RJiYC`&(K|CfHLD-a>}Hvl2sjg5)SL{K5x(tbl3h|3Ymo`!G?oM2lq?H@5S z%nVQ@_I!s|T8hQBk(@QJ)e+oiqBlU-DjYq|`lZsrUPsQn7{8n^dt0LPpEc;!#tudG zv}Xj~P&;e=2ne4e7kR^0J5AyV0}WN}I$Bn(d&^_xKXbbluVJp4e9#*gEIB;_JfgUTddk8GP>wXWPz`fj ze_$jVYFdG0aN%0P6WJS+)S;TB$RcT^ftK;?r6Az~BIMXNJ|i{go$!6(T1~K* zY%t#hjM2B5gJqZF|2o`0tp}4TmEYFHYA9uB!Xq;PTJ8FUs3x^u$ggjMneA z`a>BNH2PUzir+KC>b*E*OE*0N zFdD!1GscjWI@f}R`RTxKv$*>KuFGeEvEWx5HO`b#E_Xwf&%5fE?uo5{YCrhq|6C>@Dn*Beb<0r|fP`7`ZID`kGUSPs3wUz-`v(IL^2gWhE2ZmP@ znS}k@`{%BAVn?Z9r%%*wQ|hT+{n8G@bg!Ry{C4PC_Pc$9Vtw|z9ar|>P*c{OsLjS1 zMO6D#ux%oUq!K^jGZ{^X!21RV^=j!v%?!O)6C-2S@cE#*;f(NC&za{hi$TORJVcaU zkH7PUaP8&Q&^*g+W|#V_c$!?s6vH#LA?y zt)|ONL1cxi^ms|m?}`x5>C73Nh@PeMfMK_Pa!hVDNlXQXzUt`>B?#w{8*M2 zmPj+P9~L>sg$K$hqDB_j;r^020PKim0&xj}{#jRMDoaV8mHgyMR+=Zelf2Nu1bcV?$u zl56a-zV%#?E$!|Iqq~l!IftXWh?#l-##l+ER&p<%aBDHq`qIPNqVR$QiH0Bcv}zpq zoJeUQS)5C01->M%W+}PeX02`R3IRTKO9NBL+D){S^%lrxES>KO3>-15I$!y8-PYz> zjz;7hFOf!TEcB5^BAw&XPQLG_o$KbhtN_R82gX7oYr%?Le43o(5W`2pd_g2jSocG> zJKWz=JmK>By|C~Y9Mql}wb=1a`+V1Z4bGF5AEAQDgoH%mn}BNRsQK@UYFBYtLT6O- z`%`v=j&;XLz3V|)8nc~OAIq?3bNv=0l^4-;=53O6pR{%FfBW^SlXnrMtojl_^_{8z z>bra?VgUu_p|zSAp-$J&7$u4bI7OYYArs5bOMJr{gp`tyhA!;%wjAH*C*rdhVGlg= zvCYn)+^5=4uSst38N0R^b-J25Rro!wC3AENPLPs0*`CxMUR)r^hV$T}4RZ zLi!|GOtc2d$F6j4565X)0R!j}QyqCS$HsJPOcP!^?KQ&$MynejOHBGTV4-P_=pVBy zlv}923a$l^q3?&DJd8ldI#%4JGu`IUkpoik69LhO-ikia6eKXafe1uyeUj}$u42^^ zLw-!JDIhzh*!i&o-MKdB`tj3t-45&MDkBQ4GrcKkY~s~{^e(i6fzzO#QWy@~l7>4T ze+cPD19qy@$}~-|op3sWTm8i^I3QyPxE}7Bzf-z-*ohaBAL#e27bPz+IHH6kX=qR1 zs@*N9VC(M4Jk(XwOeU~(gF84->uru+5_~Gxtt;(7JTnLEE{IvlFqGtPw*0w(;3RqQ z-Y%su58ffJSt;Hc2gD92F=+Ik2CS(@NZDG05r$*25;T5B8Rc2*5Ww-JHQ|TF8^6Rr zC40J}XT_|{P;^fZ+^kJiUmT*2)!e|YF_@_Z3pVS$Z4p{I+3? zm{=IEYfn>ojgfL8crO}DD*-KDm;u`r!e+s_7^mDoNF&G6Hik0ZE0d{X<}1q5+v&5*MMV>*wZY12u77Hj76Y`U1S3I?aQ(kEevk6t< zFp{`+A&uK82H6KHCB-(j&_BrEkZ;a@>}XRXgLM)N)ueOccE5hncwr8x#h06AQW8rY zhR3xu1(=8e(HYYW#F9Tf^w3D2XTT1LIKzd16Ybol)g8-nBUd|B0@;9bbUJ3+6xV8$ zm6hOC?xp#qw8RB%WXlwOJjMC%dTqQTd|S^~G+-1rNvw#YLD8is*5#`c~9`oQ<4X9EI!IF2U4-z@!_OMcS-C z<&jc{ZQfd1#ACk3KjM{fwx&MFoD29=B5UalI~1A8FYoNLYO4|U1_)YI+e;c$xA{j3 zGPyAbqGxV!M80%B@vmnT+*)XhKq0#g-nxN+qaQ_dbk}!S1bItcAnE{K-w?mj>_jy zy5mSvV!+2l4VgMSA_qNa{CQrK4oy(s=r?`btBm(VTKdRNDVM*&9VQlDy;Uj>}b>L z9_;qq<<^wqHxD>eM#9hfkWcuXMFJ9ftVFh0l`u&l<(R*uKc^1Uv`Q~$Lkx1@lC632 zi@ij;`;El7anbj488-^_&J}+2{`l3wn|j~Hubc#)8VrwVnd){UwOaSo(~~AI$C4;k zJm8g`Y~(7R(ARk=ent}qSiUy^!}u_qLf zQozRb9E7plCDR6fSnJh)hze#+C>w#+rWbsI1`AAcDfMNOW{SK}OmbIXQy8pZ&z5e` z0APuwvp9On$rTuYQZ>_xh^W0xu9G>aNluOiRTtbjEej0fKn+~CLA<*=$knjvWPjI* z4~~7R0^$Gyej*A^Ujh4?uo~C-xcnN^0T&5zhY~WZG!R&&t(gdm&OCK*(yZn@!wQeK z92=!*=IS41eW)$8_u7;kSVE(RZAyyEY8ffLK#6@-4Tozs?3)a1!yDl&!HOZ{>b80M z$2d~jq}S#tn?oP;BKMy5NhAGs1WxuZsm7r2QrK08P0$z};Cn$n`~M51|JTDd&&kDQ z^weZ)aeg48D#iU**NKaS8DcE^aUTPj*oGU=1}fTcQYGvn-G8CQgZ%j}&*R+J<-Ya9 z`!plhZ$=sf^|Xh1)U!yI-!=yFluK=Zz_ zT$1vXg|{$F)%XbW={#*p%VeJZ`~Xakt-G2%(E2__gb5cc=3}8#5BIVjH;+eGLZ$#) zdW$Bcr1}5^i$*N)L5(GTSJLh~Axsvhgi<2aNyeeQ=`qjh3seSrB>Z`6z8Fq}68WFL z{Lr&lT?kcyh>~DoSnfcgJ#~lZS*EIDV=aCZj$GcV=bw?o3|qHc2c#OK5*ae3EjXWe zI>_rI@r+b8le3O^l+~7k*Wn=X|Cf0V_^y5{E`BuRso+XTnkIuzF5&DfygEHXwHrD? z5X_Shnc#tBRee;Cn`swg!~z3!#j7lY)ixjkZrWg>AN&yhmALsy?EFV8E*1ufg$$d2 zc(%*z(`|Cl_=}Mgu=K>3{OLn#-0g+PH4sK{=beo1SUZJ*+ykDwavqtzYI_&qS0oGR zwZG?f1xa;|=B}D52NGDSG;|^?&SKfvAT^a{)0D=a*-x)22a-LA$qZ48PMz5aZpPQh zk?o04QEJIHpy!hy7yOP#)R~(ylsRjluk66B@H}QZ+}47Yg_)@m@E-gMtn-;_XU8gO z8-~l3$wdFvCN-|I!W@ttELo@cgLxr(alhtawZBCYN*? zw+DWrMgOtOYw1D5w9L0^wPLE>XaHWJ@lS`sk4?g0efLT@XWcVDIlum0^qIK2uObglzDi-Fbn$#N}1C1xez=R1o9ab#<>3=X^g-{dVs z97X2TqIzQrVW5jzDChfinP$+9$Z^2!JQVT1zIRt zG*Fb4{ z>h;xh9kIPAnf?^>{_S}J8}p4ye6&3BM!HYbaz&Lh=UwOYlnjlHM}%li|Jxy z-Od|f%MT>SXx!VYBQ|%qX0Cx_8X;@CPaw;<3&Sbp@X758?{TLpXY3C8_1bV#P^Tpf zd5SMTyi(z3)c4V_6+ENYS_bkXvONoGi4K!insvq4{ZIK0llLh7p67e)Y|mVFq~!0O zN@v6w9)ObQKt#GhoBYfE6xL~Fd;H;J%~31Et)fs=HA>QxfQjy8qOAahY@!4jUUn@D zUlUBXRq8`zwhuG9P$OuMm)>p;xr^2RI{WI;Tn$`W|JCDW>^P5GoVt@_t_>4S91Ll^ zSrcmXi)J6)QSlH_ZJh5#qyFV{PCw|Mzi_>hd*jq@9zwI`+{yjZf3*~VJ*deC>Wpv1 zH7>I5%wpAm$hZ<0%gplA{ucdTC%zW$%j|bqXpqf_iv*lcdj;~oYk}@1Tf1~JCF-E{ zHLVcT-7lpmXLGa}>u_X^%Xq4Om_t{t%OT>{E9=P}Etb-dW&%ozS%I#67EdEr_N4mV zijN)v{r7}(0M9r@uC<$vZ&4G~)uE%_t5|hcQ`!^G%YZw-g+`L2~AaUWqkQDaZe<1$FUiKv&0nR$q4tY!jCmJ)|a0l zr8j;{BKMSlktb!qi=pO^k(T9*p=~{OHT=kb7Tv-e%!L?-I1524K345hk`0NHVw#J( zPNiBcN@Sxqg0r`FDY0k`gK1LV`~I4}l2dX5wh+f1 zDWYQ?BwfiVR25iAd#0c+flG`N^I8e~DHV4ttYlh+sIYMWog`fCsfqr-PZ?QSe-pFS z9ndMH#Fs2~EAyYlYm@uWL1Zve3!I*~X@h1a10lO~WWOQBwIt93!M-6S-*M|zM}{=@ zbG+s-h?^(%9Py@+0O!-!DP+D+Sp5KDQ_uF}^5GhN=6>SsS&kM9FeA!j)(a$`KOc-| z?;HwEavLl;*>EGEqNfGBw#HlZB`(CF#JZ7!8jGRQ8oIt@+`nzNXQoX} zX2xM(zb0a0NnrM0>X#A<#7?@6S57#W5K0pfu@tbVu&R(4Y%yfVjl421e7S6>`c4a? z?g$f{gcmABGE`f>Vbam+?qkyr{)J`T6{9U49Ei-oM4l^7X_Cae5-a@}dr3WAq~z>Jto( z&MpjgH{U8JW(civMB+Vh+xsbw|GF#MH!fA>Q{0I3?CGp+fAyyFdGuJ)k5Y$Tnluf+ zEma0nlfs6NcooF-Wo#s+*>;&gM_l z$a^fCnPXh5-K44W=xT;kx2LD5OP0H&4a*cAb+aM0Qb-|m()i|eIQ%W@t*9#b_7yL--m@9IF zfE$f}z@co^rWk3a_`+md06(Z1bBjW8?*dXT8~jX@oD{iUv%I{mk7tf9q;K zZ?w5PirdY(pN|tFYK=URo@wF4w@~5RJ&Fs}{;iku(2cCGh16d#iN|zz059T1c0OcK zSkuzUQ$;+5o!Ajvh#{XB!)P{0p$IClSEy8ik@f7jF%zXM8>%^mPW3XK#a<^ywk*g; zTYZS_FCL(%y;Dh-?jiheHnBw)YV*1g?T1zfGUF00BV1Qn%JfMm`=8e#U&#HcryW^w zrn-@C;MJ+r7n4850sqso>bh;i{?sP_)7FUpeS(fIR2v&vobo@7#rm&v{AaBH?;qI7 z_b{^l!aMQZ4IwlEU-0u84U-c9dVWKe4q5E|3tgo7mvWqMHm!mD59bt?9&2UC;gK{z z2r|D+Y8&v6wTtxERK3bDJgtU5&ov^?a=YVUU;4=XmPUe%EL!mw6aQQ1g+$)}&zSq4 zH~habqyz)L@3d&>(i9UfFI6&nqtFC`eoiFwJuWJx)sBU*$UAR$ESYQf>gkZ+;HBq( zSsgRlmNe~5&1JuRdF@R6VeMztZUM%adiA@M-fgOGXzx)kh4E0A{am?@HVM#TOVoM6$hz$8|Uc0-tSMp9~k{%p>8w6-3eC-jd9w*M7D zK!p(g`vwEDgQpx;z|tS`3WKj?AWvhsXBnfBIMn@%!yQ~$w_jYb&Zlp2oqM)28J^5$ z72o1D2=5~PjMe$CI2(YHK~`hW1Y%XPMw>oZU$JFxbN{JW>P-DtRE7M;K1(G9X!pkk zX#+I4D&GvOycrA^lSE?t=pV61B2gBJ@;(+e>5tZ{*}4R98@+xUH>5ApThu1 zi-PhsdQ7b#!PgIb1f^BsJFp<4QQd&931_i)|DATR11Vw@@&rfF&pb(A#T_{CRaAbA z;^N^oH~wRmAPtl3>>G%ROgzu#FRz57P_8}?6UYlBCJqJv08Q~1=wwxU6M#x3!oY#a zyfuYgop4mQ*inlCS=T}1ugcAm@7ET&1{n}lZ7hZ$50SJ~D7p$FQ(ILt?q z?Q2Y0(Vb-JWHT!n`3IeZf%^P6x81K7840$ z?J?&ce+-GU4-)3&r^r)KO1szq1|*`kf&X%fLdc8Vz7#QSi+Z#_KYfY(mlnOB-YH1P zKwhXgV9nSgSCkRKe@_XzcR>5oRKeIrZc>j4#>~K>4BJY@GMfoQ3mo$fe zp#vuknIx9f?ARcuvQ7N=)yVIJwSWJCd|Y|K@b6@i9d==VheURCv;QCez=OE^1q#iy zV@A+@N>bY5?2>ys2XS)@P5aWMakMHeqgExGc(0S8U`TAjT97R%&RPC1Lun7i?lSo<*TDK6!fs}$5?)kptoMzZ zV<>37U5!Wb=s)cfd!Y7T`++W|n$Q}lL;q=VQz~_g|91S(1OJ1i{~K6??M1X44PI29 z7gE92H?S-qKk)FP83R4^hLpl-sKRKI6mrvvkbOe`)rYpd4dNE@@p0xm3l9$No0R-3 zT5KV!Q!d^(CNe>)^+-9=p!_Rll?=-V*fI@~MokwC-)-MYNtJRvt3|3kDXEQA&4SI0 zv<};CyzsLxh4z2?g#N3KE|X>}j|n`x99EGIV2BNwU_}b22FBk}?Uk1L!9$ASbokf? zZl7>zmqqYTT=vwEz_Y&l3sCC#!VKnCk8DY3iCkOMNsDz?R`f*CpFz?)*#FEEPcSd3 z0x}edqrJ>MK`vxRI`+QrS_=u6`9E+XAtWY>k^ysbeO9x^>nyqdafA01qa*{lN|mDj z1@n{geLdMwIDpQeMTHH&y=@Hjyh;11A{*y_1GO@L?1Q@#IscHP@-Gwik;U{g7)FDj!ciTN7Wo%<&`%=1 zOaAUF87U^ez9Gl*aV93XTSQ(4jTc?y_b+Y&CGpoQSlj?j5kAfwX9u$~LQxR6eDSkb zB+^x*)M=7{hn@X*5_;x$+384f z)pPwTl8offAV{iv2A$JTh2*A-){dnsVFQKpGeeL#m45LbHpUyg9b&kSI`H>)BJbb0 zLS=<-kpAIAr!NBkaPDT_-$@ihpsBJ&?ho^}4LW&LfIKW)0hPB&Si#}bNP3ybV2pWx z@&1Rk2LEL(nj|?I;)sv0)yEr#^Qfw=ir#B}v}RwoZ&YqIrzGdr<9u1xb^ANutlny9dG? z-m}YrX(|b2tsFI^G-)I-w_MB4T+kTOx6^LMJqj>`DI6#kzF{tv*?M(TnqRoo^p9G8 z{jV1M0MTMp*Y{?%_FNg9xj(`9y8ptbb5*YSB~ny2|MEz*!%LPI-Jy!phV!yVy}82T z;W|+mfIJR*q;lEI^!|oqn}6DWXR7)be0drmh6I=<{ZY);c2)jW(kQ~Qg~?R;zsxB6 zoGyGH@n^uKM}TltZiw*DHx$3;%1*!*daj@s77E4k9cl@hW)km9m+{Z4Rw$keb zB%>RlUD4tcJcGl@n|HPx#ZA$JrfpavODIjepMoTcgXg%PHO*ihP@ry=cGw25#&gCz zt5u*Fu7Bd7l-6-4z?1&0R-%BJ6Sf}P_BI!18Th2<)=B(`$Fu1N^^DD2>g-bB+7_uo zlBZiej*C@Wv4#2WCg;DJ4=)-G_*A&+<$i|r;wqRhVw9elP_fyK$(hAO@8ZY(PnI_r z&9*Y@wk-1vTn)Ltt@AcPTFnklb=5yc0Zn?;kC;#k(8}m6jX)-~X|%sQp7dd>^eUz_ znjB0wYp|pm?evIwl=cE}^ZcEt#V3t1^nhHh9N2Ed@Sy4g*kW7zNlrp5=6}gCu`NwV zs_jtWoVkF2U)ED`dx~|9frB2_lqDDtFI>pEnE!PB7zGB{hv==_ zij>|MR?r2jNnqD$s&z$v>c-1hr-uy$A8stXo6^M`zS#9#^SV@US7^Gw zf$MnoZ`X6~p4sVNt=#MH2~*i?FW}Tf%$G;jx*l)m6k=ZSY~QSToq8tqcBK}X9tfkd z73t3g<%*M86D5jmIZz=Ce5&KtbXFSN4BkHfsbY>1*`-*1=uzJK>n>jGozmH?;5lvg zS&+=0J5mux59XGY;kY}&dye}s-xQixoSfs(w$6CW{8{#%f!GYI)zvNtLwh|E&C3E> z3vr{fnkMk`>?&OW+QmCbJszG8PN;okQx{O@$j*4k`< z31Sq*t$Mogmfw~1VmGXk|@w!r^gBv(Y82z!;CK9(_PmX%tHeQEZYGlIuhhITc_YMO~9)P+NZUZTYBmLM$A7H+cMl@_>y*l2DAa zW`byLe=Y5rv}b|@mDgnXN|ZH#ln-pMpIQT#==&aY$lW_XSEh#$>ZC7?S_SyOL>D@E zht9>lCAwuym}mt8(sPWS9hds(@cWY=i7$70tzVZs8a2j{zP(Qi(1w{TVvmq2*|`^I zuQ$|*qvl2wlm9S>Ehn|(7ByU6v)-NG!>o#>*6j?u!7GJBwac>DHNo3}?P0@tQgp8D zHT&neHY8X-f7Oj>C}xz8lA7C5>ZBhUU(Og^CwLBQUURi<*S8->Z)4T=i)nY^YyT&L zgXc;OtMp+2hCH#C09$ApEO}fO%UXl{Qsst{1D4|N%}dwm?c$z~WS-BEnk$8CqezyE zP@^CWT+|l@L#Ftzi(hh-cA9alVKF05TxyKB&=M-4eeJWO)7+|n@~Jz$%RG$GgNT2sgM!v9RDeb8b1S}axp-2Sw0`N#>M3=2F-T*_Lz@%Kq908nXD3sji zqu6|P&!P0axjqRuhcDB<@PiUp)1J9%h(blN`KDCoGBG8Ipj_A>&5CYX8+dkM_Roo)Hi;Oh4MUlpmL&6|!lYa}YZj@;X%Hg+vLCsgTMNR0WfeHu ziu(5YBDqnQo{g^MdhvsGbic!q?T&G>qYdg~H4uE~<`7T9W8kdH#u3 zFFH5&_C9>mF0M0Q9(%6A6ItQNOWIV5_u)`2(Ts1fq@Z%E$8b_3f5QLsYh)!`Duz_0 zqBoX?jzlv2lMr)bMiUKHgNBk0@*YhtuBgwMS~UVVzRwyfq5HOna4G3WJ9Z<6_mM(8 zo@+t^)6 z8lM2<(gbFO9)R7HE@&2HFi+u=l5(&~Dg_SSO+p(Op2n$~n^ecvx|eU2XW3C`><7_ zWkk=T^8P9~>XwV`FDEJ-DlBvl4{FJ>-|zeA5Z_);MS86qLK=S^&~JHCYa5rPSZ&^0 za^a}A=CJ+}i2H^x$q7o$h5Pg&g6{^r^b7HS-dbyRD$hQM^oUSjiFQg+p&nt~tO6Xw zDl*94SF-Q!iBr+1b1!ffWsEMwyUP5vFXKCG2Pi{3C^w$Kv8Yz_&#pT{8U-O9s{z!E zKM=uNjo_LJ;-v<|OIECvGL9}CqZeDlqpNE=ghQ9n{K$Hp>`?WkYkby;H4Svl=*P8M zvK)rM(9fm&h&=zkw>W~Z8Il|2E^rdILFupCA<*~CzWagiK12#YiQYDUNx}qH-D+O5 zCGBL4s`$A{VeJ08G__49P{)eDl#R z3ZaI=9@wtZP|2c;((^U=HTHBfh-W=Y#PZ;W8qv9in82>!P1q_<0_0U)hsg9Nz4exw zBqxVps_o6SugzZ=fs!QW&pVk~@@Cma?~L=iu8K&X*l7VMHc~aP8#$(Y1c^OiPB$(< zKJ{5ORqWQ8DfVh7Z6gzEK-By z4T#dR!sg2Jx<1FR1gm|S@*F1$MUodu4_P6Q@lNj^cluk?`(&+uUF%>rS_sFMVBFW& zmEM~w7(ae$#@N9Yg&a101-axnbpCYLQveHa{YFx z$)SD=Qh@Zey<{uRK%robzLdj>{q(ba)dc$|X>N9g(W{Q*7ZJ1}MDdAdppDggkiwdK z-E#niLRkt9x=C^T08sBj$(9$pk+iT}B`i~wJV?$ypOH0Q^Q7x*p#C_i`bqmYQ1KgN z{rbC4(&VTVHAx7K3ZaNed9U&N;8}4f?*hpYyxWk7avR-9niQ1=Y9%t&#RpQg;HYLT z)l}@gS9nJ^YSf8vbZ@@9S2&1ub{qFK279&*aH4ys5=5w(n2Ff9>dX+Pe@NYCjTXw| z(kYEcx?q3WCq}3u_izsBqGnk8e)5Q0cu85Oj${atoR$iaPVw(k7k_m%jrAqkh0C;B zyYbrP4#njK*S(4(lHL;6s#6bI0aw}9I1d}r>R#sZ)dFO};=b3&?PE-GK*V?3UpAXk zsrgG4-&GEpNSVM@5SKVWTD<>j>`wBL+3r=_ez_`*$oAlEk$1c+HaD8lc|)P%axUDw4%eR@ zDpL$H%I~SMYXO}LqJoxQb8#y)%xT_PQi)JuSI_8&eM$N^5E0$2NiqgtNK>+iWL?Vq zO3=>f5%A`;_^MJ<*%;PZ`7(^43&9G93M_t%Od>KB>zSJOCEK1#E_+caQIuszT`0u- z-obFFNj&70u`loP)E5ghk4foZ@S`<84n7iy@VKHb2NX*IpH#+fXMS&hn;JM0pMDeK zOB_UQ&vm-Le_+lwGTq%jE4WR-EVP}y4+QkmdSGj5Dt2L@lBpjg-iw~C@R9Yg0Y6?^ zuR7HgdbtRii zoi55H7hM^vS%Wbl)qo;TO-Rqz&-J9CU~I_GuB&P)O`KbpRhYO~#aw!C$ZJ4QvYnr8 zjjx0FT@!&z&3ub6RZ*1yoPgP~mWh#ne)U*h>E*p0EONA1_^!?(uvrP9*7(s*iADW~ z=ordS(Ba+nx8XKZ{uzQ=2d|>v$Gw}t*6#9WQSHP*4(UbsoVq35{b%E^)vrCV>jj3Q z5Z%UtFxkI5EcOL<_*bu_TX;z{kiJNcq(dL1t?J3rw~DQh_I4y)Hm<866 z6W0Dd1OYi2@h*P3CbgOr8>r7>o$es7+)6U#p$#N<7E4_>yC@gsBt(4)6>&}}%h18P zJwH2NB(Mlo!J;TWUvomL0^$1{>f+-ykT8@-gLR~+yMK<16#QtT>-1nh>?y%$&^JqL z9KrqvOP3R$u+CS4z&M1R-R$r8U5}CWGXGM448f48;D3UYhVo3Ya@VrpMd!zzl(L{N zv{55Qq(?%L(MKE#*Z_!=IdQu|SF+(|+C7F@Kk-&mNFZ*~LC@#uu?g3)h=P7WsioL$ zpV?(8V8yJM!f)W)LmQGF*<9RTuAEo_VfH1E0N+yy7Zd-_NQeJdyVk~VP|0d&@vpUu zRM1jVVLF7Dm^s=x&`7QUsQ#+Qc8Ey+yUUj)H(M1~fa6eHKxY#Pi<9enIEzewoFJ{1 zbk}jeX$w`-BRA8-K&`tj;W0a+;)UHr^mI51AcE7AL>l_WgVP^>=`d=LI-{!9^oDHV z#C=IIS`v3$V40v3q>cq%nNv+`cuO6`r9QL2zW98+4#>=78c4jo|3+NkN@U(Pnrzv) z{pq|GWp9fCP5;Xm=x?w|f;^qnNVeCrhRS1ZQUz~09GI^}ymf4cN@ycJY-6rRUaSPT z+Qzt1IZy@pZ(SZIBDSCBj%wNU?g^apqjGqSLNYz5qqG_tqL4*v({(cwX8*IdOP}=0 z(2!F|&kcDN;T-iq1il{R5*Eem6<+Io4sv=}dkS>95(F+@p*P@Ax9_ZAZh6vntv$VQ zXlOEJlz<&mKcJn9TuI(6xSVQz0UmZY)u+3?dn1K=6DKY(8z5xE}YIS@2I;=JgB$I0-fTcF^k6td!u;Y;HF4#OY}Qr-m$bq0L0g}Fa* z@x&DnG|~tJHwl_8jUIw%MtvbWS7OjO^)}|nN;qLZGUrJ%68XI?zu)(}CfSPFE20O8j!^xS`M3sgDqqYFnF zUV7lDm!t{=v>V-wIomQfEV<#y>bpPfJ*R5#`tr!m8P8tS)pk1>i#HDu{vgK=Cz9`K z?o4z=XuE8kXpFi420?H9Y}IPBR+Fn)c&C-&yv3@B<~ysVTZi=h8}I_Re{dtQVy$l2 zGgxcFgUCBNvM5@rRA296g2hqMp#CgA1b;Q|mG#{(T{ft!;3{chL*9Fltxi>U##^<( zV8WcOw*xCDP-UJ~S?N)pt^K#4`oe*RPQzcj<%MMev>v}Ut0W3T@3kyuAa|L{MKMK| zE+Y*oS*?Yb6}PDqBD#Xdmvs3;f+5Kx@~{I?VMA_lTNuLrxwVBvy11V~5(bQYQ=OvD zv-ch#aQC`SSCGY-==)A02>|-e9eVgZtNT{?ru|zUvkkL4VMTJVGwr7w5>y;@HFhX7 zf05@eXYmc(-XpR`i5)B0b0{lDFVdyRpU8od=LQW{NQ9R;XhE$!kP1TG8yvj;Q>X=Q zKUC2D6PiiAeM^3U%FjEiW^8sq0{wvPNvdhL!{DF!#gT{=c~w}=^1*2QM+503QH8Ho z+YRHHbQj-xeU=f;*hxcIpU|}Ud1eN=n+$X2#-0kZ9DwF6*-*$8+%Ku1MwVDb@Zv(g zaVn)>qZC-j$hyKzPk`Kabsy*6L^*kIesutvb-er?v-+{NcQq9~e)6E?p&vcO(zhjsVl4tSz+N^}1nhnOWx1FR ziaCj8>@wk!xVkzcR)IzyILz5NB-<&Q&sMEis*e);HVKr1h-%OVv5NU-R@Iq-EsvOV zPz0vbG8=Ny0r#uMG`(-qN~+JFY-3~0h#BG%M9xc2lYX(Sqe~DZfj%o%FvRQz(TS>l z)h9c$t)tjZHhkY@Olk?<*-frUSik+Sdr`@$)!zLx5P~Ne`36~_UFu_Y2B+W=j0lj# z=aA1ZU;R~(Y3luu8c_jlbiwkAbBa;irOD`3VpNKA6jX{M3RJMg!%%0c_-783Ifd5p zU!kK2Q*_?j^!-i$!_^!6p&Nen+4dMBnIsHUCXUVv@Q@*9e+F5o-Q8{a{O0Kb(@hcz zekYH$1B9mQ5R_dtXSURP0Xy`F+}{Roh&dc_x11|Il;;Ggav2zVSB}=}S+Lb=@dqi` z>s33!6l>@SNFd@TN~sGEkH>qy$R(`F+1sQ26J@pRWi4Bw@V?ATG`^HL}6ii#QJ$n3e+B+J0T6aysnFNZ$QxW_-b zZ9S5H+vDxyZ3Ei&>j?7|q>!P-S1Wx5`-vemz zC1U~wfDw{gdPk`08jmydQruP_+se_hMhm1yzQ&V`lRyNezs7oyH+XbA_vrXjkSB)p z68qPi!2uemc^AZ~QN|~)!3Sd+sZHkE6>8R-G_Z?FslZ*@#c+}};AeU-ho)x-UT=)3 z?L2SqAU``Ffe=y8Ydc@EP+?KopzG}Jaj3hq2kvi*7%cGlGom}CChU8_8$)#{y?6hF z1~y)9_%t>VMNnVyUv{-#j@H4Q9|p;y+#e>r+wUH(Ti3=P?!8xhA1?D;j>T_jkM4$- z+Z3Ib!?zkFiq?FI7>n1Eg-+=rn=Tp7kEjB!b}Sz-Y+R#k+mbXw{nVU|27|;xtf=n@ zYdm*2igm`rI}YkO2CP>FpX3ICdByy3ZEn1Y?)|{o{m-S|BcnncPg{wc1ebyw{1{V} zkfGXQ`K|5!u+?=??+~pfR=H5vAZN7#c~LL$*0bt<+Ls|CUt!VitD35`31xAdRT4{La0pRyM#j4vSpzfJ_Uc&Ngvd6gN6|1XSP*1dZh z2})f|YADz7vy=Rz38%v|fA$eaUSg1VB8f)Ae0!h0ZSxeL{h@)$s?&@URIT_Wc_NHi zz%&roIYmvb_%HLbc@F)(@#gt^a$=3)~O0Ke3*FoN$6dK@DKU9R~80V?hdmH&eF_)R^y!KS6~AnBM$EtZ|bj1L!=|)NB}|6}qB>lbx=b zF|W7qo;|^h=qXm_g==y}y6^9ARKikx4y~N~N*NuE96cRdxAN2&MY_2-L#|z7F3fr6BE3&-JVSV$ ztq!Y#UNO7p!J9oowY{1`}0{giLkz`n1lXdN@!Y56ORTyQQ~t4-;J`X09U9 zVp?QJ=FT7k(2MLRFTYGJ;#1lt@MYQW_m*c4qmpo{aF8YpzxFc1N?m6L@pA>6=`ZLk z%6v`CcvX4m|Cx(Ka7yY-URX0!b{Nf*&4lA}91?{Jz@VObmSCW7g~$@Y3rjxBp2B;V zh{ZZ0Ci(OxOB7%9rus`CZmOg~F_vU+l!2uIro%WQm|=+PNCyU&9|zpDV!;%*yFJ$) zlB{}Wb62ppdauE=^C1;W9N`yd1_P-#OIomqIxIlKU`xoi*>B_X6)m4Y`|G7W^-)`p zv)EZ=(Djn*f<83g=COk$GuWxJa}EdYfvfDmWX!WS8t^ zyPas^I1c^v<;wYOmDBkb?_PSb023L|qG`?eV#vuol>z_<>0qO`f87<&yP5dR;T~A9 zZdq}|VKy?KxC@`D6148c$-L{V15eC^9>!KnW?+mkAsmG0sa&bDgJV2fXBN!Ol_HSx~?jR+*j}wj8t#2+kn1Qmp z!6e^A$64SRuhVyB#Y9YmZ}&oz`Ra$X%tvM~5Kn#&8eU)gyq~r$H{$f`1{5#15Lrx@ zDBdk)px(qkocTI%*a>KFE&k>pDvgy~dMRR}T|n#LVY@>M@9G7GIV0AeoThT_NigY> zXuWcNOZX>|O~UzY({-w6trYXAc8$?+LQClA!UuHc5osL*CL>JXEtQ_<4y5VIjilpt zBk4mxOyk3&jJs3H&sH4%tYW?e>t&E-94eWF>6XtLdY=nm4G@gVWL-^4_28JDIWZhjI($Y zy-jn(eJpBX3WC1FkvS%uX-EPaV~9`3wU6CKVltVcd@t9%{3>3N1w8F-sz1v8GZ?a0 z{}3|0ywkR$`s4_@U%oblVYgkv8yt1em8mZh^1~fqWa&Y?nos!|)aB5CrQFm39BhPl z_fV8c8&&rLb!%v!Er*cUZseHSepv=1#5l7G-n_u@4{x%MD``NnIJza}TlS#bdqa{E z#OOP-Kx3kJgP!hHfa7Zmj9gIDwA+@oJM6W2U7}W9n<#)kCO8D-nfE><`a-$eu@OfS z&BB^JBbn=+YF!s*p-(}Y#aa|@fBM|{@Bf$oB<>Y>88(yQ6j6zM|Hp1aN|ho|T?3*lFkNjhrA&-FK^ zkv{8chlmu`qWY%B=_00n{NR^xe@d%4cX>bl`jS>YSuZ4SdJQAPg>fk6dcbC#LUyV zr_n21*gux)N1YbpZzPA+&m6Fr;D`p^VO+2BlI$*pfb93Rm0K!_a_YBdIm&or97sKO zWd(j;r|j&K`Eu^O$~rR9@xF13OT;-Jk>f{qZVojPb~5@hfTjN($SPKj9=(VIc`(8; zy4b$~afzTWdpsJmWjVv7!#}1K;E$|tSHh+e46R=vj#DF~UygMc*-w@-z$C!%^3@f; z2IzUOKL71_W@uthj-vl4`fKx%rhp|?fz^{c%;9$suVRe##WYfKrK~TT&j>d*6D^tp zwL>7*7dUpd(-7?6ku*CjL3x!ZXYJc3Ys;S0LFYie8!(`CHcHM-Tw)>0^@*trXwvkW ze?`h#7i+*F7hJKP;&R0twSIimNlK9vuxtZ=D5ybe!c@R9G&gwSAjbS=P9u{cVOlQf zaP2uN(~9Wvho-AJJB5fy$WlsJNBrdHxk~b|O-O$}$$h)1z;)m9+9q?lo+dR%jir>0d%8f!wWCTnc#NbqkRIcm z;ioUenXd$l`e@)P=1bz-Ay)-Y1Ms_#t1NrB&b}2)zwqtbu)}US!PUF(g&RGT-jNjMFJ|g4XJf9IKHHfL+(@G zoF8tNEi|N()&P^snafS(jPH9OLZ8x+X>qR9x<4*OI_dKK+A8FQR}8&Vl{`3c%FImL zL|h6_v-L+pyai93cQ>$)(@>qn9RM(_D;#bug@2L;b?~0mmP){7>Wl>ztOKCJL(NBM>Skpgjnlx6)c~Ub?)VgeR&7VB!j~fd=%Ky`lun?S2;ieZ@hIfADIx$TB_^r zR7Z5tF@MLWByuL%batwQ{rg=THP77v+bgd?!R3z{KT4~gtL0K&xjr2S2&ZDXDlRL8 z8(PmKcMe8n``%tt0wu<^xW- zU=a((Isz&8t4~f{r*OMK&+`1#-+x1{7Y+X4jU*$8nyVs)@|bW$%$Vy&l7k2{zNB^A zEl0Ax6I4M5QnezI*(dy!Ad=QQ-YQXgvR_`+Q8Dv$gYb>)7BcM1eS=&5IG-hGLHEhg zhyEE6asVKuHP8o{iFfoK``hA&d{MBk0rCoI^d3@`)Lu18>k5heO)-O?w*cz15#0FkaI~KWv%1oCrxNpN>1;kmum{tNd16a0 zjt5ube_m%th7gcpgib}xdPj=n=~7u;2hq?|1?|Urm;TDIBv41}da!!2tfM65%K>7j zwcQgpz4ozfs@@iUfZ;Dwjtw1Y7ClF9^<@wKsl_kC>NbriRw-rDgV5p6AFXP}=0fdD znLFgWQG@NoN|5f3huY_vg~-avEVS{_F0l8`_RbDzHybN722X0c2Q1snE(_KnAV; z4WneCkQE{YP3YBzJx zW42#4n+e{sayIO=a)un9rySlb)^!6pVWWqK`K9dsy+p4K+|cpH)B5|^9=AUxoZ$OW z+Kh6QH}aI^bbmUlrWE%mk%ZewZ@hC$T9%O<-ZY&$%5OB8Te9UbADXLX(){h}e145P zap8dwAc}AR3W0nK7I=ip(5t5x0(ol}0v-96LeDKqW%qL}epXr&kgKOy@$i42F^a4f zM1Sr5BqTsEAvXw*qZK`Zoj2F!w7BrcZ9Q&ik0%QmvYNPS9L~`9U$^O#UzD=WcLc}* zk>AbWxXBmTnMZvrZ-TZ~_*(U+c=W<-^ZarQVPd5zzUr%=+KQb4n|CmEQoxUM2K+XwB4P_ORdM?cfz-n0~9kQy!vuhje4`KU>fC(kw_bw^@5N zUhADlC2H(q(Ac64hk0>P978C-2Pr%I84IU<$Lat$OfY)Kjm(o^O9C7(#g-i80jQs^ zB4*qsPyRd?9zfDL>Oq2mb8a|5;t#8PKA9pOo+4I*mLupCIF_^;@A}Sz7ikB1%g#?GiEBnv3&^R^5ck8N4WlGtMcjIL<5 zE{m)O!19UMYsGyi#I9&+7F}7@OSK}BiO0oDij-~U#_F>0(`T?f){TynvHGP%o-FTR zpH5p!szvNlFU7U#-BmIe!H&?R`K`iVlzR<0FC-EQ}(5@F1#5ss#$jgdY{rBz|ZFeHFrObZ{w^%Z>ZbO zoR`9hiqw;=5*@M%h$dNw#LB?iD|=3=-xzSod0P2l=eC_LG`_d!u!RQ4{0%W-`}aTV z+V_6sCX><;Eba*Mg|jH2rbcKYy1hdv#B6c+tmmO7u$B+)@zIIGK1QRr*g;Do;YsCJ zl>O|ytx?pS&ogd#5mXNMmVEoVkVs#%4{C@v4lOpX5Iw{BB`0mG)V)K;q>qa`UX!+e z!cw=?2^mOMQ3be{2v#MZKhHdA!`zFE(2~R|YGhKL6!aa?Q=$?N`$b#Edr)q`_y4f> z-rsEh|Nnp0tP#|T3W_SNy{T2JN?W69j}W!D*t4j;N^9?GQDV=a_7+s_y%Rf#JwJKA zp0Drw`#GQg;B(IB_vCn-xZiWn{dT=xFIS@aEicrsI3okc$F_^8>dAJMO?dVX5#}Um zIy#QL`eEfooP&qU*I=cu*d0t?70lrnSoVuFWJ)YQ&{xRPV1N?SP%7%uXWH;&7~W0gBQa?fRHQ zjBdX@nY!Gk%H?g^)9AW}o1cFGL&-(w zF)Xk$74V0rluR!oXSSZ5Lw*&$Pi$WU?(TMX5h*Yb8Y!I=HJ=PN+~7{-#&yQf-mGWg zs;|A%^WDjsc78{)TiO-Wch9otn&{HIGJpxb!Krs>Hh;*_8pdO2sOiXis^&0|Mxrdo zpcA;uW^GfZlu#S}YZ38Gq2IkVYL2OaZbwWwZyM@z$B2Z87hb-wZ^pb5uAi9RQzf7z z2bk7~eYSp5xp}B(@bK`L|E8=fg)|2Wp$-stjnb^Sz%*=(<+O#7VNcz`-6u@Vpmni@ z;1lL^VBLH(Q@1@4mFSY38}nxO@yBJ>S-Aq9ML7gx-~+-q?^1r6I4SCK_?-QY3{(d8 zvSlkyXgDX6mAZBzqBiGTd)^1iMto$XLz0phLu&4Ej_0HHws1Dh{PfJk{`Zv{%up*j zhIeE+xXGSdY5H z?x+pxYVy8|uU+@AKbN~GAcySnc$yAHjnNHMjs_qu{D{)ElD_7mKJWIg>i_O0E(zYc zcVrcOfKYzlNjlWY-I*6a8vhq#Z%jJer0wfQx+kdynTR$nk`}epj;Vb3e9At0uEDxM z-$;2O!G-I!xdpvK;R??QaOOjF<;eta;X`=BkUP*&H{@cLwQHzSm3P+r4R)j`pbqf5 zBP4)o#xqp<)w^4%oC3nxTo+JlQC*tUp6NoHepZ&m;rG*9s_s7u2$bNbfV}LM6c%(_ zt8f7*udn%GQVvfpAeZHxY)ir;U4?I~7JYF8uG zaHMPYPeW?YC2?kgT~>Qd{>BSo`xZ*BoxhU6PB$lx=4v6mo(+>Q;C-YQ+5SwdK6JtQ z#C6dY)({}6<7gbz47J29i07KCKz_|pg#NOM+^~;?+k6Ioe(H^Tzq%s-QS4lqoc7Io z1qX++?+>Y+=7WppGqqM&Io!#OUoXRda5hs>5#a}0vK3J73$9N%_V(BfXgK>cudRZV zI7_j7&8V?fa+M{Jd;+Ie@l!7`g~CqaB=MAFNo&HlnF@pH{EJ2v*>>kE-K$+Y_(F2y zZZY{c8(*gns`K^EqSq#`wMnNNhN4%5QC{tD?+rB(!c@?`Q#yH=>;pBP_XD??hVI*3T0Vcp=XFVod!hoE} z!HW3=GqM!@>5X-1tNOM^A37%hQ$2(Swif7Q+6?`PDO2{G;FTpFz46Y@uj0-Gz| zk&4jw9H3O7*-&PB9f3@D7jM4sM}kFbf?MV_ z6lbx$!M$HGjxN)dhf0W_-6w@tUmS7{=KR|UaWz|#9px{LmR+yY17ybN2UAa>^fJAe z*g4Y)L0k!)#L67;%)bUx5H7=c?^~b$a4nj(nqgveEF=R(iPwL8wnIw1hc<$$S7~d? zOXOW1@KJb+)tkL#T!?qUz|VF^jvjOcLxGDIFAA=a9ZSvooQ9E6G&>dFhXH?w=OF~) zB=~k^a_-*r#6$R#@DwO(c`lJf?F4Ao;>{7jRkKT+{yl;2nzGAI>-o}o?Hxw?x+mv` zo#}kQX|-_zZe<*jHDoV45|Vix9P{N_R0I6eyUgEXB-CwMec7I2%ex#=Z6?Sn5jEY6f zsOUJ*XTM)BYbLWZB+>lRYvwWeKHBtZP@`pA)ChAC7({+O8Q4>JI|g3S4L}L$wHEMd z@729Mq(8SJ5U}O-8S_mXtDVO||J)cM{xUfXq>=smg-T+)EYRLJH&N~^%iB!uc#I=-QwFKXDx zSygDgbGV)a2hg?NF5NQh-*KFMXJ5jvw;BIC*z1;e$<= z(41iNh^SPK#Vnvq&y3)QCZ&I(kDcDK_l)grW{U`f2D zqVM`?j^s+6%hgrSCt2@K6Hm1LHh##`-6qjNjQ4FUOVjFh6Jb#6EWTgwZvMnDRa=FP zC+Ea4bfq8hU=6Mg`rDhAXn`V%5I4%9u}JGYl{ij>MoTBzlFW6-40aTFB+3x*K9d^i7LptP6tp5{Y+VDX%?_1G(euw z_#)ta{_F7bdP~VA{<(9m>RU83feCX($QX&6_uckCntR`s#{Ede>zL;}u8pp+6(^fa zg}wx>@Z?ek4HJD^Z4>ru^8+%_OHWyj3X=mfiG78SEmT=emz09c>tB)i4rXJvAIgoQ zk1$E&;zpb{MnTuX!I=5}1stn5hW+4@9Nrqgb+<;(Mxs;Ri_J~nyNmER=}p9ybnab>2>1KnLDhlikhpW zLU})|wlCMdn_NHaav!eyW@hRuB>$6%>p*qw+DJR=tF<_P%s`onm!Fv;21>y_5D?YBEI%PZ@Guhx*j9_ z3PRd&#$M^XtSo*O>tHT<8kg?RPp(!l%v&P7W0ge16(o*uKC8I7fmiHZ^Rch}`eglc zD~EUlUNQi?5sXKGnFu0!IfBIA$$F+c2y(CgX?rmS7!K+#<~e1=<=$6+LH%U-Ywbu) zj;F-B%n|Uwf1dDGH|%y?VD*arCGWK_LKIrYU-xUaIUG~NbLROe32ZNfrs)3?O|>DG z3jNV@%pPJFmXtZ21Ev>0lHej0xf(zLn|WaCYt1I4Z{UHXb>}iVKLn0f4^3wTroc{h zopqRbY}9bgE2vYT906wh&n1buvc&(pneRTgA@6*)QG;MHpet zZ@j{$QU8ji^dc$Dnk%b#?8`e7w-dr$iLXWzMb>u83j>W9>U*hs;;vu724R_*h`dD9 zvRisL6z05hok9crMK z?u+n&w@zO!|U&)KMsKYhFAjxti+1q;Yb z&#rf}h&a>YGfo2XJ;kYEl*@Uja^=+_dUb-?kBslObL!wIKHzhG_DskxXVNXA{X|#s z6-0lCWGlr5KD;1SrR(PF0l?jC{hY}SjWxi7y&ql$+$uC5=9jPhMBkE`T;A3nTnh26 zC{B&16>Y}j*Z0wiW$;|fh_0Il zbiT0X6&q^Qfq4xk1X@{^%;NF7v(uN4Kyc3xnpvJMmCX{#r`WlAcxy-V+5W_2)rc;_ zlv6bPg)*fr;i`u_nE=&>vtSrGsk)BtJ#rXffR*Hdona7p&CJ(Ep_*kp^z{NHS2M|p zP=?eO*!9EZH*6R}(ViR3f)_#qsc1L*L|O~fp-wYzKM??}H*5PjFThr9xd~*f>#_jN zi_gMjNj=43=t`G>wj+DBpoReu3vS@+a{WB zA?RYfAXG4fWd370Rxc$pm?ZJz8`R_G@AI)RyCAU0UTfjRJ~=@o=?oAdko!^ArQun; zWXYnJ+DAx4HzCI&>gZY<;@opuo0RSV6TPX%KW{~d%2fR=6O|OOU@9OlOcpnxU(8xk z`)AW6Xg!R;!zCj8%d9aJj_tgaQiAvCf2`urT@bOhcX+AvY^;=%6|)9hsHYo4cAjog z>bodf_VT-X@x2;4q0RA3E|qgIWU$>4411e}OX%a0=)0)2d(C_^vxwhWOKYT_$zn50 zpW0z`M@hQt|3De+Md}-POR*TRO85_!=Afr@soRd+pHMR3oUHje1ic)~!PMI>b_%g} z9!RgZ);Dq##~dXzA=FO*y<2Vj!I`aJhq+eHSP?6klOpj{Wgv3mK`vO6vq|s--y1=Y zU~hzH%UkIcPDMEt7FTIH3J(KR2-SYnb1#I1o1A~@x}OF1WNYMiJtuS9^&-B?xqaXv zeW(CcyUur4Yj(_{S`tQe)gR8X$@XNYc;xO(KfaG#H`%vw$xA$>V1=@!?#_qrXN@c` zSIc(qa|C{_XS%{!yxx*sj?KB2Hn};huUI1l_j1YJGI_|{2*>Tq#<}Piad$8!Z&uyU z^ZY7u*f>KFzF%3~Z>SqH`tAe>)-~7GQ;IrTbYb`l)puba-76Dy@dQ{hyb-8tnS3Nj z&yCTW0C&R8KxDCxQ)9ljrF>@d9|MF@gmdg31O+|pWE!UGHeLh0-{A_HOMiz--=K$7 zHik{876g_O^8rQ&N$Y`&&P-1MGi!pR^?$V?q((h{mKn$tf2A}=h%taPNsqp^h4}oB zYVJf$>{U-BiXK@h7=zLIsnF1UKu#S#A+T%~%8r|{L7=#+a7#x^;M+{HqJuG&jG`A$ znl@va>rt&&K&F1wNj!8;O2_&)P7D!O;#N8>-ppKo8p!>ee(KZ3<16qIXry_~i-Un& zd0v@(NZES_p5dsT8@o>ok9G%S!rsA5&8NF1`pzZW*G3+Hom&o!U8PXVwt_Wc0NMP|l z>(Fe9eLdH7gLYh0BxB@;?#L{gMg=*jTv?`dGX0hjYY~rgtN3nK}D(m2o(?E|5og0uwQ0$`uf>J zfvM;RCBTmDK)RB%d8E&=V0{Qg^T}J^-HLBnDdCw?WASu8WRA1D+%+W?!=4KnZ&UZq zd-)e?NjOZrh@1lM_zsSjt_89$d%$Z+%1j;EblIJi#!mTXUW89ySy9Bnzi1gnuBQ8Z z6Zq2Fitw&k8$K~D6o6v_LA@5n!F{vK0DxHI5?1ugPgJB z^%6z%tvD$AJ+;ncKCFO%ogI1Kjnbo`TcT)j!Tga3-4&FK{a}*L5Nao3&JTu`qWRLE zaI~u5{Du>kr_&!OML{Wr1C>K)E+Pz}Zt!K#ZULqf*D%p_1E^#7o*2Kq>1Lb8=R6v0 zW@-G3!ie5h*F`*Vvtl-?{3lln(8%TWyip&31E^E68pc8#-Y>9gTG3AYMCaAdPEx>- z`C09^>Gr;jj>oZb4?`HkR6v(~D4=s?7HJvKkq5@Dx+Wki@+32m;eGrDL|ZUKz%eE7 z(N(5{8N;+FfPS5s9I#>zE^xuNHvmS>Q@i|^T{C5)cMP=5%0tj*rqq2z+v37sXw3Yw zq3M+m(X8o?Hz6I{+yr(YwSH)Dl7TYEp6&B6gG}li9II{5E`w}`wNoz>fiI8~&SSYm$GG?zasq48L%q`8wQhF-nEAcI0Xdy1mnJE`6k;}^e-TCrp4x&kI7`P* zkOhD5PA2^Dc26sEUx!XWw6^P5z!+OjFC?*nLSJNa=ZfS-w&bKObSckFJ`&I^^9Q`so>m$LsIl zrk_S~@Ua7wG9Hh7fT{L&lyERBvi7j52uuWxLYrt%Gv)$*B=Cp$V6l~+8Q6r z|9zC$mluidIFMFrWa6jm*5cw4aC2buak5c5+jH22my+R5iRokPj8#F;`*Mfr)eTl= zwAtOiKh12G+?eraRDFejXDI^avWA_3$R8zEKygsky+tOOn`Om4Qr`1NB`)IJ@n&=- zHdv?h%jk9>cJXI?KvpYJ;eLn!~R`l2-B#$bHzp zq_@u&lG|M&JLZXF*4OCDea8N2mOFAG9rmJu7Ka)7TlY`2*-g)t6?db7T^l8%lbJ)Y(5~~+d z8Aa&Mp})wBkgF_(HX_AQ#2U_V>iNS_Lq#?Y3dUr7uT~?Jt@tZ^>5$Es>N5% zJXjNxHvQg*EM0dh|ND!RybDz#rryKemgp??-x1lBZb|70TETx$87h&uIlZN=m*|7Y zrK{&H$0*q@wBJqBd9Cbu`FU5l|AVQeX8IFteDoGM3J~9GB-Hr6^L+Jnj|6!J`~d!N z9yFY075k#9tl}Y#VzAT*OqcJq;3JW#<}KA4XauRbJzC4_1{)i|U4SBA@Ve`WV-mnk zfFZG^_`RGP)OjEJRzT*gcd66qX6WpIA@I8OAZ_V_*zv9}OK;{q#MlaKo6rI_MX$+r z4bz>Pzi{>;&kT(%nsE~o^CN_MyEdc!&3LATz{7{%Hvl}YMT_25mD+6|>3@LCap zX6XC^{n;QjBmOx~fjWA@<8{~L;1yb~&*FY@X82rC@gH#*4wp?vT>da|Se+RSkVD=| z|4B*Q`HRj}t|8(y!QgD@DbCr9Ir+{SdgsG)(q`4UFg`e-9>X zsTw@POOPd1j=hnMx&UgJL3JTK1W?jenZJ(Zt&MGqOC%rfCjMD%l-|0E{GQj3UtReK zSZx47uTtWRW2bU|G>FsiW)3B2g>Fx3TkGjNg|k!=1^0SFtX%;u5zJ7m#cOL@$c}?9 zN_75n*sSfh2EOZ%gP-R99li6}Icr@(Y(POeFBgp2($+7<{$##oh1N6FIimG~{CqQ| zC5A!vQqz9Ag=~n+P(#NgsN?VZbvoLPA(C>h-Erf?#b^-z#(~$-d$V^B53PYMzf{I_ ztrj_#jS@9wJA@Q~Xy)K421SGs$hhx{c8!x$-cjWlv3eFS4qmg2qCCtCevh=)Qh$qj zD3#DL5AVLT?`Z1|I|!YnG)`QXc>rr5oNKwxIsA2Z0Cu@^x}|k&y$i7aeL@Rc*O*Vo zUfYuLawDjaO)F6a;c6zV1q%`Jx#(KDQU6Um%ln#8<8)kIGoeSax4sSNvpZnUWy;+n z=JQ>Y%Z>Dz>Coi6BD-P*7jFsTH>%6e4)mtdBU4WX{b&gcgO2XMh5hP@BrmOF%G~^o z+4pc;s3qn=Th~7?)pMWl9V0$=a_Z?Tc)>Mq0Go|&pT-hUF3X)>p$K*Iui}zYvF6;N zYKyzY-@d?Pd_DHBZ#&k=@=TxKv$9NjtHV#o#TanlM0%CVf{PM_@OMkHTgpu8OhdU{ zhM$Lpl0Lgg8mwxS5Kf>P#Kc9D7SLNdThlXx40@unWXg@ZEy&0btql?-iw-&|nfdQX zzlcYg`D}tXl&y%MzXYCqw6+YDrkj?9O|Dib1Z?jaZ3jG{zT3_EepBnher3NE9k-Zjw$rid`8f4zYK4_ z5!qFK#l_}Nm=Kp7uej0@DSxqdfQ5DMbZvKQB(Tz_Bv%ce2LC3Yx%SJ5VUd6mg)DqcW!Py)IFr93^g{~O4*GpB zeYIAbp*bv3Qr1;yNI(!N5yL1E5m~7M9fHV2G^uL-ah9%=IoG#`Ul7!k8HdawpMKNT z%`0jk@@^i>flt3h2Xx5BA6$cit^C4TE69&$Iudl_S6vs=;(fCexp#Yw0>$JH&wnNG zyiq}%n7K~HA6|>yQp?^A%63o%-kePbRuERxxh!n;mA?$|mL7QXSNSn9dXwTlX7MLi zB{%3VP{aYP^ceWU^KqK+m6+GVd}C?kY^#LQ1zDoUirAI5by7S#3^vS0uyosD7uW9~g!{iwk~4?R1Xk_#16L z?TqzDI67f{TGFaq&owmk?$yJ+WTg2FKr6Y-L2x)ekHifXZeIVXDyh*@43=oZ@AnQj ztX|agBUJj8*rb73^2xMO_=+6^Y`g5c3sf_}w%1{jU$MHZ(tTpHwUXXntj-imTDndO zot%HKqle*zd=drg#-v^t^P~cDn*#|XNZn}Re>}RVbS&svvmvzA*@kKs!%dM3-MLXX zc(_Sq6K!O_`kU!w#G7L#^hhQ*;G_O(V`O&>8{t0CRKM+vpN+s$DWZ7%xL)rlR&I7Yw^QDz^ zlDCvpz#vl)Ai`UK^RyY#cdS!QqMYU`r-9fp-odCrCahMe8 zf2BGWa$Eh=0l@fO7Cf9RvxKE2`S_8hxmP5{Pv|L_H1X-flK^X8WzF+;fyQ=qF6c*B zaao24QStdCGrh*&bmvWF|3X@M;U8JUJ~~r`I7x+45jOD)m~&95sj2=x<%K!&Ddcgi z$=`AV)<0m?W_RiIopY82zkBiVkRSPkLX3n0BIEH7%DsoTg7P6)pGt{xCEU45Fhvu2 zpG6>OYt-iRUn*RbPHwIl$)s^Btp!rSe>joOO8}4Km4KL3a112`3H^Ox+Ij$ak^$e= z)C9+_eo&HQ6((QmzX4)i4b@IvoC<~gag%*@DifYxetVxV#}}I-nGH#()Rz=Cej48N z5T9nK$bp|OJQ?r4zp{XcsBKyI@L2K_j(q0s8B5fT4XS*jX^#~~92UrU~kx_e3j7FsDe(DwDkwc`3xAr*t{>FBWXVhU)% zo_7n;BW!#0$B#_=$BZ~yMk~Mp0+hz6P;6D6#EzA+l+7ZQyu}%{YS{0-2fgZhOEjIB zSkE8kTTc|CgCu;5W-cm5=yS(2&W?Nu7)ZIi{?OkO_-y*6V}OBxX+g+igO&^a_RfMm z>=~)itm@uDD9u}#ph3h6HOJq;%Be+^+r1uzp+6BAC6{wc%Y*KdJ&_%A<|Dm>xCqjQ zzYPv$RvroP2E7L-J-NRDYVUIZm0pf6lB8c7-VH;0+60WZY6B73y0Hp)Gqg;SEj=fP zpo)EyRLaCkc|K`n9Uq|Cqov+$eymfwP7pTWm(@-?QvGZ!Sq)lt;P+VV%Lw!dqod2x zw8`piVaZejAL(jCz$qWkp%|amE3SWHxr&Rw@E&SHSENQm^A=<~_Y1*tcWqDPuVePP zUz(geuUYUHdo+aayzdYBgmOO5&-d zP-G+PI*R9aizhiBZE!?-bhP3n>r)Q8vf%enhcEgkRSk-!cx%QS{NvJzk1TE8q(X4|;%!WBJXL>HARzWPr2T9DuiW0C`B@rH z9v&sR9+4S*Xga2#ik@so_pv{8=zJ3^k+?yu5oOi}Mh_z+=-Pv_Hn&sdA7j)kNZ30g zdcQ~f+&{2c$ga-4f5B7_FEGB$e4Xxat}HnUFQk%#3ZyxzC3domq!P(?!{*wRx0S2K zCgBa!B4&crRbg%gSEF0Qp@lW`12V}n+G`PSnR@lkTu5V6qnCuop4tiCj^n%?fhS3g z$TWU;`F1k$-D^I4{^fM>msLL_D!XF-np(Q9X)1y)(t2=t=XHvU>9DHukJfex18e8|p}FXOvkxOI$WxDt0fIwj9vS;i75 z3U`akxgzC=(U!g5U(e6HMmP^HKQa1&a_+~y%>=jr$A29DSnhBC6WseL2p<=qv+8WZ z5k^C*rw8wT9I7n~dkksl9{6sr4JLvK-gIvZ6X;1^enRIUd71R+&XmE zm+8%^09ONcB{vkg8_mB=lVH+u&)28JRaq?k(tB3Q(p0Mabni=y1LRYe=tly3QXzVS zu%SzWw~~6@-J-ifC{ib>FJ(+?;P0VL1sOi2{x0RZ;(Wm88w`<8;Y!A% zcDIoLx7KR~sk?e`XOKDDfkA&VN!eeTqQ!HZd1qgSqang2Ody4Oer~}7_l){ngx^!7 z^6L44<}ssmaQo;psDCo9ryNbXW8;Gjd?urWtvc?v_)%Z1c-xE%Z%f=L1kiYvewky| zm7k(Uo=}nxb<7>IzBt=gathpTg%oz>Q$AUZ#-rCC4E?U1p*b}hM!d)_M6WpSN!pw3 zPu5BLlFbrKH#755>hqtz08+-8&@!ge1c^VxkPl9c1ll4KeXT`YkLZqyhv2o?I5Kt9 zE_{jgH*o%pw(%6y36r%qF3g_Ee)6#LUa+|_&}&7&EjAsUGQb5wW+H|Z98HRYKrJt1 z3DAD`@;2c}5_2!4vg!Hbuv3|6TxBGMWKvDqlsKQEu+OQlF|W8Q0{!hovQ2kL6d4l1 z`?oOensvPqsz#&Q)hUPfG@K$P{e;iw9s=4A{ElG*E}Rgn?MvRP-l1oWP!bAWAhDP< z6cvsR?!Wi2;HG-$=Oo_Ym5eW08R*)qa=Op3fAac@5RC-V1Z z1TmvxN4oM&;|Nv(nEH%Dlg2xss52)kM~oFktuN4P7w_OBM@2rfS03HDcZzXnS1t<` z7gXjzO?J`L+ zbnvkKYc{&}a$O3=g>pCFg!)EHP_DM^gtXP4;l^jkTy?87l?V7ydzH;G5%;P7KxiN3 zNE3*W+D?yK7%}Qo_NnAeO&b&|B^u1BqI)2V&0%5k98Zy|R zXdN_^oTX6*y48ZGv&K9e#C0x5Q5O#)6(NmhKk=xY+-BXfy8%vAn zhrIUB{&PtLt12QXfiEH!6`KA)O)GonHW#m6U~`bvr!u$inh$jED9LYDTHE7qk;>u% z)bdZH8S0vdCm7IebtCiPldQeJNOmwT$)dSl6DNB5do@c`QZemiGBJSk=m%EMTn9xV z3$e9*Ygy@Kz-k9w>E{ds%PJ|9p|1p%t_VC@MXf_cqoX0Wf5;C9JX_|u)Y3-XW$ZQdzEBM)}RxZ@3P`izVhLxw#TjybVsK}#TKPKfsY*H++mL$L=Gn*yq z0Q)P}>rm2b`;aYFjLzbX36mt3#s|&N#AEyb!Kn4~67fqN!k$-tleOp>2oaz;j7|NT zG5cn8Fje>}15VcTkh{8N1liXg`gJ0BQXQ$N8e?|+n$VI1^@SHyEuQJDQ=bQ`Y0EB2 zL(S~+KV7<{2V^Y$`a@4rn1=eE5A;LcEhpv3L@FqAjM)1M+7C~Nqr;us^}0XsQ+<{M zhGLmA9B6~cY(qmVLbInhS@lJE+~D{R8-kJ^FXbLwa{KO4uz^#kai;JRjX-a)@RHBo z9}cnaSEr(O=N5=P`3i*j!i)>#9oc=0i^StHOF+vxCXSo?&Q#lwNl_F z=!4Ya%$oZnpP&!}T`yOJc0R?IzF_2yLCj6a!iF1b`?IB_sBj5%{2WSg97W5Q=B>#i z-@W(M#k<~AkRn-fR6rVR0o3$K}|ZDi%&U5Q2_yqQg z)w_nxuvM`TQw+|Sax>eqdqFF$9RXL>^swE|TL#1nnCdf(@5o9(joSlp zoAU{12X{MIN;3=1tz7~&1g$L|_e)I4j9caqrlBaqpP6WpNLkm_Rv`dq=S~+dpeEOe z5>DsW%0p8;maVYXERu@&>7Kcv5HZeW@Af}DR4MS@W84wCGBIfz*%7YOlfog1ffkg2 zF)$`8WQRO<{Olj=W6C=)nE7;fF?J<^^4o_A$l4yWd_d&|KesL*Tq?FXh|TwffCOU& zuPGG^AJW%jWqpos?L32T<(z?p8F`T<`KQvC!w10JQ{m3hbPqyrjsW5FShry0?C;hK zE4>2s%b-!FM_FRa^46E zej4p0{Nvd`cl)E$Xw6r{yVL5=qCwbd@J~M1#2^90*?vz@z3<*d7Ko;(1^G(2+)iu? zYMC()V+R{Q(TdPDrCF_D9GoN zNevj_=?@p84=yjn9SeYtej{b$cf;%KjW=s>y0`et#jE#{e-Td30rm$|$z zgnfD4xboZ}Xd>s1uEETZ;W;9-Y3KgP&rrl-TT+VCWjQElEu4RcEZq)!`CK%6o95uj zyw$V2E8I+_kh(h?JDkGYQ^vv6UN#jE;RPzId9xc?UOYjcZWg~(86>D#3gCH;xHWj^ zDn3+OHuVD8*&9Yt7r@SXK+e>=eo!HT))xLaOtK{Ghdsw%RN#gO+!{AuoKuO{pr0V+ zk)S@Y-YF{VgiP-!)AL(RUX?rBB&_rWCVg6h5dD%P?2NfLlP2*gCB1aa?Qg#2KTxbL zHXO29IZ6Hh#IE8X#*N2lBxt8($vUDWpWtN>oYB;ew$ zw5?$SNAJMA-mGAg0!CP36cWX%mmRNRBZCDtbA76Qnpw`*j)-za)M)Erph_gJrKatT zn0#-nIJ^_ZvFF{TqdQX$zOOP?W{s8oNW%t*VJC%IUOP0$%(C|iy<7Hr$gCGzaE4>1 zO0kexTJa|_IApEm&uX|F#8<>Z00c^DI1l0p6-agF_`4wN09;l{mfDmJnQGUFk!r!1 z&WncYpIsgY)Nj;HN9ul@#g=|@k~{9j%t4q14$NAA zv|4?#yp^t&=fR*OYWI&X#SvdS@h;vp{chjaIwf1`y{G^(x$3dZRaKCIUTl)h4OZlo z_Funa00IR5%o*7+b1}6Z`zrgjaorTCBQQv(5E=rDvXtJSt9F0n6)@X{ah~8T2*<#3 zx)%+Xod>t1bgI#hyU1QFU)vcmpM|40Do467K{V#}g!R=O$29T19S+XFnW{l^T~l!G z_;-?5VK)x5{W7Z_v^w=X^8Z_&F#5CM>z)LnD{O@{0w2vvF2l@U4)ubF$LI-%eWtNb zBQJw*6zTaAiUwXo8}_p$D~o^QC^1dJL%wzEK_7G@P|KQbtqYO&P_oA!se%meXjE%Vg02k8M3EVQX%PR-0zSy!X2cVupRK4gqd zi(UP=R{~yD3}ad{Pu@)Coy1*L9;S%OKRAr8A#d7!9 zz&(4+EjaGTRjdBG9CFFQBoa?EvVjumh_|tAVq)>8oS%yVXmR`34$Rg{ZhjA0a%|=xE3{`5S`na>t$4Uh45{ysOlk}96-6df&o}+E zKY8`eiKwo*@;tjGUhKLIIvjoWIWviK?m*7I=}yZ!l8L`Hp5=2sGSgW%zovzetG+In zO>zuW2I{ivU1kuEX;<-0Joxxcfy!z*C;JoM^5^Q0B4w@c1@6pfDJTp5nVM;U-}rW5 zS7$_O&hJiRphO*^v@ck>&_)-P{lR)cjHsM1vYAHAe2-A}(+R@>rNn5EM0T-d`8?TF zex5tNZOL$;7U81YmyVy_sdn7ePjlj_ku!Od6H!4)aA16DwDT|y5(}e~=c$v{NK2>U zUhBy6UygdJA-q)`0HNsFFefGD4YCfJvn8C$ptm1AtoK-+9yNab4P~|_Ee6>`l3{CI zKAVGugiSTtKbhky#1TtYn7#Z)Z%-Nc(VaFV>s2-BQU;*zFP0}r5!>zYx-!=|g%tNu z-PiWsjz4ipI`#^d6-hm+&s)OL7N*dB*<>qoehYjlrPD7jhr7F5%)j)QTCxmVh-`^rxNb2f!MlKb~d&pMVMp6x9{B@=+>iW&wPJbLO83N4w3K$yd z7ENR@?yHq6hmeSV4-6mzmJ9(qx6(2>sfMc?h2b!99eT~rcpAyJqAqE#e!pu?x#^Ty z6BslASq@)a2Xsu%?Gf?j@sjquKTW z7dyX?GnGoQ=F6|sr-#0k8;!M`sE>n@L>*c;sZ!oVxZ!8HXUitX%#u-~?GNx=(?^{< zIVx|2TB9;#U6{+@7d|y*wu+aD0-_$&Yi#DJr!yx4Xc~Yn3-Pw-_mg2lmmO3~goBu) z{5uOAqe^eQr-WEjGziPP74WN~qGBt^kq0zj!}VrYz0M1TEuRf#T?q}gi4#x(gzY-S zT>T(#ks|o%TEqUBlrFk$VDb@^hein@7MFGli1Dc6F-`?#DG1jyiOk7F?U7#-mo3c3 zyoZj~jf+%`X~iCic$#8X+p^e%$n?(2NoQsdjf?S}xdhWtgdcmJ0 zPE&lV&OHrRKHkF~Z5qCA^RxAbC$$k(@=A-$u(6rv6T;y4U_R;;6opR9p8TTSu!vm) zn*tw!5=ltu8Rb8H2N8WVc>|>-6tb_CQIxNfzc=JDx|fLNnw@wvVS|q@3r~eh=VFl9 zP8!G#nRV+vEo?D>Lv-GUK?i29Xrm(Iy4c>$g}&cwCf*XFo*&5lN#n@_-Jdh3?#vx0 z_2rdePqK9dV>k=92Fm4r)`A&EUH5pbVM9cSVV1NC4v+Zt@!ZMg`hX!?Sc4_kcDk*G zTMzdsZ^N4%O#|cjqitg9Z{PBZU=NjOk~qtXUb$#9s@i2}!XadjCc)u#JLs;SCYiW* zVh##gS|Y?4khAwu!tuDFKX_?2YPOa^Q`<0PHAXP2A&|DxuR0c4TX0vO>)mNt19Pa` zzx3SCWe+M^B2-SWQ5f|3CvsYFGG)3^Y`ZR=LKKP3d0)FUMA>RA_MADq8bdNveE&rR zN3w2@Uje@#^x$Sc9ft`Imd-@cWQcul`3Z=o>5(w!2NR3S{$tJ1 z3xnZX!7KaA%J1GH+f$C!m$o;1%spWlh`OEVWmjI~U-cjm>yC5E_aAvQ8zR^NCZ}?c zgkz`$jgH}TS>i11hf?8Q{|t$8^fgi4VgO^Y6s6*t5@(r{@}6z>9kS8`pLpmtTLz%k zaP&q~Z!9>yQPoSeVfa`ky*x>T^OvKd_nPW>8JvKt>^Iqt;}wzUf{&z*gSA3rZ>SX1 zG{4Li+WZcjdRy$|q-?Qc`+?TN14bor!QNL6Q&6D{LE3(s6wZZ}J)n8Vr8!pDUgN-~ zky4SajjU4EEcS(bn$y zmmNgVoWp79Y>mx?&v<&B2+SZTs*!j-tI)3_n{?q?tvcQM*GK+n7x|0enOu>XtKBHq zxAn{N1!R&kK2oLgQKN%6>;m%OTV0mM^&NU?(z$qcQp)?kO#NsXpuJ?5v% zJu7GU6z>|A5nNc$3eUhJLk)doWk}Mv8@^cm?9qK(i0?suYj~jG)4H{Mp9l;0RHoB?2^y)P3Q!B&Cn=WLnwqSWl;?| z3F3)me(SW_xiA~{0j_97fXUm?HJkp=5@*3*57geW0VDKoQVorQI*y2`e>!=6c}7`_ z49{TPZbx)+-w)zX1c({oTX4ecUs^!fqNLQgMGCVrp9MaRkjjxL93-X~8mZj3_kv3u zPRMo)E4Ric?d_fKmHXkJEe0|hm6c%1*L`Wxs$Qm~spNCmGYpVKw{7S9DbB{1)kAG@ zWaZPFm8@qMVo{y#65)@+$y(I^Q}y-_7&qA{^-1E2z`6P@mH}4il;`>Mb4B%oQsz5B z$BQIB2sDd#7Tfx^8r4$@?_QPQEqNasKd6Mo>6%L2^HMlvB#>Z{3MVlsYLU1a4gy*B z^n+?|{q&ydz-kxBK7_t9Unm4T5Sv}*!j6i@Qr9jS7R_%`FLLX}1(Hiyi-e%a4AA9Yp=Neoi;^$!fwqWRr(^ z1xEZ8_QLFX&6DZ1C9vzWs*(u==&|u>)BuJUCcnEVNqlp_e%BKH+l7}<^73JS1RW-v zsW-c~HGC@I=*;Ve#OOGG?kJ-q6v;5~tz5l1<@Be}ZN;aDxE&q6{;PxKP zN5n0M*x7#Bg0IF{BQf(O$KAu96Yjrsu~hSqaFdI6F_(j%g`8_L87CW{<2*w@7U_chp`k%qAO=bZBI>?G&m+5f4rtup$GD}A6A z_iR4#?BB9oSEY}`aP5Vvhn|63Ie9;dpJIDOBO|`%^<95AtR+5|1|K($|GCJ=4 zp9o~E={8}*XSF2ob5?u+GnYtSbMuI}7Wm&*cI+yz`uA!68TS6as?qr$z}ojWU3WglxjDp{YlQCA-rAKd*y%+8 zoDL|2jx?DyKWOQaVLY3YTVV&-^AW{MDhzHdcjvtM@0SsFajai=xS0MSIY!)9K$hGu z*cGM3nlK4o`6H)IKGG~o3ee#YAiUi^cK9)?N_)HfPuo!6MbZY&SjC#nktg5g z-Z^9_NGTxwNyVPxp87WMoLafcT_tz;uT-cEqqf%JytQO)(9RLTBDW1aIbT9Tg)OuW4;;q%H& zBz2)l9!4DXBRTq^o_-HE#lXsbh4Ii!Bc%60&P>Yu;x~Qrxr6}e*q!y$X(ry00K_g~ zwr?8B&&S)b+UlwH197L>jP2-X;P;|`k0$K4zpqe|X-cilQTV69iRhj0koibj{PwVl zT^h-Q`XiJ)7Ky5KlSerYVpd4IkeM>d%n`Ye(zRex5r<8Q>-&2zaohec0Qf)$zmJWf z#ymp5Xo-amJC$iWbXuOBe)-#u)cbmG7MSRHolib)vWN%TJplMFBr~n zUM>!`J80I!z=Z2zn39QM&k?h|okRAr92UnAhRa^8nAu0pCDv#9h1=$OkD2u{yvoFW zW&p%$DINzd$4UCx)`k7V<=CXF8`KkdzU#53@R7maTzx2~!i;cH`2>HdOsaHirM9x+`Hjmbw{pPC=E^`4LF3v^KgdZiN^C%hfBI$G>RO*4h zx(GSpD2m5@sOgx*$mTlCHI^djvghTvqtDZx{u$K`Z?7 z%!RFG*;ZDG`Kt=8Ho2k+c+9%1%xkRb8rs%qw(SPY{+z+#K<%+{v)qi?jswyBTto{` z4-FiD?G0?7@SxgLin_K&G+eU=&b_0+%YF77S*)Ppg8>xpILP3oz8J_gZDz>DKVcXNSp0tY6}J$GCN;#f8;hZVoZ@ zMUWeaA)ezxq#zHmJePGh#+u{fsO+`_Fkblcy&QtbN5bVqq%hYk?}jTDM^)z(>JE=t zRbmT=7M_#YHwIz6vtr(S2o=3E$e&AvAqIym7RPgNeSRy-kZ;>q|c46=CVK?X70SB4-ABp608#9%cOgARrg42SqShcz;gU{Jz9g!nwSk*-MNTwEn) zI}C`pE><2fl;U!k7_>0_;r6+m(mXq8VR*){ih&b{&z%d~%qk)VN(^(R&9ZK@o^rEo zkNL`Vv&xBq8N(U|C#+zy0x0tu+gXuszMAcFs3HS2>zFTo?ktLZ!1c4gSlPsYF5mPO z``KmI!}T)EV{pU1+iTj%HnDHnr`%^A4+dAPcH?V2W?U~rIxFxq=Qi8TfRfMieZ}T| z=}I*QV+`0BLNZ9?T__n$a=mPa)gPu`*_L!w7K2{C&fVqu%h8B!% zs70h87rEvlo)ujSE4RuAIIM3Rbw|h1dvhyJ-qnt&_DW2xthCn0@Q5K=o-c~=bv4#| z(v@4zL;^F-WjJ#0228A}#!Oo|Ce~IXCmgqi9~Mpd;jbxzueJyYx66DjvDPuZp$5~f z6_{-)x3*I@G>4)|Kc?F%5Myv)F7z*`a?7v+Gpk~3-r9F>eTnrvgSX)8yK$4Z1jif` zaNXRD_O0vTWX08%TD#h5eHE~#0=TvgFz>fQ6ILoQ*eEpHW5{HMLFV~#^W3e?z{lEw z_HuIoJa!nxFohuygQ2yRc4ZX>>mzmVra_|xy7`B{k+xe z3;Ti9aqM4)Uq9;vR+{#4+aGMR`*5{cw#Bqz*RUOIF-+t!G0n8XB)*T6`E&VgX5V={ zxsU8qhD8jy*mvANR#?5zYxnb~O@DA%wq=!h@HjS^^M&iKOZ6#(v5&2`*L|Zo2HXes zIoHMIx0!Q=$Cc|~|L|Dw9AWUb-7L>zzyNNyY=*bF#KAE<;P)imSjAF=-dE04jshN?r}_;1Dnb(MMOkI zL`38g-~{R%zQ@ogo|l7ouFI;>iWIr6;du-~PE}ehaa~+E!Aa-Cn6D{@zp?D4%id7vw|hHzG%K1;o>~=)n174VFc0j~nhyld8aNP_f7>Y3H zVxYzCu+oSD2Di(hYYdgrc&Hfoa0ni&br{BQJKSDPksYF#eX`znE(}XJB$4aqI#`*- z% zEywlFnB~SyI~Yc-EVBc(^m6I2iNo<2>aYTe{brqarg1#4xh#W9F3Y}R-*4b}KNz6#m@;f* z^%u`O_B+EVi)ka@%kz!loOMji`nXQ^IV!u<9lk=`v&98ugh+rZ(U3{zT5?K!v@{;+C^b8j)^V%ynQ%fVpB3hvB<0TDwh_FX3K8Xuha zogoz4Tvu!dKxqtk7*??w&21KD;Knv{pVGK~21Z=gVwPhV#GkqUTrc~Pzay;bV*ha{ zBdfkRNjr}Thn#ZR^WnO<{q!X9>?eNZa8V9FWT?k|=ed(Ptd?y_hiI9{l)+W5d5!1D zg$~1ArgX2!I}!6EJh}%j{_Iga{rU;?nLk$V&fyZV@dIok9zie=M%4V_dDlL}nLnn< zL>#eL6ftW%=I7K)mmJq^_AAb9nGY%aVES%u%j}rhmiZ85wiSOjw{@A>=LYx7Jj^k( zcJLN|NDR7sc-!)c0BSh_P_QPdb|2CGc}7) z#QxiK!OZVJEAk^=^ImhI%)bFw1^!)%1`(e3A`~_Kve-T)V@Uc>;N;7XW6u*m#LmYb z!oF8`Vqnr-oV-URgFP7B^$YB?_V>rw{oM07ITE*u0Q!o`oWz>yhp;4=H>lDs+_2>!U+XqfhEN@lMn0;p$!Ofrg zyTW#*zGr}Up+mzMnDFj{j~oZSx!0ZmJsoT@z_PYu)_b9UlUd2b3M7VZONAjkG~5P5 zBL*+KhJe=wPCt0JMpkjLdM;fR#G!(BuCRws@-E}|9^wT2m(CPDv|7A90KI{+S$o?)&FyKlpb7`F3P9kA0@WVdLjE`bs z#)qK!W1L8&LJaeG=hEA17mAv{m8ZA$&bODbt<*(=cV+^U({q>) zM67LDfypJ=*5#9KuYJ6@Um^JCrZ6=*iRrn3`L~|?mD!J}H(r$VI?RLHiiZ*M&0>0T z0#kD#M9n{NRw$WT=AGgD)9d24A_xb(n4TEJ#H`t`bO3ruwq>N&W9?TgV)knqN$Fi~Uc09nbu){~JI2gMW{o{{7?V81k9F@2TJ4)T$SkS|$-eY`!1; z&-?@(y;JaB@v5g(yW&0shK`{3#iwv$EPm?R=nP5oiPJCny=9)^a;eboAf+({LtEV`JRwk$Un~@Vvz`?A* zEW@FP$qTq@cAJZShGv{Fp6kywpV6yNOrm7iYgK!7-_~l)2R6E~)|#s;$f}wP4UQHv z@8g{ur(4V6j3%w1&*O`rz( zw^F(a$eg=Y0%3h!CRXuq*xzgYcG$sry6!OBxw*+6+E|@$SMw}q)#h5js)*wIQq_8k zV)OYrhfy-fxxEGWX#45MFRij4A8fU&nb_y7fNC)N&iSG^&(klN2j?JT7{>}H_8Ehy z3y}`tc+N4n;rq-vbQW$m9r6+TjE_uw8-qH&pZm1bFwjia=FIxjxGe@yoMY>5a~wXi z&i=}3HCAzPLVIp|v392M&W4Yu|hiyB4FDefCV&z|S-_&5I) zfB3t%!sqV0AD{i~7x2rUx(}au z;LG@D|KWe*@1EX|9`Axb#up`yz}QjjdE&3}$N&1*@cDc1#b+PYquznf=EAT-m1V=sIMfAQ~s17G^&efaDHpT*}t^C>*|na|@_fBXNz zpMCd59G*&8L-n&pEP%ksLA>%0{}cbs^x>C2^GST>QxD>E4}KP(`_%oo|NbxFxBk_C z$N%}sP8=Nc;+j89e-#{|W#1uYDe$`{bw1G5Z`oZ_bZTJ@}jWcYpjn{Cv*< z#)FsQq>N#tfj?*V+_mp_XK@4X+N`||JNKYjHPJab?e^Oy8@O+?Nq>BZQN zZ{X!`{yCm~bO#2;{1^W3Kr)KR-+vEJKG%V%`SZ<(nm}~A0|PI99Z&w( ze}hMU_!9cZ=C6W(4`K)ny@jI>|4%&eXa5~fKeY$b=ELPu*CTQTB_oJ=yU_pSpWx9y z`Y(9qu^s3ip4W6GmylgS<*+7NkLBiAzt>j#PQq~!zKngoJgHR^Gb5fhAzP$6eh}?(}}|YSsBIc zTvrEdNKGiud6yXeoUtu?FRd_))lcb{_y>*w5$_y08L%fO=TJPh-|COdbr=kQtofbH zatwr4SK5=!vkiRQ)M)QBCpG6BUR*z`%ouQS&MUsoz;C;G54SsD`id1`44JrJ4A>T% zlPry46)VEHkF09q&_)iUO#h+gS5`ss!R1)lMZ7~L_c4uO6DM3}KeA6amli9qE*7`P zfQ$QmM~gkdJtv3fT`oCXl;=E;M>+^*$eV66u^k+WXr8wEiIr{)ws<@_x7w+;rQUzB z(%ZKxy6kT+mhP5#Q4;X?@51Xp`w{-}dq2jb&%J?NM+Y%s{%}RZUQ7>m;n;!Q*!A{a z?CThVKeq~%75VU(KZ;5dB-jGsKS4;|AE6g92Jy7g<&T3?F1@B~J> zkKypa6X+TaAg{R{jm0_U-;|5kcp`|Ay-(ri5B&h&f8tFX9Eu>XVKr8-U5&QJ3KYSM z$-d(_wEqZ>bj={AWew_z-N?Iuc@)l)2x0R04!r!x_waWQy@a<;df}>FiIuBXV`Xy< z%G^QB4Rx4p9mKvvBjz8z6{smIK;gnt;j2mlp~)^BfAvxP-Pga1M_=5Bu2~22%IneC z(u$VmdeoH{AlH1j8Rt}-7aXM53g<8`wx%c zXRjW|K+uD-6>G3=%}TV@mB8sAL|?~I96EFogL6p~G_;_pWck}lau`pb8?XQP+xX!_ zPvW`#LzrqGiJtG_GEQ+U5on^H$^0AYu_# z{<0dF!}umKJJ644=}MGVl%Xg;XVH)0iKzqV-th=tefSqR*)t5UzYuk|eF)7B=HH{d zEBDJDA9)%3o_H8?O%62lMy-<^7nP@ga&FcxqOo zZrj~hRc|ih-h-0<Gn#@*A^qr3+{}6 zp!56@l$eY8nhx_BmUl~JRhP?&+&~Nkvq97zGuLX>MF>_DSa(uaE@ z(7GcdtG75AJnxq1j>HiybYpT=m9;JgUA(Je)80Xpj?81atsK)U%dKIJ)m@X;d|jck zeACWqBy!F5pjkfGw5#sO81m;rm};xACb8!nUwOWWwGCG+acaBgVoz3|YYoY)>YlPD zz<0&sI62dgX9wScr>qD!Z@C`r&MG)K5jcY%-U*K(5dWw$+&D01-{Fr{OwH!sUj~B= zbqag@e+sutcYk>M048t2^)87{F3if!kyU|_>)D262rcNmbc>W6b% zae0OsoV1()3Iigpi`(Wz=$s>rZQwdt>BhEk+iY|CZi##k+s8@eImZ_7#>n-hho*90 zxeb10K*r#R^N;c8bkM}gD~4p;9=~#**(cl{!$HpJMWv<<3vqd#I31>44CA<;=>Uj- zrx{vtUpXAL(mcm~<1kTc`=;$|FL4rl&V}|$pBJe{bMB;LojZSlhqT~$>YOq zvW@9>GPvV5_nC8t>$}#hhkecSf%9{5yIcp`pNWAQ+sNg37eyXB;&Efp#|kmFiPeM` zN#WMA@XSgg(DxdifAkUj^yhEl&}ahrjqTX7!ikZ-X*im1!p++^U_-4F{?Tq6J9Gpm zPWGAOm4~9rGL#f~&Kg=Pa<&A5W1Tqh;t&3R_TBzhEB@QGze3U^4K-;bMd3+cj4%ndUT8ipiRg|(fnc*<(T1Y>cd#vLTtHB6#S1( zZiNsGxG_@oI$r$wOL*t>2m+Z)anqgKaL1OLux8~_ELu>BiVBihJ~(iYNcP#wg7yNf*7mWfwy0J32z)~$Ec+OH{5j(w%xiOYbCG63m0IX6Z7KC5QTlT1Fc72#{d5B zE7;#=Le9#~*mC<;Y+1hsE0-;nyyl^zJRd2l0d&^ap}ut(PHikQ3UiP&r-fk%e&-PS z&%BH$fBF*k)&>z=@5g~gk< zV&2+yC|$M^1@jjpw|oJz%PNsoT8Yfk`N%3NK)h9jplb|vy9c452j0;>j5|%RWM&~g zIYD&#k3P7;;qz!e@gB|`u9bC|oL9+su>Kb0@MX&{k=zRQ>__$918At}g3GUmE;buE zH%~!s$ylxOjbUt97S4&8NX#ondf7r`&s&I$gkMeN^ZFyV8qj^Vx_*xjl+toBT1>TtT@He zYAh#S#v!#5w4KD0-sP3U2eT~H6Wd1Qw_&8!^eg2eiPgR;7xq+(+@pcc6Se~5IhNfj zpRv6*#f>nbV^k^UNNxh0i6;1Cbc!2ca?>Ep!%oG$(I2CqGJY7XzDQ`X{?fjabp5nhLOnpIOG=l3)5#nf6AC;U_O(r+-8X= zxiuGrq;Y+{IQ`0x@$74-&=kx@#l~&8=eE^Ympy_b2bvHlzYh;ScpL6qHxJoKW*B^f zXghrr4gMmO&MQD}nl<|7CW=`g1ovP&+Rq=u;XVs;E0$oHDD&$!Y{Z83^H7xJLF4Z8 z=(JuPa*K-z-&h^?{p|aA{=fi&h3l~8fd_H_?KfaqNjB2cQjwaTg`C1-6y~NPK|PM4 zrYame+W~LJe3Z!K9~YyY)k2>^!QpJh;a~g&FYa!}P{Ia<$>iThHN@?Pt-5VywLPF?{yn ztynWJ7rB|~l2-;Y^9oQ%AJuRN4C_lury3cCTijDZ$x8lljt!zB*{XsEJ-E} znHXoPUdTIwzS@J>@yv60?qmRkTOPrKkKB!0Z&-wR1)0)6q|Gw3MR_krVWtJT@piP- z)}y}H2Tf)f7Usvwk|R6^&xDYF9Ah1)vHPb#!m~$QNLYCnwmozo?z(ve78Xf=ki1ee zvXEO`io)y!n7sYyYPg8=qC5mLD=|OUDtgOoNT(12?qQ77?!;5ydjWXW~0x{o}!p|J+^)Sg2_O*eeBv%g-* zt$|Z$JaZAaH z44m7Ai!HM76R518ZgPuOPo`lf;=97`ZW>v1lTf0?5Xr7z23Q7KRHf9*LRk8|!Qp{< z$N}?+Qz3WsvLL6072{^PXgMRw2X{pz`QsN|)hCN^l2#;=xI>~=(xY1<)1XVCdB6^% z-J_63y$jMYP?icGi5n#ypR&s#i7|rtnIvEHpj{!*+;K4&EJ;T1{0t>F7$eFv_7*L~ zm^Q7&=+dYOFs7GZ8HZR#mWPC!-x!VqMk0)a8woXMl1VvtSx5rBp5&v}>sJUjx+xwS zx`+!6XB2DI9gsoLspyfYh>%+xqxhY~6)lu_4bv}64u7Xr5lIX_tK5B(Wg}@4 zA$;g+NOG+*C2W1f^m*_arq3?Oa?`z%mRAHlQApyEpdkrIvWbKX2@{fFlqKs-!i#mJ zTOb3s^BNLG`belz4zw&{y;zRP;NK*bD6;{{D?+r9v|(LH7SZa9 zv8yNpUQ6PQZNlqVA08}oNn$vkQu(A+82#f}M%J5FO^jj2-IT)P;{7tcdMX*uRsWW(YfgSPNS zEMJg|Y-yltKG#O^DTF-OqNM39>BwDq8}7aDc5GO^81sv>ksj}Zqq`PIwx2`CRUx-z zk?$J7@c9?<-S5ALHq#1hdh}u3vt==gVkb>x3ZzW2sYppOBi7%JGsn)Lx-Sl8i;Iz& zY(dP7ERZZ*d`>v(Uc!%myc-wXMOeP=0X%f?ji`vfG%r!y%?U_IPDYl#8)uFjM@_#K znI#3t&6dT+Eb`*vXm|s^`1wv8>xxIwru*>O&uqowB(03elkZnUYm7y#ERIU!`cQT1 zEG~AbVa>=vQBgW#ud|0XI97%CUVa(dkMto_z6Fo}?iX=aMZC<%A9a0JNj+6MD^l_c zk*XcRNJ}-&H;-b>Qi=7;(!eDi=lH1+f_I=A=iYlAPrXu)@q*j&rLTMj8!FO}@*z!~ ziD(d$oR6$H51f7VIA7g~PFE6^-cX4Ine%40s0zV9+Jcs2uj0Rdd<;XGoAJ9#U)Adn@*YEqqs6e$*m|pgpfRA_Fi;v z{{c?d48uW%-jIp(Ww&GH!;fO2DExX+#HV|_RoWQDq~s!A^euz41?>&}@NzovkHMqM zLqevEQ8{rRd2U0tM)cO6N8|NIZVjG6(=5p?sHEIlE6m9mNXW=WVn!C?lYVuHE#i74 zw+7GeM$NAXx#hJO6w9Z={mn>f8&-%N5<(<~7|i^afe7Jd8FZnqG7Y1-2?&Y)!vOP) zk41OBj0=4VSv6FYtPn;XYYfISVin6RPizbvqO)=!A!#*|7cYOC6k>`*lrzDEp@Jl| z-jJ`v#^OCBkO;aU^6#O-Bn%ZN!Il*-=~EUug`i?gt^Tr?=a7(?h~=wRqHIwm)O0r^sX@yr5-cQ8mZpbeFwq^6L;}eMo+oL=Jn34OE5DQE znLOT59<$(xkA99e-!K5gjs;H(lgNAd--aSOAeQ;_u8S5_gStVZCY5M6!tGV*3g+IKM*@rBC(~vmpQP4r2n9F#q+%vevh2j$1~ zC<~H*B&(PPzq8F5hm7g44G5Nr@+PrIGD#siMK-L9(l_Kgf8+I)A{!E8lo9h{IxH7u z$~;(S-p9NzjeW;!*f-dxG9=B2B_7+C@?iayvP&6Am@;4Xy*Xf_Mp4X+kP^FL{PZ52 z?RFqoauZgqT#gmlCS^%?Ij9YBNGMu|hyLo{@z=k(0n6l}zEb@nyjz$L9+v}-@p0H} zHaW&&cR1nlFt$W^As;EH({97KUCxm`o)Qzgxws`wy8|x9s9+L26Owbv?qm+w$C;NL z<967cZg_kFe3IMnM?t8S*hx9d)?@REB6JsIRjmA-L*~qPn&foyHQBtlorM%S=#5Cb_Agjz{6@wW8!y zBE{Z=y2FRj;1$J{a+(eyF9sVf;=<80Xwzq4!F`{>I+<{%b~V%@alv}5SiKU9O#|pX zvj^`qdX$$qGq;%!W1TIie(xY^{IMv${XyJVmWfQ$)Op2~EXTqdHsHo&Cq_@djd$zD zG31(gdKz7Gl-tX9(a9z?ZxbV6}ST_uppXFxxS@ww?YA3F`76|WN z>7S6J96l*iAgqI4Jw)mo$tT=iN}Z(-24qpFlw;!RYX{a{%H{V-IU?y_?qK-rFZ6dq_+VqYr(ET3p;r4m7T&Dt=jRG18#EZQSGABJRbpoNt!BNqLoDa!pli!v1A$(~|X>K-As z2$EI~`JH5#J=u&gS$vbsVxGP8(iK-kl3ni;AM(MtTkd$HzpdTD?8#zeZqt22Oyck^SqD5PlP1leI$iQiqV>fgb9fb5S`6d%<{K|7ApLm{R5Yy-VOkW`} zGr}%{bRQ(~#yGhVVu|1)lcW|ct#~boD-u>r*C^MKK;(VwJFEu-{If3n&bl(KNS^Gk zY|{wgI2n{V+h3l6FsV2J-m8#{(xz+=%8TFGm*$9xo)yK+2q}|%Z)+Fq9yQVn3z43l zikU?&jirf!K^_FQ+ZDs_fUT<<$6kLDKl%3m!@vIh-{GJC`QP#FpS+B>57(h{JTP%# z2)3#>@zVGI1^@bkm$ALtKJokI5RysLj;7sD;>qtmjkixUqARRRA{6kz)q58EUile* z{LO#CH~#Ve!$1Gq_weIiyo(*ByE7^sv<`y*6 z4;DuYTwkQc%mgxs+bzBZo zOV?myiO90!7|yhep+CBPViZwaJ%oIsmyE$-BiRuCNn^6WXo;Qs%RyZ-j7b&9FCqCK z{wXvz2q#gZ@XVG%*1X?fFqQ7StuB*C5wDdKf1CHKC zbYDD)=2OyE#}A?L^hvbUH)3dT922VXHAVn_*9gWsYteaL+Tp|jDc4~%o;!=q=5~xq zKe|@kh*m)ZB}t0KlF{ZtV#^RRs(X-qx=RV5o>tqB`1VmH9+eX7>JvuHs9SOI?~8X3VOw%vic)?EGt$ycR8@Ew7v?vAI7{-fU%6chxH)Q76ErrWI4yvty88s z8LS^I=}3ez&C7y>4v7~MPZ7c?LP(InB3Th3oe2INvBOkn8qdj=R@GVtPig(P6(HQ)=!klrC>cs zG%C+mFWG35uGFTtVgY8B6 zv+T?(k`~*IL>VosB7K5jS=fFNnNaquJKODY{g&m4+%vhHM5KO{GcC|q&dCJaED?1} zoHZDqWgMet-@^8D<1kii!mjYlkNw>t+Si7POWzs2>CSya(p|}Rg z*SW)kk@^GJ^NXM0zyJGbyt3yw&eXJ_yT2Fh&Go1|e+nm$9mA1R4d_#+AuH2}jy+G~ z?VX}f1+q}EYz-EtOGmmaLJEo1yYI(%erF8^tcA!b$VA*o6^=glEqvpfKgae1XK7vZ-YARD#B?Oan&nx#qKaK}_=6!F8uH_f9v_ChLBwfQ*KM%y zX%QU7V0#S?UnRH1kgFTL7x&|Zm#Z*Tau*)CYXvH0qBdMQQB8)NQmeesX!>w$$9eRq za#6Xc07aq@Ot%0Dc}8Gw-G}F%Ig0MgP1t(t8mufzLd*<#QTa5+^x@>*i)eQwBB!(v z^Gj2wt#iolLa=uqUU>Epnv9FFYU4U=T9gU%OnIr)Fz9+veY_UU{d&ab7Gn9LEW}K6 z+qH!kP4B&g!_}h*<*mf(O{-9usF|Jsnt)2BfwQ|FZ5Pj=YSe<=vvIeGUo`c{> zHO?M5fcL6B&@a9nzqM^XBs-bErjw%zTJ1PS2HJ7%NC$d#1=xI3AreIKn(mPc+8WVt z@&Ml6-vj5oZTQkXi;xqmhi-|`Zi!KR z2q7oESitB z%oLe-f}#UC(Ei##PryvIp7_vLF1kmaN?Dp;m|A3W&?haZQfn`%rgiC#v7uiJIf5(Ai^y z&yb7+S!$o3=M2rpNt!L44_#IU5eFyce zPWbexNKZ9^!Sh4I2XOSKKf%#AUcs3?yU^6s4^Kj+;=(;G+`wyeNZ4h2v9E=t#jF+0ydoQByji2Mlv(Mnv!To4z=zz^JLGt+R zaQ4=s?c`oucyAXj9I1vYZ2{tBf%oC^Nv{h9;qE++`uASJiI<z6_*iMXlmDD_} z1f6Hhs(?WoCY9#sMl@G2U_4z8Q|bpNNG7e4Cy6%(XwNy-1>=|t_B1Oxmt}{^o=-+J z*DdOf?MG*AmExATV#NxSm6t&sVfmw&9cZz|-6XktBI9$d%?=Y)ml8u!Tvv#aTXTWm z4fLMCJI6XPW+_EkSsu!>Ewb2|hTOVh@U2t;L+9Sc+b_R_mv+~n!=HwVn{LNlcW=eb z8`dd1y)7)sK%#magDn?v=G;ZJ_Vl7&Ccq0VJ{Ss?VfAJi&|;@4NiYai*Fn5{q!B}w zA|$BCFm~hxJoR=pdd%~&=GNPA%Plu!T#i37XIyH2$++Qn39Ni z!}UL4l6*fk;>RqnLvB+LpiK5~ROzB}L2#)t*_{`h**^VZt7u}6pZmdLk zhUNViIG2ZM@=p&&!P~u)H8{ zhM0FeDSbh2NAt1MXlQXllUaz$HRVXVZssyCn)bhr6E$`qZz-1Fv=pUN`qEtjm9$vL z1vH#Hg|ov}6mGl+E7LX864c(-qd0c(D30`*k-c^s9$A|y3(T3wdO5H0V63l076(;m zvL|8r9V?J&jgbZA#3h%3ue(Z=^#j;Z<3+;Shw$i)*@zdVb*8?kQv2X?44`)R5hbt6 zjq*&S$HOvZUOo&ppTmh=yKtmG8LJ+73^x>7B`>wo1Ez#jS}lv=kgXQG_Sa)HZV{Hv z&p~0jIcf%dg@}?{QCy0k+kr9BeOmi{@XES^d--V139#grAVub;@F+bS$k?DY!jP1U z&J z9T@KJgj17+guDtA@NIX{3ydDe=~s53=|T;9yL;eP#lu>$PR6OrO=2O0{2q9Fj-mR^ z=WuHGF4Ueqhwhd(j0{TorOfY_eRL4xLw%BOI|kbt(A_=?RYC^L+y#8Hwa3SSe;9*j zci{Z?mvHjEgJ`Z5S@!n9J}UVu@*9C;SlWd0>uyDVrwtmj9sM;880s3FncQM$5*@1l z*vmM*{WYA~FL_+Nh`x?aj1CRMA?@X~eYm|GN_&aCd)m<7)&*xk>YJDbt6BR&KlIh3 zY1d9%Ja`;kebSHY-ih`SNPBt52Vm=!ekAQNCVFV>^3BLfHXxr0&Vm{_VtYjH z42~^eUX00Q9QPo;YfK@(7-XIECEe_l*i%;-0j)+M+-N1HkwJo_TWrs`vST0vtJBhn z7FcxYQ(|j)9>JXpbr`GKh3&8H#QrmF81hXdd7Xos zICQ!~I5ZrCL7Ne5_| z6Vxym_=Z;M81Tc-PCaV`hGsNl&!Z|dAQEA&ij8ztenTloJZ02>9 zUKXQ_8<*%uV6YvXqdvH&Eo%_&(J_qn55ui9Aw4e_F`~RqPi%#u(pnJ{n}dQh`99i- z-cc9El?c*PKo+$2@e%a)d!(G{$jym?(J%wCr9cHuj0x6)Jf!OYXP@+Cy93j9`zWG_ zV)jt!pfM&Pv$9wg>H4se>g>kQ#l1NF`pY_FOE|OtFxr|s zFg7N7VN4R@vP%_fvD^hqkyp78Sw#g%h&My0;U+F481KA*j^lFgYcHaTOzRjT7hO(37Z}JZXn~@VRKs>VVhjgxBpwUrN7}ajLhuYA8VLvYHd>+T%cn6J5 zy|7O=-p&S$p%t=YxN0Y=cD#hs?;SvMeLHO9UIevqux5p2nNu+z898aNXzdtpJB!Bm zUc`xA$I#V1!axKwAc&xM3?pY=!|At~$9^=`G+}te3BQs@3DV0JA$R^VX|F}dE-pk; zO1!LVLyDXneGTY3{VvYGy8{< zgDd>-j<%ui=u0^K>Pt9#=os3iAKC1FsA7^4pIe5^iY3Tfz;Z1>WVOl23+)DRX z=|`=H-@xg2_Mo|`8xe!C*??|@@tvbcX&69iog6j&NaJy_59t?r<>*63Rj+bnp6fwu zuWiEZ@WZpE&h1ln_e*XZMCSP(WS{C(To#!g(`P=6%N04eb0K#;RNDOtz?fHqMak%$ zm!<@P*ZBO18Feb@(n^bQxOx_5DCE{9MUCQ0z-D5*Gmgc_eAGX6p!*$N6_;g%-SK9l zlt%GOMbvU@4xm+!CN37fwrVuhHKKjg51%m+$rcs#qv!CZvRmR+u-poXf)jM2bKn2s zsh3WoMLQ3x?|ukR+_xSJC0&CkG0L+g6Q(XE4v86gC@3vLVL|{y^;Kw=$!AQTkMb3( zuzqa`lBa!X5|VMh9Vd66MMFyqItE?vCoICI&;15IcS{8_VvS0E{77t z3ZmZ=!iX;fdq5OWxrRzG<$c{)bzN1;p16p1bsiROz7sd)&C&%=rG|U33!Ufo;b^A@MH_F&{B#TA zr}SG5V7TEV&Kx_Ab7P69yyFq9FVG=oR_v!5KKm&8Prr@B?HZ)7ycv~wX-J#Omozwp zu9{OgvgZQY^b2tN6B|$xtDn}YECQ9v3tylQr(QjX_FxXmSItLBLCQ2%Vo^+osO44^ zABN}}^8Xl<2Yt1T7#nlQn#vFV_#kYZjTq<~hRZ$<_xLEB{B(JgO`xFBy-xtmYJ7@7 z7S{o{6Pkp4Bqp1n4LD#MV*E%+OBIi_6*r@B;bP>KRv@!%0kVoqk-=cTL~tCV7k1$M zJFm;Q)d;&^?$N~~v1BRomaIkbvehVFvK;yI7bB;99x@6Fkd%@Dqc))A>2doI40zz_ zYlk;=IWn`85o^^e#ty%Msw{)%4^#&9#4!2isc_lIm3z3|j z0<%mELHh_ivbbk^xus7Awed(OUx?Jmz)wQaqk$nl192(wFu1xfI66VMne&k`e>sX* zEJseUv{&&wWLH)qi7xjt_l7(J7^!&=XJ2{-C#w3TzlWeUXCSd?5%Q&6#mm>AXz>cA zT-l}N$jHx=z8bIeBfrB2H+K}3HG_T730L++|`$^RH$fPL~5_d1* zZZaRo@Fx`Viq6r3L)#CcaWDq?Yi`Ddn^(v}eu{;;N(X}|7bUm+2EKUjVw8yDrH?Ve zXfjQ`^Vb9v!Y&~haWQ(R$87M&WFh~iyYT4Ec`(blkKV2pF<4~r_z)hrYb_Qh^`q(3 zQS3g{0y|&k%(C9JObf_CnO&=c^FPPu%N3EaNzaZ2@zZu6{_KJqzkkk!f39=mg-$Py z4f|1V52DKz#E2x~mb8@JB01oH>LIf6crYr;T1XR%_;?+(vmp}{(CSBzgaoT7Xby~v z;_YxuCzB9-J}-txq@TfpSW)csvksub>vVFD1qQuG7B^1VY*db>g7mMTAA>_9qO2QX zwipmIr#-lJuvjc|PXHd*I7UXM%j;SqEQgHZ5@e+sl|@nG#deI-B5KC|DDod|MrT_q zn#U}#=2W0EMXiw6QzM9Ry8{ka09w5naZ`;!mxU}W^hUYI2vuMN!$UrJr+vF0g45}g z{JhE-lMt_&!K!RB)X->+(ibg~>lnsHrH@Rqg7^qzA>eV3!{*XJV~t0=PBkkFLIr9q z)OuOiSfzZj;IX-+-~TEM1yMwCoj@gHjlOIfmfo}!+1ZIODArPb`0d^3KlLh({P^$j z`hWft-ulrGaNyNfaQ5IKG}qLkucI9!ef=00UB*por5P15HB=fC(%1h6Zusn1am&MZ zV*Y}xiMU>prz!>6n?8pdKKCcs`rChk%@5s=CEQ7C0wG5OT8|$=NF@Ggx)o-B`To4lLSoFIL?9o7nW_zrfbdJcPwd3Sm_;qEa%9&eP{) zj2wkeDY6EJggoTk{uONg&97q1y*D90Cvn2^*Z_TOF^ca0V{H13uPWub`L2y9;g;!h zg6J`xevC96#K~88p?6&58xnocnuCm$_hI$tzJ@z~`**SK?)$KG^ENEI>od6N^M8UZ zpZ@~Z+&CWzrtn-4a@3*e@Ika(Y=dJ?R>YGK3L@kk#o*!RQFW#X10%A4RvTbQE0#XXC25Zb?#KM14>sjdSxb?(?qO_@w%qz#e_p6n3o*8^m6hJ% zgU;oL*6mZQw&(l7KYY0`BtvSZUaJ>{K>Ztqr>il z$7zGz>5^wMAc~bt*wTMyTyuU1a@DERtI>GI7slY?u2?F?`XKEw0%SxORs@ZW|%EH=w|CDs6YcttSox80cDGBy4FnxzWH|89nwBV#E9}^m{VLhHB1(| zs!1DrXCO*`2{6rDiFu{DNFJ`k#e;irbWjv~>3Wy%fBa4QX3&k^Q^%FqGM%P$6t7*2 z3cW0qrWH#N9=97F=^k3Wj3?%~$xx@)OP`7nCD#e3OUA@$S06#?KOVS6ky2|-h$VS6 z>+Yd~R-==?XqH~$mi{aYwds6RLLPX19yq;{hdCCp(&uO2?X~hR7I790G(HzRl2Ld; z8buUQTouSO26YPZ@BTfk*>WQav*Hvtt`87^cccZqXLsW4%iqP0fBzFa{ngLnM_>PQ zyz;#t;NbQ>Xlm?&%|Um(fbzmonV%=_{`d%wslMhD=x%96pN)UZd=^`PvM0WV<;zQu z9A~(^f~}25($c#yZ^I^(=Y=h934c!uM(uV?gaQ5J5t8w?yAFLPccZpnWW*}Syqvt| zQ7pgv0W4pfg_uj>Z{YSPHXqdzj3lE9jMivZlUK@Dr^ z7TouHe~o|mhd;p=Ze56Ua7*4G#?Sv8-~Y$I#Ml1jhj@N}BL=UaulQX~44ycNW=9b5 z74wmsm5JEdF1#YpX`$8WX0fx7T%eATg}(;cm=q+Wq#`GCMl~S}QzaJ6FGYEl9m8EU zI8`eT$}GY0Zr6Yxw;Xa@v*WfS4*XNS2OX|C46XtWEp?)_J=^8OpI>m}?xPN@-e<=n z#~t{GIyYXH-_MT)FzmgW%Ja2?Pn3TTJPKhSCY$DFwXA|cPgjH>JYFBXY}hH`Mwq*N#*&jJIFUaF{13l;QQJ+z`w`va1f z`(sQnp9Bo0Sh@ZNtXpEl$eFkBga7vo4tIML()IFiqvz-|ci`=8vGZzx5>}%8Y4=?0M*n%@vHzmDoCj{3ER>+0%%$+CIdLxhBp=ab1EW zQ_E;rN#dpzm&6^M79-NOZ#M#G`@&?(9EeeHlZtqG2vW^JQjFv~Cnf&Vi*_6EZcBKF z#^Ea)Qx#AJrp$M@0!O>TzePan6{G0p9Ar{Nwz2a0E3^aT9C~OJA3z0--i+Av5>&3+ zhKGOSxAA9RSdDCxUWpZ=b9STo!1MUoxBngg{H>R8w8snAtj)D$a`L*++Ry^K--^uq z45TDmX74gH0gZ+hIJ32U;I(R~<$B&TYqvDD8JT%G$jP+AH`<4Wx^6{DnoUi4jZ+qc zo$k;zJG$f;7R_7R{0^u5Fr7^_SY$ad1vo-Mldg}a!v}_CRerPL}r-Wm>Oxps|UVgsi z4zjICm{0sZOEA6zcL3uo>y?J5h2xZ%$jcv~6>6ABn|<$4$ymog`-}(1g$Ie$smZO7 zw5igE=z6V_elLA$_IZWLE$R01jTU0GNc)sM_fbUgX#nRWtLQ-UH)F{oe}X%{`Zw72 znTN4>j)KnZ^^92704O^hMJL*ZCMbY{G)-8X)BC zgsry)y*&|E6b%w8*B~Q1Th=+#?6xQ4tU4wI*0Ni$;@&54<7fT|xBkKZ!KQn*pfqL3Kv%(DJ5?D1&zuAOU?~g z_WS>d$N%A5c=*r%26sGiJIYGap`SqyVSo;mE*U0i+c1d~oeBmg z;~#$$PyGGA{oJ$2>|* zu7ZPYD1WC8rSCQ0el81vmyEXI982(!E2Yb3)_y?b7A+6@HvhbR*`2#3UF5`K(}`D zua10Y*+Zka9Js}_DLw-^MWt9!mJYKhS}{3mWRkxR_upKKbXN@yzwtc&=SQ#NWQT2b z#0P^?dTr?J7=|~Lh?I0IVq+(5H#|#3h^pD$C(4RkIM+OQpE*Mm&-5h3h;q`^(gto| zHyh=Q7UpFZ6@ITkf6b2Hkz-4W2Jv%Tpc5u}QcBD!Y?k}KkgLPjOAYu-IX;)G$IU5P z%(H5cYLvzG3`){<2ZaO*%HmwUi=sGJa*Mr&1Q1CsrbAc2DM7aoIj`geDv5Ko+zRKV zh?178)h5xl~N@lENwXFt2c-uOq0SwPvDl`K`F~fqQZ9as&ELyo((# zJ&h-S^xydJZ+#Qr`j7v_xBlz9`2PPqh38(`i9^j=q_4akcRzRsHZ9IUyvT&@HZ4L7 zpc_I^A-6Qp&dG8soIb}PA{3BGdRmio_77<@#;)OJ88kbcLw4c3*cT`AnvUF(b4q+f zUM#B>4hgf*ise#E|KO*jp~zXodg4-U!nn%8jO^ikL z`7hzdZFgb*s^!Qj$U>}HH|d3*%rzbxoc+z{y>JLM@BSPIpML?>RV^5CeBAMznaF&f zQjGM<)hJzaGv?i}9u-T9U>4KdXdfH{ zy%3z8 zX!9sB&tki6iW?{MV|+6bfaU=Qti6;`_bW=6!T? zW9CW*5U6>ZX(**R=zQp=FLStj1c^GxHCq-O<^ zO%d5s4*aCcAb(^1d7kOB4m>*L=$Ocx@}Rd0+lS?1-6<=kp|qitlfOs$BJ03w+N4g* zgV)mfE>cIzg6$Zq2Qn;S%dN?zCF{>NVtev_wj;|lAoo({EbDcQIV~-tm?-lB?HBF1eSuD`G zLt>_AN~;nyxHm(K-^|y`{RaHL98Bx?<@oIa1MbPx;l^YgDq=NAmv)-f&TiKmWUvg+ z0UCt_qP{p6L%}6;FsG1WbAF5>c`>OO z^7>_f7I!``JTjm0g&O1;93L6PfINrY-CgMH>XOeM^bHJQWZWH&HK!6K*e~~RhcUTj z`ql~~97~SW+&?FT?+7dRGv&pFiR7i$%6Jqm z<6IE#_e_U{4*wQK6j6LakTF4Hh=V0-9&(p$!u(qw#L9b~z}owGJdQQ@K91G*JdEX= zH=%4%CDPIp5o4Gbu!0_V`>WCT-b*;O^F1^*_P{k8MP)XiuBD5C!4eCz)hN1`%sZ}8 z*atc=*iwV8i>J_h>HumFzJqf+Uc`ylpT$wp36JjHhx*2$NkhlPIC4$Kk`Vm1QMktB zQ2v$)M4g6&%s3dQB~>Osiz$6P;`2+9lAVS4M7hs6nOK|yLLT@$BN&kdcEqw*8=ne` z=$6)5zKGPM zwd)m}e1q-va~yg3dDJzHz&<&w1?R?zuOAnj^VpA!vB@x*bh6G2!0(iP)Yp!ImW$}T za5CJF4s4fx^b$@g{peYoc;_87^#(y+D1xh3s!tX{of?i5GlmKh(OZ#*{_+$!6JsXM zUOyn2WEyoL{X#GD4!5CrPb129)+6s|JCa&Ppz--X6o-tvNoG{{Ah}@($xVaEsOmxK zt_EdC%EZnOBdKdilW$*%_mhgwj+qh86A z_gj0$p>vYR3MphD(=I&Fg4ka9{Sqr5b3l!CmJ+N{_Vy91Jf1S{?BG1>nURU}sY}HdengY;|<1CmaZV zT+*%Mwe`TW)xh!Yuyqm%n6tgW>+(Cv7Y3|n@OY+oTJ9Z_e9!j*hdaafki;TL;+&B4 zr{!LGCY5p=k!xO;vb-Yop$i_HL|5nkZDv;X@bt3lSybTh*jg}WGx=f(%}ya4fux&BmTM4h(9bc;O{V1=uPTBrjCNj-h1!ew#WOGBrQ>$Q&s&WfZn+D0KlCUb``qX8`7e9{pZmB!+R<@jCu&ceL+{wtbKO$hAss^)>uN$z%_%e;+l33eU&X1n zp2Lxseu@J>|33Eq?>DjId;f&DzW*)ke(qVEK70mUeB1s@K@dKd6JDpx0m|PZAWaf1 z@doJTwDHPSK*)oj&j#l>=Uo1vg4RNk%{s9=p1<^^EmqQ&v5YBA7JlKzl9y&|5t4P-oIn#(@){}u6^j}wSQo_b=AT8 z`=uWZV6>|NJr_@)@#rp8vmcRQd+C3rAAJvd{`Wue&VPRc+kfya?D@qnaQ5&i^xHW1 zO(b)j!>`xEmTpDok}TA1DaFOxE6}z&7o)ie@H3{;9OwOO0ZX4<34kBhZBqj3d#pyd z;$sx6s*LkJ$drRtRS_8MUc~l{BdvA-8P$D=?-+wCR_;kK!e`PcmRk(6pH|a{yko71 z>l{N!qlPUr7QPrQjH7O3o$FRC+Cs7hw5M7SluufVF-}=h^RN=&Kd4bD1Q%U76I(_U zYq80&1hiOZDnY8sEbB@6K_Qd+fCC+2k|fmxWW|Qv|032vmoDH)l8~&*v;b26_fazd z>}wB`9JH>YyQ0)lAx%isC{{)j*Alb}BKu6&H|Yb4(#1~8v`My+1S8SH`_d(^Bqh85N*=NX;OE}f!9&){Jf4KwTy9&&H=^ z%}43b^NE)9sRk7m$7}FdwjSS@7lZ#@YQldkG~uyaJu2h0GA4(~tY1B-z(Cv_%N24! z`r6#uF9aXsJIGD6?3tE{75S-1Bydub>*wyu6@owDSI82DteTefl{^&dMY)bOQ>=z2 zPR$bjfG7{az%25*mS81B=C&rBc1T{s;c?>%WNyRwg1?vjZ>x&yVnfcj_?W3-9(hB_`U8U7u|{ z*EXa4BC*j_bdzR6Q{scX=H5TpZ$&OXE?A{6N_$P47waYcL+Kk=low;Z@pDp{r-0&$ z>+{0xmId&fpRXvQ`1OTiT{-cRVP^0-)FCFV0(m#wkF{U;OWgH`e~Me~S&!T_)BCP= zp|K0-t~rmU`mv9!sRpn|M!3K+V{SL_g;7or*`c` z?a7m9Z*0McEIxxOJ#;Zv7|muF46`sb`h*aUTPX`Qf&-Y&1ik(WU#5O6$ebP!8H(~4 zZe z19pYLnoMrdg^_ND32np5XF#vPaA6`wv*O^Ay0fDj#=Q!8mC!yacZ4vO8H@h16u1&h z3i(C%L(0sS9*e=^M2uy}DP&egb+6nr0=-jo9eG&2CY^i&Ni9Q)by)-?z2X8I%rmA# zdM&&(h$Aygf`?XGB#;=-sxl=^^jIe1Uf^S3CRzVEF`o_6M^}X zPC;DQx=R^Pq);TiNC@#e?=4CI%96rva3o~-ERj^B+Zzcg5=U89DU+0opB#)xJdsFY z?5K#I#{6l;MK?&ric0<_F;yyQ=SVqekwnWbrb{A=_c4t|sXGZP5<(=lR%M0D%`!&_ zFW%4cMqDVFR-V+0Wh$5SNlLx{wqy<)sXp74wQYN$b^Iw2NtHwb|HyK_a@e< zAU=F8$t99fB%XMXkj#~Gu?;DA5?~jkT_Xe`iDKqM`E!6EiN^Am%k`NeTRv-SOUj=F zBJ0n;DPoeGY!6zou}x(D#5}o=?MKp4nK(a*f$-`TV5f#hvZh6NQ=acx<24R%R5iwt#=ROtiKdX z@BV%K-CutOHy6jjI+b#-wjyiA1Ng!p|1Q3G|579moWY?de}JD~^vaw+U4x5Sq_is+ zn3Uth&$EPb&jh)p!~mRjfC!>C`nCUz|8I8!#`WFUoiJjcN1ZdFdSCuIQijMi^np zl|i{jZVH9ipIPRKQQ%N%B^>tvNrRDuy0uJB(9l$TL+87Q^Ncs_F# zyztwb(fs_^@$$d?BX&IZ8fq@KV$2bqqdo++0s6QsB$wZS@>@TL4Zr`7xN&6`lIFa1 z`79v1zN7sRs(1}PqSb=)v8#3kw}ZUN0K~C_Q{wd>^XT|v=5-*C20uoy!~MYgz(rz!%?3=L1RK-m{Uwrru^jwl*-2ngCQC#H{EadpskT`DW}YOuNOnnk zV_PY3UpcTs%05rhqFiq)3I~WMF-40tT3NAPJkQ^G-wh&r%AfUNJ4fU{8SFnKw&;3D zIc${nxJ_irbSNvf&pnbq<#HY4`X5z3&5)T^qctKeJpp>P2QC>9W+tli(CQPAB@e7t zJ&3`67shQf-7^K|@Z4Q77%#!+fj1xvMWYeiQF+?+>n|Hpwla$vU(VO#$4g`I(`6=n zslb4RaT>(wR4~Y3rR8Gvx~{}kgo;*oy0AGvcNg>o=S(=!GKUr{I=%E=WoNvppn+PW zhmkLK;8F6L>n?NLO^;)*R?FQMS?H;t5oK5UqmgYHwt%Fi&0IioOPTK^FP&k!ysjN+ z1r!`TihTzzptdIg#j7^r?g!Q&UnWKNTM{}S>ACF7a;T-9V=|Uu&4x|5dwn|m0~hhy z3kT6N=9+r@c?RK?Nmi#}TltjTC+7lMMEMj(ObvrH{IqcZmEAgZ&}+kQ*WGl@oD@h%!*bR`tarmQz10khPK16;YZ(p z6~~5raL%#MM-j!Z4T3`$seKds{{A=cKfnJVzV~}i;Aj8+BC2cpXBEryL*Q7*O)#wK zh^@R06@>=G$lOB&9b<5hGE7AH?<hZuY|?GxOU^FQ$TH-3UI{=fgmNHrCg!)Y-sM}nEhMSAgxUmRL>x&d}rRBx~w64iV+v+^Dugpcq zvTXF1CM(uR(}Cw{&sNGtj#M%0&vT}WbC!g3~>6l*QYgTK*=O}T>lN{mh+w44cM_>DRx51!|B zB(XH2x6-{ab;7-o@w+r$KeQenbh4f#k>yM>D+HHX`aT2K(?yYiQye@D5=Z zPWbgIglI`c%ODaP@3n>93Tp<#mOvzGSjR|5;!myjF+&1~7DOqgur&}Z zr1nW#=lY>oQ$^16LE+0*qkJd$H_0d-OlNY3<#n`}A|Xb%Mc&VJW&+DgLWtxI({C(FaO;U~|rJS2!nicwBVtgW%|H7wtx;?D{! zE6FC}P)9iK6=hFSRFRdOkEG4AGkwaP^F!8ZOvXoJTauU~ zcz-0_$bC#JlK$mDInf1_P^qCem{C-c2b0#0uGVf04vo)UvAaeHI>zC&jl)J#E-oI4eEufRU2ovHuqr`| zKP_Q!c>}hj>QHW-2m~)De{Be@$zYIPodE`JT0l!dCzU;p{<8tehX7nIH~c~FZbx!r znjwV&Q#E?T$N;N?3oe;-CX9M!i6Go=QF;R^$wv>vbS?<;9H~@#FfglH<$;GL-m}@Q z^nC>35e1vpIV87?9N4c11V!GpCvc%{5VqtBlrLI>6}eUzL=>~>zABvs$z_#TuzE2{ z)MMyAdqXwQz;eUooD1_w>o>o&nGYdaQOLxo;X^nTl-)X|U1i>+ zn~Kvx3$BTCGlG_RA{(biT23cv=%Ae@FO^2l>!shTWijWJelLpL?7O`zJOb>$axViD zbGOCm#`S>ozwu%8_6}j(KZksxh~n1`@@)B?Ll|v5g|>@T=xnG(XH7i@2ZkY!+ZBN? zIW(4RB&8VN-|aQz_aQJrYF`mTQkY;rI*;r|!j zVZ(!uV&$#3WB%G3P&j`+G7F24lAVqCJu0eUCs;t ztb`(Sf4~d(KpTep24HuH4sfNUy^1b~39!a<&gKsx_(ZSt$hbV~DLY`3>lv7S>Kj6? zZj3b@!s*?o(LdynHK1H;%tYFX`>^&mzm9Fc`-j-@(BoKj+g(_2VNcC=(sabL7aLII3M3s` z7}2V$Y9O2rgO4*-6=O(|NSX-|u`qTN-Tg?S%oZ#cyC6xQOF`m=YY|#((PfeP8Pn#$ zJQ=K=2gy4UIV8bI+K~L=eY~GmQ#o?5u8c{=0Pd6*zf&$Gk>;YzF+1r@YLGcuMgMXp8qSZy_0 z+Pg6%{ds1{V$$Q4=UEnA6Tf`22*KOmhvEJqjOk2>&&WWW^r-39ufI&NSa~Kj)+T8& zKTd-L{S2;4zZOtKuhUDvB%?46+ZZjJCQi))bOjq9cglEbM2tx<3j1{Ol)kOiBR19y zb;u68jjmm@9e{aV5Dte^=3E{0F$T$R`n=Q{En?$n0qKI%!I(#L8SF!FIo<=2IBwREMNMoLQu8WMnJV({y@=)kH|$d`Xw)L- z7{nN5uJbyjt>-2~zt1Oa=0Qkpfz?Waf4Z{Ly2}WYNh8X-9b?iLxrUq_!bBSTVgM$X zEn4WOlZ)C2y}<;FAt2p;3^q~dX5Z~eZrL$T^37<0Nw1rJ3;HOch+=k7$H;igT?geU zn^X+9%fUqKlNRL)oSWux#@dR4iYP z+|p8{W@jR9V)w@nj7LO1fk8NJKA8t6e!40M$sAmV{-!!~4Y=el);|VGOSfU+E%##S zhK(p)vKZMUvog|Qjk740)bGDbQG)T0z&NBgWk`rm3Fz{d zd#nQsUcG>%<`Kopiq>vT>kH7fGFJ)uu8;y(0gRPJGHd?p7g2bi8F5`UWe3TDvJ}O| zkz{00`as0}P>E+|(qX7DQE`PN3C7(W&BI}GtJdA4xFx=irRl%}6~Lx~a7--5;QHA) z;Enolyrzf+8i|tD(eQ$fR!o;#>d>W;?s2yl$-+v`ciN!rHuzFa*pi5@i@$8JO(-T3 zODrSnL93jZuHHxXg9Sl9AtvI{=1-$n9ZXBuZR2G<1&nE$R$9vIp@euac z+Tn{YLt%CTQmr$ITo&a9Gt3q1u(But`rb1*fBpihy2htne9?_3VDCn4bqfZ@=J3Yr z;~?b4a7PEayN2MiBp|maSEl9gGjTnFiY2YHqJ-9Ds1!mWA>J%qb{s>4E_l7NXrDMc zEkd;Na$;n}0bfA+taT<5C~R?+m=p&M>=+$!!R@|GY?8}?1GVHeCVkuEBas#Zt9ANz z)Tp&cPDzwG#SNR>=ak8I){jNVr4S`9w+@CF3*zFhhoO=RtPg|zqGWs3h)+opS;o%Y zQc`0@j3o`(>2jyH9|MCP#Rc&4P>Y-`(yki#+;)r%(K3o9nFT_0V{~G4OjZNx1SBMC zF|8-5^bfPygji870^V^94!FV1G-j7q0Dhkn!_udMh?DlxOTV6`f2ho|c(EeR?3Zpo zgh5vTzFEHM;!BQzYZxQW00QQC#2O6~3&bd*h~i^GWr86#4QWLg?+1X#cr$uytI%5C z19?p6j8MRdz-SZtdfo6&C`KAnEDUjpFikP6fABa@F(!n92uhkGL*sBxyfo2p=f?TB zV8McH#L8lG+LwGX*V>2sG1NOYDF+$rrW%4iq_glMIi|XHmQcr~!<>?#7-f*GLTDI+ zjcphoi;zFlK=fh1y#=lBy@E4uJdMN8{SXJY??rvnFlnH0GzG$(iS6-A$bY};Ts#lcvlzf5v5%fkL1c#$XhTEsj@(vZiZLJ z{Gl2Q4vEeZc@d;ER(O88Tp2H?W`6X#Al&`E7#|#jODPu@lgY?jb2IXab7g+gOz{HOeCAwUhrUc76=^$Zp>B0Jv)S{bQeAlQ#q!4tsv!|LB603G=8ES7W zMc1NCWkPoF-E(6nu6S3Vk zC0-XvM_RrO=O-xf$rOt@t@{18jS!3sDnD49%wH5TEN0XVz1^dTz0T8z5quD6Ua`Pp zka5PPTAwRNUO1iB=%nhQl|$9NhijZqV-s0;N~X-va{}tHMOM>xJ3?^CK$;@WxaEmKBWYDACR_@YZwEKgcjvb z;x0q}X8J^AB4M6Nb>wgUHc_={!D|?to?xG#`{Sn&m-N;srbNI2=imjr_wsXi`oI1I z|MTUJC*jYaCJo3JoXls3c6y-THu zfhK-AHr~7tg>k*8J9ZFz_f?}!7H)h)80oiRgb?t#;23B@SZb zJK%;7@raKz!8(IAmEK@PN?H>1Di4PH24QzjnBmU|xhl|)fgvYcehaLzR>V(B6sw@o z>XDj}3ZpK7vB6>3Y>rvxB?t^*c+?KNCk7@<9Fk~~cpZQ$tJmX^`B^Kn)X8xr&(D_pbiwByl)mT}@>vadl${I*&h181hX)=-G19}7oPosb?2nRLbhY}>LM&|Y_0jC)H-{Zwe_&!f zl(OoLl5Q#tYQ8{Iew+fLBib4<&{mJ`&Pj{T5G_@i*JbLaEK(-NQ=BN*#9vneRZJ%0 z(({p?sjyoDABJmAps%|J_Gw>mg%I?P!qs~Qt*!2fII=RI#3zX!m@MDs3Ym{gaY(FK ziX_I4WF`IG7;ddY`^6qv$4yfb%FNq`?&=y04U9~q_sTf$_rmL@b<_k@u`tJ55M$9z zlIvx_mw4_GjGQ`%?jidmgA_@LUJ^|3(jv0CCdZd#Ii+1cT9cs6=b}H!oDv4ftpvoT zn3cJG`f|yfHQa@vs#EB)hm^H}0x46tA5Hvyl|W*Pu5xibV=#_+5TKRT#14Pm3+d9B zjm~A+iggix_gV~LQtVd%mO(oV;~orgm&BsvaGb387#JL0mt%T^ZM=K^?8zHxtH=jwS!yOD2f-70l$pThN zT6$RpwvK#2{6x$jnZ82Yg#WyzV0~C;)`{g{eHb&0vAGziopN9x_lR30uV>sUryQ&| zcQjljb-O7yOn@=A7%kOk-9|ExBoJK<`8ienm?o{gC|}00qRbg6o(F&9&X2Oj4_i%f z0Fi^gPj>GlVaPV(#7NSOR(Sj*2{+ZFri?>!lKHc|QdW5-xm6;8hXBmC{x{|mcBfphvrS(r%a69ES|nXKLI2XX3L18VJ= z$Shlib;VR`W>vu`t{bSKvse+EnT`Zemb#i6VRME_)R_2OVf{#i%Xp(lHb0ju-N_qr1-ymp%oFi3v!FnLaPIRu4;F9+C|j z*m}D#I6O4#7%L%f7y3nM8Am+gl9G`z)hqsM3@&dB43@4Aib5Qa;|dly^85yZkyuzp z7)6d#$HG#>kerP8gm`G3HgvYOfSeBJ$(axejKX2-N6&~Bn$&#c#>xU{2Dd~#iL{g? zn7mH3H#Eqc>Yrs^4tN|rXs2a&VlFa8@w84yq^S+CSmTkA9wTdnb~H6O;d0GJmU4~T zEn{CNdi^R`GqVtHwJ0zD<_0c4WMLHWE3TV9si$9*n9DDKW`f)k%j5AW z!P`I5U-@0>qjJtK&%$Uc`p&$Aea~!1uPkgtEtkbgI+6=ZkyD=eQL9(FD{8b8o)}D* zp(XtCY%w4H7J-b!MiaEWe&Uy)=wt5DAz7PD@eC~xcl&~}cl8i^jJDC%eyp$j34oxEBGm4NqF9(sKGSIdU4d?67(e0eVYL>F~!86c~ z-UB<(JQjcwp@TBbD?~;?%G@o91?rdt7)mywqR;}fq9l7UCVF)1k-g{`5xKBUFR3X1 z4*6x=Z+{2pk2j#de-csn5im@F4qAhLLWYDLF1rgJr^s^(87ku<$$|beIQiNE42?S8 zf4RePFeGixwdkeb4%FPxxXgNt;D(_@uZgV$2{nOg|VBIi^3lt7bMD@-N93x#{EsEoj{GrSYth5ir7iI613Jfu$V4`%$^ zuC^F;q@<|ynJ%WT9I_?(UB`%Y1 zE=<4Hf)IT0I>r%w^6q#Eaeyc}ZybHr3Od91|Y> z#LS=QoUVY|DF)7tUD3vq3{T95zE|1mreqJ z#xZ5c;W>V>Y)WJ(B6Obbs;uEi7&Jciq2%N4tbT+@h9qO>a0XsbuPH`zW8Sh;XmJJJ zBf~!kky>Vv8*pzQV0IQk*_s9~ceEjb;UyqBUW4hhKlFa1H61FXKOqW?9M&EQy=j%TEVUZ{5A!M0h*L>Ul4t}9^$?3BBt~6;}KCmelgE!#+6gnE#TTKLb8L6;U8w`MA(?ejOkbD=dX1qf5%8>nLvr zUZTXw2e_U4Q+-}v3oD^Iuo)_NC+7co)@M`rbb0w*HLW%wWKfW%*-`?WROO~<@r|Jb zC#Cu8hc8NgOHbpo!Cmv!JDrSXNsT({Fh5Lwgcn|6NA2|ARFbU&dDaj;RJ|XEJig^t zWz-J77tSqLB>X;8eyOxYaQAd5FWF)v&>w&Jdp3`NS|W-fu-d4Ws9js_%lVwJUBupu zKKlEWKeLO1qhOUF`P%z>1_oNcM!tYNa#i2^f>K}eF;#+Kt2^7}M?NgE8;YOpjO+oZ zj;YQmqwH-XgLg~jDUU(5B_LZJr*dr+_V?kRE7%8>SnGt|2>U^%9hTxLhJxRMW!Rb4 z|AclFo<-Z2&@GF({Qg}g>ZxZ9;i)!=Z&-m#?$(NdIl`dsnR0zMVVjAoD2}`Q7uj10iaVPDKNT1aD&SK4UCXhV(&jwzW05|)vl3jHY;PdHlo{y=hSJ=t+*H2be2m#z zgKr=1FPd$9_x!111k%oO-Mh2~{~|s1qT((TzQ9?e;-s|PLPyJ9=Y`2$OCtMPyyCpZ z#5x99&~algY9vEtGSuRa4-YvKjC4?ZN&j{AjZ;l%wZ_tT3i&B+iXog>q4O54ikZ-k9qWNL96JAy0iy9t8%*S=K#i(E z-Q$V-&%&IgCmOoIHx?juYMBer_<}kcmAYEdHwk3rPb<6cjxxD{#?Ym}O=*3pzCLuQ zS_Aw#PVSYpN{h0m$REI)Flu{G?)WEM^rD;k!O0{LRr>9gJ=EKwE0a*gB+7OQQy=%3j4L7!mS2+SQm3sDoX*gN|=drV3KD&y(( zl1MgUloB@_Q=UtCV#|n9 zAx=LbF*o8dg*08c<2jEFPw{6*T_>);qTqI~g5!q_m7gWdLyRf%=n9^^BKFe-j-P>^0&{Ycczd~3nW$93QFD$i#<3~KXFj>E~_zar#VP_ zM;q+F9u-{-I^P&j1fKApCU|%4R&ko2%&8O^mAVRUefJ}#*jW?mJ`h_ub4hRZpyJW8 zEQRzJ_6SD44i$Rs9GM7Ru=P*IuLThnGlMdR*0QdwMC{9JOGQE-AJ(J2!%g`v{Bb#7 zU+6pT*S!s7@AJEl2<|V$BGLPO(yjB8Xwl9asIuUPg09@C`~Q4=#m?~wK)%nhlYi)W z_g?gii{qO|NBafEiWpHwHC_T+^xCiA*FD^;-}>KfocqOSbDP%V z|1tBSbQ*KDWio@*4a84BH8nPF{TfX=JU^0h0F$vSewBjgB$qPOH^FDZtgQ$6DhwUf`dZjq41pUJGv{`(=>MeVL|zq$R|?6RMo=KSda%UCP` z{!I0ZgwkDw?e;ep(Rz5Xr(=KL9(|;s*(X2sSj&n^IFrvO=2{D|cxZ&tjGiwBroy`1 zWQL(Z5`Dft(O;HIr2MX%!;7QAnn}j^2sS#(e~N#0!n*;i ztbn}(q0Tpw718ib4br?AZmFFc6*fut$nT!`b=P31_2XrKspehbgE8Tgq0c5pIL>YR z=6RX?;$#00(H2T#`|wR&dRkWHobL(Ag8^{-7ukcGktUzMutUn|L1t~ZgQQ6Z5*gI| z@`o7m>9SKMca)bSmg1YWy|aI!gZAYyZZZ3~1t3?iSQvacGUL!@7srDWAY?0&=`f?#YIR}3_l4KNiYm? z*ko!KPgeHeTfP04+$p~+A2}`oNrW_-PqW~IIzlBqW?A{UluOBbADf&44xC`KV*yQf zvXC)y{Kr4*@mFwmxxL(8opS+HjK)^~NbD-*hZScvJi3CDL?80XT8XjZ;TQ}KSyRj1 z2sI6GlC(qn?v!yEE&rK6C3BwRdihRot!7j?{|R4p#%?TVKIS@33GgA2sZ~y(i7`wP zM*JK|ET2in^>e@py-#u_YPJh*Nxt}BM*|gsFvQL3$5BN?FS*d&!nly{CW%O!{1zsu zg&G%V4JIi(e{2nm&Pl2-vJNK}t4B7uhSWut(&HjbJm{9Na+iwL4ms!jWwpEchzp{a zEr>>>Ui;_fNX>U$0Vy43X(yN~DDP$?yyq(ii(8r6b-?|#>N^jv`Wt!Tq_4etHp6qM zZkdRg%D`$(Xk~{Bu^=MI7GrZ2ROz3p`BNyS?}p?)Otz`Q6C*)h=%?0{Y}E>qE#bpp z5j8)juI?WUhs7-^&414kGL4ReM8l09If7Uj@PvTEAWg1wqP5jS4~50%``ViCRBQL3 zHrXC~yRg9)E#MDO@NA~S5JK1?bMgtHJrfkEvI&J}-fekD9B2#bk@Zm6?Q}c)o{Pue zPJ5Muk|@i@NX=mwp8^a+C4Zf&yD?0SwN?SH6U=hj&22~7l%ms)(v2lJdH~aHp$m*W zG~U0&0qBYRZkWFm1BsSdHkeDXpdm72!xt)PkF&)I+~etzCxh7xt@eNu8RHLnr5_1J&}@6LprP|OKP9761Xe@#3$W>cpoTd>zl4m^UUJK zcn^~HgO$>rz-bC?M4D3_(+m#^Bm5Sc2R6^x#Az`dO@(9u1C|jF?!aT>+tW+^QtE` z9(Qy^M}y}ktxj^A?*U3gB=+4c&uAbr~BQOEn~Yxm3Y5lEKk^mC$=06XH8el zh2P5K@^O$EF}t&_#S6RJ>l60Re<@4XBPRNyoCu>sq}#Ggof-&9JMeM2PBLk%sLTe- zOry%*R(%W*#p!Aqsd}d04luLa2`nGajA{eqxOpad_vk&9kV&42QhkfugIvjZgob)5bPHu}P8l_qgS4bp9rXmyntRS>Yz@d5% z!uC~`&!5?>dcx0thAh1ed7OUL0od$(zB-(g8)-O}MA`IX))ui945w4PtIu%H~Y+CWFfLzL|94)W2z}&ubLuw4~m>P%4 zFBR5?dv%+5VTQWjesnGc<>wLB+L40l)xb%QINF;{uRmwey_^;eZjb5{5_7^;47gMS zRD$E)Q~2Hxo(glGB{jo;UnHP&H(cCY1}HhoxiJ5w)hLb+p=UNAdb_6Za&TTAlB0&o zNzWj6E5A~u3d4blmTzp**ClpFcXH zYLorABTC0hGRMF&uLwlsD8)B@MmY^Jq1Bs3mc2OXa3-rfD1OoTJhQwMy#+8xFL$3A zNGY^FvC{XMN87a?8gH{bc5(J`uF#DE?W73llWi~=)5(xD3l~=^aIbGfY2Z1kYNp0~ zE~0=ve;+ztz(^_)zkUk>1~;WfBv|Z0cQzyJx;jI=*%MN|0k@5A%nR;Pxd@I&3RY{0 z9^-OuioT6m!r}Y#3bTIqMGbnD*K;eJR_^Cd$h8g9nA1O!hxb$OO0j(G$>wx7BKd|Q zd;_r(+J1DPJVng9zd$M|>8TyPwkg7_zVV#(fHvL*KN_hgTZIjdQDzrUX8j{MJk(l< z15{&9ZAm~plye;`q!rwYjKLtuFV#+cGqyNw4M+&ekGNTNCmO8fpqLa-@Q+Pv>gw6;N3G<02_XFIH% z=Xy8Xt z?+XmB$=nWz8xyB{j7szfw#*+SF`8TM6GVgTP}!snv^aj3{%}qz7O~Lg3IeGNTHr&FIdvMhGG}nz{Bd`4+Lw0h!V|#x?Y%y`J?tW>&oEhBHglNqbPRz zgGbRD_9Qp7-J}QA^LXZc=Vg6K!yG7t!9oEXAv;fZ^Nn9qSB?m5QdTs566dLCHSXQU zoT`$w)7y6K)W*Z(6#(^%Z+V{Gjt#|&;!Si-4l$Tgy+uOmh?6B5*W&Od9AQ*sDVglK zUX6vqZP7hk8kQ)wawNN=%Q^zz^f|`9Ju0x3lbkqo70GyWo$&~_SiG}QHqhYch+lB+ zh<~h&)z?kbPkxJ5DJwL_Z&hFp@ncjRYWkUc!W?M0TM2z(LfG&1Xi@tQgiOLiXphNd zxDBO=0mRgkIbHmWP++L2G}5)W>u~d4??c~?ssayg7E$1T4~BH$B~RzZM_==3v*`!Z zkEdFW_Ms*3k8g8w$ZMz51d9*X+Itd;@P{!O)sfg26@J|K#{e~=CE)5oySa{(ohk!5X!nz0Nd7BMA(HMQnv&#X#fgV(==B-0J=nl(-gZ-U4 zV?D7NEr52U=TCif`ewF4I+BGPd;5dKb_*?{K8;myZX7-+nY+*mSpvJZt^(TGJUxQg~@*-eN_3wr#D zIDH~!y&bXdI~vh_$s0jNZzK}UUnS~nRB}eI6$b}1ZsoLUC=b*|3+%+?0YN--c(Q>l z$rBj8f(vosg%{gri`d&m;^_9df8o#dvx4|Ea7s?7U|A?=?NboC_NB06gh>=@w%(uY z&6eX&d;0pip~1Amco7=DmqKJ`E|HTQeJ>Q_d-ExS<@POv#2emdl7FmZ#(w^BK5434 za_h&N;AEGT0uZ+rk3?fzRGecRw;4Md)T!$x`#riCT35{u(lGO)o@4s`rr3gf-?*0=B-7VG+Jor>GDLBp5Jb|SFW{r*y{+J6vSa2ICE}<3RhLhLA zxYd4Dbo8ck42Qt&DfApbH+C;aFShUt*2wbXl@+9WrFS{INpA$5`ulWJK#Exm@;RAS zDmce5ouc>Qn{F-rk27D`dN!|!(vzZV!2jS)F-#orAo87urV@XR)x5IQm_yLdVPepC z4a}k6rJEEtsK{@mv(>*fc-w1u%%ADk1n`43b)1cb;;OjojNlMsy+P~=R~({O{X1!y zyItr34=`%B!WLN7;i+%Q5+YurC@(L?%tA!WveREN%05M0FswPHXjeNEO_f*RC(hwT zvEv+%*|V@11zp62(_69@hZR3$U$q;Cc%y7F?*&|1z+&>=FQ4_uw%; zVGQSdBQ`DFJtA6OdEaks>Xc@=ymt+H1F^{8&rRw#xYJiO2`sgVaPPN@wGO87k%b_o zCL`L0P$_1nx>Nm4zZYWfTQXH8v9%vE_e^U@Qy{vcO`A($GVo<3+VCM}L0cvH>M-Pd zfzsi2!;@}4ViwY;Vn==S9m-JHSU+YSy0DJ$Cw*l0Ov^Go>=}BiLF(GrO0EuwaXpuJ zsL_`jCe9wX$oWyNx6)H}TR7{8*9`n>lpJ7P=%Q#TF?X;*^Y2iA&5$!!SfGKbda$KG z<{R5C|5ZlKKF0@B`6WwRuwENpf^)tcE;jv#J8h+*Y9?vT&xQt%mTu80DIeXSk&T4x zi?;cMFp;u=gS&=?Oce*_yi=x+!Ux3vm`|sKUE&gMpf4a=pGtWzw(y6&>E~b(RiQPI zC}`0#?{T+83fL6e$9RMV+7MayFq{=90Nd}OCF|&psMC&7C}L8rvZN4cHw*wQ2Kj_* zoEK#i`7sLA@Iue1dSxF8$En&BSdK{g?SN1&=mx>u*KHru@n^-Qg!HY(G5%}v`EB8S z)knEwe5qYAMdC2F??TnPoPjrJ0KH2cY@4Vskc)1uJ>!9;+E4S^JNTz)c$p!|ED(ghQ?idw>A+p(_ zV!+faWWO!u$HiSwF%JOH%k~e_zN$!v-02(@y!^)vEyB}r_`B%O+1w5a&)5Bf8byXV z;1Npwh*DcETgeWuxkp1`Y1w=CvqF;l?+LQOQ|34}+6XC3hg8p8Pe#)_w_TK8S79Nx zaR!m9x!&+bFr8^%tW@nxeS=@V$Xs)#F`4_OZo*axEYTfO$HhqS% z2Exf}`B~0O;oQIe1TAFlEIq7@F9qbi|GJ{dR?K?ikDl;}K+ZQD?PqMkw{b5k5_{R- z8Ctd!{Jqxv{g&KH8#7}CAOfCl^tdgm2AzxHKb#$52#sn3Y%Qdo5@6y58*)NQD*>?f ziA}zF9mvd~Z}9lWmLf5LJ|e^;J2Bbp$#3beadI67Px+>$hvZV#2u(p)d^xL8*?%6) z7YAuHb=PA#0~`^Qk|6VjUvbe|bzI+HP@KtrczSU@u59`GhoQnOITn$AffQAdU#095 zMvg>eOeYx$FIsH{&%b|OGD%X>PI<2=rcblvhZQdrIbLpbx~WAp_y6Rw2n_KFbBb z7R3`^d_dc3PE|*o0BXaGXOqp`_K;+sUh_M`ty zBhmTp=y5N-xx;N6j6Y!~44ME%=n#>UW#jtP6LO~t6=Q$j#k+Lt-~SzX?u^#@HpkVgOP}iU6S18 zN|ywKduBM@q9!t6IK(~bV-P<*x+2V9HT0rm5m*mNF%qOzCK4OUSO-oZv4}&My+LDB zI&Scly4bOY9S*LA$zeQ=z|R2gKnbv(!{FRk?7TWQEV5w|KLBa`-g@#259!4Mt*F6@ zt7b@fgZ!bTYl1;y2uUHFNQV6_&mxz}0yl#I98pu_Tp$t6=OcM-$2R_>%)+rgFzm@DJIy5nhBNS|Ea9R7YE#fxV!&!4xx zrQ-pzHh=yV4mW_&PGHYz=$TzDd@s@3_`f1Z%;Z{3u%bX(Ac&R-G!cTx#-4T@(a#ZX zU)N8hsugr38%b2+$}Gi0DmH9ykh{#LRK>8`K)|&xN;K3818srHQ10h45oMvLILujR@PDgUzU4 zI^%p+%*(Q1dSFrPazHNp59zeE1^Vb#8rzGZ!=txBy|)15a3Vicv)5Dh78}J(Hnb{0 z5vdFuaa+zC{>$TA*3d{W=XUM1c=&MYIgSQ2TTJ-?Qqq_`Q{H zYz6YOi?dNvQ;rxs+a>gvq>o`ttRVP^c409p4P&_ACbe7BrI_3>j~QfDxhTbG6e>nD}p7 zt%H2)yHBew<78|cpwM-}$SU+_^MW+{%Cb|HCg)S8Zt!;`#T{)>mjA}i=wBxAwa#te$6OSpw;)J9$@7zaF^&U8}=eV9?8=iV2tL-d1y^x!VEQHO5KI;3; zGdQ%`G4I!Pi>NeI&=nt-RU!Q8r8+HJ7{6swAKij$BPiTlD zSX+mzl0DS^Cy1v}_LqBs&)qFf-W*`bTl4E9kIk9DSE>NF)zDwD@ms9jwOAR{vvksz zce!%E<8pUSHYod=E?y*8%`zfynO8ps7b;w?JOswA#kw%PzU1!2J2tR%o85o;3ExXa z@w6p)IT66f7t_2YXoFG42Pt@73+&(c*Fs(98G!ZRXcUohbP+E=P5%6F){ou&;GZSt zPqu7>ez|G(ijK$I3W}$Nohn2J!#b=;E|OgGIky82gL2OqM0g>qS>mJ#Vv%UEH=kP7(5plO80mj}$rEOa5 z1(L>!%1`1#CPa|tz52WH773Iz#&;>}(dh%)`a3)3+uJ)VG`o}$u0PO2&c2e6l7$SP zq#?x-mRLjW)vyvZ@i)wf1L`&%!#G-ezRb=8zKV-rIjJ)~sir0uq8tg2Mu+`jE3Jv| zxj2ldRB+2Ur&@AQ`wLHIt6E!AL`*Bpitlo@k4>s=OzL+D0WC+s(p9 zf^5k(D%#}1+cOrqe7xz4{WzN0ur zO}1z=5g7az2F1CM>RFF{+6Vk)GZ+~I$riXj^{nVo0yG9X{FfdBCF7kgGypeS8^fO^ zT#+4>zW4Uouz-~XXW2oSSL&~MVQ?GQVOky1{ZdW7$aG9?00ZQ zsx9J8a}0A-)L+m|;4SOUdp0B=8C#fnVF1F@$gO-D#5aBRxUPFPQ$KIw`|+ML_-2K) zkTHqEUUIF3dTUC1(F&?Azuf~Kb9GdVu>_XkogdHz0Crbf8$>S23-d69k z&WSKqf5yuw9T_@!-wIw8x9ohI!|rQ|EmzpIDu?vqSg~U87Z&i8?}&a&70; z)iJFMNr~252%9%rNnwKZiDDLZg7)ATFxm*bc?+78-&?y^z_9nPJJGFTda7r0ns?nJ z1~#Mp+m-QKz0XdA`}7$#(L@pt_le-~^nL2Gq4tmeNH{y(2}+G@p!DLZM=@|mF^R+~ z8B9i=*yrBrapc#yg0+d+{s9iY0e`u4+YzcIZYWIG{IT2-3NAqsWEvjHXOHx(GRI5t%066lw6`a_m9(14fhJP~m0Q^iI64$Cg6iWwQ|PMf_Fkuz=Nkuro?BRe@9Za3d>q_r~<+?WDJONJ<(duR-d_K?EB8;`N5x!xUW7cyV&n zMz!V&Zs<*dOquduL8Qb0p?KD`lU1D2Kb7t~RQA3pCk(u2JwRr*7 zRy5PH-;5fy>YL0N`6Csa)hzR(8SA5hODA1aRb*wM(xrFlA$$`=pMITqjm+%%UUDjS zwP25^G9BaqJuE=~lhQ@mlGEeE-6IzDBeVBX2Bi0)?SPw#co^4^arQ2*w!5yM6Yew8 z`vL0YkO!{G_{VG7=<*PzR(Jj$!Kk{+hp{{y&6B*};ly&()iL!3(#}{IeT9P3pJNB< z$q)Tdq#kf6?l`yK0`8E7s@A{xcD;~_c??@xPg-yDAhkBqDoMP}NeOaJ|K$>Sb{Kn4 zUmu{ZV>sv_!7hkIbU!HUF`(XqQ2G@yWR2anvbr~HMZhh-1!snfK|=yn2gsAtL&*+Uy5Nt1^F1KYQ5n#%^B}c2c%q@kNj|UbO>>lskLl6VQxWi1| zW@XE?o{B)+-y%e6NqHO!FkN^<2F1Xi4Xr42iULM4RZIb8u&}}+b4%oH!)c%L^o5)W z7k06rLmyFFuE{6m)^GMG2zSVr`yadFOYeoDzHfS$%Mk+GC3B(ULk^EP|Ftm77v{k%+ip zS7aE!JTVrDn9FzyotOU z>C3xPg_^64S7bBOPjW*Feqqd7)L(L6c>O+q#2M0gP?K`Rgehvqc%8RP4*N1c?A(y^ zm}fZhzEkw9IDe=13z8>!5b!cAxgO)7==vlQx=C3zIC{pp^H{n*0P4!pgb+ZeJ z=_CJihur`L>_VX6KXyB;X7pSgNmfB4^$J6lT7tIa%P!G8V{3oUDewo$$cvI2czuHp zer7TwXsnf-2DLn6h6|%19?lyqZh}fW^P==2JN+)t-7xeiuLEQ|OAshBH*thcjUoMZ z<)2dcHx&14{t3yKY_zUf-Cq=b=$w*wyf3F2i#0dSgvTq-TwG#!Sa>G>h{)>!a39}p z|8c(G4|TI9Bq(g?ps=wSWExSF0Xt0S0GlGC zvK6efpc!V6ZJvFd;65?K(e!HCTDc#2bBB4&Ksxbe9Jyi}B~v-121M;Y(zC$3TGZm}SpfH1yr82wh4z9Ho0lmM7sY^ul0dA>k`9}{|OyqlI>P*Ffp zJlLQK(K~h@G=VN8ujm8m=juG$WOrBHN@Yy{`fuaRS~)KT<@M4|hwn$5Ey@2kL;stmup5orYQ&thQE#4F zcO5CgL6{cGcg&u+Pv#Sp4AJ{&ZTMXGI%haDJY)6hx!-v^w7p41_-Pe zzzhwoWA;Q zFMQFd*xrU+wbg%z|CYEU@$KqkX{r9F6N8KxZ7s(ZTt7b9gh}!zA958$9_7c5ODPVD zY3okq-s?B`AP6`vLyUBZds*iDAj=VABws6~WMl-NFunC9B;Sii+~@stN9nKXVMDEh zS@Zvx^tKju8(!1$x8s1#z7I?ACrTeQe=JflL_Se&wV2k!nV_CT>}s7`IRCXrJ*Qgc zrDE8fx(h>?VRdP%;sF^$dqh~hDbC>IMqE_l3bSF%Q0MhEu*9*0Age5C!UUZ+!0Kk}%91!k4AIDEf5HlQ6(Q zX7V~Uq_}$@s(eXs%xdt+bN-UO?tkAH417D-*yQYb^8I_p`Nr|O`SA_=^?su(_U&mZ zaFg83m!LV>&<3N;uu9>7HxOez^$c8m{0J}QcTGV7LapV8I+||DHDBQbed0QzO(G0u z(`pbs1McD2Om4WkS_UCiYt`m&S7Z$kvre(X{~blz=o1p47m0Hx553<6gW5tvg3fWN zP5ziZfg<+@1H2kGgvj=>0V*>ho*w_n(DF~MyRNPhH9@kL0?t|-HO23in7V5*j+fIn z6kv;;1i!irc~a!By5B8!C!H-9Fr+yQAX;N-%E7Z8+Y*cu^uO))%gp2HA^RSBO2GP} z+Gy+cezW6?tQJbwee>0I`S8q-?-BHZPQ6cTbZ+~6Y|k%FTl){rxx&N`axxp%Q|vq}M2i|G)+g#BKoQ0{y0HsEd<9*!VE zXf#kMo-%=tK+}dN++=G>cgNJc2eo1RVF-HZxgiT-#uJB-k^%JorpC0BT$>K{TXrzv z@rkw3Qmv)dAgw3N4f)#bVpk3J#W`mp#VmdLOY zOC+1Zke!dLQOp%G<6gWeWB-l5_}z_eRqt3z3X@t)2=mj+|2P_c4xtW|hZU7WImbBr z8@{ajgLW2e6~TA|o2@27>tw+KniywIw>_Y@v6bxOw0x54}1PWjrz!uC$g+F3df$P2Q5TSxc_87%$yUt98eJdWJDd6qL6R(B}cKu-{rjeb9o0dB`U1s0LhY}k&( z)w7x~s3rYwEAKc$q`$UQ39rAM(uY1#X zuD>F!xw*hY5F6zg*2Z{?CU729Rs5aa{|phA!90sIQDY))Pc8k2XcQpP38Sq`4~ss# zip$O>O>B;R%Z(ODfzUXA7w;|#+_M%}+=k9)8c&UyQ<4`=JQq3o()*_ZOt0gM^nO#P zqN4WmUO#v!KIavw_C8_BXa z2dwoX%YBvG@7U9K*#Wb$O?@zK(EFdUG>%Z0N=pcXjP11m>aj~bC_ea4Go8+NP++v2 zImi7mca2H(FhJaFe8_isPVzb%VVXc+wAlOk$fU%_ga&W+^GCzgh>1V40GpDO$|Cpa zS}Qfte0Bj$eWPQx6gBVJ#;Xh^x3zT?H84c>x5pahyO+5_XfZrtwVV7o&ASwLRlI zMt#e6Kr3;S z*yKY@f3Jqa_HNd<8rk^5bE9Jo0j3OSkp#N>S8=y~HZ}DO`sC!;9$CYmDd zyDKk@+63aMe6{}o$eyNNMAMxe_|HaSdAT+PBf#xQp9pU4Zscjndi?CB6#do!BqGAn z?M@`mBd1XKl?ElYjXJ6rS6XKZU*zfzqZp~HcF4^*FGfGt#9n{Wr*Ou8jPX1LG>xJe z8EL@lJNp;FlFwS?p|3XWFUKuJ%F2qdISpab7Y{#lHFJ9(H{kr7=&6{`m~;aCVE zN{B#HL7I$Sy}KSmKMR1)p@quoCbkAl`;&>1OScsX*3v-PJpwF?+VM0z(R!QM7+H%p zN7^46j-ANS;zb$lS_lvV*`P}cLh#ymqd6J`U7iuP7qRpoT1|-7L8}FqAL`_M@k$%E zBPQR^O0XzCFbY!1Y0o{Z0byEO9J2}`>?{w`YM`(S#y z(M~Sf+#Mun8UV*B@!;EWdF*j2u@LO2aLHz&{K6OG#l7y1rm*3I$=M9d-wrpbZQ?;* zzF;=G$e*FJ>h-BG>BcGk$wA?LWYb|J$r6wjMI8tG(ir{5L}H{wEd%m>sl9~X7Knps zi6TS@QsXk@#ZfZdo%a)3V8{?tyr1ljzYu-6$sXv6@B=SyDnD2xvN>xwvR*G_Vt9+C z6pw7Vz(O=zkp)%E0I46^$wmnrjMAk8Cj@nA7Ks~4AOWG#KT$Lve`ssOi8V5n5}+Ca z)n;=XQh^BnObsG4(SF8@m4L+Pf+9N_M^2&;8PE)hBoaJ{qP!3+>9`?dv+|O`OcY5^ za>010M!(L{!^FBq>|=)<;%r5|gZBRH-m81jN9paBd2sv?-~BCrR(L;Xbg; zG#H2Vl50XPe?i7cS;o;(#DQ#(uiJ!R#!w5IniH=59e4Zlelio^r^EWiEiJR>ZZC5! zBJD}1tybz6(bqkrjXtZlr|G{3f&Z`quD>^H@aHM)XSTasgm~^$?J>{w(OvLTQw+g; zDy&?rzOE;@)xaHNf)dhF(>&SSh*nm??V+!PBNzf!!{-8jNBMItV1u1LEt$yQqMQrg%mbO`clB;W__*}>^F z^y-YgVb_YP9}4iJWVSE5lLMo{U$S`x4}pin7^wQmoY7!QjVk6bYPr@nCLmNS_3!}> zRVfLe5hV-2n+Mqz_}G4Ig%wYMW11ePuJ2*vR~hx%Pnd`#a(%n9hT8EPt>X{J!9~G+ zg8$Emt=;^HQ$|3a|L;Q_(k+C5v zsXX4MtU(+0gj``HI$kv?%&@~-LqUO-gYvLXz8!)6kElRQ_d?;7mx4HKSMM60mYPW* ziZ`%rP-2&CKs^w&>D0T_Iszh^?|1ZR{}ICZXL}uo-klE|@56B^C*; zo$7DB4j7AbO!>~T&<`_=N7I%D)ec`B`~W+cAZdj6JyT_JQU^Av5mhntp^V-GW_7>d zFVk;DhZdoNUJ7Ou>SS0k6Dh9~L?lp7PZH16y|1kc5zpPkqkMLS-J9|hw&Iida=^Y& z_G9!g>ZgIL&H|3HW`2&LgRMcZI6lQ0!n$1=Qol_`0i|WdboepDMg3c4V&~C0OWH{~ z2b?%BD_$btzuC`~My6P#$+BUze4izaLzy(rT>RB1C@SGt^;czM5un~nf~ZUWkl`7Z|;#Q*&vhO0&>CYez5sk(eY z&3*fT#QUUvG^$t?mD<21&z5>$A-*#+IaH@-G)2jT5Y!bSn(}V03DP}+Y5OeRC$VMvda@u?9Jo) zfY-q!{4&s_aeMV-xoJ8}ghpJ{25O<5J)cMahI}wy&72{M`nj5Kbaa1O%`dPOfXz9k zDlbhQnjqF$2pqT3b=&*h^i85LvP|BI- zHb=Lq$b+Xoc#!?SnfJfHR`@8}-_D;6aA%qGJ&_VtkG|RcV$F6f{f^6Hmj@Zlb4AX- zion$!(Ac@?{jeHKVkZY%Qu^M@q%k$)?*Kt~kFekTfN#q&BjYsd{pSguy8@X%`8$ zox5yXxHJQEl*DoYcyP3*55oXL2ek{u+lcY-Uz+hsS>BUB5C8Q~pFAx2&50%@Kum*@r7>Vk-O?4w zJoaZzpMI!Yx?HOefvOdF{YUQrO9(MKs@|?R|2Fcm!tU-Va6ny+_4<$&8Xj6WYHE4w zlRS{A@t7IqXOetT?(@Nv9(rxAI`t@Ls;WJxFP&A8Fh)>#dL`TX^WJk@xMk!d29f2< zM}*((Poe-@jj0Ms%ATv&&>Du76}lBg;cAcrUA_Zt>vIIEcQZTQKl+cnWaX4+Js!3E z(f3J9a#phco=rOaI_4j@B0idi{ht~BH;Z7#$OLq5VK_+RIx~lPEhg6n06Cn%P4B0X>$W;F~|csQ`KYd zSv2>WR6cwyzRoTX^Y(-)ka#;y)*{%0_nP?Q;HL%Oef%B$BrD1^D;jpK>Lq+6>ph0+ z;qZYdI)HQR{3x*>o;eE~ka>FME&v>w6;d>{D4@i*l9Bn4pCsUdS$XYspAPK-t?L83 zX2+7Wq^x9iK#yaNEYN2~Q-_8`x+oV|a&X(;vpnjhomkn$M8hQt_y2wu*mHsq!Z-QFTa-6}4G-$$X4WmZVprnrMg@f@$C`iV;{Auml{#w33_5%1pV~JMkZdd)e$`kZw<`*j^{H7{Cu5xCqn2(6BD3@WIv(`_3e zgLOpLPLK-nV0uXi1=Pv(5m$Z#<=Fh9M*CPKX0jXRA%MS)kLvzwI*P|FOVtuwBttyQjOQOW{0rdblSy)&-=H8&lsSQzJAQ3bI zKg!VU40xkSLbZ2QATa0sGk#1<9O&jP1O8LS5xgQFO!Qj;o_M)L{YIhQ2wcT5pgwmS@1 zW4IDO6eS@gp&pWW|3AFFV|1PS7d6_(wr$(CZS5G1)!4S#G&UPHHg?q5ZtOHR-`(>+ z=f2~PaX;TL<&pW=7zTX;HB72WxBM99D+{fXTqNDa-azU&^Qk^IG6yi$5z z6hEy9r60C0>X+)v@hXCIeCO~8Ra#aZFXp^79<|NGUZMz}lAD@v`R~zwEYYRu*6F7e z7y!hA>_gCV3e&1G2+Yp%B>7=Ae?d&Z_~&N$_Z+w^^zUH(|MU<2Frb-9s2|d;D^fo$ z3^|%|cI!OQEK(BevOU|$Cj>^)tX02sL`wiKcxo4|84M=8=-W(sx$ZKY=fo@>HLCo07r#&-Mf!7G~G)A1^vv*!V-$4EacGX zK`1v{&0@e-!55xyiy|(#0UAd(uyuqpwC)eokjnTmT32RCPAyFQ)OIX0ON+LoPs182 zfE0W0QBYI_4L*Pr+W{U)<2IdTFQYUjq2=%y4*sVxb~8qFOg*+Z@S^|G7<+ZKot4Ip zS6?V}$Hq#Li=w2MoSd4N(&ajd!LA|=d#wdIhR1Y<kYeu1F0{vymyD6UN3@9J z3g8_A5NwJh@dj?sKqF}nNvJo1V{-4uaqrK5$K}0VN|#sGNI5)#tv?)@)ma`=PO|;o zRW99~uQ`r8)9}g#t;G-lwG(&oZse@?W8;g@Zb6 z*6@q9hOxi;IVkX%wuUjh0tdw}k(zgIw{w=e4q4(C+{{MMv6_LtF_I{ncuA?+QG>SJ zrUTDuI{KMm8oN(xWc5g@%u=hi&c2&UXM#=$)PM-mQo(r}R(>*8n!C{v8bI{^DYxm| zs$}_y{O9lOG}UQI#{UCDOpFW;tV?v20VouP>jJKUb$ z+SJ*lVhpRk=*QcR1-18jq^WKBM}aDS2_UUu?uM?AX1dPa!oUF#uC8^p$B>1 zudyGG$I4jqe@P8KpVoVgN&NsAMkTu)gk%>xcAuvdlbj{ zd{eBk(%_c}^V=gSAF&^gPf7&DDt&tG1Xay;gKqd*rq+@~XZ514i(1>4L3*ytS`49y9r(k!{s@~suZm~ZNFpg6DD+&81I-h*^)@E3vl^fZc*5bC5bBv} z;^6Llgpy;a8D_McFV+bV8#*ZOeMO#60X=clhRYGV6MizGfmB-(~AgBPVhARnutEre*^k~ueCrY zv>+mbM%6 z`W=j~RbpZnK=@n@@BBuI+5%+vUyk|B{Xw|-{-mT4cW81<-5n|X^sIe(e5!41vM&It z1dGMQZ!x~>)X)LX;c-5Kh+l{$b6cR>(`h`!J;xgCoxIq!?D0mtXa=Zu-pxJs1l$~Y zJ|1Z5G#C>dzyih=?fWwaAxAXtclLsccr+HE*ZL(#WSjcE4YwUsV+s7>riAVYa;xI| zyRU_O{{RAFn1458Ri%$h@4rgwGsk@9_VBpxL8mm5#ujA0FU_hry&hO@2dK(cMvII6DdyV@nhQP)Z0 zZ9!-ox_L@MkEpGMDl>dVdOx=uX31=TeRw+txeL3Um>o9jFyQIvRoko}7wtMwtFHT< z+!l9%^;Ea58Y4QLFkuHbKQZwxq+aMh!X4jlQC2l#oZqnDag6--eztmyv3W5u>C;8o zRLQ$WCNypVXZSeWp%a-7OuLVL#pFU@+A^;e%>ojJJ>2>=J?YQiXSJ^adcGnz*XTrg z-5i*_Coe2JZQyAv4_rn~?IoczmJ`bl|I!Srv(ik?7}DnfE%Dz-SQ0kKc%TP*gtw7J zk>eqd5G?dIULyG(Y)|dvuCtGkpExJ*;t9crtn!{wM(Xei-cOG-|QG3D3-9&0tWr6i`O z#U%^iGjZk2?US54h}?D5?yMXt6%KbIAPYTPwwif;zD`D%wncwaixIL>tlLi|1uVq8 zPkx!dlR{xVFt)aUaxKhV@gy4T`eP+@O_l5Gi>(w!?=+S70eim6gIr=wg)_OV1`qJo zVT(>IA&#i(!7W=gz)?RinV2Q8k`(+olf4tvIxX2@GQ(Gi1gu&Pq6eCs_(#M6KNy4FecVm}C`k&a0!OpiH=)rA4&c^pZ)hbKCGu3g`<0;{<1rqkmQbRpeE*Q+t`LpDdB4;7%G{F-3P9N3K(oSSX?&sLqP^{6p$=^;WxF`r6;4 zijhOP=n7^tO(rUOD}9K8;b53zcQ4Lo8t9ormS(2|RB+N}oHmv5MXag8JB$xolbDbr z^)^$P_;56-Hb*HpECFlwIxRHV_cL?lysj|P5rsRQ1Y~@Is;%vKDglq5Dhc`a9NsV- z3^l`(HOOx-)Y*@l;P?PYzZQ)Wn&{~h4;>{patW80h$UJ0_yNEBm^d!bms}%EjQp@` z09`0IGRx0_Un@fwlY{y(@$21p;WTJ`W^T_!DIMxc_go1h3UrsHY6%PL(nh}RHdQfOkg;UYX1$IB^Vjw+kJemB z_e_3jrk~!9Xy}_@`ET7grWVX6`EMpO{bI$6$L^(}s~N%bZTL=OC;Ay(aplz)B0fEi zSj}W2jl@mR%7ykiA@k9aI_-r7E8!J(wB|uouIx1c-Oxr)ZaqAJ%I0zI%3_3~D+b{m zV!7j2FkF}VgK$imhVoJr!Q%|L()ALZZgZl8(npqctr&4PGqs*C^IuGNj~s2K{#5us zR)fkfU%ba#yk9dLv%Z5-!4Wrzu4hlJ3 zGSg7fymeg0RO@XJv7%TB_?q#X1b3YvbT&-?$6{q9{FO-#@TiG!BYcW+HSZiGlCe!z zUJ3S!Pbg*0h4xkJrCM3_Ifm)V-sVf1SKhEg+ z^7&dVsD4t(wGnYgZjO299jUS9A%DzT)TYn(N3}vSaLW4PI~^<}rUgw-O6;Q!^NDif z0QEEHoOj!m2(h;h-;6+h5U(R^4Ir6}@-FXpI;QR*WqC#(WRByfvUrXGTo^N|!)i|_ zn1MI@@;{LxDNSIf*d^qHh2Kq>GLtgZBO&RdP2vy=3)*pun1spZRtpn>q_G@%QXznd zR7!kSVSZ~oQEe$}8F9JazCW@ogS^i;Yybo}&XQmDKZgDxFhS8`Kjd$q7>e>4-u`1R zIo68SK0BC^VjAW*6siSRu86ga&CpL!gw`F8b(_Gqm8(i~MK-+_S(*fc@pPk-krW+^ zi>^nTKYwHlsDqH?5-jw~0d>3u#?AyMp^yod&2XJb^K?P9@ve+4Ox>nQ+pG4owV**( zXjw@;PjAj44r3m)V-lW=d~DGWv?CN_ek)j)Fr8D_Ee~a_{iOTED+9~NI=vqGP6O$n zq&zi3;;5lKkctcjH=OmVqBvj_3!Op?}|B zX%zX+*Zzf>u-~m%6_SH8Y?yJeECf5Xcx1#%*DIqAIGNDV4Uxc)jKyH{o z{%Cuu128^{R93s>gQnuh(Ta<w)jFm=rA0+ss*O0 z#@aAfT?ZAujdLV@X!n)Jk4A-m2gV(gNNkHO+emvWAt}t)>aMew@S@XJ;Ev3DZlBsn znxVkVB;?aZkxB50ZKVfT{RO;E9R&St;?^q8(ENJCfgr%&Jq@Uwnv>8vl+ z|Nb0n6FWjj8>C5o{$gi$Y{ycHmCqwNKf4jD^bL7;t}SYCz=@CW=nN0MQJ03Hx!+1< z$`pq7FdMd_L{dgunst6Ap0&&tikbBbmkc{UmN*ZN1P#j8|K1(BO>xu9hxz=2QR%C+VSd&7 zw7f0l3*Gny$W`6t!o5Q?&s|5M1mYfik=bgae#@S(#5M9+t6Iw|36s5yN?&NVT!r zrl%iI9|I!H$IU@|2yUwcRJf6MFX ztvbJZd}iP5XXO1-JZaiC%GQ!t0>;Bd}DB!f5(1VdbX}xBvA3 z@%D6q_-tj#P_cQr#tBgOAN61oJI|ItG!L87T1h_Hjwjd;M`rc}JPcGM~npE8tTRj2Be>hY=C5r;AYZz%L+b%Kzkx z-9+9=9|xS}3+vg(d){`fVZ8B#(Ho3Wo5kmJ^T`9z^c}Dep4&X5-jB}txRK0=tLbmT z5z6D=PgZfj;+Y6OCJ!%<#y;-}JtjQ%`vIH{3aFg@sla&NfA#>C^8|zM*ZD6;g+KU& zjCj{FNV5|-p~a)WvKIVlTi5FU-72iZlxa;wQ0|vYmK%N zu&fhpGHk9xXRcp+wy&s-hK2U|)}P`Ww{5F#LBpI-hkB!0u7*55K7TZChAY1wGGCS; zw$aFDu4*lHB%< z3JnUxyXHSHZ0=Yl2quIatX)nR1rXw^Db`KctnzQ2_&_H_@iqzi6B2pe%C~-mhmBYW z9t3Cn4;v!59E0-zw#C0BKn)jDMJuM%Mbfvc>xXy9P5z{qm+lvJUuQ46>(XmaFJjiv zuA=g%_kqWbP2^tB8>1Z;-l4o^>8NV-2ipUkpQ z-2AVlA0NtWx5{4$h#qIZT~3Qt`#yt9Y~vsI<~nXf1guzy4u1O}%Iok!U;Hw8kL-gp zG4)F5|9G0iW6|~5mtHEs5tjPDf53z@Z90%cbat<6uN5(h+v+~svf}(D{`QA zf8Qg%;;s#^+t14#%KM#|a6MmfE($YI`vIf;@w((W(S-5vG3;?gX)?xUro=@Cr#xk? z@pkmF#hiO%{I30h9pJeH_aj%%8IF4k#C2^)d^8i5!4vhi1l(IN$a0zfGRaUFhkTe8 zeLdQ)-EAw_Z~ar-6AkSEi09P~zW2gpBXuAY@`aGfLiD=961QZb`j0)k<;WefQihHJ zjB|n>2rmB|j!%5Zoq>nlZ;p?;bNasb!=O?ntWuI;9(+;K_{gcCMQWlxZvO>{!2`!F zm%MKLybR$q7>s_W0sqeHYT=;(LdIT$k0+zY@u9EdIhq5W&epiqFZbpcAn{gN2n;$SZ>*sV}T(8O_@Jdn}!QM-%gJ%o6x>Y--n zxkBI$tgPk^50n3?#A&VPdq89@A|?t4LO`xzmR$MK2|Ti;3(vKD2m82vG;G{oPw*l3hcME$OjgcQ z6o7e9AvAoCfxdd%mDG8=>lrG$&GxIWr*;OL=$ z;O6VC*O|IQ|A4SBLf*J$zd($DjyXNcbZBW=cM$VOC*%t98}s{K%4wa~WLwx`YZ;zT zt{>XRO-|8~!7cRTv4?Qj*DRuET}2LJ(ux zYC$E^wlE2%P*v_#2=WTYv>v7()w?KMuPF4oGZq$r*W{|Gu$-h7{5?5?1zU=TVH^%X z*Hp)uW$`eXkMc)7iWp2zHK9%t(|{Hzw&NdFA4^|COn0IiC43?3SJ*u`_y!XpW*S)f z^bptTt6ap|t_{j~9TC#xN?fOom?VHW$rH4)%h50ZxXhSBqn|BQzoNYg7Hp16IIykv zyDB23CGxDgqob;(t%?^!EgfT4%hJ}$@~4y(8nZs)BL9`Hh2(VBO*3pWTlWwsjz7Vr zQ8>^}-T*{tnN$+n-AW6sBL~qX%~hqma+^ouDa6Kyv;^YI>$pu$eLwo-8YG8gUcZkn zMkpxU(i2hl$wu86>BK*$;SA$MCCxzR!>pNp-H402 zB=x@*!&^e@dKvYUqR+~_7I&*!r$NnE->^`6I-Vzgw^sa5H*;C}E40@*@63Kcz+G#Q z1&Qzp*i!Amp~0hZDhBx)&T=zNr^kv_njJ*itZ3=`P9(v&{%+oJ4XR6f+)N9Zr@hK! z;Hj9LT2P{z$ytdl&`%e5o?Mz^3gU=wsQ&(AbV$PM1bYJcY0HazC37;vHGY-c4hAq^ zd-Idhh+f;(Vgl(u=K|LMzKA(8WqlO2t1JRvE%jY10hkmv<;nNVP{QX$UPpcjf+Q;p z)~_i^C*MWmWg=PCDsH%>42}BJ&!i|EwGj##ErA&~L=x7og@s10l(772e(GeK>~v&^ z+g@>I`VE*-(NCg|mKcd6N~Y-8;|!gO;XsB4%(U7fe?^a}k=V!0@3gK$r4#@RG1(W1 z6m-i;Nr9u_pS75r)Bu*P1k!Os!~gWS(SN?J^+-{a1c|qK0;&7&wvZhdX|l+uGT%{t zIW+lF5w*`6$y*YzYx~oDPxEJqVI1vBXw{`ZDdn-%dT1aN8{7;FgX=IU0jx82s&i?n zp)O*L>MD6Ou@}Bjs;LQT@#W>N!o~iIW#cda3Nkv%{gfeAXW#V1Esi97#S#r!GhWXA z_*N53JH?dlKl+K~l3rafZMoKVvTtZvI0>Et(dDh~_eC^Nq)KhgM|w|&3f!1x=R&tR z%R!rhH%v-#(kMK&FaM9wW|wh(oM@7fz@NNa94w_63`S{8T~FccfF%G>%D5OzEh=G5tRNl(!hAu5iJnpm%LJq={DfC%2%Dy znKk{SI@1E&)G%BJq?&EBCUn(IxBji;N7MJnx zHS$mDqx80wU{qI!a{dOs>Mg) z;*1DOsb`JZO-o9uSQ2I^O~l@>b?FOP#?!wIQ&{L{6Q`wD;w(pTK}Cq*eZ2)6#g*Fw zBhf7LO|^n^`goE(<#|6ilxBuGCmtw>_NN~PYRVFUG>qccPCA}(PAlb^l}utWFOA*Pl=3>+MW0Hf7>VZeiL0q^X>LrT zSfSpyHlx=aBzQ9S!daPM6Fmj2pL0pMxH#CBE7<=$U7-E=4gW7)k|_lVVspDhA%UHj z-bII$JgPF^rcR|fO2f6}*IbQg>B>{}a1`-qc7-1O_TJb401YOn9^pWzP%Sr#K5IUJ z91VtEh5{cA=4Xgk3a6ZeF$Ii15tIU!C_n>=$9{g0VQq*Mp(GDRsIZgJTXdAI*iL37 z4w;~W)b+Z2HXrp)?n&cL_Yex=%l1u90^>^6)CD}Llvq)az)R@^+MJbat2znRUEgjA zCL(&-m(YGj@>%^@(RF4)uQf&L)g%=9gD$%84CQej)V^2YlvnB zbqC%>4GoS$|49bbvKFHv0pF#HoWkqu2}k3~x{`|!Hw&G>kI*CRY^nv^zI#T6p)FXz zH>o#}JqZKDs)F=3z!2?0c33(alsVC6!3kZ$4id8u+NkN}KvDvORG>nSg`(^iWzIH> z8u%G3@JGzaCpeNmB{B6!XzSaYDF==*mn{l>+cu719*QonbmrW?BnFmq56AQ|df8-H zKh0#838w@Kp@%U8-tbwO9|Y@_uCrHRB(6QnX(LO+|7>cY^(ChL3t~9q(7Z!XX=$-W zU2M&*2t;WcZLuOFUOinA#L4|bi?DR6p)wTb{7Pu@7fup$a}h9Konm7Luwf>c2x1%h zqzRp3pHN_MjXAPHyN-LhHRur8*wph?!?y5T`gdW+C$Ufohg;{s^NgUoW`g&M>5r?D zCHV0bpeSF7xQ7TRjuJcB;emqpPx^(9g;3PowQ`i>$`1?-+s zZ~0mIu!6+CgZaRD1yWAtpi?S*VNbS$k{BRDK#q^`qO3jPA-^K&FJMJTnD&*EQcG@i zd_ERv8E3(%S3L%)DkAOBaTo_2wZgB7AjPUc7bg_6-AUb5Gzn-{wv#Y^ zIYSZmVn9uCtsEu8v31?|JD83kmU&?opW31$ob{bd)fTALVud|w+Jf9>TREIR?#p&x zdQZiSJTA^sYKPY^y`))=RK&ighGbJ9-p&nO(3;fgCZ#4rC{9P@-YY>^4gLbISVujf zTq75Fu!Ohr!sE(%XAJt~p@n^>azSHLTZn}8nVym5-TFf702u;v_aLg^b2Q7IXwGHA zNBCzQh;kikoPUQ=iF`hAE-0-!M|!SkoZf%^0yDrr8`hjj&eQ6F?0z8_yn9D@!KkakUL(Riwy&~qoQHaFH5c{| zsgUzYgTd(hOia&=jy(p#o5&X|_Ufp`6a2(V3SvS)L>}OkU#dQm!S{|Za5_~>%1(+R z*zl^t{swCk0oH!zTU@rB?~!0!-T_bk)3q$R4T^=2BQz)ywsf5gBjzPPhYLBKCoeZD zHI`#JSP3+J#F&L_$daqMliUgMu5hg?OsEIpX-5dB><$gxWrk^pUw(Zv19?F44hK#O zB{Pn(Cqp`f(27u9rL&}#GkeL-MGW6Gxe#hG(k5j!fRu$ild!I z?|mJ7r9b|d%)&1W{vZ9ep0+O?%UAcOp8G3CyWBl~uopzO(o>#J&;El0Fzr674~veM zFW-=NT=UQmm8!8ovN{sAdso=fW`)@9@{bbJ{}9vJUk~9DkDM9XIIl&y0%V~<*)&L0 zJBpS4Wd`GugTv=Vz(~}qq7yuxYCeua3llbp;O9U|$KI%d$W_?o2=T^V319_^2_fW_ zi)E3+Sh#;?W1mYBu&<#pk*^Nn^Z5L$L4hUSBfqQ#+2H&;(TJ>OWUs0RT#mRysQS;O z@83e^aqaw;%V(pLvU1{Mmtmy%vs4ZvqtmB~BPF)aZN!zOk)@hsM`-B9oAEM~z{xc3 z10&ugmF2|HWt>%v&@xKNtw+?Pl(B4Glkx0oVEn!Mb7@G*HqY26R+~x4zz?~5sXvUAYxTcByIS{=>O2MRDaW2J|%u* z28RI$+!cl3f7re&%NqnpXU=#bi82s<&A%CO@4Ol6SXSqc4E&n8Aoq_y9aQ)s9{!hC zUQ}H%R>}>bgtwpeQQCeSb#O(7EWMkI8h&+(bKM>}N5_d?QDN&r_--wmp$e{sSHPNP zcD$pZL#9|>bt)p?f<0HlA3fX;?bA;+9H!CaLATmnm8|OWE1kJDTWZqR07n6cW1p1NRHI8i93Swt#W+v#ajLY$5Dz;3>N|*fhiu$>3UQz7 zN}k&Yb0eRUXp@z5jhg_8N+cN6lIM%p!8cCRd6#hcZS$4-b;8JxFyMaa@BF46wc`7; z{#*l1p4IcL)ipXI^glR#)1%l|yTskGd<$%LFV`Ofn|`Onmq*+Z2AhxgzNbAPUxa5q zSZOKu)ne6!srJC*Jdu+exWc`fo!{e}j>Qk@g~KKF(-J3jRlvBT>fkGf_Z{w}ddUGjCTM*q@z>9rn-A}@Em*r^a zh#3!W;oSEb`trL~75`Iv9ibMK@2e{-g%d0vSo$sPV3?Uw+3R<7xxP)Rzq;I-xm5(t zfSyYxuh+tPL-n62zjE0RMpI&rYl?D%URTFzi`K|j(gDYIpxRMM ze5&&xPkS11lWs(*F#O4@D;IyF$c!rdfxCz+cNZouAt&|&?9zeC+;VvlpL*Jb(Tol^ z_H?YOz<4|Yn+!Fk6s91xm~8vJKE^Rdm?gsA8jtt_X@ydqWq9oc$IE_y=5cs#=}^V|N69I!4aPBSG+u>uv*lAmOZnuwOt3J5leyII(1p%~BOK@aDA0PM?i#AQhYm#Kd#Z1_qf0PI-Q&^Aa=7V<*`nhy_uW}P#1 zCJ+wF7HwP#QA3rPz@Ule{=CZbs!hATYY1}VSH$Ia=$e6OH2xoYs?vqs-&L#>WE!kd zoRRW73}cl6#891ZRufto2zuqHfgL@toG-tD@;!b?%!Ef^SifUBF4{ihW1A1u1?uan8k+5Q#8VGn`+l(G=`2kcp8U=1j?rVM zh7ogwwc_Z@_<3$c<1~Jp4BH@!z6%DTz2Ff(JV|jrR|ZKbbYZv2?fm%wVCo%R?gH6|rMG#x{%*ejF+-BWCxg zRyfpv`JITl+x=71IR=JZ8*zZsrcEkEluu>$gg%DFyxtK*h0Fp%QC9&fWA(-n@eMh{ zLF}Ln)|(p1*_q^8y~ou7JoA(L8-s) zxN3V@G!?D6dmFtwE)j#3p6KA2AwT%y0GZCr82ShN;}s*UtPH8?#eKV}e(>?^kgG^Q z6M3Kw?Jnkd9!jv&;O^+0IAoytQ`Q0HXz3nWO5(75iLzM3D8103DMPy;4Ao)5_;NhA z|1Ctk&63$0VphG0e|jU>aY^CNpXkGtmD5X`$hJ06blm#LA&-;)FpdZPRsx;UulMDm z4IA;8^ji784!o&y_tqvt?|-qPHjT3~Gn7(SRG!c~UVN@Vn0lE~fz;}W%I0#^yDB%B z33GNxj#WjKvR@t6A4B@D+MCfn=UtdtbY~zFTZa2g*Qg9#gp}M-j`@rzCXQ$T z0Nmz8Z+eBvF87DjLZ9Dqj}P|!nQ(l&DCC*EGKYkbG@xnTT>ICNb=LS54ZO(j5Jda4K3WBmbEzo!$WO}5bQ5M)M@ zC&2O>+3$`8Hu??I3O|53h%3tE%pYA$@-_n4802_u_=FzX-?h z+nwK`#~CplQ>SDB!qQP5wq)p&tv8mMf{0TiIw7N}5_d~}bsJIBaKcWxx%~K`cpQ$# zL80B8ee3D{X-A&!|Vu#ZMV-+j6B>V(}1c&Z=k))7laDHJ@GS=ABah^WN&JuO>@X z%rbUr<#BZ-mSptQ;G^DbzdYEbJn-DL($b8wQF5 zIy1JfV{(Z$i=yzt0!42}e^73Ung|Iu2Arf6W#|F%oZP>I0L?Z14ZQA=+d_^qu4+~( zi3a+CjTGbv(I~HGAxEcnX%bEM@%}twe9Lgy#!qf7I|uk&rf;1bIKYeM6*vH!>0}JC z51uX3wac7I%Sk~YE5V7Rwk^D#-sio&--b$Bf0MS3z<}S^n21a1vEzNqo2?8RL z>81YKGz*lTQbG4dkiW&}nl*i-6sud;JngW>a0(ZKf*JSh)ed56eyJ_y4rF9sQ^%+f5>HqE!RUl;466kN(9T*>Jmf5-Jp&_Q*gcnpzToc(T>6vU(jJ;yR{^?>K zGJoo9@apm^+m!x(vFX0lX<BO^O7ZK&fc>x5{t*2-W` zgTqDcW1kHnx|TV`>&p-ZYnq8~3}zdr9Tjztwq7*7f|xmf%Z&tJ#nylbH_0^ekVowmHV82ntUG|ZiQj6T83Mx`w1cUv37GO&;pYy6;M!Q zejPQZUN|M9^(43MwTWj=Yl+gb!zwX!>U6npc?C=`5>o`mrk;LaeLP0k*0E?WXK4!k zT=~nygK)II9!M9f(Oecz`Wv={AedH3RSLr8s)PD$BJ8ia9)B&pgKa(r1aRKmH!<(r zcrc}eR^xsg4G+(BJ}933-h_R4^|{hE(uK48Ks8)mi#|xIqZs&)Bu<0+_vditvxwEU z^xDJ^9NvrDv-O!b_4CbrLET5t8#S}F35qkXq9GVI8jc4=;_30h#VKF7)0Xvw2rY4X&YPyeT114vb-M+RkcAl!FgBVrP`Vk%u~5Fw(C?+vC3VHV|r4NFNAi9k3w z$?d+I$_~jp#$|H4BUWs#Z8}EnC}mxYHSBRdP>?C)$&{q{A9jOoS#Hdl^}>n6^E>IApiNvz#xf-D_lNvbK0Z z&f|IIS8pnS^2yt96AYpwk*769nvEH{lM9K-DKGI;&XJi$Ah}+iB zHjZ;NbsgxQ)woAaU_w{?B8|}GLiwWEYip35WRqQV&3-#~iQ2D9Jg3aUU5*$QSp>c1 zR#ivvdcaeI!r$D0pb)|STzHsiM+DVHTnL-+Adj^mZ2X|2H<3Ims3L_*a2Z zB2?&(WN{;R!9w%^RW zq7ZGIwLtLOnqjCi%d!93jyYWUybs`WI&*5__1E+J9;2d~ zT*jI>tjkOvExkecPTusJ*D|;pNp>sRG(Wh^IUf2xp^Pn_nD;KqY-WUsBYucmFXc(R z2yUF{A*|eCd3@|#NL>qm@iRMKM3PG(G;M$GZ_LDBO8F?Y6NLuf%b+Fjp~7;UD{`8N z+7#P{eUg~$u%K>7=2ih^nS!v!PhSPFM0t)v zEPWwD{wP}6TZ>1CD?xquN6DwgJ#G_O_5L<`C*Y50P^ou=*J7j-gQEqYr54M>?q=IB zw_DKu!l>qHdeiJwNnE(%0hIfnIH?_Yov{4 z@=>a)aAYAkVe(;GAFhl(>?k{Zn~MTkGpMFoS_@uHPr3j}5{2!!_%v;sh9SgZd}Sp# znB1Ta!3%Z98bzHp%zzmV$&I|W5_*)-ObtK*B-X!PmBV(YH*^&7-euXLgCwAIhp_XW z;UaEOx*K5I3TKr{c>J2Ih}JTF0a*zh=&>5Kq!$P-*jtAzGYbxN1>G}8>*L%t4?%F8 z2FX05r-x1rEgt_jm;5Yhhk2y21{wCG~CZjTxDt{%Ay+-z?4O$7ve;@pX- z+RMgFAUnYqRet;2c{dg?AngCA>T#~;?Q`xrx96k^XuuaepZf>irk5Me;so;c(0&Px zJm0V~co^znmSf-0T}j;oLGMkECvMr`g=w_A@!w;UfFA9sZ)ZvzM{YG^+(?1R2sp@h zGehND#L7Qkgu7)J6CKG<62rFdssX04(Op5WupNnNR0DfELA~avYc@1uyTf#0Vr-N7 zhm!(|p(~v*z%g-o{7&^7?P*efsjLJ&;i_4g`)jsftjgq?S2`ic3hvh3bx=6gW#wNv2dbkH@}`@)*E zJ!P79G#IR8XR~eigKcYLfj;zs(Hu{n6DV6>K&X}Dze^bYR$SFKr2bOM_bw}8M|~{q z$mdS@vL)d1(|Om6p}_5^kJFMtUjMiE3y@DxkX&W52mHbBo>ZWY=?y&Ka`(4Vqt-=l z%G9E1=boW}=aSg?D3_>P4JGCvYgj!*%#ve&9KITAjTh{uUnd-s2a9UpKXFfrLO&%0 z3w+4MJ~@&@FB?p{fH35SjfL#@%Nf-2b53##Caekiv~4vrg_j1RR#i54Lj;grSSz$hz024ffL7#>EpplytW^lr{jT3 zW=;PF;aCZ)G$Ujgujq|f0`}PgVZ#JkERsr(0u(7hM=CjR@Oy8tYraZn^HHEHISaQY zR%?2+i;H?77=EI3mS&@_zA|r9xbForX)&u>v=O#<8iN)i3gEjzO^?RB$;_|*G$lj^ zY&Y#lGtB-;GLx*6vQ2ygrCwoO7PAa^>3`W0(B@+zg^PnN{h>B)RF5G4t0AdSUBr*+ z3P$cI*+oSl05*M`S+r&YVxlb?76XnFgN{()9>E+ly{OX;NiNzYDJLr;yC050r<(}B z#eN{2F{{qx&sT;_Kp?>txIVf6Wp}My7@VF9)K_{f9nGXT^ANmB+A}pVpX!zP z+kP*dMqfxR1_nu!c37K*un&G+OH1l_P0fPZ9^%D$1PzB)BB+?hzJHnt5u@rk>Y)9Y z&6H-*N~DO=1=W^2UwOEKUH>5a90Nh4+>RSxXbvo?6C?fs?tqi+?`%NTuDwTEsw%sb zC{p4Qk*LWXn2Hp!H!5jp;@_c)*ZIu$%UEaGUtDP&;I z+&`21_hN#jn}b=KBH$d5wOtw&|HXg<3Nif|!KulT%XQYQ(c^SSRBtT)$b6-tlmVnz zjDS$cL2H)Ws$~k9EwvN%MD=b6dhX4@EQbzsk999Pw9-I6(v$u3a9eV$f6IyEMzn||L8`blX z7fxYnQ<6#@qokTa5{9V8Q&fB(DVRfDVADV%RYj3ZQVJ)9s!l^ORf7^Gmy&-?diB6# zH%(TmDz=L(P8ccI|DAb|hH%L{M2*brdWUSJ`!{WToF{7I(UzLx^)eZnp;fY{B-ujS zds3W02TjD{m|`z-{O6tu$a@d)5F*|lELg+T597j`(d^R}@H>Fupd&q5#cZTKneeyR*Wm{{ z6L~QVQc^kEup8RqR~>Xi151>T2N*pPYG~m$%BQ`d2SN zp8ButeNd+p-j?6coZ=0%_j|d1T0e^u#q~&&wD|3ap$YtTo!D#xInYV`)nzUu*Gi-& zpk#abBC27jhQd#B>~j#Ci8^S47s2TDb}A-MJR)^jbsMYMBh$G z9BW)ehpme+F?Quj8mUceK}|@`UD>Y_+)aMlx|RFxb6^Q*hk)SUV{)7tj77_P*8;w! z;~obqTQfZt%z;HKsXE(yF@#;?F6(#Z=8oyI4})0qkc#hx`p#4C>D}b3p_# zNBMdRa5H&Pm!jcqaQ_xk|AXZ5!uL=#X^;T5=;8H&7)TzIuX0N`sh@tcoh5xWk|hl@ z)sIE$;ZUM-UQe+})lw|RL?}_|v?jTV31u`&Mis46stid6s-OReYQM_`0lsjPua78P zHd~`C3zZ{pxS#Hp9|<=VxRYl zuSdOme?S6Fc)&ysix&{Qgjlryt@`{63#1j-kvB!a`5wAMPg)E+?bfaceLy3%z=;Jr z*%ZL8MG9FuK!8Wa8b1k`T_JWLbS|@_B33SULL_~EC6o~%paM-c+iE^P)>h-1OA`KT zZu?h*oP{MtlJZ!Z{h*9Xrf(HvU?iL8aonXP8tj_y>-}-CS%xui&R818{QN7VcJ? zSZvQuJK!#JPO(FRxc#LK_3!yWdG$4;Q5SSg7>kBI+3^wl7HsFWra{RF!(doC450l(qc7=B97_z5eE=#+FcdZjUGO9KX}s zt;~z+g-H(j$abb>A70&+cDTb|(1xmLA82Ad%t@O)Xo+>N*MfVpZI+c+v?-4Y{B^Jd zVV5Kr!4gqG2=(N5X%<%Df@>YNd003bNm$I{FZwfP?^662I~BDT4vJi6I_^r%m~8@& z>dEv;^=M^SrZimjE3#`YG=V?DZdsRwJU?y?Kee+3mMxi+T+0y0Qrmq8buf$ZLaU+mKHonY_g0gr~4lBg5a}7}~SAuptJ3B8w=%dd5w#9s* zZduxwzIWrT=fk~wqB$q0lDMO@!%h`EzN4!D0#9@fFQS=m!pRWEBwGlAv8nbCmtq{> zmn><;H?}VRfjRTm-+$j=W5NsjGvrTBde;EF$0?PN5JuYHZe6W;YiN@Klza>6oRTk5+|L!w-Q{a`R8wb!=ytud zXT*cU=!#aaQ%&$S z2r>tXP_3ixM8^ZZpp;Y0Py&%z6~UK2s#3N5sYkKP?{OEk^Ej7z$L0F+{;8Gp2hi2; z6ZEUlh(ve8b8h|S42n#F=k;|OzDe zaL6l2{n%xD!J0!P(|>&+-a^jkeJmBIiYaD*x%KkrmN+%dsyE3+#+>8rebm<^?`ovO zcv^7MHc-&=y{X6>*4fSRh7pU&aG?usz{QF^k<7r++XIuV`0w%bv-S~me#x)!c|Ks( zlVI^VNG1VH4R@1ZCW{OxpKvL(_N7qy@jSIA*>f4`ObEYMZ320zA;H0){7^x34e`rz zzOBEUYLWmv+ijGi^^S=Ih>8vrG8?~vahTWJi_3;9PYReDH=?H@F^$-oo^+16Zv#O9 z%aFuy_69`gt$K_!LDc~Xs(Gn+v#ncjNvETQ`n%cl5%*8fCeQ$iqL`>d&YCEv07=o8 zDc*6!-v9G4GQ<`5lLeJwx*7?9dEHBY9B)Z~4^yO~5;v2n2pa`Q<&3r~j!lXy+}{fL zs|h#mPs(}$G2*l(z0J%4TtQF1IRU$ZLi!6~?^WQJ2rOPA3KP7}A7pIyMfjxw7;i4; z)5S}$CBszQ#?u?QFDk`#uGJmJweNEu%eDSy=gJ~u6W?TSJ`BOF^90v>Om?Rf(C?x7 zzMl|sF0Ur)k5-GQFV6;#r@Z%Ssh3N#)tx*yb*1e4U7A_Eb1og*d10Z^#B8@fOJkZs zsNYmW%3_AaI1iuk#R6`Wbx#I}a#m)KNnYws7m%z+5J3xW{T_b6N38~Hd#HIQtj!58 zPf94{2y;5-9EtTCzdCOJN5(s@@Y)|H6hxGgTrHX?e_0cBwcFS95FPLjBpAoX-1-*$ zK;{MwtG%(jttJ{AKBe5AcJJwXNl`nOatw!TPzPMh{P1;3_EvH7OiWcDJ@^eY6>`fk zvA{jE1a)1R#+j+8?(f{r3ZHLKrmV#p73csdRNZ_vKbvX`A9gV@b`kpH1Q&0EE_D?8 zS^^SARgBYxB+Zq{9?PlJA=S%4I^D*MAmdcKpx4eZ*s|_(++3XSmiHsbbca(-f3`pQ z4#!?ae&fwEnlMt@R4>KHmhAAC@$F!N$xheE!Kczwv&KHNaav0>z9BQ>v!d`!V)H1s zp)4NPeB1omNKU~!)GI;z?D11G8@ zJ8*YM%wVu6d#V#uz~X(TwbjTg2Gp=i<)P^EHV#KEWt3@VLj2tb5_Y9f!38E?vOuyn zoB?*F8#9jrZB%8xxW}1h9?Jfb3aw!#-&x`u2|7t!H@YvUPAzjberx!RAOm=q-Z`#^PKTRrtfW zZw%?Wk~lY%`22o$4CJu(b4-PUbJq=QMt=jkm_5JE7p3(A(){r6TOh`c4d?AhU1JRA zI27t4++{MJ6WfaTwi(5M_4$`rc8F@!IT`67>M_xj>L<}THjruKdqz~cV>w*b2NU)r z7$DIm!@HWWXkg^PEGfPKNE<()5W$0!253!f(JXFC96{L{2rLtp1|HHH#^cQr36wW< zJ+MCoEov){PC?VVAMpQ0yRg8Uur`}PKvT49;%lOnjG$ZgPMv?;VZ2tH8&+;e%HVxdpIiYt;}26kBY zvV#O*aZ`P30!_0u-eG({Si#6kRnkrUin^|n6fsIPnSRaa(PTQhTLrOZJl#MrJVua2 zXt(vUY{7V#$$^mk5J$L0@h>S6WCxcmTTlNiWLoKMJ#Z#f|U_boWpw`GI63 zk~n#w3*vx(Gvaa+qJF(KceO}!YMHP67B>_karc-#z372zQkDSO-qCEzuaw_E#657T z9+FZZm486mAi1T%(UbnjC3P7J6BB-Y!?mUr@p;(~=B}jt^S9kA%@R2I6tz*oK(%54 zpG|v_@W}_it7ZX^gAupLF+k&Lq?%Nw?k?frgOk+lVbQ^dk3;3WY$$J0 z#S{4Pg$)xvEzN6F21iq-hMND{iAC;AN*h@<8M` z7^8DLEbJ*PvYMfpj(s_up8MC8tvwMP{YW#W{#s&0i;&h$1QB%#z|n~I!O3yh5TU%W zWytsUk!p;R$741S#zGR57QESEF!r63-^b!IvNBDOO@Xr+5;%u@p|R$|p3xLHe_gRK9%9kws$2EwU$@nxnb3_2-i; z(Fciyn_tg%wIZS4xTW-3Ubh1&1ELu@OHo{7FYvk3DNy5!eyhVctYZa8dz!9wP-ArB zrrBSgid~eArb2Qco#5J`AKy;YN&fOg! zHQ?P}g4GIfrNTm79*7#le+~6fGUL!&&frq{gqE@U?=FP(a^j(u*Z{FrqUs!E%weCDMf4t{$hjNn*|;V@AtJ;a zuq~!(Cf|En(7(+5nQT2HEt^2@$|$c!3FsF+gWMWVYK2O~33i38PEqt`NTMG$EE|XR zE&Qx^{E4GKvMv81jK2rrklq@mAqX82IzOPf>A<7gO`|F*CNrRSPx_n-H;0#bh2M}t z=B9voIUe;oUU2uN5xnG)1*pY<9ZT|tbM>k9&u++)@==%{CTN+2W+TRz;mm)sgB&Rt zK2s+)L8y0yXhV-;BB9k1nA69v42Ph$JYb>Zknp+$*Z0nZ^*yBJq;ER)eDX6KNHCPG z{>ijyL;G=v_g=S8*F!UKWuwgcMg+}dKaL^yfxF)WE*c*j9zvrUUJ`zC2k)}rvFk^E zlB?pjy|x*z7>+=3x*Jm1ypFN-6+Q$FPX=0fo8l)hz3SjZ@>P zC~m0mP-)BA2XophG_=|QqJzI~AvY20TRti9)U%YS6~jN=C<)AZ@JoDk?NV80>iCL3 z*YxHzXD3^tQSr=1hH9So*33&Md%_C@pU3KZ9+B%enWK$=%wqp|5$-8|oqg+L8}MQ|e&T;0$w* z!)sYBx0ix#@eD`n}q(4L%bv%U?WNeo>?m z;vb5WlM42mVtCbUh-0TgSYrY;fY0wjeV}1dQ`9+Y{^((0DPlc3hUGO8OdaPb<>kX+ ztHrM^f)L*PnrT0x&#}LzW~$KFy!%=?<9qNir}8jO>){oNKEX5v3=O@{{9T-h{oMh_mXlmMR?Tp=-qx2QQdxWB3n_L!2ORkX$WF!3Rm0ayaZNZ$ zcer?gsf^j#U+kGl_N*P58R{UW~$bSx4W=fl7=RiW^; zimgq?Ip;?I-`n`|Jd)zgwnW?lxs10vn*8b(wT^Y9fG0MKa~B%E@@P_)W9o%m4-)7# zOF8=w#q3sW7*DAP!b3=cN)?x2d9Va`b%WkZp5+t$PO5PJn|>2^TJ~a50TcWi;5tcY zl{IJOnpns;Zpzn|ss|OzuzQ7(`vC7mqr6bbDB*&AvB=Ss8m#gQZS;>g`40WgXcNT! zokUj4XNa{jx1r$Yf8EE|Rt{+8|-vRoe;do3EZKtB45#-%B1}iif(540UOv2%c~XtpkJNJdaQqmq;l`T^zI!U6Y8d zE8Bz;$X67BVYeBkjCim3s~~ccFv?<>(cdPQ)r??wAxlk#=B&me<+y<#|LR$0o15U( zgE*EMYGbdbgvL+11|;t8-zhYLZ|RDC0m6-P?R}FNWmdHYc&^f0{Qt&!QG_N1rp-~T4H*kSFKh>}z?VUF_!Rbg#8tVIITLJ1ykgtdM%u_vx?8ji2$Qbdj7 zD1@^%bT%Vwh4h8!=jqRor)s)`$sY+^@?L+4qVgW`Z}L4*f66nVQuHxWKBJE+@x0F{ zJaGd%pJTBNs$FbAxapiZToVv5{)X34Q$D_`so48zTg_Tx)hX$iDA+pkznniUTm!f$9dwDE)y?F7);T>dk8MCxQS z)+MXfBB`I85IU-&|7NBBTDXLVt`{6F0=LUubn`cd@zkb#_JW6y{HRJ^_Ii+fLHkb2 z&ZIsu1OfXFPY#EFl92)y;0QGE;U2iceH974as2@wGnr!h`5mLPAYSZ+UoW+Ups47M z%K$xb<23(fmz;b2g(vw@x_FP>w^tYCkV^qgB;UZA$>h4sUglp%uJG5iA$F1k#qf+s zp%~}wr6LEinIGQlmBz3mV%;90?^u7QIpzn&d@S$y&=<%w+1Tfj5MaQ=eJ7GwSoT8Kfg~4|M#2RCZ&hR$;bsmC7qb_y zk$}fxz?8EK!_YdB^^l(&7jql#uq}Ws$qK9PtyWNZ$Wls(6eMcO=@YD%@^Pn2jub?n zhmN*FY%@|drn+YGLl?;A3PK9N5y@!+AvxQsf$<=WAKL|(m4_p*7-N)>+H+B*dHMRqbHvqH(E(I2?KKu%%VG| zpm*UaBFySKO9jbLCVY?|$W@Gx&I%~J2tA56eVbknv?OY@qfcy~q4|1{qDs(Geryfc zN1<+?3!NpeaWjJEfV-fnT*3iN!C!$Fvkvof7yrAkP?%J8@W5o%0u!>loWFO=Su#*Ybg-WOkgq0A_{{0ou!C zkQFX1BL#Z6d7k4GC|7g&Vv0?&4D*K)1*JZ92qDM>9FOozNY;OZ#wf>Xd{ZWN}QfStWZHl6b$7Sv^t$8uV%qeC>gJ^gi zAz9ORT!U(7QbXlF1#~0hS_vy)nVntw+_WPAimDrd2dX3l-t&M24-*0js4t^Pm~p)u zzEn2JuPG319>pnp&= z^rQm=pt2Gma`Pfx9EssE1%fb~t&B7TnucTzI*Jz{A2^%~)kuX$sV_qvXK2k|mF5i! z1+G6Qin?t$?{bQZO{Ade@qm1xSKd{)MjCaC%8|c}Ap^)@OT6pzjV{p2&HBg2C_&w! z_(!@npudR15YxEUv78BPtup>fnlb?V;Rk!CkXd*;Db^EbrhLjP5&o-S_%^B%_qq6e z^)v^Hw^hpEp&ObJg0DfQd?(lk5J-K-4ns=hf1nOM*1kZEp>u4P%YP)ag7{l^>!=;2 z>v_?IGQD2_aSJMYf`|T=2g_x+uh=K$=dP^}jB^ubwsd?3o(mosSG8T&4_>tFsDF^? zC(%c=-LQ{iTPCwDD7YsK&V7envB~;-s)B`#rn` z&Ca;zU+yr^$DGCD@`D2jewqc~PX(Qo3H=~X3+DhXARFNT~*rAR%fS^dDNc3nnjT-WOu{-oO_}QwMsF}14 zfQvWE(fWF+K^!QvfYdg(ARh`f?Ew{ccv;e`&mZZWXE?_u8-(xc^w_67Pm6HDKY zvxlLf*jux92^!Sk)1!Z!Zlyc~Ecj&rW<6m>5d-n2#Jjc0b#M;z=1QVWr;02OCab;CKByoxT6Jt8fuZ?jaByLIae&M>_x&PW zra~S(?=J4bYU`$d+k@bAf*$S{+qm`&AF&ohThz4@ zo1Alpqi?0icDR=ghw^yhRV@VROkX!n{JIYh&DnL2xYs(Mh$NxMEkSqR0MY0mTPh8BUxn8PMLp~h>96BC(dX(hVe&G|EMzrAzm3@Z zxw4BbYFCgh3(QLnXLyfpY-`?1k*cU(1}A5DJ4Ob3WXyrbgAyv#d1jilBrikY>!9h| zD80)R4(=ld0z{|b#k4VD##nrDqiZJUwErU*kl15NOPymm$Ex7^mZ1x3G@^w5`pRMP zvjqbY@qxK0IhF!PLaQZ&inv zN64osQKQpT$0>7EisMl;o`RE;NWh>>VM;e{e2S07$$m!IB=IV@6eRS4M~j0GmC|up z0IA>lPz&ciXFHJT=NcP*IU{zGn@O&u#iTNg(bkEa%%>a?Jh+-lVx7T_xCg8*<5_O{ ze8x=>p2NjF4cyT)=;akEf1Z%q?g(kZ4FZbZobR~MjSr{U~Y}v?i5XFD0NSVC~oH*UjaIk@XfMr``|@B?vV!EI}qTZ zv6MSW9zL^~)_2Ba<=Q4jF0Sl0-PnNqku-*jOHv!=Yeo&Dx6y6P*r%>4yO#vmG%3+X zP#8Y0YJKuk5^{#%BXQEBs4AeM znZCxmP|8C+H!tw#`HIOH@lMN$>qp8Y(K}kB>^NxI^Q`z?2qEU%?M9{W#Y*lG?S?PpU-$Wsf=e*@Km9 z5@B}@vU8DYl{c8?=AIn*SKi}We4C2qNCPMCuKGVVEdkYp2-kM7VNv<>fOaSLlA4OtoCab#E|iPwOy-GXX=(15(I z4hcw`J;yPdKz<{-u}djY-$V2W77ozjDoY=j7YW@b+};LT{&xgqh*F8JZkm9$4no9C ztb6FocS;#rcKA`}T>I*@!jSVov&_qU>_tTiAd!NxQB+P)BDy;l|rce(q2= z&TncJCqgSzF^01sYRv@;yP<5zY;TKNliqRORQtYZZ7AF&Yl#)gr-Rf#Tu$28xk##U zuSKrp-9&Y!FL;sQMSTn4JpPEmjFc()gDRfV*BJ4m--rBnb5VWpoda%(*9D3J@*{gWxi!N=;&i_5!231^X_?~n%9PI22M=jBft^i?-GJ1b z1eu0bQlA<`pUO8rTL{x92zGLcIJ=GG7Mu?%7W1Svy{r?xc^vPb*wm{>rak)z1a`-$ zL+Na~z9V$935*6_e-(Le8&%%Vxj$@}liB9-S!2EU1>`mJT(C$EnGgl!O5&abcVqjM zkuR|>-*+4=ZkqNIes*`Uj(*`tgx$1<}Zsd`9{nB6i z#!PS<{IkMML0OS{!W%840#&0BL?eP&TeWK>0*(|s>Ie+OW~AB|intpLYAg&|0*`Ov z6a?vIy{T3(GF4iR@S1{-@tha3>Q~dD3R!-l>k$gttQ=K+pJ&~1=BZD>Dk(7n!2If` z()4_(>p}+fL?kRd19N75P|QzB&~7YGAui^CQUs2Dm|dMnWZM_`u3XD#s+f8QbZTpy zxDN)3z*d>dZZ9bIFL6-!prOZ&-+=$Q3wbMzrdHr^d+igop_LAy^<+oV66=L`i_)L7SA?0FpWF0u?!q%AwJB==oyJ zl&ZO9=ChWFP*vuoUu|Hi!SU>@u`4Yosx|1VS20{o;ISqvg7O&-7PLVi-A5fZ0<$Uc@0q zZ;2dhWc{O30-(_T21@QOY}Y#YwNQ>Y(Qws3mhpYVj^uWRf_oVnbwJ(c&ip5!pFuCq zWdZ_?$iAvzf4aTQaB)ptJvowNEb{U#pCBY1GN! z%EnadxyN8$O=W=7G%Om2AW|8%%ziD$QZ+bJB&zYw`;|>LV*9|o;>bvdOn@4_tG&4h zRjnv3Q}VFf>+Ci)oGL)zFyEMY)K%VK;<6%zDelk#TBO7nU63Z^4#k<7MftiImgqZ+@($>ECo*GG)xm2Px!kWm;H(9;#WhUb!BQI9ya!-{Jj47p*k<0 z4F&VeS(hlZP{gDA&e>qgcyY6P7@i&w(n|VE`JVSrCqMmk-`4 z?_r3J1}VBm#Z`Tp6JVh14}l;%A!h%0Gw9AyLx?)!GId+&)YFq@F#S_5`big{QXsYkoiGctAZeig~i6I@i|_>UIRQzph*R(_Gh zJCnYS7GTEREn&s+gbwg~ZrEXFInOvlv!r7;~a1 z+qP!*y$ol^JSYquilwDV1a0xhlb$fv=AvImkT9C4*X?=%S!vbY7h1+KA1exJ$tA25tDRF64;TV2reTUFN1_1WxCl=1nJl z#Ed_?Z1c=eJZ+e@_Qn;uO+*jd#bTn@!wQDr65Y{HvGulu+*M^{5|yZTYrx=6^*oQQ z<{e-9y~iIGSlrZ71M{{u=s?eyTg@7!i_xs5gD%JI>JEnV#R^1{F5oDCALEu$>c6a!SdtR!p!VHJG#W_l}6o*c(G*CG>V@P4+?Ri8E!2lF>d@e5?9o=c2!CIqm##NPi| z_AbGaUOEEwZcF!40_e!3(OJs*MC>MjT!t#s_WXK^JD4aI*nnrJ_8Pi!7{r7qZu;=8 zRwZR*`{#cc2%GO+L}FiUP!&a9gi0YRVInqCL1+!76*1{1$V z_AytP4K=f@V+Au;rv4IanDw9U`S;cT`@7x#FIVBe%i-^9`2Ron|I+iQ71(lxT51Al z%mEN?=t1DtJpF!u)3wA_v>a`8xGZvn3^EL&2tHA^&S8le!iq;GO=f~LU{;w?zJ{31 zE@`eq#fySWTDn25allJj^CP!O%u0W7&6qIZHm;@OWy0Q@3Bv!J73Q`2e0g}F^KM&3Dc*iNqlmJc z4|P@NqDJj*H6c5zW2Hc&K5(^g1jH4whWy@fxA-b_@BqBd&AgSp=*-8O;{dULEAFts zp5Z(ribPW2I(=)G;3`q5SEe6{mjs+??eY1UC zEOx!elPtc-HN#Dr+uMm5mFMGhWaP}cZsKy5eACTi;TWrQg52A*3mg<_Ez*_p;7jJt zmLiPnFej?5NZO=?l3PdFOoO-m5y)r_ujEN?-Y;INZ=KtEZMjsTjb2&ceV&wdbs}-J zr_AY6Ef+Qo+inijup*$Eun7nHM^q7=b9Kt93SBQxo%cxkqkL7n=`T`Nu$dtnXC9R+ zeFqv=Wc{U{3s5z|T*;!ND=DE_@PU(b*}_Ix4Ycz;V!VTa_qS?j$%#Nl$y!24CGMz6 z*${<*PIz|D=5p~>P;x!S-Z-08jK9~~bSI|h%**Na-9pOLcAEJ0MduXZWq0ac7qOB$ zrpQ2N9W3anLLPZRt?e*yv63HTdl0C7j zsghRJrhN0vu=9CGO2yx}@}`h~p8CxeCk*l@eMZ3(V?jI58WS`dZ=7k-+2F&I1bwN73+ECTb47aI&DL0 zU9TrT(&HSO9YE7CFo=Pu@Oqr;>W~Y8DAGy3S34G$>YHnsRiMhFzZA;Pp9I161o?^H z+%9XhUkj|b%n2B`n)BXF@T%)=jw<)ZLc1P zUA?9Ve)2s&Vc9)pA+3?Df17CRH8 z25cQ)m6-*-?g?~4N=W>X+~vsX)c(xixXP5c`J}`hIY7)6g*3fxoVbj^7|=nMivP1v zkJ(_OL-F4NY=$zd6g}Sj5BM79$Lq6kDje#af5#oihDKY{EEE7CH8-!~8+wy19q5Yra9(~l6>)O{ z^S%*{EXer!<}#Lj+JnD8xHHr5; zDx)UN9TRhroL?jQ!@OboF!NP`GL=&gCal-UWghRu@4gw+?VtkR`A_IMn z1l6}&-)Z8R{CRcyxMR}h`fQ;?fMR69VPmylSWx|%{s%$%s>y+!os4!ca%GMi8(aP4 znlALM?X7F)<5+&Q@0r5I=+A-99#U`P*4MF0Q1MK$Gxro22no_!fl+2SgpKd+8q|&0 zVE|Hd1;ASpIV>fZJ^yAtCRbA!+575p7TCI7dX1);#keQ$7nuQ%$i%@eZJGs#YAd*G zZ|_nmm{5&~mTb8YGnMgLZ{w=X{y!b2|9Ja~jS=s~&?Q8FCG6rq+wgX7?$7Tg5nKrr zZK=%&BfI=TZB4QiqifkeA-MhhT9_*?r|)R0Gi*>(@=0^lh!58l3YG0HI(;klN0(L} zJx$;LsHV;LQU))GYFruK{gj4n-H-INy@BjQ_uSIU*OT%SiZD?gQX_5I*G5aOB%*6V zF%;G)ET45OX2{0m0)U$S7Qb80zSW8kX-e7*<#-BiulWlU@yNkXJkDd{djNfz4BZJS zUXBUIo6!rr7EQ^7XCgN@2Ck1Tuw-58-;`mT4V^Ayv0XYtZ7I6Zs$!1o4;d0OaFm)+ zD$twYvk|?Ji^P#j36nAUVq8kJ@J&x6a-)N$muJYqV33$LbEE{ui%*BJ{G92mlyB2J>TM3!dh>)YE!Lz9pn2)q(!h}pfhpGjumF_Xt}|+=2r!$ z<|!<&)&Ym6%*%cK_xX3yLsm$3h7??WT?@_#@nPILhV%V5%H?4FJKvP1=!hU2#$GP6 z`KEfT-r5~|R{MG`N|HGxIZZF}+TpSQqj`I&&`W3#o)-<3n{mZ*V#(VM8SdnDwW;=} zPsW$%E!B!@oc-TFT63}byFB=azCjX$+1BR^x>6b!P53;wew{8dh-oI>H+O2drs*l@ z+v<6v^Um9HIwihwv|YcG$~sbnf2#+_afy#oxFYDPsimmh7hwkagEdl-F)K^%r{9(0 zgi$m*>6gO15B2juGJ48ir|rJ+iTtS<*o2e}t@r@CDXOY^+dQF3G)K7one_5K_heF= zNm>H9v0cAK2oYOP6yp@8C?(4C<&al^is4+oq#~hmpVj@;ioU{IMR%V`$ob?}ZIps! zT~GebVl7eBHt&|(R&p>aVRJZ%WLZw|{YN0G+xVOz&YT41p>kcb z>FO%{om_B7#JNPb?Cim<;UK@luY#epqUk2k+XL~Gh_vRCyId$x@ukt{7hobk%-6hP z{zLGVzi(O#f)oR&Q`uy#b#Z2|d4Fh0IW$na-{asNZ4)_v91Q7)W1csC5+5dY^Ak)DX>N5 z7$_SU*bP*mW>;5N$0%8Tx&`Zpw21o?M?*pCy1E7F=I_q3pVf@r_1x4NVvm{U{cV#g zZ)5i~Wy4ROAImh(w8P&8o_r7+eMMozA(;*~=1c%GAo6CeTJ7t)oX*-GS72me+;a1g z<%%`o${R0s{RsP7vRm?Li#5@_)p404lixg2nSAv8anPlKxpuvamhvoKWfUm zp8V~8!5rBMx?I0CI)0_a$$g)#A<4bTRs4V_(LcQ>W2_Zdac&!j>%V{g>CP?-BRp?@ z?Cy`J$G9kMd(rVp8s7V};U0X;cH)`8!JGd~McGvxQ(3rG{X1{T8MB zhOo7MSmI`fn5yxT@o6!5eM(#Wi~fC~8NNIFbKLV1 zel(RSJt!;BXXpo!`Pc!#p=FTIpszrJ7&HhX5)blnPl;hs$ zUSHdPln19;5e5v|g)oS#=P_+2e&-ik_ClO*Iy5os>FEO!Z9N*iw{s zcjs}gx*`d#1>h2lA@qcxoKsM5ekW!G!j#4Ew?WyIweDXIc=Puk&}_5_M3m+x**hCQ zVm@MebK`(;m5UXP$lBbL%B!>cpGx){Ee2@pFLK)$lo}TUj@$FX{HI}U{F7)SMbZbQ<09ipFNM>D?#T0Hu0ji znlT#NegdnH;@vmdYI|$vXfNkC!sD#jR!kY6F>om)dYyZogoAtwBHx)klTbcx46x-| z*)1NGfUZw$j#7HIhkBM}re-B~f3CNEju@a=L2vj|+|Mq~?aLX6`AjKs+aFlW`O@=r z4)g0{S1uEp=l(G`_vbIkUhrjIXI-z#P1`ylbL8Tm46~<;pwqK*>+AiV ziJpp%@510id zVor{iTA_~EimZ%mtgZiUo?4ZYeYU-S$X}hDsB*~EZ6>S}CUE-rqa&@lQ^ux7KYnhT z++X+afit(z>A)(k`gVRQhk5g}P?chv=O7T9+_B`o)ofq=lmv3h8$5KfPq^{XFM%;H zAX7D$AclpNiFy2+C9D;N$vZu#x-OOEvPQ$vvkPzRf5@}d{uf~iaQk7 zKyi2XLU4x=EQI{>e&6@IGk50Re==t#XU?3n_S*Yd`&rLgyG9AhuUbmhMK$-QNp2p# zLmBtWq6Z}MFp_ft3nAb@wi#Y5khBf@uO%Jy6Iv9wl1w0B7I)*UW_tHcsA0xx?f!U2 zMrB1ny*m!)!^6hyZXFiK<1XYOr+m0{B4-%yBV~{qY)jN9>RyK91^9JlhbPsL;K;HS`O{o6ExTb-r3~4e0mo=(;&u8r+=Dxc!sz7{ysN z>04U#;WN~^bL){B8HIr$hD}kg4;5Ri45ItxNl#w)-ZUSf``vT9aV#L0IxjTKI27a) zt4=1)7ybPbP|F5GQvT<(;D*{LQt0?%_Al?XYR~f&_lxp=Cl17lVTsonoo4KhOts9- zM%A?obT7|c zMaD#Z_YJl7TH+M_p))?`5qE@F1cZeDy3=~Q=P~}jpq2TGz%INlI!M>zm)ft;zpNWO zs{V+l;d42A51F`6ademVbGnAf!GpE}RptiafWS;_K3SY#d91E?hDLzaS|;#QRvPFRIPw##Nphe0q&mPvB-i)1_&;{QTSH zYuIr5faiV7*zxI?gr;q>^_wX?=at}w?NVDn7XFi|+bVzhKhC~b`8VOXja6)oPSHAt zb)(x$qaKwEE>gTlmPb=>i+5UbV2B)40EXAD<47kmRaD!G(Ot$_iT=W!>gxy!@zftF z9xvg4Z|HNnn^aNujx$wy5^{o-ecRP^SFIV@eCO?c(Fcnx9m> zUOAw`pWvZy2m^jpr||6&V*(rE&>z;4F>YpdC%7l<7o&}jieohA79LG$;VM>c%I@zxx0EySi{=`yH+2db3>QF9V=Z7y@EIibhaT3PM6G!w&N9Hc>d1h*iMzr z>%5!MRRBjX!#kiTVkl7L!s_So&KWepA8z5}5~FInIy)?)9}}z_E-?GBRQAK)Qkh|2 zC(R)kEtK_I8BNvtEo2;TO8img_`4u`U6ACW9;aA|S2|*^XQH2PB-nn)0lP%<%Ns{T1y3~Ke4Ih7^Jm-22JKJ(PTSg@gnoAXeC_5j#{XEZv$Reutpc@a? zV4!muv%;&5+!Ss91yL9YUX;V;#>PaBzCfU7AJo=5F)$YSeFOQ>R1uyzG0=%J^sy=m zW?Pv(^es7h?|phtW(X;c)y_fDxcmGS;dp2Nw0RNC5B9)AA+k~|GZ9#R4tvGgse8t& zQ*8sX7?@Y~dn7riS2v9uTZf%U0CmfO$gUipAyGAKIa9aVF26l5Ko@-e#0hrUpZyjc zBK5j}VA~1pt*((lx?2^r=$MEp>niRFG`6vEt#4pMO|Rrdqv`jz$LFFhgHCiTmmTN+qCGo& z1{7su)_$rb38jFcLCi7E)js<(-v|ruGOfpO(LFoiMZiI{_<-pMaJI8B>)l@S!XKAyYOi*CnibeNY^`^S z8tY0fqUJGj+*N^GTCLwKRbMu6`b8)jY9zE6XI!KY^{H5f7&Eta54pR9)6HZuO-Gjx zJYeld76JDaFXZe4P&QGQefmb=2GHOJC8o2zchpG_mPy-2qn=>W`!u;Xie)gXMx&YB zc{RMUD(u6|r4zTcA2A*x3M^?9-lxx}TPp-Fg8U4NDhRVL9Vu8xDh_1;_?jcn%^>C# zR?SX^jdEo?XjFxu)fcLy{+Tjt^Ik zBDDKLDG_C`qz_3d8+eB#Z;wn<2z)3LydV-;7UeqX5n62gjv$3T=@RF3xl*Ww#(-lw zBw@77cu`$j$S0ytO+WLqc6h=4qpBIHtK)I$P};0}5@~2p(P^P{uR27bus_?ou3o5k zNIW2@tkXQB>}K~Mv9NJsTM2Hkp?VZn?pk_AbTPjVtv-qzv*PPeHI0)X)<*v%C+LnI zrmXY$roW?E$0e}Kikr2pX?6svL+7+*)O~T_u6GGnEQ3LWORgj}PL6zq2mCtZcr~4@ z+}96+E+#xvV_hc*%hSf6hN3ynnzL~h&sh?DnbRGJMomvIWt%TAlh~@p`O&^~sA*{- z*jK$`{4n=8DBMc%sz6QE+qhM8K}lrH2)-=eBb|LL{ch!cLteSVm8mY7Wzah);PbCUtITplQO!pq zq2N*#mwyUIW{;+J1Q29nNyu#eDG(jZE9G%paID@Wli4M;7&N8HZuT`<&NG|0hc}8`RS~X8M zyL$4YK`KB$#RWN-x#*&j!e?Y8Nhwp*e+Z!p5suyhm>skVYRrpoCO`TdNOWpZe#}~u zhcH3(@82EjB7t)Qdul$X!T;`E(eN(YUCExRKKEl2aM*suL}Y=)B85}h znyK%L9;M>kcmHxo>lI?ga&1t+qC4_{LMzZK&@Xm9W{6(`!Z5?%^p56dmE?@$v0;eI z*RP2JG?XPlr0a;+oVI6lTFqg>Bg8eoM!AvtnNjPU5a~l$ff(Len~hEsQY8``MED}; ztXU2@jVSbP)he2EK7s=fd>24(ef>=He=Kd%gktX9F7IQY;~?WxewfFUeycv0z$CV{~B=X>Zij|RADH^f#mw4VX=7UqRdnjnanE? zUC8~xw-bgkaswz|hs#-ThvYIwK#=n=GYh-fDzl>kx35IEP*YkV@a%Xi%UqE}o?1J0 zd0i!RDAmJHyDE(yNPIYp{Ln^PykvUyGLqD2m^n|eV@PCtauTZqhs!J8^fAN58!&Oy z520F4Vs<+OKs2IWJ`$}Lhra4Zo*a7^;~+vr)Uw+$>9MVbL<=E}F(x(%j}-DZbp_Yb zzWe~1=`k{m=9)UXYcQ;D6YjdiLa}FBtYp3ar?)n z?}>VJw8TFd{or1h7tUR_-Nbfvdvp&!qSPn$7*j2~Z54~c{d@Ih%&9p1Z3{72&k|a_ zCwP0ILK2&Ew#hiM`mjU6NL^812iTA)^a`B9HciKYEzF!vysn=9)O!^oa`5+qgb4n^ z4cEb@1)*Ie%g8%Fi*{$W5;AWqnd!9J*^DcG#Z{0@rLa)Y*}e{yUq{l%O_p(CcYf@$ zO#2>^xMd5<*XeVQ2TnZt%|-Naa%Ips-k2P)q{VA}fh)i0x!8jj>Xs%PED(E3xF2Yi zt1i#+9U_}qHic(x)BXOI{%TUH&os^EF;q0M@W%QcZZOw(OLPQT=k%o*SQkLn5?FO! zoj9(D;DXcyNMrHCd%wsbVDxA>L(jj|2%eHY28Fi?j3luG(Ts0tcF_!^vI$Gp2VPz| zuI%33A(b<6hwivAQD=4?oPP~)fezw^K^Cz>c;wAW? z{)_$B#6o_h4YHMrXH|~$Sl6z<&*G$(09!`8Nt(YM%-^bZeBb0f@K`ji@V1FzS!=Kx zMu%rYt1_fCLuszTq>Kmg2j>36zXK06sb;8Q-h@=^SQ^4vH1(vT|3 z^07z$7yS0-K`*UpI(i~GG?6z99B@mmIO|ojC(9KZ=!2m zzQ%i6l>t7bvy4<7P28G*RdaE@$OO*MN1pYTRqd9k^UV?q7Xj&P%Ggrb6!a7*pZ0|f zxSn%E)IMQ+JT%D#lkmGzz@G>amIRvVl`4j^V9^Rj9E|uVh%vmG$<2BZI#L)j@tpCG z>406`*Sh_i-so~gh0s&{w*my*Jh;U|3xtIq`{#sg%ht>9vm*$B;a>Jv1hN@CaKC`G zYx#SGa!Qg$PL0;=yH*g~s=AZUb%Nbhk&-$?-!R(w!6cQ+vJjp$aC;C-Wi+CcspRA} zp!6>+e8%JkqV1a>)1c`ZXkROtK~-ehuCR8vW0Q-g+=0Wv{`qR1#GZdHNQMDKPIM(mwWRxQ>I@X`=sO=SZW&d^-E4p%^pMHgh{-E;AeoX>d_?5 zS@_cz*u>>x5CsSWvNS9Fg1=c6tmMj?$JH@;84X&{&&whOvf_Pb!S@UEcn4P_H0z zha^ArW%3|^gNf8F6c)V6=dp$X+Ose>^|P@6j#Z8tDi}*@)|(yo_}(g# zkg}(s%s)Ty$^~yr)73$T_Wl1>N!1UV^WG|%K~`!EGYq5rtoIT3gf%)mIIH4ve7hz! zmRDar+^0eHSM9o9gPS>HF6Vp=K7JvAv1-dL?SsP)Hj((>ASOoh8T$qt3afUk2jbu3 z;tt?NGDQTpNE%nFwPuh|X6iT5QAv-uq(Z_MqWR#p9?RoW=1H+G7wz!GXDKT&Ge8CH zp--*4WQ!dKn1OLjn~l*Th_<%7oml@~ML*v0OQ_++q;vH&7ZJmu=qPLF9jQCY^tdHw zZ34=9Qf$3yJ15pxVY=s0lim{);5D9q5d3jdDd^j3(A;S+6sYgIDL(2^yDDfSZ5)T$ z_2=rEl{lK<6(g6n$l>iiO{s}u)=%v$%@m>PlC#h^k5dOpNnrz6FY^n=v^^mAufhly zB=XnW*b3AjH)xKwY=OuufLEU+p={l|cJ^jn9KL?6Hqmx27+)ZX4wGCBD@vy~HU8yjEJk07OMW%rm8=#J4Y2mUg@D zrX80gnr$QyP+2|h;^f?t<+((>TDIwX|FjaC=Fqlg>P<-p^?Qk+rBj1?%k0r-DVm-KDb)G-GaF-uFGV|)&x<)^4(bETLlsFvXY0+*UI-(bBskM4~^ zrY{2{OMPE$-V}4KI5DyDDz0Y8B<;Y><92G^#6Bmbng-<;=_FJ2zccP7Q7s>$62yksKM z|L2b{@wY^6YJ~}o@tZUuV$$*1dbL@&<0Z$c|5-M|>V5|4o-wB}*yVM`ZpQudqz%K} z0PIOTmn>`ql?8nRKsi`?kA4$SQ}*w{NMRH0DQn7mT*SVvJr!8q@tGXvBI|6ImykDj z(`!>wY-yRsw4$Hcggz?zXC@YYf6!YaG|%(pEtTxZc<9!vD1GC*<}03;_S;m&QeCoL zG3hOh0!ItbuKm<;7+|5wYeHIXfB#QYJBp#I^Hiq_a;;O9=Fk!VOBpMgwjS6m`?_AJ z>3qkRwpZ10_*8?fg28`vD!{82-MrPTPtaCc5+n2-C5{3_)7a^It`VFxqSe7-N9m_C zgRi>IAp0oehzb4H4Ywed2;4xbR5{=Weu(6lR#tE#u}-Rof+x>XOUt%L7F~D#75p_| z2e_m9=|e8f%aG|*iaw&96$iQL$jO8gdI14!<4;~f-o?siJ-uj7PYK@f^FajT6sxC_ zP486imGd;67{~?QS1jV5mYvVKz980lij}JQF-CVTj_1N!{C`#tLtCA`)izlHUvN2( z%(F)v;z^W}0v#6dHa6!;KD3GeDO9v}&98`^@iMOaHBCY4#-pfopxh=CL$t*uZxq?& zR^ob|Lob-e<`NWMga@~NJ5op(ug+szu$2oE1@knraW3r?ZWlsb`1t^2uXvJJekw?P z30_gx#@f;@B-$}gsNOpj!k5M3ldakcG<+m8MBXnHH~PS_eYWq_*_a%lgJ~mu{#RyT z)LhM8#{(AFV~&7@5wmGjLs*-Sk4T8^wpEyvf-Lhg>2SJ6;r>4Gc8I?C*H6Gr+NFBw zL?NlBG}he`l}ZOqtFRE@$CcW+w(*;d!8gb!j4@j>R*=I7#cAgeWvwrEejM|EnX(Ss zBrJqf%OZ#H10KPxA(dJY7D`FB1AIfj#oKVFBd{F?K9{XiE5pIueYFAN&(`X1$~uYE zRI`uLo7XcaJs`lun!a;G`nktk6`u%FS>x+%=oH-OOv!RB>wW5*+2HN{?zMu+{;{?3tJcMR#$Tp_o~UbAhx!YqN@QFO#((iz5J|V{ zg*S!DqdL=@U~SU@l9lI~&x?##I=}M;^;@eR0-NNng_7ASi_4fk@5Ke$tav5<_Oi2z zt{|EUvkH58B|fg|8=D$6WFY6~NC_)bp=;%@+xf%P^J6GJ?Hw&7i^9W|)7MnF$@IyK zC2hGyM_KNr%k%9C^C33!a5T}7{p0QdMI zn}NiJu)8{^A$k6(X_v&1>&3-7=oAj(eW-waaRX;qac%nHG7AI6k|$ZJo~xQ>l1d!k z;MWzuMyeRORcQa4-2BZq(NaQTW+dF!7kVaDCE*-b#c7%9iOHJEnnZMyH3_bWo}m1M zzK_cHIvK$FCj3s?*p?BJIH(fby0-SXuxxz+(8Tfyovh5jyCqHg452@-wl zn(pebINf60K)QE$?;%AR{IG==Kz}AW;5QEleQS@M!GAWHec}#VIzLcWpD&^w2{-PG z&n`AP{UTBtZA@;8EIjr@QN5x*Q0m(p4E~yO9ZgL17{hlPhzqw(Zo z6T5UYa;n9iQ`nssz}w|lNN7X=sy^T9mt5{CoE=Xa3P@{VoZ{KDHD~^1w@$G;>mBYw zW!&Q|ydPc6NTHBUp_X%!g;-j#qiwPRld!Rx%TP{_0@Y^Apuc~;gH7Tac=-@g=HoLA zH^tLR3D&!F>f-e|CUR^?sGFGr*%B5coQZ>5*Ew^3FwTzdS~N{g?`0IMH%+;HBRO)v z`|_4!GcV~ak*URJWO%Ax-}VCAPWPR{v_83Z=LwS(;wtD0Dot=`nd`aY#Sc$CXKPI? zQmB#tHQ`8kUdP|pN8?QY*`$wKq4~*!E#G=- zuz1f8+?TYBcG>$cJxe;{)~u=ib}_n783JD`wW z`QA-rKn$<*Ph;ahxrF*aMMm3FOfh-grSsW95s!@?5%@IjH9UxZQAk}*L!^&y6o?`i zua=u`+mSX{cKJyQ`J}*m<|@Q5xA!<^W6%@~p=}v$K7Xk(F-kMR7yPlyv@1@(do;3o z=RrvL2hw_}*^$v({n?GUvsxiE|4QJ*bOhUaY4+fk%T7Z@x~@!`dpbyK-Ht*1PF{gU zQ*XQlHgf*@*leIRMa%!|pHzXv=pT+kq%Gub&s^{1~xe!A?*LAmskC*Ew6(1uYmhQMP1lsL2JDBYkx*oVgVusCFL>J6pFZ?OHlNq-jLvJa;Qx0;dTn%91aLFV zWS+*Fn>@7`fKL3bu1kq|eE;L))4H{gq(rOsvVJRovJ=3uX)a%ut<}UhIR`@MfpUn=z~c?)AU|h*JL`zV>~q0f&IW2mVk$G! z-;vj}-ir_05Ruz$e%vTiT@VjH1b}#0h|vtVIz6Coyz0&!&|ACp?0y z+uO~KizkchWlwS<@X0h>wKXwukQlCVT?^*Cu-WwAis_|EK~<7|P3Zf%MzY zGc3U_-+MsH-3$Vro>ZA-Y@zZ=mBX)MaVKZ$voz;L#t0`)^Q#?zX9%dGV_)XyS9+?L zoJC@MB?$7H-|i!JWKh0}))SdsQ*Grwxk&o9H|`ZVn~g7V`EMpkos{+BZ7IYu<^r^R z*Nt=WUkc+oeua^p-rYed_$Q3|5cYfN*tv&`-IazTH zX}#U;iXD5SSB_{{M@kPv-j?^72B8a+>~wA_p{0l%Q(rO6g}f2ZjZpjTJegu{I!76^ zCH=)!?J^F2$FCbyWEkoY6*yRU#dcOAL-{QKxjN*`X0aDL7BmLaZ4H|%^FpP?I#@;v zy__$d_2$uN=s3=^2^d2F>pSDJQ{UDxX6VHVg&ZRIRZjw=uA63>ZE>u#{o?lU3Yc^} z(Q3Wf!ch)~txQur3$OaDm_T7qb7zd9ujFEV3l3}YnhrzpGM7<~?N+*Qb?Zpb>*K{g zKd7+BYlX)3l_H?e%jbU>pf=@2Zf6D#w9c8qk8vYf!1AzTlLYvY(!$n<>e?6rRg5u= zbW7M$iB{|PLr0FNuK-wUnvxF_}tN?yhRZ60od!t!_ zOCn>2zk)qT;vJ6U&`O25ln-&&&?AvQ*X)RIhvf7`$~g0zPg-?xYb|D+MPTQF50ChB zOs{rS-S4O`(iHW&?&>0k(lwwxLF~m*TU#O#Ha!%e-=X^C2F5G7xXW!`q!gs)9=}4f z``2s?QLph<;j&aKt1_LH$%PAR{h{D%zpAVRTfJb1OUc4if`qpjGqQJ?Eb)~X-sbI=WJbe{jgY|nA3GG_~>sR>n&UK`p~^@<+KdvsO> zw-I>heiJSk7Mc`S^{2=f3GT^!FSytrr)l_iXhZZ5l=HNBrtN!D(^^+bRdsQ%NtO$^ z&{9}pGx-#fWT+g)L;7`pQo-$Xx$ippjd?M42J@%c4Oyukjd{_FH#g|S$jZYDbSFMs zup`tddETR#>P_d2oLlWBY?hNlAuqaZvEk(HH3cPoYW>%`vOpqAqhBZgw9SLNtNop* z$(N^1IsHWi)|@~KoUDL=1m?5!p^%XbF=aDw>FyfEYFpNtJo0sjyw(ZvX!t)J*|f*H zH-eprKHqka$xzF2bv@({-Mh&{r_31^**Wi&vYR@VD6frX#RY^ytE8${v=_C8YfaL# zYLUL4&XybtLt92AM{tVIdBVd*(wwAXS?RoYLSM|BYX5N=9b`;Ou-PO9|2uB4I>=ck z8cAleGSIk3{r3^Ea6bJ5b19MoI?w4Fa%ANi#_##dI!G5M!_&#+8T$|5>Sdv#!&3LF zh*-rcm*H<0e^*dXz1#k1fCt_kB6gK`ED6LyE!W#a+UA8?t|vq%B;a@3Ip1o969O}h zc{+9j>l8pJDcSDDV$?E^eGl+BrOCZNwKY&O@mHT{JJM{a8!MU z%C)5{@?NR1Oq83?U-gtpQTklo%6Wn`+Ya% z?fEx%Puu-h4p$k4zJ^Rvl8PBHvP8#?e!+j=#AoYpX?rDkTARx^!>>1i0rkV+>STg>d6wm*YeWpigS+qW^=!h3&s5O&vFp(i5Aq**sWCY| zL#0-)wcx>a{0Hzl9D$LnbsvEz@%(8I=`d0>$}HU0(zajYIP`&*fG52ZR$knu{;xt zdJUgio07J$;OxOCoL>d7Sj=+QRb_Usia6C#EV_un*y};lWuG#`o}c5ya;Zj+=asf$JqZ}~)A)?GAHE*7s!e347Jz?YZ6dW|=^F(nSoeMv?pd;pV> z)>_+6THx`1)H9z`S5;1xZ5((^_EIiPQ2w0X^V+4sK@CsY_FJK~pxpG4_KN_ew&b!e{^*vmNwIciEbt(iGhfw8OFe+C@M7h8RtM& zC!7*6tj(qe$XSk1k+}plpUjuu?0Y|>B#4iCX9jPi+?wnXqW~_CeC3i}&X(}&liTG? zSM-&CQTW23U13F+=?D+rQ4N_Yxe0ZFP)C!(!G;Tn7qC=yU3pJs+@;fm%9}LmA44TM z%5dcJl2cHBU|GeyUr3L1#i>t(#i-f%YT9T8d$AzrN-Bk6hKysH!%^`=pT1NYe9rK4 zEQ2*Q4%MGqwmjlwxHmmaFyw0OMbc&C&hpMIWo(cSW>&GJSz5KW5W65Qir8Z z;bhf|zzz$I)WykYS(gp{=6u>d&gOiCaK|4;QAbkj3g)@>SOVOaSRviwgk^DC4$vW$ z=r5Gtq98~$nZBN&r+!bnFu6gh4Shd)c0P<8^;zD zv#fg?Djb@rH}_W~+MCiQo6PI!G3MrX7HhhXO6raELlJA0hdK)UOH_>4P4U07_*-w3 z13VT7CMTJ?zGRB)OhC4~v$DkO6o?hzpQd5`kT%nfe!@*>4?T+8RgNq!= zPSKk8vqZgM&nhq9hphIgl%T*t& z%RGb+q(jH}NwQZzM~sx0lvU;B6?|W9VqTnf@znP0OVrR%)mIgBHDjiK0rXG{lnJPG zPsfT}3vBw8S=OpOnk}3Htg`^**ADJ~ay<-SA4@E?_C!yT@zF#+3x)=DdLr|-^}?=X z^KR1`qY0LR`qr5HCFfbcvAmAP_}ZtO93cF=wpxQDTYe+g9VI1=v8c4VG>`>uoyGXC zGTvCWwjClr10FN&OarG#*3v3XvoZAnM-588ofoEUHpN_v(*QWQ?ff}>-8te2G88bD zByUhE6jEo-W3j$E%FQ;!cYRb)4jGDAN|*oCA;eGU22z`lzj6_h6rp1Hi${1M+Kui? zv(#dOA>6O;=2XJ?d0JoGHP@LfIWr0?gArRXnNW9i;*ZkLL|R#2ezwE*7|8$Vbe^b( zG8S|M+Fp6}23-+0z{_#z@cBUQV1jb92{p5gcpy zMSBJ+ax=|w?Rp!&zghL4J>%z0BlO6lFV}J8sPw8Roa*AEu5a*V3cqCKRY*^Cq$x=3 zFI_QB*xTd^xRahCwrw+=A5R{p)a`@}JyKJesD3Twh?tqZ`VT$rSoWp)-%NWuc`AiR z9d-%ZW&AfGaTBIb`-v~)Ew?HaVSi~eEbH07F1^)slny4$C{A=1MS60u9+;xi_dJbE zXgg*XbH>J0Co!AKfwct&q@V;dKA|V}$SGRkLu{doggY?{U zz8iie;&tim8@w71YdJucr^YP~G?FaTn%}%H{-ySx_oGoD{huXY5H_~I8Ya#hIjl8k z6s^ndSpz>Mq>~@|^8KfO;?$tWT4j9iQwT2@X-&1p8|6JEk?Fqv`4C3g&xv_|n9HC` zHCMhqP$>J#(bdlAB;D2KNY-?n<3BInOZpKlj*1(4n*7w<(C690x(D-!MM^xYg$yRm zxEF&I_^x5kVGJkIkyoS-rU)7c0aac_^-nrOif5QrYTkErXyBfCr0r4Pf$%^b$OXY-z25WO z>O)@ja}5*yXN0$05(c;BOlwO~ELs;`6BknMtK)oov&zP#-U?`y?b3+pK$d8rnSfs^ zIdRecI=ZnS=!!`ZjQDUgZ~He1hZ8mpn>fFM#-8s#yMdiUCu-bQ@RvjL>@gePXJG=S z8$&B6z(XcdPHEn7oC*Ua={xH9N>MH#v$hM(o?&yUb~1mX$o)G`-q!>%BmYSJ*H7-H zn_VRVMk+Y(6#ekgS<7uSsP|{1S&Rz~W7n-w=DfVxFp3PUx>HQo&kV=wpCcl$nMd8^ zZ?o)cJsp#)ck}(P`M^Goq`N&64k*0GXnW+0D6D$pkstNIxv@8JBhoW(hf!D#{$ooG zNzzRw`Gtz!cI}fw9M}r??)u_iYWjxZ>GPzJO4vbN8MiBp*M}op?uT0vgYYi8WygYg z03yo&$&qFH71g5&m4B~`e_qgPurs@w05Sl94|4W$%>dDmnL~**?|W*&E8~ZqAOply zrJYGWf_A=l6e}rGZ zwM^grL`PrQy69o=UFpRv9W{r`7UBi~a_2G*1_0GOyZPwiE@e5tCwOhAD zIJ8>AJRAD9TTT{T(+=-Us(tfEp@)2jBnA-Qw9Z%%Fvi5}&@i68-%a82CE5(eQS{mU z;Pm(9JON^B9ptG5LQ%4$sNb|ff_3N}%fE9!GL22c2A9OH2_tqOc*c$rJGyqm>Gyi5s9QT==`6vx3Pt!xtw-LN6z?cDo(J=sp@g0hUs$M&iC>w-SYK zXW5Yp&H_C-3(B`Z^8c^T{pR_DPqA_{*OgtopURtc^qQ>}o3%8(;XD1vgxSS0OGG<= zg%`NBW&!i!dK&$qJsJKzfr|FqJ0V8X$rK`md$6HS)H~T)N>d0%EAiob$xs0OiX`(Y zPlPj*!mv3*vMr6$DevbhrSQOS+0o4_pD!tK<3}%u%rc|~Enktt0^w)|<%(tIiKddR z?y3n80vaK522{ovrLWRHF?j^6k&l->+Ns1Ak6A9?w=JzW2Ys@S08+Okj(~v*&4qge zXz_FhSdjPm3HZG4ee&~AFUSJ!9Pqa|Qm;z6$#c9$;FY7f7oLyAe_$-EE=wAZuU@A{ z!E&mH03J*QIFs*t8s)66&e! zEU$JWRO?q1msn{NZOLfbfI<4cFE;sEA)yJRhOBWzBldLecM*^Y~Sk zvb^IR+8#`c)bGndRu5q|R= z*N~!(v((N+@B!=Ci&Wkio35t3k66wKr&PCLA6Qf|MU-sP#I5ckx7I(CO6WC}t0+{r zKM_GSTm%WuZM)B!cObLZ#e_K$?j1M`rNV|6sT(-0{I6iG?kU*`N4AC6@@LLd9G%M{ zbX~6)^Be4pJ^Aw=W+-he`TgTFce!>leEWg&%W^?3|7b!#{Av_Pw=d3bz_I2UV$;Qs zsegeEsEU@`M}K#l?6H;N*5g)D2|d^Mb6EarfX}8hvih{-ylkP|_qu%8!Q;&pVq|W@ zVl7`b#3mAbLWJzUFxzYS?U4zxAxipf>_ocox3-bxW=|!<3PmO_^`!74GvPJFKnK8BmtX zckXKnX83~1j}WTbB0E=ZE0CqhV~fenTR0hVe$*Q-qMEj{uwMKqeC=bNHE?>gBHF&- zQ5o-vTHJ`+kj2~C>PwSF&oy7`YevPSq*B=0Fd;ZPGPO#-f6w*>!|wuXx2m;4d1 zTX_$suOYkr58Qd1uIF$(I9cw-`6}BziD$I*_5{)WtAx8lpFPS~T!5LLLAItE)7_Ud zj67pKop+S^x*2yacYUK{rM;l)*E3SYrcYU`Y3uUv;bSc=gno_K$wWPufQH0CXB#{A zHEVS|MGBQ_YbrnAzx2JNGG71L4{p`}%+}p9g`nF51aFxM{C4ttF-H$H^@I>|tRLId z);SN3alY^Tof`ic@7gphH~Yy`NzQmW<+;JJvWmjyf-s)}U29%!ZuOaymO^ip10$=` zb;0!*%tnV{Ommyu=krQBYh|4nJfH@bYIZ2lzvw2pW*^~oaj^= z#@fM#G|Y@xze-00Vl~wSQWnwo3Xhv3?OP$`ZX8;vN^ay@lA_(N4;iw=Dj(?X7aC>6 zY>1hHlu+bL*Uk#La&oMxGTU;Sd8JGAUrU^1oGvA~=tloKDkzwdTr9d-n4zR^0#-a$ zRsZ}(W6Ku{*HPuHGY2CvkEdN14vZU~BHH!&lnQ60t%x%w#y z%A6w5l>K1KHW0#lcs`T~ApMk#YabIV(f?+k)TepY`Z(XQR+qM4{K`wAYMLD!;TA)N zC}qsf8Mf*#T(7v1!aJJn%2=23efybm+l(4#(>C(mW;SlYRij0AWL%{|#>N|7ukp9X zH{?y8I8SItXA-84kfQ4~>sI|jaO(#lipZbdf?pmVzb|+GRvr&eb^V7#fqdc!zF#hL z==rBR__{{3-9XVeF}gJW)_E*-_PVx#m1khSMqZ{QA#ZOHa9XbGTPW^ZetqWZvZ$W^ zg2R=ymsH`u$cgEw!2^87R8?WNeoXM_0Q&u==UGqG{pV_VZeIEWx^S%^hp&lK=+Guq z^88LrTn&0rsi2gjQ2eE@JLn<*cD7Y2WNwR`{!PT28BG~goWD&B@+_o`#JB|S;H7Xp zZb04wHGL6ThrR2`Kmh@F9t~Qedbk+%602O&?U3Cb!TdE-*wrUCt8Eisa;_z^%=u=% zNiipf&e7E-@Nn^7(x<<*XR4Qa*GHJtL{5IR7C9)NGNh8nXI*(s>_D> zmb%*i#xwNuHWk(;#Vn*SK8;Gx9y6h6xZrWWoNoCkmWi)W`}EN?Oygi(OER`XX}Xy# zmp-$5jk3@tAvV4eoWGFFE#1*$9AxN~A=4N`wwWOYL2qwbdX4+9Nlvkq+SjC}PURFU z3T863`w!^R4HFM;4&Nx;9AD10gjqyyB*@HZeV{wvE9=SF`;O~x&Xk8+Q%?bxPbsHb zph0y#S)9WTG@AUB`sPdp!1kY*y*L=XA~PO|_Yhzc>-FcQ>s`VN?~BKR=qcZC9~q;n z+yVxu#wznWs?xRJu46q)2okasLX86aC?l?B7S=vV9VsuzP!%>*Ms^s9ZfXUHtMCEU zSEib4^RpKufsU%zf|hfs(H7l+jq^8wASvK--n@5x(shhNt2f5G=_kr;(g1wMI2CzU zsLan@X2nm53T98)DO;mnUro%WcRHU4KIT z!(kM&X}S#e2ugOcDqLw4?$yDfX*m0is>GWti`^x)_!$#Oy2Dv;MwVrhpIi(}F$A3N zHJDE|U+ismaM$i~Rx(A#_(O&7V%Z z0jJYg7eb^qZoD1=({6DhjVy0BP2YruiuYF^l)evSM=Z8kJ|l0U{#7H)MZ8Zr5jB)7 zVK7HQT-ln4tvR0C*c&N^0fZKD2_=rK$_5Jo+fUv$+OBo2_v3m=8r$zznxnNGat9Uk zY@o9b9J=a?Rcod;od-cL==Rqh>5dlcjh&q_6NF;}VBjGO&WZlcBTEAhKf;xm*91at zVWZlKK>u6e+EldHn>C}R?-${YQl0ueH#Up5aXycddHR6a zgSI+%&ejXwNp?c&+kUbSv$he|TQxu0X3F(C!mfUj)gPrX6FvNj$?EK-f3kafpmm8u z>_L)iN8f!?#=BHISduxm{-SEFC33g=s55&2oOT_2+R~`}?f%gf%9Bk}%xD1ULb?=0 zc&QcsQwJ?s05xg5Pm*g+v75WyNb(XoNuhd|*WT|qu;xYf93K>pSA2x$#!?Z-_3Y;M zV+WTd1`0`fw#1lMv+0qBs+Fm#KR_JfIvjS=W)NcG9aVH*E#(VFng;+Vr`A^f*}BlJ zy8kw-0NLG2o0tgt<*#sW<~jrQ;)|1Bk@>2_mPq)VP?=xl?AZu$=l4=i{=)i-;}Z!- zC%3KA(3bVx4`s%W4D5&XUa4TIF}aJW^FSGbb?P1Zte4JxfY*%S9BFNQJu)x zAQLK*AP|us^9=ijW3v+<3GP!y_CQ~hh5O^ITll4aPXh79`1BqfqTfhP?kUmX{Mbd2 z|A2%4HRmD7S@;eO;A82t<0yDDdkbED*d-&=Y7O1$_<0_KhMWNCm_rJd{q*SW|1BdVJ!b@QlcE5BQy&GA%o_h%J`s z;cd`$`yDe0-?+TT(e`vmXyH_^?uBDMqo@Q{r#3;q(yM)XR>iSAY|F@emFPDDSWrGiE-$eJGB z-kR_V72CTV7kGQ1IfW$riM1o62+QkK2sg5yKfbZtkK?(iDkmx_#=v_!*@~#5>JE(u z(g!@(fBM!TVt%}k3@>2fI~yv_Y!9Xx)~qqPGT9m_&1V~qpdq3mA*qF!QrCb@i~h zWZKu7dzV;~E}onjyrt$2SaG-wCsWj-L5L&6o**otf!RB$oi_;F2wG`vfLY2cr^g_A za+Th#BlQPT~mx!di?ai&JRv5Nw%M1A~R? z(EbLI$0xj_t4aMjA0?dZF|Sr0J4afls#{T|$(Pa$Da+yNxBFr!BtCsJ-B5fs=~o@F zLP0Jvld81ne2h0{Wbm1^Rv=FDX(Br3d{dU@oY3GDG1lZF+>{HC23)hoSey?!R81~* zX}rypfM#inbSwojj5jxW_klGv8dVuE)|Tk;_fwCvJWXD^7dh;p)b zUNkcA%ve0JX9$UR8Po60B%;~WF%6cwv0A+o+H2sQgb{$b_yi@DhAKlFi8l1dRIejK zT6m|nF$j8GU{SNp;n~y2n`p(Rw#3oIfL8h4eeqLUahDZXwwfVCS;?^qY0+Fk5U&}O zsCT%C+B^F*O!pL~hY?=}>SWYS^!X7x;BE$9+5=&pl8pODB*Jx?Sc22!`=umWs*h7f zK9%hmsY?{1%o(A&Bf4rw9L-Q4<2Z(MWV zb;WoCAeAbG``S#+Ml_KYN%5D3&n?rpyNur$=#R+}UpVFq+akJZwxC8A`~=n3=U3%I z7VIy!#>_9u)B3BI7KconvlwvVudnz*XN;_F%oQOKICpgK9T@ zxpLVpVPI80uo0w*WCboD4=iVWtpt_H01bCu3?ex1Yq>RslEVC&c$`bLy#6R8eiuQn z3)UG9s8n%dQQ(W*D=}=DaXvu_f5peyU-vKEMAht7k%I`1w}SwA_Jj;D9L3%ke9?Qr^vErbhM zZCfFZ?%@yJrr9JBaO&*1qy+G4Ky4^K9(HNMfsTIo_e3nB5}4}?rY^Dy37CPdO4YYw zB2XuFrHK9D2-5zihoBf%2hHhJxncHnGb3aCH5{ozlo{gr!0Vw%rwt#HjFfk0o~l_z zP3*$yn5&2lhR_An3R=@>TBj&#$ID*nGGDBZg3-n)*L-ag5dKEQ*vz}#6t!39M%HSp z-0(zEUox}yHT1{l8EFM;??Y$I)fV=B0}$K9zwsnVt^an_j;Wx{;v)!`ixBf znzi%8I5sK_q|IYGcC{aMt_t6Cl+yW2N1jLrhnF%M1PTNV2Pj)^RJDW9#IwA&I{ z+cRh0!Bq{{yRrlX_9F0wwj#?qhVY-W_YVBMcTDL>9auh?<^<^ zTt+ueFZG8DhT+O;2C_17Iv&QaBxuYN)jz80YlrbJNNHZ8-aB?TnV2pfep9Rc)ciRu zM=5Pt8iz>vru~2htnU%_4^n|;=xxrinlJ1QH*Mx7Www4zj)*sjh=^cdVJ^xojfkjO zmv&8OjDx-AxSMb%1z#T!b*jhAGo6sJ!BFhino)>w;IH)#9P0i9IfW{_$?y z&$^)aoAS!ySCTtqdUWWG2mvXeSCpeQ<61J9&5#w;4*G7+wF(UOl!0elUjB02Ye5E^ zi6ADsFS;eT*@}K(_24OqJ4EXG8YY(5RSv5O+Jy$)?E+?@Fx_higKZUdQBd&jOiC~x zGcrL+w>PquZ0)dMOYO6aaIc#zty1;uF8G|sJW|I+w)H(=*><%pKq13pa(vMNVbE>X zzyF=06NE;f@4+u;6ZzeRWEC5VaU}B#v*zxnLR(8~^jbbzt$w@3{S3@`Sc0jN;q8sv z(IDFb%#0vOCDpX=&3;aSZ>pt$gePBAS!we6r08iDsK$kKGI$4e%BA}zbjH1a?dP;= ztLN)ELoKCbYt0Ubl@*6Bgs+C40W!HdEx}gVFnG|PVJL5~V~elR5_P#vlZ7$qZHclp zTWKm~2vlXUIkX*Z-W6bJHhNVXp=XM_Og>=Nw>3yrRUCGj$)_KhK@>xaEG_D_1;vyz z0+YRdi3vU+p&EqVCmbv-YXU3=&7aZ=nmyYW%*yPTGE}6zI*2c|K&Caj&xOS?kG}S$ zP$$b`_sH2BGjQ%j@U02034C~gIguB$bawOnc!xg)rasLqkfL4&R4HHF1|5X2wcGlw zy>=(t?=Bk6>Qk4V=q_tMpN&k_tn8`ht%8-0>MJ@ulQ2+^>P%^1A)hddKUT(8TDFyq zIQ^~yhw*u^3kL)tDb*HfTO>{Mk$}Z_1U1`}d(BSjuMO%Qs)nD-<1@Kqd8u=Lk0B{2 z^A207_i zGNHNmf?y#GPax_lm-5+lssFA9GiZgLbadM*$E0*+myMw^S*xKhwHE+3r^y+b5s7vx z5Opi-!8cVI5L0rm^roe;+tsjZ!z^&EqVg>x*!WOynMXO~$zolx9U!ccM z&-}O|SZ6?<(7ptYP$xQ{!O0S1&w~{U@PHmxzLyvzERk@8(CnHDa`5Eb>Y_Cw60NP= zplzforp0-fGu=qA+u4bv0LccmVzsJ4csP#8UMnGs|8Qdhts2Z{a0#0P zw2AHtnrK!ndb;_X=~sW11_;-m(ew%)hzq|#S{KN*?@1uT?&?TNob4Y-NA7PM_|pjt zT9*NPU3ksf&yNOd8HA{BFz4vR0Lw^2i@tO(x-{R4k*`f&(ctZYWgX%v$6de7j*@}S zpX$?|ckIh18~XEeMz(pn=J^WI(s^;aq}H17pSkq=y^O7&fK4kXIcUYMaBlDYlAwI0 zI)pnTv2Qw4u1f#z|TKO}*?O%f$mH2Xp^J5D5GqlUbEZik1 zyc|Z~^%sbJAeFqb(UZi=$+Q_uzP>k6FJzQU z5v;b{zo)EMh^&b|AkzC`E^W7J8O@1{tx(;Gq&PqgL)^5EjTM~5`?Rn?3vSx~cP8l$cqvuBo~vqCjzHmPbU=D|FrSEC;+=$-_Lnc@!bdF3#fdDT|ya4vlz zv&wT>#hZ)2yp|c;|-K36PNP+d%lmB|eYv9daHkLI2#kthtCkpRic`n$x%^~oy zYt#Nid>*U`7Y3HXY*aa#U)3B@nGtvG7rTdEeQ&hO_-U zt*KiJ0{~7^3d7X9etF{e+*{Dn7OkCOo}*U^6^q17$t5v$B5O=WMx9%I=4Vs%fq&VO#q!eTag^&fM zk0|I7^?N|KeAUVUItjam}1_jBo%RO>;?Hp4Z!-faIk9YcGh6Qw%yvaK4O(!KwbIAhr z(2ub*t%PY=L~n|{DPN9h48xd0rgK9Nce+rKG>yT`a!Sx+H*vUrVj^}fu_Q) z3j)=|=~XL@A1Z!F@Ak5*<}xJ2m4^HRSKN!zJ4B7C4KGcG5$mU}15spJRSAm30V#*g zKMBK$0L!jQwQ4fiQp;tEmyd=uvY!FuPsFWluV9woP!B#Cow1_&8WMCp(=kSco@xlG zflzvy=AO7D>zzX&5F_UW9-otH%kU)$hlKKIbV%Te$^ zYi0Vanm?~MgYe}W`(M4Ju#@wu(*og+Xk^2SuL5J?%OVPH@ zPtZxAhyzujy?eb^wJqw3+n^@e^-)tu)srS6`h!tlz% z($c8X8mOLbi{|Ta#TIq3_k_?)!3^Ds*0y*Yx5L7kOOUXhJyu^|b5&OBuMezZ0gv)Z zp{|tjNNUi556g^*Fw<{v-LINoN88ym73|8%`U^wr ziNqey-0y-v$lS~yi_~v_l^HWNXCjMq>JtVKwHUZcn_|d)j3g^9enJdbO~lkjyncw1 zz*-Z;FWmRd(wo1O)B%5>bVd@eAtj@83`sF$9;fM!2wrwDL->HR$FqL|?x)!8t=W z2KoUff0-iM>;;+@7tvTrKG$1M^k7|Hm?tmq-VfXDLcsE03s$RAWOgCgMG61Yl^55P zW779}r)l6$L6Fs%X%5fKOLpOGgqJRTt}SLfHB#zB_M#FWV2RFF)OCD4t;|`Qtm7*o zl=89+GpOIHt3W0$hO6f6=Q5W^tck0}B&$LQnk)HM*9u^NnRB5gA|wX`B3%9Y^ttY=7AEnY)~So`VE-_!h;da>Pj9Ps-a^ zkc;KLTBk+9EP7pLo>@#wTF_{Wf`UboJHv}FxsyoC^Iaol;%Auf>|g3vD*nh_+S&ykj+#SC1ivL(D86OFjiUnGge@aWTfvpE$*% zz2LFHOo{}m6>F3{kroqxI>L=F+SrG)y*#dB>?qfb zO`JNR=t~UBNy+75_Ik5mwP9B4EQ>%WWm=7z!4Q0;u(TyHGe7nN+`wREKKOkb0}0X@ zGC$0H24(t=QI(lW0bvTZvCig@Ceay!TH%`PvsV&q*%7sb$k4nJH18e_g^l$YUc6 z!F21KL6Mrt?WsI`gx9<_NmO0DeZGgijzRUOtOS|cKx^zB1i3Vs7u*J7IrWu3V*;y* znVYKvOD5&&ow!>j*Kv>_dq-WIr=g)I130~bc~1k4DP;uR8&ldyE~)K!XVysp& zNgnJESg5jeroB(@TwgqCAdjTrND^=QD|XuH||6NRqhbk<@sV z?tr)79HY#13}x6Q#qAm$inBWo6WcBzq%RD{YYIGyq58dcHS<( zY~^z;{#^N$MVEOHyW`%zMMtTv!ogtLui<>-g#;%ce_FtnzW{HJ1*ly=F(&VYoY@d~ zu=E97E%|_}yHJLx3bI}kJ?@^La4+K4hASgq`t1-5N#%dmFb66qplWv|T^S5{iPFC% za;ECLVxHMr9Fwl6-e90E%e^Iq1u?%9X_4%f9OL#?AKJDg*SDME^T=98V1|a13wl^# z6`XCUaOOn?Zlc~dw70cYL|20ZqC?jgtaS;Xx&5g{Hk6=uGxx!ZR`#|(E#au_Q{Jqw znvi%&tfD~Qf2h7p;MVLJ=manpu|HKYyi>kJpqC9GZZ!mMy^6MbY!popVUzzPvoH7s zEN`2;M`14JF7Qy9O`h3!2~tTaRba|%Nbnq_FLnZTly;MsqV#je;Dz+9eBN6ZC}q`;(8hWv z1nxjLi9-Qy5gFP@vQ~-(jUNUn3L~CQ&&9voBKW56#wOQ3y7!%gnqpM>2-f(Q`2f2p zU;(TkaxR2#=*XXf<)X7*9Wo%ef<~VERsxK zu;$v*vut_u(JUQ90sOIPigrI=8u2o}Wv+|GwJu=oo3pfb0{)N<&!wJuN*SvB3Eb|z zwNQP6tqC_3@GJX1XT`CVJ(uLV3_|b$&(^akG4xoh1};*`9c39TevlP%i{;BOXK#RBpv>Q)jofz% zuh&Uw0KakPNFb*47_(E2A{P_H=$koH=^%|^=-Z9)c0ZwJuf$?W{o;x^@C2a~DdXo5 zLLy9#^r3>5$|&2Jt{E}h)#+rpB59O23-uLoqd8wEZU)wme=t|()Z}*+575=)C948# zcsQ+P8TdMM69z-xbp{T#fPka)G-DfG_EQ1jBdKa~N_-A=(dRRG1I}4yTwT&*Dltg& zR@joNwJmURU;cr?~pKcZp|5^@GY4h8qmX*?2o2 zJmaw4Y_9Ut+oHz#+*Y=2wB+)`WL2#vB#LKZW=d|Z8WVq{SJhyPC0nM_dQ&%?W4{!QB-EyaK@r6s;xI58HrEVr8{U&ioN5y-4?DLQpiGFJg-=DfIkT9(ZS&{M6Vn>tf4#ON}Iy@&WeVdZU$U>@sfhF6%4 z!uV51=!*TXhr$Im8P$b7EC{+Laq1Jhaok$9n6DwutWCCQbLdVXoCQgcn?LZMqf|H6 zPN>r1?W-kZCyPoyTEnId%m}$@)@f0B%8Ap*Hej6|u|1vdP#?4ZmM-6Hf3F&6{8)+% zE+y<2*YhOpDg_}>GX$^Qyz}oZVx{jdc>{LH^d3%av^u6ayviP!cP zFY~z&YP9|Uev2*Ghp0MqGNe0Tj^^U!nVOswwehCY52A=K;VRkit8d(z;oNAubQz%2 zRQ|eHm|Pe8Wb8Hu4)zV#^j5V_0ca8U1b5y-ub@mPxq|VTZ_rwJ?qe*ned7o)pG}8& zvZWaGW#48KYiXqiS)~7@7Bt)(44pmR?+5x=(ZytH>z!K|!gqC$jnOfYlv~teLN&>N zyxl$^0q5$&%lCfT$cEi9bE8rMM6>_|j_|`_-o7Pqch#u=AymEG=K+4z>)d#f-q>hG^443JN$=4CTJHCuDm z8ra&B#f_DSeuv$`<2x(Zm8>s&etV|nyKLw4>&$Rt&;Q)x_8|M=Ripm<>|H&$4TZW1 zvO=ZDdtNY+ws2rQEt4o5=X=DrLfQ z3^QxCkeer`ifE!Ey*L};2!>i_;77I(^f*K>>{bQk!@1lIyPIlEkV*YtHhMU=XcUSJ ze`-9Cm`v?Hvl=7!Efw#z*Gw7Ovf+XW&kHvEBwH^b?jx82lA_|PU!=GFv}tvTo_Q(4 z`e8d(CToxG4(y_~*P_*A*(Q-RTv2trj$d;aTnfbegHt=W9#UNe%rRII=WgSm{D7@l z`)jc@c=TF4@EuR+3y@bDir- zON?Y;+x!XQY!6dKi@#%b#gIa6v$wBAk}`X%1}N%ak{3dnvnuaj-LCPa*{rk!HTw2M@c|JA=LY?At(=JM%Z(zM~2k=n=>^ zFeKM;ToYaNPqMPo-VrOF!1{uyFpy=2T{*zTmTMwR@o<`f(JW*a_M*%oA5IObMwvBo zWF@ax$l+}nfJCIS<4XxSi3b#Oe~@ECO?g26PeUeQB&-&Z>Po$ULv;K zbNHz&#|!ZNr@jc^wo=a;pEbAFZs5K!yv5C>q36xsW`I`R=cvWYylMLLLO-YHJgbS9;gjOeTXy#LzY20*xcOY~ z1ebb~`eI;)Dr!5_a7yTq`T>;Gcg0<_&?tHt-u^Y%gZXZ@uj-f<5?QrIbDy10Jtv-THs_TR2r=}p7rA?!5INcMMmEBk^B)x<>HH%$T|>KE-mg{t7H!TXR_pf$ zpqPE5;pBt;g%idq`^Bz?CkL*vBrmGssW-&xY<0(yHF-{UFAnx$ZlAud>sjc#lD$8Kwby{{ zPy}~~qs_bN6JEF0eoBkn8}wL!l!mI_+kgY!x9n7K*;=?$7Uiuv!8Nn%<( zNp*7;X|tNuB|O=M9q_?qvJFEM=-zvrjQ@7H7CLVpDRh{^Su!G;Ym1pii8J=~Ni9M{ zCkx6_)#L3jqN#UGzaC(>@*L!puznrQ1|wEIFA2{t&7!s)1z%?HI92<8q3|vFUFtP; zdU22UySgwKS=Ixjs`6t$OJ*?_nb107W}Y0n*Ytoq7}0fj?z#oU@_W{`am z+~(S8hEN5b@(Y|T)-)NBnBi2C_oVyzXI<}vYJmh5L3vTTZc-e3F_Khi=dqZRt99Y^ z#F*ogoU*D1;e82G_U9y9ueGan`!ArIIn_1b&v2GpnB8C^(b2|uKiqSt@1?E7u4ym% zTS9c)@Z$3_=kA|QTQbaps9c$ zTlM7dr|l!m7%@>eYTj#5=C3|0LZ!A*3WWY9TbcY!T6~e(+|B*Xasy9-7iUj;pV-XT zG$xIT_;10826$a;gYGF>uqrKjS~NY)9jX|W*cTuKEWm~MH$#!jRotcW_$bM_ugmo` z#3Tab?Bvqt$5nI;d_zJF=LaSNUP&5y*xvO+>_9(7$b5IO55!(g;@Wm1w*zjij~Y=c z>u(GHB*x0l&itmq&eg*sAtih4^!2-AGjnABgx+7ORLoqYR_jK4-{-}b@zMXq)JCs- zoHBFYa7uT~N3r6YzgbM$#mN&BKUiprut|;c*E=p4a)HB(=cG`P$x@>6_Ebc5J!U%A zA$&YXQl}NPb3|_BS)I|))9Q8JN9TEQ#k_C1-{aY=gR=D)zw?1gucavjz3Q0@kSPZQ zD<6W=O@e~`NP&H7)IIN!*pXUO3##QOVi+$=%)h39-QAclZQ(O1;H`-PUdQ4AC1r9v zB*U0nq_*4LOgX(f;o7iGnFq+pt8KF{oqt@w8lym-?lFcNm$TW!d(1l5$*HMjeQ^2{+>~VLCKG#jmh#2sj;EgIv+oq4k*t;&{_kNEr@$N5txwz}cISAFBm71og zj}5&5s%8EZ_plTovgW2%GrsmX%G1rtpL;B&#`BpI-+3j8fQ^$5mowTh__dM>OM})U ze@(h&5nm3~UP&GP8VB;w7_O<68L8Dz0v>l+b=>|M%H((!1}nZ(%uWp&Jz#gFf`>;2jE3#q4{VAYET67^e|wh|O`G9?;}~LZ-g^5lqHKE#bt)?elfB zfW;xnd6F}e-|O{ux&K}P<~G#BoPZYD^LYf=2lEJ{3W7k#A+DL#9kMwyVhd38(FD_wp>ACCnVi-@4LU+ zm>tqHQs;ibi9?ccHk_`obE}@||1(KXpp!6m@o=t&pwEoM)XgkTO+zBQB(}p(_?xBZ zflHt#SD63T(8F2UH^i9E$6X>ZT=kO+yz*~z4NPqdf^M%gozC3|oK_yY>5=REIdEqLmXK+cx=}5*U0m8Pc!L#Gl!47ohyx95uZK-608J1K5f&8f1>Xiz1yXj z-HMts@z1s3%n4xf>*(@7m}J(Q#R3gI10hW5S)Q`MT0{tz(?+SzP|bl>EY<}gKqFEn zE2w+jc0c@W-jg5WkYTeB2`o9~cB{5W3WdA9z_6)7?M)vS>hR4o#_zJq6b6$0mdMN9uav=LW zZpgjlo$}0Q$F<*CHA9yA!gOx&yO~Ft-u$o>^hTbZbcrObr#Wag8m}afn&R87=!P3I z(-kKljOt2WuwzNL3;uCO6XC(|1k z<=!}+A8K-OK?ENq$H0xIlP*4UfGk4FTvD<$rH!q;wqWW>DqJ(5L19<87yKTKTh233U$R%$j_fD}c^&5XXs$!)sD z%-9U5Bj2xb#q&%-i;3=`oxZmfi81>x1kaH~cs17QcQz_2zwQY_$kViAhIc7#w?fWs zEF=T89@&^w;*r>I$c&UL1hFHE-S&)~HEb2J!23ezk*CM=h@@9a9M5F>!=CzJyu^%F z0FlwzH4yEM4GmK93a8kn%%FZ*iR71~ED!xu+DE`OsxM@v3Lg!a%24tP5bz)yAgA5R zu5^w6NC}lTWEJap^sO4RalgrVx+OA#9s%5P^=2j0JQ+n|<1#D$(lH zKK-BMcbm=MQtLk-kRI7@?v6h;*57!I&c6=YpUYt$%zr5l=JI7|HhQ}$He}6C%pwx? z+$H{A=S=_el14!#so6P|K+GerqzYmK;KAA0$*l|P@nj1UuC(_ynlvaX7*~4qH|iAW zS*J<;IUOuYxOQA4K2DDr4P(v<-@5o6A9QY1J*>0->R-Km@^E|UKVo=)Wq8cHdP^pJ zfA_-HdK<`xM`zylm-idhsqhc`NU!$0EYyuve{$hp0}iLl@vizk7Nlhf{o^Zz)v5{z$bUVNxreX%k1U4Z z6=>eS^0@73{B^Mk`)2XpnfR#lAkXJw?*ZEB182R0y>uD0GaN`ad`KkkgemcX<5&Ky z79>2I;?E0px{#4Nx#WiI+}~(5@qtcXkAax0mXIuu$J$LdAWf= zP>t~kt^!oh>By+^mKSJdj&JV+EnCh^2 zQFWokzy}v#(bJQV0FzG5zgzjf&tTDiYp&<%$8F$Gm06k+AW^b1IcW8@H`CuLi;7u1?E(XBxXS%_B`hP{BA@j`l zsc#QP(E*=q8NG{Ebq4KTV?intUzYYq%@h+(DZ*W1N|KoW+kH=hef=H-1;K%jg@Cxb zoeXTo^-F^+lSeXZ#3a;j;+?|(EFRHJ`@b)yEWgJ%_Z#-B$mntyNjdFV{uy#&HH+2o zt$1@8TryG`{J+c!$npK}TTIy3C+N{?UpFoV_2u7~DMSxie~bV5t-=pPOZh4uEzRZM zB{223p57vkE8H_si%DeBtQ**9oId2EJCHh(N`gtUYtQ(GB1_(kjNso<@%$ZCWWEe_ zLca+|21LhB?XcdQEsbsAU>TJf7?(PmD3LkE8D$4fxY&J@wMR@~-mO1bKyb*|KGtbhy+r72w*xQ$lq@a(E!M!FqXaC{?-}Tfvi3v&rveh4SruNzz}G zk7P2YW0ldG{vF`KztV(gLAA<(lRI4D!5xchT$2?aBU~wCoTA0c)bH?;$n*Xql2hI0 z-vOpDqKuK4Wgu0SEKanzv;x)AWB^igJGrfHIvO=-aVY(r4}~J}9~GCW4s|DX4<(gj zl%Xf24rgz_ou*c!7Nek)sYZ6XV4j80{T&T<5wfG;?>)sxn?mfJjAFqtx_yjV^3i%f zZLr|n?9@*Oy#Xn}znf2y_21{quQ2KH8`R*aJihb&m0&gPulCh|Aab3UkUBB2 z9454{2%mTPJB6?*iN6~1HL}BZd8Q9KlM1E2ovV0)co7oT8z$L=gCs*0@&2< z2mc={bU3v-<)a$Jhr7%jxY@bCPl&a8?R>s_s-L9idH3w&rZ*%S z!2Ca#Y%;sCJD!?eYkY=7rjnm0xy_M(yrz5oBmuw3QN_owm1Wl2lV$>~xr4%ESVoh% z1p8;QcfhH6oBv1>*|GMwB;Ny3z`1Emt!wV~25Dlq<1d8HZ*IJPrH$;{ky2wT#Vbml zT#^9iBC$?>_{JVXFDO)J%9^hl%CuD1yUdf!{trbhO3O4VbPCrWyb*SOr^)R6%Gxu$ z$p6yD&t@j?;+vEW|Zx3{{x30KZYn?neNyU&XW%+(M<%)VMCZ+fWU7s}#Mw80mDr@on1On>+ zVc%=J-YrYi{CGMM>z^6e-3_t$opNQ@j}=0^MK(A3a0gBE*@+QsLbC5wYi*+Qt+y-v zb=zblLbnA$!68pVtqxCK$x zoZl5b^4^v7#A|&?-jm-wU;pk$WZrOpz9S3e$p6!Ft4Az=5-GGWwVcwHh$UeJ1xv>! zvKdpaw#a|{OffMr*Sbbd4i1isu;0s5@mb@Ng1Q@bUtgc(kqgDj-X9(EcSikpCO(Irnc}!S4@95}N-93+Z2F(%Xt8ru+Mp-+x)x|JM=zd-~kC(vw4|N0lBSe`F*S#VbXP0{<6(h8=?d literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0009.png b/docs/img/mips2-image-0009.png new file mode 100644 index 0000000000000000000000000000000000000000..023e78817f7e877b66678c341b90842e7048a8bd GIT binary patch literal 161418 zcmdqIWmH>T+b&w5K%qzj#X^AMTHIZNYjAgWcb5_fQXGm)aW7sd?i7bYai_(#p-|ZA z`@Y|^zdgno=f^kBpMB;SN!CoV)|zXsHE+4D>yA-Vk;TRYVm^EJ3|n3ftp4oT^QULe zkRx88A-=Jyty@8SAbF_ENDGGaFx^Zc=imZ|L+TF z*rnX^*|VpQ@?Z%~U*qF7R6{Kr3iR_0?6%Z`@TmBhwUgu1oR0Rdx^3>x8hi)UBpxDb z^Llm7%Ys5`$EVI%lBf|01_wctdkn7aZ{9HUZ}{X32^=iO90*@@Px+Cj(S$<(tGR=k zFWCV9)%Mu29{+0_u&4I_>kgB=+GDUY5{EUG2x&13;G>Zr5sx52qeglttg$iM&b?L{`#W9BOMhLl|Nt%+9LlA?35DnaPuP0Y9$hycXHF`eP}db ztT!gg$piNh=zD%f1{lMGe7|{X9vxyY#H=X(XAQvruvEeVn5nX~2(s~HAU4_h0` zqey24gTdF^*Vj$`V;vYyDMJC&^-q*5bl_{poiQQP>eh(H)S&Yg6U4dy)rvr|y<-0t zb`)qa#x|x-+#@m8g{V?#i<*uM25_F{y|vRre}ATSg*V9SQ5ETo4*i}yRGXf>{1q>U zuW(;)NJ&LiL{wH*My9=}Y@5x!P8#+H_leXgB{|9y&XO-UXe^oxXIp#$2GT|zedT{z zf=Zd;6{Q|9+RU^qnZ;!g`lapp;yFJbH2aQ%VQqzNmFKoc#=f{Bfw))155~G8C$7>&h*K zup%qhW%m;L?2p&>iml%&BJShgTgjwe@&4Naj>$Lg-cn8=KVwx4gN@mF;=fnjAwj8n z)y(P&2EIrt0XVgo9WMLt-BK;&*|TVbXR|MK*(h0~lDaj4JZKpW(PfQFU;*^uzeBZn z1kB)ruKpg$xHN}vKR+^?uV^!9(UxRRwOFgzk5QK8wnZw8#-cYy2Y7whN(*ErJ7zC! zBD_nxX)GW158QK+nVZES*3*TV1G&=kV*A=;$6n%%rYOR`M4e1?*P+pZG+k4N3FEkS z`lzXSQGYf_Yo4iRJNETTM4S#D&u}CHqXzCp25_VY!>+1^*<81Nxyge`5LJdOHQOmyLm;RByhwKg2 zWIqKfUB%u2$s)Lkb<|Oa_PkWVq6z)qd?whL#v8YzJc;v6u;Uva;AWtq=QKgs1(LLo zrxPu4n18MP>w2AD$JAFKi@vQ_DB5sRH;x~q$s@VrI1!593!Afn?mWNEQZWq92Fwy9 zqVcl?_VX2@zUSQ@=9xr%Z=WBrDGP@<9*A57p$~eq;<9i1H{xm)Dhi-CH3QMu82AZO z&Z?%H+C3rL4E{7465F{NdXY~`vw4XDG7+cZ3-97 zy&Y^ik8BD4aFKF22GCgZ3v3!vqo)S>*A+w#Kh7M2D*Dqe_1i{VQYJD37(i$1i6K!+ zPG!BrTDj~k^+3M`?fjbe6V^Fx_%I2Z8-9l%T^@U^>g~u;XxhCji6$;sz0P=<11 zd#_~|xfUzcrp5uEI#1LFjcL;zU!CFNELhBs5>LF;Ssc{_KFs!^_Pf(|j#qyxelo*< z$LlIiR%2BL@MXsQ$Xb4`%1rM`w2_p_!AbblXTW@8jsXVjHyMwrI2~(&THOAcaqT3r z^qZwpB@cLjtRZz7Ez}1}bK+sS(Xn*Ail1`M22@lB6-m0$SJ#+FH!ivm-uuzc$33+3 zjHK*V#GyW69{BWBR|+=yX!qV$q!lFcnDq|Y1~Rr>*B7@RXQe2U8Y_%jO2M2av^&a#0CZUgcI~xwE9_zeJ9Ds0+Lr zrM`jlE8JS7GFC6k2Dk=+_1uv9CUGP;5Kyov-#{TuEDO_ndkLrdH2mvc@-45Yw@=S( zB`@88p8 zBKVkoOb^KbKgRuIG#Jo5JZB3mD1|()n)*Z4adek@0J+D>vPN-RGC4|xRcW6-lYLnB zRTU=qt>e)r>s(1Afi`N}m$2dnA5=}8bHmH?hH6y2A6`R(G1=#%eKN#6WdHIWh~XxN z0fC@B><~HlJ(Uhy572eEK%DA&%VTdDN?C~5_Mz>@K$@Rz^s-!TZ~1ZN>ITi6%1KHi zvFkfSl$2$;RcU)lX6Jdi~^D$si4kq$D(!HULadLPq%Y%2TAmN15VFmCS2TbwZwX)-tI*%P{o|k{Oxf z5KBqjqF*+tQsn3?h$qZk8zMGpITST4vpP>NSjXw+?2zWwS54KDXdq1Bel%bIlRqnR z2m8KsR8wMM&h3PShD$p?7Mz{J0pC{Q{*XIQ&3<81rOBY76pf^E0ZS8ZVn~Hw4LYc< zC-g?!n7=(cYZF3Vt37PE^#%>)$IE={0-TE$4U^q91F=Qf97Ns~M&3)z_@0PkiHcA} zoZc5VFt}Z-tqdUQ5OW7Yu)3IEwfvS%K_b?(7Exu3_5YAsbqA zEy76wRV=(rJE1BaCHYPXJev_+5MozeLa79>*=BCZ&iZH<9eU~~S^10B?+{oX`6VBn zRor~>%A_3kI(}GbhBEY%pXi)Iyiex|o+a;Aq7O&%(=l2@&Nbb z#BMS;nibr(soyHUr7*-IjofqKH|^xb%_Q#V{EXI!-Q*wku`hQm0{m2 zz%n$&fSrWF&5UQ%-eTNlKE9ITSE=3PWfR(@HQL1%l-OfLjZ(@D*o51}`8e}CahK{& ztZ#qn-TY2z9V{%sC#V0u4%NSPZS>DlsF7F`7KhmIzWA z&KR;;xk-q%|N3`sOn+arHJG_BAl@G z@Jo&|ryRjF%)BTNd*w6J`eh4>4dZfS zA3c@Fm1S;Ve2)5K@So8`^G1K;=)C+y(&~5jps!{2~V0yOlPBFYs4m^KQGxJ(p5IdYgucEyCSK;uKKbCzU}B5uN#! zv4J1;MYed_ErjOhU96SgpGn&Z#X_6>D7bxggY@9q8xil3q_%+p?~45A0|OeLk+EJt zO&ZyuC(4|6F@10bLTr2|7rlHrPhrA%=V+=KOP}XVj7RtD>8@;xj$G_IBOEg|E%rSs zcU;s(#S?8+54qxB2!y`0?&F`D3+TDZJ7#zLK3%PPD`iFhlR%BV;c7vn&xcErzpR&j z1WQoHqZR+`*tAsCS+zfVch2ezJ=%?hX*IddRzm3W$<|p4`&$V>97E$cs8SX)SK>6C zt?zo>r1+UmV0~w}Uq_MMRP+gI*ZH<%<6jsx2kP%?Jf`z79L4p2HFEn{LrU)L9%1a* zUrd-_n=%vd4)xP|Xg9vHqxn}ycGKmxlBsN}_$B9Jp~6LFn0AbFp||(9tqr<%*Dq^T&GJf9{pfDhaVq|MC<5AAIo%BEl|AavM2@eI&_bDaQcm(ojhqfl5B+kEVNX!GUq|F=$o!?CY}x*kKXTkM#T`Zkx`}qP0gI|%@DTQ3b4Ud!v!`;KD zXR3lqkBjc;xCs?=;I}Z+!yYgj=T8B2-(|hB>dL+KCN>%+cUt8+)S3jGb?7CEF;KpF zgY%>qSjE+)`46fAd6!jm1K%zJ_|%+AX|32zFOMT_q|a^L2>&d@H`RrHTfa^m9C+0O zDjOa+Dy6YFs5s#{)L6%W{cJZinM1^F-!M=-=uge)dS87UZhCg7Z2fQ+$kH;PAJ0*y zUMNXP_J#Eak_z76y$qSgjWbvRYXjR%P0ob@X2UF$efX{H>Cs2DJ!W~{*d_Y0AA zeh@5#dX956GP3*yil{IsV!>^!^1zJOOy2a;AYXX~?SZU_MHi9M__1L2pM7|hn=WO| zZT8~_L*VOFSLT!DBaTel9qmJ3Jo4v94deTcEI&*+cYYN^;8fF*nKnVG{B^kGF;}h( zXh)noZR(}}1isL`l`Ybl@-BMd&MC1Qk4m@~NbR)Un+KdYBTJ=r&_;fMx8cm3)$r5v zxjjWf@53v6@(;=A7rR-GXYWYZ&m_5j9w!V@XdC_PBOfk2IDK*bxnwx+1y@mx4Fli| zH=f;eOS&O<`;SL|+D+DG6T%Os1m;H`N%({0oTi0}F}lfPz!4T4x-_?UVf?1@t-9e7 zE`6sf9EX&*NAWA#TwK{onV$TZ6ziN+><}W!JAR{XQkXG4_Iax)l*E)b^Lz#3=A1BO zTDUYRt39ms_@ze;C0Kwg#y-HJo~~w2cJ5^{9z7#|vSjBtfX?>}s+JC&t#ttiJ!q`x z=^_7w#hsk*9DsboppPlMi#{^Z;TO~|HlW;vO}OI(h|I{}&ENmKnblfki~LR(VCm_- zQ%ceFekpxFgBsz!nDm4Ao2Yq%HK)g(pxr)8-Pfb@1`4=&1uil96IQy3-YE`Mt z>&2@lFlF9s-xp83P~zp1zfE3bB}uhEQh8k{OOY}ow(+qjg;pz82oR?x1(IJcCV z0=YaFr){;$-z(;j1`uGOVT2V;1`qlh_Up{IUE&ZQofNQGQ5@g>2iX8;u};3(nzf*A ziOO`P;ygj*N~1Je){z+KhZvFKF)7vW?7CnWYU zLos8RTqD-rw2Vaz@EQc8IF)B-99Mn)w!EL{Zef~XJT$T@tReGJO|OJyp%qH@SaDL# zf^_2}yLoPL^eL4WNF)?^^fBslV-BAD15r)JD?EBihVPMGxJoZ3mUOHIqQevT_2plp zr!`8_)vlf#P@i9jSP9^#julIj1Y86G2|)APCe4w>VKRmjrNet#8s(ul)Gui!%bt(M zAP&I872D4(h7 z#HovE(J~)mKzX;u&ZH>c&hIeOT2A(1f2K!~`@wMFU@e~4;Ag+=8EO4?7zt#|f$<)w zvyNoZMV9*Un0grP$(_JMMowAiFm;b!iNpW;B7TUet5FiNCPb8wstRIB-3*`u)jZH- zrtzeDadN@Su3QH`$_S<^ffxelFzC8SNFU5O9%7NceOi|HVcL#-kBD{^@E%g?_kD@| zpEHb4Db@@gFHZV??S^r)lW}m4HhiJ;l3Tvl(JVO}X z#%{ciMy}0`TER2}YcQEYDSw*DBA4UO0g{q*Xfh+B>}tQ`Ez!(+khINjy;dRj%VMQG4Qo!*)){EskN~mmth(w7+3uUFrRu$NQqhChx$Nwetjej@b?ccS+eM5|_KFT9g zahMkF2~l6ydurbKdRYSgup{*{W&T8YM$`A^|8W$$F|QE>o(8D=G?y1}O3D)5p} zv?%Gj;{q+px^k9st`l+~!r(2WvTi|5L>68RDget(4=kf8m{yA08s9P07>{CzX(E#C zni47LApv7X>n@D!)58W`;YSQ^QLtAv}BVBDV`HT=vYi8;U=%~p-R&_=N!rM@8!>VK{Z z$$BWsB;?6msOQUayGcTN`dm1yZPj!f?sszGh9SgFxRD`C+iOC8ew9bg^`QJBCL{)% zNt4Mo+8DK|oPJ%W#~Ae!MA9`5BU%becgtl!dSxf>%WF3C2{8*Wi}4BxOe#h_P>;<9 zRAHXM-Jg~fPkf3WlV42-QTy+(E?1XY|2WkaheoB$URs2i_hEZAuzj&gx( zKG4q3lJNC9TL!MjNlXAF{ATukM2ATr#>0$#M?Vsf?fm0H)wZu)!D*s9U-K3|tGJ8b zKJSWWcc9V+sB#`M8{OH(2honmi5ALCT?#hIrM4^3;akIYUTqilgWW(!u|pSsLT#G> z7{m6y3*1|oA>d^b?fAQc%>s#E=C_BLvhk8QOuo=qjYtM+6lvp4e43v+z58W;KAc5y zHF_gBMthvvudVOEB-yf^8*eUo+OaxW#(JD2&>V481xzN+Dx2eOv^JFE`-&1WbW%s@ zlIS;5r~_HOZI4RTg9V7Jnslua7K-O?i5=xN^#V^jkO5tupsTZPnJD}1oG#X%iC)sA z<5Ih8{z*O?FSyJC3PyY=GE-E*X}W0R2@}LuQ-z__&hy`Txn>k;x{y$Uit2mRW33Mc01MQGGf@(75`xG>r7Wck~t}xY9cbZalOKOo%HD&Qqg(~ zplRA~Det5dXb$_gr>#R+Zex{9L~m}R=6JWFVO1i*HCv&N?F+A*2(xz;AJt8<(DD~| z-rM@z)SkSvl2%_T1Jgvb8MlBf?&ju_FD%T@PD4H7L2A4Zsq=;qZb8|f^&dM4izW!G z{-F}2?d`Qjq9XQ^Cr_QQ68q`H?Bm$Vq=QMnO=(>OIjIo4Dzeyn2yJTOqiZU-o73cc zc^&gTL;3xV$(ntKxfX}ltcMNf=Gt3Grh{E~<%QplA$*zo!bg6|ivvjiQouaZc7*Pa zF9t68P|*>9+Wwp85H4!6&##OK`*9WN!tRiV#Mg|dPy5Ck+s%!)3{~>Kk&>6=;tz_Z zho|iKl_~r0A{8P`^xI4`<%U%=Z~U*t9Q&rxaLO(2*k{zb38K`?;zmXcIS@ITRY(^3 z!(2H>eJnm5(LzC}mho-s2wy)n7O@8t+8hP#AGa3UVDyRdN-1-mZ>GLp^l_{uF>Dd)luyLBS7CC2SK{;E1{?;h8CNbJyilpe$cZ2B&qnsF z6YYxrT$LJNdg&*ft#?wud{l?b%CrWr{l*d|UOZ~C9))+U1KVO+#!_@frvhLHE)3&; zjylW=%WiJvm)to)CoVSn4AGnb`Ul@-8m@5jod9(aO4Ub>iol0m3QD(%sh`Y=SDami zTtiR=vr0tptAP4z^)?f-0WeZ0;a52-?Qfd>u-i2`@Udpbj=jT~)v=KP!AP7jXbk|0 zUowryBBO#DJ{MB|{+N2FS8iH1XKw06@7(1P;C%( zrE00zULnmdi=Y1PYRSUef+(&9sG)vT*&o}1b7)Nl$B#?ZYU3-1isMoN~oEe;6M4FgA%(tRV7vCQaMkEhxl>`J5hq zMXRNp`U_(Li%sFrkDv=Z=c=B3CC7;9&nVhF9z&X>h)Wd)OPs|DHmN!x1Ji&mX`f_C zNaL;2^y3rKa?W$38zqzO?jkYC#(%0Y@8MEYDMSHL?AuMf-JHe{`;PD#8J%1x1|hOj zZV!XU@PsASv8IG6+s@J5zy^N~+3KoFM5wDWDJxdD6E~W-X!U;eGn?HSgf3(#VEvYX z&6s&3{)Xl}V|kAX0QfjbTZdx+6xb$%d{b!vGF`4EqmrGwprCWBUc(kVGRU$vR~O`V z^@z|CYqrV}5Z79w^v)*-G&u8A71_x}NBJ=QiYSD4v;n*5+Se;Qq;L5iZB;ce9vUZ} z_h1oO9ys`e7W~ou4>#i()Tf3MO`dbd9!jq0eAFPa>R9oNPECTDbukgMY;|1mtq&vN zifOj3uTc4x9kc62q_4|PAO-{}zL?B zC4wrt8s9J+js$j06?H%rrs%D^?Tm3|bjJztCQPiHzyC$s99oXL)}aoY{cc9Ua>{|H zgLjB!@h{rub-kU2LbOZ~$NNly$xui@|5hg@$f*tpuuJ15O?4N)ANUm`CY9}ubC~1H zLe&t@C;j{3>I46;N3FW@0GaE%~5Wd6Yskf~)Gjh7b_``Z-GON8f?&yIVvzHEKm`6@>8 zs9)_;oF#$oj#dR#$A|2T+%<}$b}9@EPQ`>gJ$!kG0xZ!^3RXZR;v=(8{njIIJQ3MQ z%_S1cu`?h=y@+dkvQ_1dB5_kcwl;A3yqoHjmDRX`Jxhh&QJ zfcw-3Ri+TY;m^|jLQc6f-%cUCNiJw>-b*v_qaZ&(V ztqHhD+k}zrcU_VIj&2d_FP+qODEg*+$aDy-+e zf%r}BR9(2^E(+Bd-pO`q%rBF3nB?6FfMEweiUhE@Q7FlZE9B`JXEGKWDEX0aBT3(d zjH*ae^K!aKZJPybX-@AlyxGsy@R#lrJWUX9W%jS`B8$E#GCbx8E7>JhJXokRcb?&A?x38@s%~HW}FCWh9k0fof1WAUp4i zYPbs&;HG_lww?Ei*mofdn~p_*EK2osXantZ*DR5I6q8^R9z=c02z!McX_WZ33LnbH zeLc^FF9$^nLQ8Y z!;#+RnNj=QKV(T~N2hQR&$nGKq|g^7j&e&{{Y%9#yJ#?NL4M=&O^;Yfp+Z#qflc4kYd5TFT>C2`C}X%3%=7rFOqynIlThx^^UqglJ@aQ zM2~LZQ;&V17^K4C#453|g13H$V9X-grHbFoPJ}4OCFSKX@Uy9w6TMPhiPRr%F98)L z$;?>=KGKqs)*z-`l6QHI4u*}Z!|E+9l^DpTS;VE!w26dOwDSqP}W%x+XkGWRd>QAPQ4F;SNHtVl9n6;k+3+ zWtb8ix_V`9(*v9blQ$$gd;t%0eFW(C0;Xz|Z#&7r0%-~H>_^z}b2%1m z>5&vyM&?1|w)XE^5sf&$d?B-=>$@EJxP~#kY-4Y!_4E+a4{>*2|3OK#IBJ_Zz@7cVIN0{^&Bcw8hVB8+Bx zm>6}rkW0c0vghAPq#CsegT-^0FE(;J#y@V)v(8ehJWD!h%H@;xip1fFUterMKz<&`PE5P1 zd?G3*FWCfNJ>j#MvD2X$GDu{*#xkSiIP?_82}o8tPU7?n5z?71R2DQ~$?{XGmWElR zI(@FUi5L^tU+ze^*p;&-+{bsvl{M(fKCeR7;4DY{mOnIW>}S+uDXjH z#`BPro2Q|0(gt#QS|FZ)DHyy5XB$Mj2y2ZFjV4DxPrPM{&hGeG+8p|>uvBk5v1Y8Z zvr;Y~EWqF3g5x<^8bNZH3?IbJsZj_yE%heIrCYL_Dl=Y}Mzy|(h3>tLYojbtfEA>T zF`=r)biQyri6Q^6E&cz2%Kl_I%hXi98)vlOWxM}+qkqbgeS5e#$=Au{xjyt4_bNfc ztU-U0jpFm~ISKvgP3xx)d;V%HAAU#fG+S`MFVe|{q|E@fa;qtnjDB>>bhTrWnAH}> zSsqG`igrRK>5-%1TCVsc;9nYs51>6Jvprfv3zV(XW!MmhcSp zT85-yXxeYbV1M0FgQ%ne&(mbe6w+s)&~|a4J^vWn>oYM|9?Tjr$(pAL z&4-g1@x7C+^CjYhF?5Ubxgd|^H)h)Dh+x_>VmWSw_cUmMzZ@bAi7fV$CdzTx4`0L1 zZRkj+w$^eJ7n`{qjccT?vZca;{|>}L#NqFUB@P&jt~-sFH}i$hFWX+exwKW| zrNlg+|9X!G((IVA1@SOse2>e~n|N(E;7z*b+~lm^q|jtEc`Ep@LPIp!>Mo@w%QxWd zC1n|Hn0aftAEPti79uja1m&(cIbm;;>#M2PIU{u&lmQp(i@v>N3>gQedJS#u*S5_r zV&e+6OKc2cC+hR-`!7_Got($(%o-P89+z85cU01ouTR>ie*Gwcec|(R=6%I+iNY`4 z!1f$&TLi9lma%B2AdMclThVu+IAhx`ge=YbuGdMC|3facU*hPrw&-HF=(zf8Pqsq5 zf-}>&miQO1jmzLGo5Cue31KcVd7_y^>~6N!&c6DmDS1W2g}y{(bn=@ zEDKQya27r9_qcmrP89^Wzt8L4SGRY35iCzer|lD_D*e`-c2a!s-WklW#aRRNx?JA+ ziYFLo&%c_ z0jD;Qk8O-rOq*zKR_d>brmMbA@Vc^x@MHi#O5f=)wi3o)IY3ldiK;o5bMz5b(8|D? z+FMlVvAF5G3d}fa`)Ck2gO)G(;*`p6B9XN61YXl?S96naFb&q$EF;7XKl^<(BeRM=wP&dM|{+MiU!Ov}F0c${FS zC=73U9;pV5Ld%sP?@hhY_@Q$r3iC87$F;DA`IMI^{*$Nb;Z->FtG)4eKtBvR`b6KL!^@v6dUnVSxK<)$>iDT4RX~ufol4TScG`3SNmW4x$5$fhJkLFC7HjDNwhuek znZ9eOCBoV;&U#fpdX~zA@E~OmGaDm%tchG-Lpn$)_y@<^p125H<M4c)~ZK%jTujf!%`!0__ogod?*y%R;uvun4 z$zuQMxL=d-%K!nR%iqV_<8J))V}%E-LeR$ulm?a`$MstjS@0=buY8TX@1&j~xCb4Y{_T1bXtx;ZkHHQSkVu zHGNv$W}1UtJq>N?K4h0Z%lk)cqKfvMGmHI44!O>pP(dz3=;fYA53%YBsw$o}eklkx zbX?D!rwBP*Ya@*?K8ROEXZa>JZ!sp~@d4RzDoCl3GzH0H*X~MVi3A}Z&dHXsbY&Q- zrmX$7KxAG&+R>1e$v;)*0)`1wLOM+UTG`#PueP3_Z9_w5P4Lxea3x%SdvkZhHXbxI zs(5ePbEB+XlQHY`&bD>h3X-n2X^VC|P4 z$qHtTaXO#2?(H1C6sY|>v$r(IZLgw!otCVpXN-n*lMxkPw5!uk#x+J9cE59T*jl|I zR(h#e(@58QU`QiqG`$n4C1rqvnS%+H9{w|viJ$(Ejw|HH(n{o)DlPTr8+DScD+?M- zQpwk}X`KxNtqbF`%W%Q)>5ERcm7FeQAlrLAm!9o_7GxmPF0*N(XiQOyS>n#L2B

eDN$P~+5{!G`7o=!8`Q9|panV}>p!=8|lKC!w#bZZsz7p$r;wOFlf91U}L`RoQ5u z#Fhmq!7s-GUVJ$HIN*X)Mybn-3xt?EH$nWQpCgm)vLu>{qUDiTe%HaLA1l*`66gia zx*VRX@Q#OHiogDH%eQTu{H7$bjh|ZEFi!GH!;Rm9%o1PPeQ*_6e$otYNa7M5xhaX3 zd$?VHWpzFH0^fNk6Ht`#iurQM@-y9f5??-He5${(3Ns>nZ4|jXO-)I%4WuG;klLfT zOxgeJK7D5I3KAvSQ@wY<_|zokvMh0JQi7LOvFnwE)xp9fzb=$|&+lIUFbPcc(3YU* z#1w-FTx-z%8L4#_s)Q`+Ve`3F{z0f4Ntii#_S%n&{+n88>}qRx8BQ+Mj43_H@pi8~ zT#pTivE7FDT>+hmM}}`S3d0YtBbSugd}e}=@V*8pW`B_t-<7+iA(L(UOy8~cbm+~7 znaFH_mB30BWK}*YT##VCh{v045i4Yd{iR|*!5M<4rK29LNu9?Wv1oXByE({LadZ{I9wDk zlhAw@b2s?2&vm&Lmr4e%Ao)jQ645I*M)>H_+ufw@&`@8)wT_3KNsmxfdrS_QuT#&w zKwwmP4DWYEnp<^>U94;--j>P}zXIXa8YO~1dX#$dr^wAsM^H>>@q_|cL}=37E(zJvVX;KoJ^n5 zo_tr>AljVSZo*19TeNC+&AxT-UM4hoN{b`nUoST)Fg+i*)n_oACQR&Z(URJF)qE3C zImW<@&`n8dCQIr^0cmsVn=6=WTRo&Kb@;#tc|$FXd2(I}2v2d*SwAQnmOy(%Xh9gV z5z1f&#Vn3{CGP!q`ct*PBQb%^n5_C2E|Lf05|TZJ7Mz;Y6vHLi5 zm~r(5^_1@!&6sV#1tPc4oXTdEDf||{o6_~S$kdAOFU!}^CWo@VLKfB`Yr%d~N}f%T zxk;4md+vHs2NzOs89H&L_C^~rJC2b{{T0)f8l7X7h8WIx=2!T=Crzi1?9Nq{n{MzF zlnY`VnGL?H8bH|txL?a~g%>OHlUENDn@=@4CJrmPum$AEp3`nu?JVj!j0?0)e)d8R`hzd zu(4|B(#JK2#DZ;5|3Ag2m7nd-jYlI}MpeI=yHUqF;ZymyIOloGo0Bd}m;R&kL`>8D zrc?KSg!TW!acY)M-hOr(*Uk|Q($}L>=w5C2pyuY*{9C1&m77b576H-wTb||L;C|qZ z@07xB6$3q727y5Ao=Y}k>bFT1z1=bRUm`{=!M)+AX*^&%dcMmq5yc+#EuVZl-+rw|O6sQ#JWP3d;F_%?@E>cgJZu(^Zx;eNpG1 zgHd+AWEWJ+ORv5KefP)w^>xf0Xp6ZBF8e*WP1ILsns_E1X%8#%{;YpKKTt>aTa_bv zd$v}j4bLob`(~xUKkRAt>@&v;;SMsP1jE7Hd%*NQBeaMBSm*>}IyVJ~gW2T&U#BY~ zB-M!>{wtOf&Gi14iRo%l@ry;~qr7RqqM7>*i=Wd&3_YO}%(O7Z87G$Tn81sZzL2`71jWPvi*HKVDr!nstS&R^rscN2 zMIHJCZx6zq=u9W-+CLdg-51=8IsSUsjV%_)fu@*%M(qcfCO%X71IXuQeMX1`zApM( z>h&WnHzK6-Bm-(p?(vE@a0WepQY1DyVf_&P9#YRGsV(IsUsipR4do$8Kko3JW1k}Eh3uIM9Dbjr+xFlx@o>l73F&@SQV;~UP&0{J zyLiTe{8xU>nScBQ^~T1R&RE=d1b6gfZj39);Xs;A%_^@!<}6}{1@KLxEW?o zrOU8|R49h?O?OZD!rZxDev7ScE0X?=FU8K2akSVv3rg-Iopu~BQTa!EUH;!8C6A={ zcGt(76kd_exe1cBx+2V*(y=_tZwEedd7SdwM_;VU?GoXONZ_4*Twhx-Z6Oc3#Y+B5 zS6&srSSa*yZd--5Cuc43jeutV=ba7dJxghEQEmzzq#y-*Go1BUl9!XA($D@{-XY8J z&3ujrR_E2=&?P0(`1jDp?97O&`XA{SuC>wRB8pN~)v99{&A)%i5CkN#8Ja|EMK50$ zSC`@Phd#xVN9NU#7MpdI9zG0Zz%8;V%u^4XVlJ)*A1)}0Oz!t>4a>+sG#`iie1W4n zsECBh?U4}qp&FEiL`fJK6zi>Z*v>amuY-Q0Xy*pQC#K@Rd_lPyigEfmja;`turgms zik1M!CS{FWK9qKl+-%uk_uu7%2RVf5#`ZdGbhH0FK}eJ8$$@-l;SwfKQ6i5O4@^YC zs0KE1L(xH>i`E=&rVAuIJdbaAWgZ%lqyOM1BG1$GzH{371AO`8T16l`3 zbB}&WCeF4fKI2~)%C6H1>stCk`cylRq-%w6><~fA5|#I&{?GH5BmMBjB%WALW*W_m z6h+FV4n%!@;B~qo>)LCu!@)7_UNMbx088FEKs3h<`F8**NAR>wCbtKf3hGLA1>Q_Z zI{CpZ`49>O)1H}IT=JZmh4p{B1|`9jM%*1(o6}^zH?dB8Rfx%!lr``~;@r>)W(jVQ)YNTx8V#J_DQG zDwOJeUjD>q)%_#2YZs$a;|&MLPLUsI!Kg+9TV7ljT4%Lz$GiB~wbA^BCLCBsg55C2 zmo1wmA>7Bgp|Zhc4L*Zs#EV_jqWYerOqq;Z7wt8=&4H*bdDuM_BoWgN=f89t4)DUM zsQ}wPgaPTniN89!qos9H(6{1S7fp2!CgJet?uI{^6jUcyeQWZG0YtydzBVNtZWI#H z!3Q=v*hD%KcF=LQr+c|xK+{9^V1r#A(XMjFKAXhO!i|r6Q;`KVJJX-7d;$gS$XoJW zzFTf)?^GZN_n08dxipFrd)Ji^yyK&PnMz+WY}4R1=wAKZ9qp0cW_#^4g2U_1*bpZ{ z+_Iix@tI@b^h6f^*Afs{{(v_ z&4%iK@%ENcar|qSc7y=I-Jt^ncPD7@1b26WdvFi#5P~~28r5fe^&dx_O3?|n{ZD7gPVkt3hJG*X`FDqB}qM%Qf>x=>d)Ql%YIHC z*YFv+me3pQj={gipxw#yL5H(0`7?nUgh-+C$Th^?>En3tU39)rMvtpPpO-=TKs2vH z9Z5ablfrp#OQKBm&%w86x=^~4<`Bb8bbt{w$yr_#!ws!gh%k75(d2jQL2F9KGn_mr ze}szpoyqi25^dBQ8$uouCl)Fe!hPGvt#m&}4rXB@Z$5yK6q?asMK7tIw@~(yt%?|*rbiO>L#i35E+!yjt+_qfWPu7 zd$1$nes!4m&Bon(!Pw4Ls|kr+&jz>O!;F90+w)54cI{LSnzR>VoTkYQY%pjzK%nd> zv~uD6(qALrSN-h0xmm7m~gMm(l7U$Mj{ZP6Vqk zPh?yYpo8h~kFhU_0=%EYO}=>Tftu8`p0i?GN-nWWX0qsDC}L9Vch;iL9h-!6p|8nvVo1oE8TVoV*>uQ|e_} z)i^Th_I(q*{A|1BNDg5wQJpm71IV-u;mQeu0e*!#nvd%&yc*lYj9O6A$9eFtkq(TL z_Agk%mAbJHfO(NPZ;#qT!4dHU-vNGbCj$@mJec;kXRpG=!}hUO*Cmlk7t`87UwVQ> zepucT{w-hO_%oL6$U#geu3kFeMwm2^has$BX%>9bo{q6KmEChTJnArN82U5NZ;%Bv zAMiBWXA>I`9kP==ZToxC8=u%WGuFwn0-TCjCKQEHYgxoL7aCvE*Ifqwhi7INlz=8iFZg>rvYb@JqkO6HH)R`s9EsC7v1#sX zq(Xj#syq5tj_J{O!5zz7glbqFvUdAAHWg!exj5&8;@xxgeX&xOD)&Oz)UVSf*K=+9 zSYkvZzgttAn3llZ)dj=jfPT$gClVgA!h+q(k0LY;CU*~gdLGg4?$h|zc;kaR^$3m( z(pt}q)#wh-_h@B$HE^YkWCQz8f1}wYt^uYoqN=LUd7zVX(8#5R^qhmsxT!}p4xxWo z-MWiZf^Ug{1=rsDIcaZ%LRlRoHHHIB%c-a*|F;TWxr3y-{w|CCe^h*~0h)rArjw%4 zP}|nrzoAG2#5&bq%HuGd5M6DSVjaE*8fR{bq(c`9vMEb$En+WkU8gpi-@(LhzCA;@ zmfYvxEUAf3x}Ef@X=Qhzq9R;Nnkp?2G23@Natt?qPi#aDLHUbHwRWGt=l3BYF_5*e z6|4X)Fnb?$8M_C3=l!&>yN7EgN7r_i?D*HR z{Jo#0X-6z-o>!j69a#fFj}ItTDxXrvkoYz03yC>Cs#wvZEo53@bgR~$MqT##O(s!e zHv&g2Ip=&+F6N?oRCkpydM`VkOBsMW`ZU;8u(KO@?p1BNg5i8BNQhv&Zgi7?DZ*d4 zGj1jaeoXc=9+x*Ld$PuPRiN4-_LkhOjnt^W)P@wE#ak*( zF|$mMcQ_T6k5uM zt)69sBsZb>7ZQ0roPzKX)cI4@p!OO*Hrv#@s{1_;l7~aVQFjZ7Y?BidCqTSiS*3~3 z3a@@WPgDJ^ ztU(*UD|M3nm$0iwK#|{CMnbWRgXL%Vc0CgAs4o#G|=bCYNt4L>#&yVdnNDexb2eT#2dY6ZVSD> z0T0it=W$N0l!0?`Lc`$&IaZ-sj$$Grs$C6ht#=rh(@sM`KL3?&LP)FtyM&GfM zdA#t%SzjzEa!-Xg{>4?!#s%0rb?C^U(;|^{K22>VaHkGbm(}v4ZGk-VvG` zsZ8vo7$}h+GOf* zRdK6UZRxxfFA~NBh+KFyzH6yeI@wwzxIcG|agqEII)Lh-yMHDn>TY2Xu_z(%8mls$ zB&vCyM(nY4n^(5;8~>u&hK99X^5ax-*0nPGC)TYjs`E5E=3a9>{yb|M;#g*YVf8*9 zItSTWr@hBvZAvd_M?d8oBn?WdIwY@UEpZ;E%e>H;Lg)yy^G0`8>ky^2;J>92;sIQ? z4&LP>eME{dxJy7{z91X+eAX&f8@V7h`nJ|Bqk`fy;1hUDMM5sI-j@|Dyp>LFpJN?Q zRIFWq$#?S%r-FArn7LP5kgqq#WdnuyIRDkauB<a^ z*Zrn^8KAs>_SS8c|MM-)i#HLf7F*UYc!|||F;s*v(f5X{vq#wR@Aqv)RGD@-jBx3Mw@FxUDw?nQDqn?{kzZvc*y4Jtzp^($ zVUk?LK$Gn5`yWTi_nvc_vKgF&Dm1VVrleFDRmyT!Q8KL;XC7ApWT4nh>3&NSh3JKc zS)i06#f%!RoH){vm;`c=P&q9SOei7emSi*oda-!vwTZIPAsGRG3!rS{iyj2zS?$bR zXIDQMNo$nieL&t1<>SS`UsUJ{Qn`VQ3Jd30(@`xQ>3$d|+N*~KkYRRG(>)W8J=lFX z+kE^GZ*Uh=iNTOD>f9e>DYn(I?={9dqVtO`bSmlV-#?c(Mm_KSVCL6o>=d-0mawL( z7?j}k8(Ks#P2VL-zdw&(%1YcT-Sv7qQn&AEIM)}R^83W(osgAU-{qq@R^r4xAbn1; z2&YTNfBGk^d`TZNKtpO4AOA_qcP7b@lZs`L)AA?;0DFOJ`T5NHs?CiN-!ZpvSA_Lc z+$Ncrp`iB_h!L~d%lbK=@gc45*@YkD`o8PD1Nj^I*$oDR+ln`~nY`IAG@v(k>}8sb zABh`n1~#)7FsK}nICt9U{R)RXL)pVy9Qf-hcR$y(tS(hQ$U@J?3e%T5p5LLw)K53a zof@qYM|+wYm9oQhX%J9}%S?;SH@)p(>Q`HMuQnD1c9- zFMN|VXHao$&GoI3M@inZju_gsl&9F}&5D(JW` z@qQx0Ie)?QJXnwQYb+EHY^+ceY5D-_ubgED9yd}>GY%8TY2(nVU`jZ{2d!G%^^xlN z`@OdYD!}Ng=6RHKDp($QkopVvz2X*f--p-mn5&j5ZT#dn87ru5N?Bo5D&*(&JI-yo zt)o@R3yEeM3)6>3{n^^AJ%XZkfn>1?LcR9lsFxCUE=gs1DWA-l*oW8na%Aos6&gE( z%{cq1GBn*ZJ-V_dkW5GtnLEs%2cF%DUUIr6kw04v>i3Ho z{LP%W&3w`}t&warHdl_Qrk}+vsjJ2M)-N;a&E?!+@@S(`!Tne+$XOyX#6>C3>O;aE zbEGKqav@)Q0-vz9OECg|%2uZdvix9pFQ^fhpP6V&wTMZZns}1!^p-~mk1-r(!$wX| zKTr}A?_x-6I^(y0-QVxu2^7>NChm7{$ zA~LRbz|T^9hcvb}hzGWCDHZ^EMv`ypWEn-TYfMXj9PO+V$1hwAv`m(YFa&SUMfqE- zTd|svB@w?I<9NOGi@yJ8KI#`j2T+%rOP`E5roO#E7O!uxRb(y5Gcv%GBRc3Wz&2Mt z%grCoM5riSMI4&Nw&$v<$gomugE87<-ADonpR-tZ2BuZqbqmQ(Jo4+_RunJhPyiJG z{RMMYL*g((A{^UgtVHJ>ER?nA^CIPoC-au(_pQvtZ~5)(EcBGy;%WJ-#2%zg89T|+ zFV=n;(0e%P%IZFjuohj?GEDlKqID-;xiK~BX>+WFO*%D8sGs}@U$ls=?!x~=m<@9?CtQXwye;5%h;z&fQCo=Am!(VK~%+eKp#I}35 z--#$dwD4D_`e(aUx;467jUoNX0x$Q``PmzzWQDPTWed39U;s>JARfpejawANpYupF z8aqHu(S>oFb=+Yd=*cV0F<=e)lE!GgxUl^^;ipYsu?={%Zt~mKN z4z2QFX3V&hDvMCv$y&{zP|c|EaBVG&zd4FX3NnuXsr1(WVB70(LvSO2=8=f1)X;2L z?Y~Vt=3bc44Stips7~uT`9f@dL%(PD!tI%ClF0jDA0VSrQQhzzi%x7mn+ z(^=*1v{bc+bxyP``}RajJueB>ZC5ST%6#qorhuSqQ~M)B+eQt!cIj?9ApOoej-ISBMX z22g-VDDG@E2)w_`FuHej`Sf|w^B#O9|IIa&WLhSlc?K77eHpMo5>SpU+#A(P{+-_L zU@AW4>H*H?BimQCR|zy(+WOcqmfpV2_L#|xR~WEsmL-j)8Y#e!fNhrTufH3P=A%tH zjDy&`YB)uF$#nA?c(FU~OHv_w$K)Jc`m#QI_ip9=C;+r1> zHq*ih>hDSZ;Ho$_R(t$qxrn@pNwm(Hi3jY}Wzo_rSWpD-j69@Q5pvHYeSP@ZoP#x~ zztVxJQU1<;cG2f&lQ1!+hb}%0C4kji$~_`xHA8)rBf-JpBRt=e&KSS;GMN zM9H(u-$1^^et#bQfstd_&h2d5LewCQnaTYTsv`c4ES}9+3nw%F+jG|I*@2-*hqLrc z^1?@Ws^;fK5?A=E5T8IUe=OZopD3fuOLLGk119FaY+Na8Un|N;GV^1?+oyMyD|r#Y7l6Z_I8bR*TK$6U|cCe9MbK;#X<4q2E)7T^uq+_3QH_7Uzr za@=L}JX$?kI&*TM&E_|v_4oE`f*~H32`m)FK@a;nP@SA?ZAM=Ed{BK`Xm2E{Y z_nWkU@Ae5*%e9Q{XQjTszW1I`Rvx1@c(0<$rCs-hQVwiC0Sb+0hR~;4B@m+4obmtY z=%Em9UAKdj%@$sg~u%!lHah z5lqf1U(Yw&B+!?0ucMg*LB{a2Uu*`PzarO^SPnc2apW19R+C(t^-sgh9_D`c^kR@V z=lHhbRS6%;Q$ki6N_5^o!G|uk!Y!v)az2>NT;xhW8vYa&F{A8LZ z1X!Qx&feY|f*bdP{MA>r4RYgtHYWHczSAy5z;cPdUWROMT7p7*Km3or{$C+!9GAu~ zq$^>j$asd! zE$!fV>AagzVUy*wzek#!?R1)ve<~Gvg=>XGN^4>ezciyV;Is5yGSOfF8A7=Y#`^z+ za}Pwtf6?eia7x}i6p2<6#Sc)?fpxEGIaS-7ei7fVj1<~r>Yo9v<~bDVsZJEL@A+v8 zf~>DS%HcTO6bzptf>ZcS@Ln3diRA?yM1oxbCMzWm1j<~F8e&{Xw*;ZX_G*ExFVwfp zSuqB_&<}nS8!^`5NZxw;hVjJD^P(lb)sFl#a8{b2q~MXQrFE1sXzo zxPv`74k{jtSJJBu1*uMAb@pVi!2FSV%oQ$~83T^+vK52H!cF6iIya@x;`heyVBN1J>PM*o3{74!nl5pU;ROWQCspZgkKq#y&deJPQ zt1WX^X_A@1TF4^sW1-U0mm&?M&VUqQXpQr%r?EovBZma(WWrCvuKs)usukWJOAvGt#$R6iiBb593EVR|JfHXS}#&!W-%dy+bSHQ z?BVoKTq?zh*!S3AL(XQhT}{HRvGS7(LurW^bu*4zEhTBYf|7DNM_w|huNokG3%wE@%H zey3pDz=YCOB^uuz;%8XMDL65OKgXFbz+EyUb%o5wt+9UuH9OB3o}ro${U!Y50upWk zTljLleu)l`+X&IW5@aM^BH}=r{56>`IeFxBzuEs!Ofn?mBYRA}mgb z4GQ-}GL&gXOUdKgBrgQ9fK|^R3eJ(+DDpI8AIN#~zN3w-pEvg*t!S3Ksk!)GJMt2n zInIX73IT}uS}aMnyPPhtanLW!o4B(tRQSBBylB^Lu*>g`)BEz6z6mAkmm9|lQ`|qj z_12~0`E^m-x*^ogKl!S(B+?N%DZfh}8t`4T)Ujmo&5JU;!WpXry> z*0;1*3n+}KQv|ViXkT}w>!-?0k=xYkDXch;V(is7C5AgpAf|_9>CRo%mk25CTPp4s z&qSoBb0U#R<^5SPGokNY-nL>6Tw7a2Pw%nB1iQJYa}|JDuNmR*S1tEs`ZtI84>v5BuY3)V zhWFs#;n@GAmd`|*ycERi41%g(K*<>Q@s_$u`O2hi3qZOPReDIkYX%r<#$~d|_&~H$ z=~*fEf0m2sKQOCn=>H+C!|u|sIgCxS(;XN(6i*ZTni6y_D@n<$*AgV1$iVOqfpqif zGn}KNBdurS}< zzX0|BS~rP+b;!ubVzUF$jwfeYrl^?hC3sA_h@GcAtQY(bQdF;C5)fbJ1+zvZvxV0S z67c${v@ZWWv0YTO;(Z*({_lJc;NN*=wnu~6Icld1M@!A||NN;vJv~!(jwTs=Ug}z6 zFs_3k9o`lv{20Bqz%5$bOFT$`#&JaPdKI4lX(GO93-22LapXEp1?4AN0wvcAFr)fA zQ)_NXF?%*6VxaUgZoDs}}76+$)EKf|J; z!~^+U9B+3%yp~ewWDl&J`bWRX_q4Ev`pFO+TZ0M@d+8lRcQo#HBY1kE)XTV-+ z)(|<&HDTKsCvupqyAS)=jc~a2^cU8J6*L#!VD>XU1fBnu@fe|=wH-U2B~nq?lK^>iq^vOp##!!aLDxDE%=AYi^{;M? zrLQuG;5o>_o0E_M@C_m>Z7JN-BDK*b(APmD>DYtN@nR6|=;n}X?_3Za!OxP6J{YM_a^D{(pq&>2davcRZjrG~Lzd17Hn!fE`TPi7>=2H4 z@L5ZsIr1Qwd?K-h z?0amNPc7K8v$FcZx6qr;R3lB<7wvZ38*z+XS&s7v%== z?OjA7LvE(?eOTJ=)+uZ>*+_15)mY)ndSm^_L^3Y*N5VP5R;x(3XK#)nzBV#kkLCfe zhI8H`jl8e5+=geM7zXGkRu& z&af|MH+Lhm^)GKjEA)EzWv*&$D}^b58Pqx-V6xp(Rqj1f_YMOek3hD4_afOwGh;3+ zId^&_6O;Ei2DYyKTfs2ni#tlT>qxfyhit#|T1rkLraEm@UOviU;T6j|3PFn$qStdnf(^%k!C3Iay~UP7 z)5-k%N_?U4R4?S+_B)wwzuC?uPeB&G*z6EcOXA3%?x%5bYlveyB#f|u%;^bVMw^Ze z!MMLQ6P#y;!##cy4oxLxj&2Tvp%R7}Fei)Obp$(}O!|PKTRsUbwI5F#bfq?!cfqgS z1+XV(EnXk#z&##lYatW?-092Wi$fFha0kF?_-IfEML8wI?eE&xVJlIWe*P8JbT4D> zobCFN7RIJ-4}FK5%`Z1dPC+uXpQe025TsM$W0HP5#m6Gp~{ zn%#bTgU9YNR5f%_QXzsn&sNB{^iXCp+fBs#W|f7vwo3vn|oIo^{SrETaj{eFH~ppDB8NPiqRA&@beuW8jxM8{nl*MT z6HgzueTy+;E*_N1cC0NH^YHl=SOV%?Tv2*+mxT>`QL)Ef5UOu;g!7?XQyp4_yy52a zb}rzBunXEJ=T4xb$)NDP*9{s;C)Te}C95X9OVRqm^=ZGaQmPKM%mU+x>%s`a_Dz8S z<-+~H@tQHKp3!Af8}MkL^%hU7+_Dz8Wysv^*_eUp9WcXTX#h$4S#0PuQ4=1m|A-vl zZggX7Zkm*I^wc%!Y*e*fhqw5Bu>?QzSrB5z{*O6GMrKecE5@k(wN1%zjXHXbT zRPD5k2a43dtQB?hG_h;8B^X4Q`MdF}lB&hp+jTD&(RLu=>1D|)zDRn?ZMa&o+vIrn zmQ3Qi!^o*WG|N<}=!&0Us+rt2Pa6~Q;H_~U&*xj~0#Uq*Mo9OsLfSu~5KI?aV!UxZ_-yP&M`7i_HL4Pz#O58u4gmqWe(Es*l~M3yCI6OR zPRI{>SHT4tNN(TC{Om#Gc)pok4R+u~s)xdfY2($6Fff~p&q?rN>b!05@WD9obKL1=fgVjST;Do)an2o&=YVc_%Qs1Mc~p4XtO@y zZmme8TE_=Z_c~?vme$-v%NwPDUJrYNtL~;;zS<5baSv%bfw{JEHWL|~_6ma z6S56#d)r#|W8j^Qknj6$HoiT4Q;(-cp}y7ei2UY7zCoy$%MZ!N@Ahd08R4()h{$8S zB;Pya3AKB889cvGUoL^18kQhW`@lb&= zI@;Esr@ylA0DX@h@l&P7Cu(%@-@z;kkG8-z`TUA*rN}o z-wRser>VBy=k$hn0%X?+DWs$7;>fc57jQ?bp__S#HOs-PL9J4YM*WxjORl$X|GXgK z|DQ0XH!1@>6orVyW4QR>yb;1Rzw{ai)20nkDuhk4Ab%)To$EA zZ_D|P?3-i8x@oGF7)MXl%lJcD6$X04T4U6*T|^tZ_ zS38V;haYw67zl=FP#pcuDWG~mVdphU{?h+vp!v1CP&|iJ$ z@UkUBf^~{U)*dscemLuHjT+)|5f$rqPrMrYKt3bHvX*(>n` z)2#)IPvNRU*hsG-@nQ)MlXPLV%UX{%Re{et^g?#zT1Le)g98!7b_j-S9qzX}9{a;z zTxbjM)nal8okn-;-jQ?W2^$pg3tA{vNwWn#R`k_;*=4hP!Tl^$KX4k!>N{qjST57`j z5;J%BbgN16$=1716uh=~g&TIgyC{P~r6`#pFXpV#=CtiUK3B$(ZD(ZQC6)J9=kpV? zP(BPf6;yJ5TVzwCbj$iiqEk;YYBt`}!q5O(XM3>kSxbc(jz91=@iQ=dP?_SEJ=#DL z6iy3z(3v3bJB#QkXj2@bdu4Zhsy$a&uf2TUd5g`s?+bn5-f;(@);PAk{)Wv4<6&xq z$s9a&qAk67n=hRGhm7uFk1tMvdbRC;3ow6NC>V)vb4y$Tz~VU|c6Z$=E#5552vVQe zm(UMgnfm9t*TLOAr6fope>^&_EV*Ik!iDhFb=_Nxy?`pJM2Y(GcyrxcPV0|V{9FM%-3=rG9Vv2y=j&~_qYV22hbJHoL;5r4r%jME9I-%NVpbLPpl zVGa6bo*ey~PnD6bp+4z)I_)WZBN;0mhm?r%!zOwX6u+I)Bl4ML@rLKPOfzXQSO5`Q zhZ6bq3GAp7lVcUmdGZb1HZV1^8Pz=PZjo~y6k9CIbFdd7eMXc8OO7?IkS%WJ$4>iMhPa~RIXI`?OzSYY_oLAm8 z*HL|j#0@X8jo&x(d^7j6U`oV3ZhUbtcr_g~?Z)RP=^N{u#QwQ0QU#}9d>=(nPm;#J z`*YGMsKV#XvmG4rn`7p3`#itI*iU+qgrdA1cBTprf=n1&el@MGq_X~2sj$wxF8?#G zPui^^CXO!=-*Ta}nez)o!kCxgc}-iFQeQ5=al?vPt$0}{t>!i#hk&kQ6@y-H^~K5C zlUZNH^UBW+Ya-IHtzMi+QB9~LF<(A!QHCeW+uWwgS)1f326t3?@V)OWL2?1>Nx7l%x_gx? zpI$EXTdhx}Ol)5+dysw-w}Qv|WoCi2YSKoApP-SK`0|&^k8G29M=gtGU(t|R%C=A&I~iH$|kOJs30F89aYf4l{= zlrGbk_4bNP3-mecKYM3EV(RwF@2?bF4^@Y0jz3WMZ!A+sTZ5Q{8WZMz?l@9`qRn}) z0_W5x?5$!I7ihCc(y{6b;)Y|~+B7%gl;3**w0&7J<=d(!s|77bih}x9%Q<0Lp4#x9 z^Kp-`Glq2~EYGt*nVBTO<2RRm>jG8mW8%`5_4u~NGi!V4_i2goC)a78pS{?>Mkt^y ze$LL`nbe{K`OXCJTgsrmYKY3FAfyqj{U4L@zU*mcbmr{o;}m|3xs0YP%Y_Q5aHw?+ z7jNA0oIZOGx=1v#t*Vgh4wJOuQSx8+DInNDCrr?~Nnx}&;LD!b*3Pmoi6YBDHt-#? zvic8-kBX}W4#birV_}!1v1FM8Jv0Sx=lVLH`GJ)B*`A78BG*5~0S3Pc2K#P`ZpG8> zqT`MRFJv)doK6poCeD`cPh&U1qi(%yO)5^#FUF(+YbCqomdLCvgi`UoR2C6Hm-Lb< zd_wE{7p5ibl2`4+&UP@y3Em>2?klkeigfA&n>_lbi9jJW1nW3$v`wjTJ z&CU0Y%W?#DRMUsag!g40O8e@Xf_rRfqi8k%N6tIV@DTMDRR z5Og(3k=tu%2AIYFbW3|n8vZMv&VM2sMSMNrV|!jbnc9?B#JAo5NtmDFrDJ+RX)B1h zhURTP`@(8)BdD6cIn%C~!3kMrqtnllk}UbiX=R5UbEAh<+08w!^MoHhCWY^oMvMzJ}sCc{4SaVXbQdd|7^9e_anUo+RM3n z|21bH7WtYt6*f~-FSTKOX5oKOZYJM^{q4*Gn+&@Z@&rvQPPXk z&Wkk}tU&ngRf|P8lj+M&K91E+`*%S}KV{8Dv9ibHo^hF$UU{UYPPsMj`_;+RD}fux zeX$fLxN#a8f5K<6zzgXjLNY-l6M$d4+L!SV5V3uWGlVSAgkM%R#MrD<>iZ$cPA5Rl z|9Hc3&vu1m{yKC!eJ2LgeSu9sXE*)rbsFxZg6w;PFe4q-izPc2dcoV)sl<%`&^UHl6ZPrxYjLacLX)uxW%Z+drH5t)|z zU!47?ng0i8|6qANi4v%^!ObBGT9b8+O!T&C+jv1fU1aW>Yb-IF;mREhpFee!tGhU- zzw24Ou}!G9iw4VsMu6U2TbChzj@0CTfULXIVl>XAFfQ#M?uzSkLX>EsNw7%bOLE&O z07zBAS3GwjmU}(DN6$29Gf6J|T?xx>iV#ihTSz*TpwV6sPsdI|1_(b8~==R+)ORfokQCub?8{B3q)Pqo8UKE72FB*JFn}eCW zxXzF0K0_PvB}`68gtc^SdZ%2U7Q%UQ8AKG!eT~I z8{GS+KjrdDUSMMNok7$E%A`59k=LC2owYNQRlV4WfW@OaPXgw0)I%0a16lQB^$HXb zowU{HtT<^X`HVlyB%3`!pZ%$2D9ZbD=J9DNyQgeD?RqXL{Yv;jzYD-dF#8%g8~Vo7 zpd5AOGm)Xf`7~-LsZc0KXkl*pIWkJj#s;ksUC29(l!ND?l;mc?$6f0xNJ$0(eeNOu zO`HH%Q||V!jbg@*RR@pv3p5HS6kqlvPGduI)NA&B12*=N!f>qqu^n*xMp0nRr24W` zy^iZolVyc%7-=)^CUdQ{-mgh0s4!yuo;_&^?eKthEfv*pzA(MeazFaS;O(u6yx&&! zUrlr}{a)$v{?|;Qvy72$hoHds7`V8(jOKW#(swYTzzCAQdpAkL;|JEb%=}C~0~OA4 zqF}L(P$Q?wyQiIERpN2m3tpuK4g^p)ItX>}LH94|-mUp2IXFVpS?FECu zlOG5;C8o=K>VKdr*9UTB?1jkw`2PpwnJIy7VbL-RGvnftx{=RXS8y$Q!W|#b?AglU&!GN_5S~2>QK;5v!`4*us(Xf7AaUx&R^Q)G zN_Li8TJ2_+-8)R2w92)7NTcbeend1NDwciDew{p-%pX@lMKTfDMr}cPG<(&rE4l$K z{)K*(qd@;|+Atv`^jcs-_?+w-E9Us>XIZf4e1^ETPZX)isdu%>_?^T9+`WIfG(76#Uiv8_)$DgAt@b)M4aC4NoMIB9sn z>yajtfzu+#muk%_hS(D>TR8n6Em*YrLuNpkWv7Br_uG90(vXrn{nRY_k6mMc z20lZ`Q9&y`%!g`DhCN>Tofm?P)h}{+mKTK|Vl6WY)CF2V{LlXj7P@)_Svi+^?wuTc z@b1=x$uI*$9Kx1YU)Tv#8b#%JdvsuvuaP;8!5E!Vx4bn%o)9v{4Rbwsd1s$M?+U)K z^NtejSj_QcbDozdC4?xU1tX2CGzk3M!JBo)E3uOU!Xzl=k-~0f|9%}Hp{Erd_Z(t) zk&4Kh2nq$jh{7KgirAuhyA2SwGhgfrP9YZxt(XPgqVMn%?AP(1H@va!QON>gI|%}_ zlOK1wVhG(!m6`iC)&hnBQG?v2@Fe?+L<)6QdZc_xOpyY0xUGpt*~9k4ugm*am9j4@ z>M|SnR3|I&P^hmIXUQ@K=@ZHTjaX;jV%~u9PX2HT-vf^gB%U}OYfLLG3I!3RiKgU_ zv6ub-%Sdr+@^2$W(UK$1DGy#AU&60EoM4?+yq%&-A#s?zoKmYXZref!AVgj}>b;xI zvuc9KfLD_>;hA2r_Xp%~rYgC3762n@UB2O3JsY^KFa=+_Kpn0~pV)mDt zW&=aZcj?I1g*9>fPSYOVUTpedxq5sYy!Nn+$enDmpXvyr%r8z~ss$r>bL^oy+fopb zsS)L>Y$~vtPW%3_h|jAq+KmO}$+V}rm+Kj{-EAvI5j>y{D5L~|XcW3Z>CcRo8h>nb zKhxtkdF?6V{ZWprGdk`xXB9wANV(XMsJdrO%tN?rE>sLvS`KeXzjV_U)bMl{4W$P} ziNI7e&Ks;#An)V~X+3NoB&H zLk4nUS(MUR8iDFa zy?+VcPq)k)jtM|nZvrG#;KtKDcejNMGU_5yB}gy(w^QgXR_1zdC!{Z#(CLfo{{oPr z)u~1r86TsE`ia{+3?eg$g{8R4gR0^=Seqmm+U^dG1Y~^%mGZSz68!4WTmOJ~z0n79 zeqI%Vht$nee|GS$t$~>~j<~R_D~W%1b}No)Uy`oi&C^egj=8(P+J8xevpNRr5!Bns zl@Bz5q#X~>*rQPug*nkFod+?ODEl@Z(6V5L%uq(z;hk=)7b z^lHm)O_YlT0^G=^9{mbyB1#Nr2nP2!VPNB`Ywr=$PnPsCLviTm4Is*Vm~^#iCaN(H zlNWueVU1eM%5fGfX*oeiECB-PT(wkcIJ6)hoH~K5(^x3+PB%{gP12xi=45)!z9|i+ z3^!bC zovHlrWcz$czACj(WgBZ)x2DXj9H^Z!tBNJ&jYl8Ooe|BTkoTR6MfryjnJmolSXMao zk6F0>ZyN% zv0)?Q(`AOzttBDaRB0h0bEPzrfm(G;S*;#_=m*<8wkcwt*nK$`d<@XtUcAw>$C@)v zSQ69zFiqtq_QB2AcIp z9CHeI{;uLh!V0@<1(C;|8PHOi87J)4LUE@yb$#X@)FxkuG*o&7G8e3qtA^@^__3x4y7ol{B#bwXB+=li?qgX%z0hBd3 zlu}s;5$NqSncncClqwld+{`36s5*4Mj^|@THYgtJo2kUH+~j{OTzD*empWEWkk-b5 zB+RrCCTKpn-Pa+f2|aX2IaVgTNRrbJVN+?OupRCSV?d_fs*K0Hln7u+w(pgOXo+ne zN8q8J0ww2>XhC{-!Mf}Yars}FDgt#c2m6C5I4OcDsR$+3Wgqy<*{KABcDGott9Fuz zvZw@%%+b0R0DgTjbAIJ&-@ZZIe$bI%CRLnUH62XE|HK&6Hak-ZnUSI1=UQe1cubg- zOl%UJpEQR>cf8*mFT$Xy@aQZTYWm?IdhS|o=yM;PjBmJ877y$j3G}2)G8rXuBnfQ~ zWM(1;%8!>6Z=FZ8XH8ftU@K^DfIlDo&Y8H)JFW~bZ4?Wp-S7Ja zMwBteupMZFoQCNm6>2@&SJV5};3rNXXVS(Z|HX(t;G|&^PfnIAG+dEn58^?FAUxno4vZtUY zm3GYx+YC+US^iR6&3{8Gb!A3{SvQEEjO9vFM)5XW;%>0Huljc5H(3BSed+^V&JDt8xq=OSLU^qq8Ct2 z=b?e&(1%h(TRdQ=cU99Zd6tGMl@;EPp9YFCA{I%+av?h$## zAXxDVvI}hEZa^Y_Y79Ox;(HYI`@?tbbG(;21L~)Q*>2n>1VtadpMWTO3-6yTE{aW6 zc{be);^!;pKjVxgm)rXmlBDH!u%sBU#w}_L`L9|Bl+L2i@o)%}d2!C8K7yxsk-R18 zCId}pn6s64M!s~M@z_e>lFNizKUmtbEdqVH_PYZgRxD>E>&R&NBnmKvSHdnrcf4M= z*+>Nn)a&@nasyQZYit!l%Uk|9L`lPO*pl!wb+$-K7?Vwnbh1jl(=Bm70Q7Ys&Q@GW zu2!kE!VGkf*P<->< zZBey@F4Qo)mG5@Mt9%m6&el}w!>{LWFLoE7v`X6iHx^#}D_2luJa)+V@^Kc~J%}3c zv;sT!GwYZj^!yHIPBuvZP}BgWVr_YKDXwg6aLsxVc&?Es&XB;Jh3#DVbE0+h#4_Xp zR2&L>$M1VlFINdMLn*cndj_ZO34#lusDmiZs4+M?c8#{Lg|}nJ+i92Dez$TkrA7aA zZwggiz3&Pu?_vk@{15jg!}rkg);8=s_XfcobX|Qh7$hj|&|%7CG5WhmMs**jAkMt+ zBciq_iR*`XIMr+OWw(VqY8`hmO|o;8vo(Cj?T47H+yrU$#qbn%q@ZWofd;*FF;v8P zpHLI!lCAnr*EzV;a0D|Ena=>l6UWI=L%O5ekor_ynSb+#@;##({@Wjlwx<%ikA0w0 zIukX#=^^~fIrwfWLOjc3c`x#YnD~Zr9J5n9xhb)3*}lhO?PW|PfzKG!a?|IQ88>Zy zIrpj%{vd$?x-O@|+Ba`M?nj)>8Tqx%Vy5l8tI!lX)_P=#nC@zyISA4YrCyiwRX>s| z35T+%et0WHb{oZ@dn&^tvg_+K%amwd8*ZePqxDu2?9fbR$n)O9dYmnXDX3)N*(C8& z=GVBU_HD3y>if@wHqW1XjzwBxDt0TVehOYl;K><70$c&yzTon*UsWM#?~ zjQ{Zui;#m`Y-Rg_YivQjs@{km+*eR|XBp~zTXR=In+woSD|kmfL8in}=o*qpxDxfE z?r0r4m9I8NLA_dm*A-}{oY{Ga;%m|@!AkQeK>noYk*mkQ*|pX}rRpcL$MW!LQ@-j~ zO*g)iKuXXN%bWDQp~Ac5Fn~9Gcu7L3MfwV}uehdi_H!icdS_Oqcaor%k2S^JI!$09 zU8@3uJF+o^1LrvX17_Rv`%mrf2)}RkE7htkX_pfRfqpWXMST1?%b3l|?pj&T(EN{H z6xN~|81R z=F#s(m$Y)HOI`)7KR{sLe1dNp&2Y7$Q11cOU@*P*7)DWKQ;h2NZi zoD2aXrN`F3UtC%M6+F>GsP);KWGcmbYL;@<3Ci8wOdny%PeSOuGd6})3^j-1tveZo zeaDy5BAm_q^InX}ONzWOPf1u`2`l&&<{D1Qcv$?Xe)Hx1+PLiqk zbfVWJvy4pn0X`J~=HHiEV4El#_v;HPgw&Wq*|Goy+d}Cy7hDIW_9lHX{m9Zh-Fl z)udnnIk!olym7vDDRsV*xhv3$cqZxufcz#tRvNE(#C6A-)*H+9bK>>k@t4ioAvfR) zjkVd}s_mCG$z!nq)wntXf+rQ3Q051OQe1TVJ)UwZuPB*c&zyiP&pWOAwKFHU%*|e$ z@;IfrGsHP>#@nu!KcdJ~b4C=~heEuqecH3-yn@|~H8_TfS8Q};r3rd#Xmm5+Tw6vBCvRpRXhtcQ+VKj! zUu8r>7>2lL-abO3IwCoE(mQl6$*79J`uE4jQ`jI+P-6f+Yy%>$RrBlm@S^ZN12j|* z`8{X-rT3^~_;Sw@Ow`m1H~Y$Qaunx-hbG_~0VYO6X~QwUh^@AUmFgR#w-pkv+yn@+ zhk|LIGwooXJ1=>?<23tKc3<9n6{{n_U7~S!tGNSKf}^fT4Y{ayAI6;pUr6hpsYN5! zM})kVeqfE}wIQ(5DhC(@_UK9~;S4N=VApylhtbLuv9z?Xq4ORr*0C$msmDT$El;(% z=sr1GiwL#EC6o)mP(Mc;Hq=>Z#|VeH zG)bF@m(L5OR@;KpV8{Z5SFn{V8euxjNe<u4HSV z9Zywza4gRjf9vxh-%cw*d&Mz<+aWKb!M)3pzDFMFyk?zASIW1~tAN8|iX&QkFK~bK zNpW07F&uG^NPA#VA)v7aK7aGj4jko9O%wA7Qm9nodOM#a2(Cs+Wi|gR^H?R{Bzui_ zhCD~@fPYqsK&J8i0sM$j=N^=1ammXq>T)($aJ^YAjmwfU?nE==IE=N9t*PMiqJ|B4 zlZnn7m7H?0ms@iI(YaCFy_sFp64a2V zvgcfOSK42-PUf@_Tk%1^k|;v^$Vgn3_+!coz+Qf~smXP6!gj`ZzmM<9 zR*XB=vn^;R%is7P2yO0tBob-%C7;=VJ5$uSFULH*!hU{hqfA!%zFFTFp@ZF}HdaEb zWTWsknhdX4xgJRy5)L4q>{C_Af?9N7E?vWJa`RJC9(#p-77>32F_VEQcA>Z5b64y- z@;yagF^?BrlCFKd-4rD`-@Xs=(^X~aQbV3`S1;wdww^}MaUBkKW*?18mFqGiw^F5G zaH98O^r6VmB zwU9u)K7Vj6#AjjXqUOQA7ZWa;7{&o>&$loXE ziM+A60DbS#;%LtXNe~l;BX);s$)c|dn@?9W<=;!ayN0mVQe9cGZZ%ZN>b69LrD5cA z61X^`arZCJKEmv#L@}c|AlX5U2DFmF#BUxWMFlLC5v=1nZ@1PE_FakDSdd53`>Qc& zxfohA{&b>e5LiR4H`mEXk*I_o5Lf9yQ*P(cu7n1sN$7v+mq!q2@982z6xXaE&bh{g zLds*!2D%k*?Ck9Ioq%X{Y+j%qeJcoce~|Y92dJIPaK<`-7OT7$L>SRL)gB`z4Iuu} zK%Pn_N!66&HIr(q1!-)fc=9_Rd@?F40mq!VMx#Lk&A?Ut{ZR7Vqq-O}p_5n!H$rPj zRThg=C~XmE2plamH5c8{w5bL)jYAqMbKdg}4$FHllf9rCBNI(YqY$~D!8Ecw&UU-Q zn$t?>124vHRAmIDM@>c~wZO&L8QvJkB0TjDPp%Ue+?_0T8t2TyD({moC4h$- zD6_N<5-Dfe9G^U9BNe_LrwvgR`iucTG8|6Zsc_-u(rL4C6Lgnxf^s^*&HK4u8*f;xxEE-*5pTJBvFwlx&i$zZFH%dsRQoD>9dFj?SMq1PQ5 zH#|43RVnP5jkHYr;eVrhwj1qTB=E8#CY+iEB8vJ?kDFlR8QfxB~b}tVfM%vIlTK z#-kiM$FQ;VZ!>z**3P%&(oRJNo`}g0O%vCV#VnItErt(6SxWN!1fMHwO&^FWz>A8;WB3<6(J~c{e^30hCKE4n9q4<^ zQ^z>R%#oa)%^JV5yB*x!8D77oQ3tsqL=!sLE1wK(JCRVmuQBT`dY|IHS6`EnU!^Uk zM8BA}U&fjhA1BBayz`-@B-RvZO(Py{Fb+uti%4N%BzWHc?AW zIt_7)E^8R%R6-T=HTej{$^D2=Fuu6Ls-sHveE{*=@>PKi^r*U}H!yoSz3WU|WQDzr zz6n!jKOxM#II6Y!Qk7c`T3&^PKhM{=z8TDeTEcvWw^?!PqnvimdI%=8Lv&@v)h~Sn zb0QrH3PC691vCxL&PtW)Ju%4Y-+8%!0mUry_J@TW&@ybTH!5+g-w8ouFf*o;obF42 zY2~SQP^KaQwX=udZO5ycLlfgjhUkwM4;+XL{RA&5Syhd$!md6?k(`Ovj=@>?$fYb7 ze2@NQp^YIDZg4kT+NW^h|o~~P4z5~}B zrpQI)Lj7?0vdDAidc^GN%?2M?a96RIhPz^}V1I*sr2CoRL-gX$K#(epAdO6Fs>bdp za%s9f++KhO;mdw*WQbAF$_Qz|Z9GP+bH`Dj;6Cr~^qsT3 zVpjPMf=o2lg3+`F3+OCO-=(xTxhrNC$p|GzTm+2GgA4wy7ES752w(khj@PkD2)R14Eh0m^292{CE z-KESSDluhVSJCBLjvmi)0IB$=*K={(AY;yGD!kA$w$??CpX6;5u@0TI{cI!8`X;46 zJvb3SoiU2dm~HyhbB4@Xm3Ld0OKy%9)v2>Ws*EMytrdjLz8MPKIWtIA^0k}NVOQ-= zVoHh_Jjb~3KY*7A)UCrf>)U72^IFzNt2+ts&Z|&HGRa+uaI*G`U&ujSPT4@eK@$l} zL(x1?1ccm@{<)Sc;=VjTLQYOe8z=dmVvWhCIH5u|o*cedrGY%}9991?x0(s(VMZ}EmPia@6zx(XbM7BcNGSV} z@rB4dF1bQDN8E}a;3Pi{l|d~e_o+ZllbsiOcl7rafzC-XObiYjHhPCQb>ohAmYNG`z`d(f10+gLcPFwFQ3a~nrdjcP63e8^mz60~Iru_-_Bt~p zN?4rl&AH!AU1A=J2XzPq)amkhLjTAwT=sP$j9U9?y2!?2I6pizcKh#Ah|y{Meg09$ zUg#3(gy%*QJZ{!r?gG@dz>l9@o=89o|4RdcA)Jza|NQpfH+$ugUr_$>>p$;_qvAHR z|DmkEZ`vh%dH;Xv5)hL2r)mH7xiBtSm%x81_v8E9lz}P(7x!`wm`rgjMRNK^Mn)e~ z%hgSh!MAUJL8Fkf=6~ozsjI8YloeZAS{jb(?=m`MP1klaE36HuoluB`cZ=t+ok1%W zu4o>@78f?(HoSL7GsB5R!m$+sLRwuw>r6(QgoWcN*5XKlFU3)~{G-=^3Dd=Ri*PK@Auc)z}EwOB-Ei*sZ>fDHmhrFozQnk8r8DRtaKg{Q7%k{T-ob= zh~Vh;e$`S!Z&3?N*dkG5wZChMgd`v!Ac;;ho`|ym|IvU*OkS>Zn&?ui_^TZGz4#x! zsXFM(`!;%HGK#;2lTm!I#{F=}sdht6t(?x9uE2zc5RK%GfOO2(?fvhXx~;pdt{~Oz z_pS-ZcUE5QM+WB}*$bWfVM-T{hVxU2^Fz*0B6UBWJGO^*C|cQvrQ6GNcclD<@}{Z@C;wJgtNyfCc*nMP0r@ zfs9(TDS<3Hkbl}xut5?{z>@k6H%%4S&KVm1`q?IfN7y8#ej{KrA$wvhh_2Vyyeyh@#oyix z{7dNwHb$V}Tm{2Ey$5pjQF%+?b_BL8n>Qp2kDJlGD40n3pB>e^O%3fuv4eMnI(XbM z?L=_WK}@vayeq|x$NT$9%eRWLv;LoaCUxr7nSDFYGi~>bg=K5w#}!nz*pq==$)Djt zk)MuLGc=FBE!3>suYNnd9?l>-L$7upQnhaI<2KL<;6D*}394P{0*{Hkn4% z8k6x@&Mq=mQhhajNV6iXS%VZK{vV**G4=-PZiyCzVL1R^`ya} z`hgEZrmkL#sjwiW{K{E>ggshEj+U?1c#hj|m4lOl*0psGQbD6S0~KD7Tn+;(RsS{X zebf-;1-7X@p@B`KX48aOQWHR|Q`4%M1laX;E8 zzLgZc!K+6s`|qb`ENRq{^>; zflS*J&P;fWNXlt5{wM%_RkbF44}`mU)@k;5%$q-EGYKbf@Udo!{YizeiWEEJjpJNl1(tSbF9@n>pkhMdCMOB^tPP% z8H}sW_)~?AMxoG^%F?32XwZ+u^HL5%+eH0-zRr3n3L5feqdJH~%xS}JBnenIf1~9| zHCoN^@`o(>snv5Vdj*v6BXZ!IXY9)Bujp!Tx9*Ql_bvj4nb=VXGCM#%=m|#mUnUV&KE8 zf!KxI>rbb=LVLD*J(WsqksU#*ADBg5Kr^30%jKcz@jh{zSlS?G75{^`GhEfABK^#W zjqxwV(qi?ZXiWUoD1eE>cFQ?lwVlqJk!H*$Z#0s0^l9ZEkrIlz&|XD0M$cigs6%?+ zr!l!~6(!+Thu)TM#c}ozN(U8+dDXKs4^DeRs7C}n^Se$uT}5p?!px5F;mWe#I7Z7# zw)&!`r0uVINqsDyI7UfpTi!y~ar+)K7=~GmtLTgbyOA3brp4ax7H5G_-!!u*(*hNyd4(p51A+G_w&Ucq6X+a)SGPy z5Rp-LvYiu$Qn{g_BLyam>RX$Ea`!f6fP>~DeNgxl7KXE>-cC@P*5wl`T)^@*vIo1h z-u2cBFAsn0K0J`+eUtCyvzM#;HEs*QB~SjDY!zX4)5{v0?!-}VIf}-$-6wb<4<}=l z)A#NcShda<5o7p^N7G~Bm-ER~+2ToUuz-7jn0M`C74dElRv9=%Cw6cbiNq%It#08h54p^ewVj-sj6W zL%tf_pUnb6o|i+Q*Aw&n`UtOcrq~M5Dy3=*vr*@1`~C`F6|vG#FrC{`%`VvH84O~( zp_-!Jyuo<&s;%iGSg)O+s}~4)fPMTIVC~pZ#|_0zh042e5$VOlBMc=OS^wF_i`j<{ zC2!bo`z&~-*>M^KvW&s7#)zr{%t$8DX@>6Gb=z|%j6QoqBAT#3t9=w=nrzd z6m#=f4P|MUR7k4M`{AkWSImYcMJAFn0;=TUxmGs>+L~>g8h5J!T4xgT#!^Hq7i%MZ zjrs2g?zavDbVfOEVWwA@Tz8mc@z%!>4is_M_@gw`oj})oqup-w`lw%hvhLQ@3*na{ zMFtXaow){LYcV(*+8GLHQCs=AGB0Twn2SM@ekWsk_ih+b*}M~}ukpneX3~SO0CG9| z-ji_601h#+M&k=~m(MfH%zD>U^cl8BufXzC9=CwKwK9p9W6XFScz~`NVppB7R;IvD zb(eBeHZPh1dhPDpEATtI<1i`v`uwa2%J4nnGptHEnT;w4jkD z*MkQ7GxULhxx2x ztkcKd0j>i0Wby*5(%HjgRmD^I!OiKMp2wU#0H-{af_`bfqem2(2sYO&zF^Il)7@!h z%)pSCb8dU=gU%=la#T}+2$Lo62ZJ|g^Ho(jz=#lAVV~yY@bYX7{TuB%u7E9sKp;4K zL*D~qt}j*rbs}l#XTJ*kUiA$THbLyHGxdQXBly&2H)yalrCi$zY0`I2UcY-Jb}oL= zkBCXPL?~wJoPk>=C8QErK@s>fDWfHNtL&9qrf||3`1V7o!HGpod5UJ!WV1ynG1EY> z9bghEk#|NGcLqsw5TlJLyglihZaDR*{jA~Que5vx^Gkk@h>2`taP5qV2d8`JsN{GR zmZF(LahNr9i2h=#IYS74Y6`JyOA3}GoyUfe@FyaQiIfWv@0yEp3!#~e(?*aya?SFc zo?x;8u+1ZQ#JA@~eCP50Aj)oBOjU4DE1bT(OyX77XfkB?@lF5clwawIM!<*cYOh%u zi}ueXlKsou)sN*;lNoSCXpSa55KJtiLVcSde6S4^9BhH*BzPc)&Y98NyupiltvBxfIwpx!0YBxl%jmqs{b=ymCh+RS!iDs$-QGOH(%^P$uz zAU1BWXU(h&k3Wd6a@c`Er`Mb~_aAwDezkv!blNW8%G`i*3p~IPx7N=ol?>>iL@SQ- zJ-AK|3OF>7jYV#DYw=-g$$n8wkxgW#F;15;dR}tCU2XF%kV>j?&SsH%cbgbq-?2rw z?<6Vo1|~~fkH=T&!&1LRVd3~l-EY*#0GKz) z!CDX3)|umTcrHq0CV4CXAJ8D3Jt>TDy36DR1CCatYT_pC-!1Z%Wzk z_eSm)lc1ZAJz&Y+#_n8Vho8-v!NFdLC_E~$N#93vQq#yl)RV0hZ`GZ*1f8sJSR`JS ze*rh2ZZdF=^HkYVC8v^1XE$~O)usCD1oc*$fkDG5echO4^J{Z-9n?3o8`nvs<9TVx^H(A%D`V!oBdaI1?!xS}g0K05rT%2lpLC*-p? ztnRsO!<3blLsc|sqV{~Z=IBcV9#@lucptGk3f(3dQ^Poj%Gc7xy&Tch3g&t#ACD6> zABc^3*^Ij&BlZS0pDef3Z$?1ALQ&kN;%I$J0mL` z8~e-H8w;+G$6^&M^J_deHW4~uy{Us4Pb-HF-LyzKXWGiCgGqD|Up+n7+GrhJ{9NDd zHdABLGaTFsTKtsqrQ=fo3zha~$BiS}^;8nK-+7}gw4Mv0b$PGUFc9-POAT=aZuEb$ zbB6q4fV>V*_#z@1qkGR|zQM^uz z`UMgKtDi#U`mkFh>p0A>#1Wh-V{4@*HH%t^=&EQ2BNyLcXs?+_IYgJi`OCW_GTPD5 z6?_k+qYXJ8c^2_uISo%^#@>-f4~}$P% zfKVa(Hx!?Wm7ci1i%ETY@6oSDt=0BG2RZK=gLp~?H(D^emLvSFGU05GmTJ0>@WQ!v zm3_RFyaCO57V$@otXs(M9ITa#0?w>F*;Lh*%n`C8#5mLlyR&ukb*A&Yl2R)}Vor2V3fkYR)-Dg6C| z(kc9n0mab<+TXhEt)fynZyuA_u1oI1H3w0z#I33w=hl2S-x*VC4S}Y))MvE(aZzAxt&#rEn7ed9Eu<31->=p6!a5P!q7hatd?XCOn7Bdw;k2TjPZV)bL-3nc zyg1txfvz&Ezmw5GP*|Z1`t68p_?E-40Z(77@-wDcTQg@Pnsb9yic3GuO>S7kw+Roe z4o`nE9p9Xl-*Mz~%3xrw2L!V5U{;Jo=HQZfAssM@v7gTdh7}y862c*N8to>lF~B40 zTwBHqWJENV$GEQNew`w@f`(o#lA9j~!#gLz!i7tE%uKn>_iyAzHoQ0kuOnE?4G0DAlEJCfZ<~S_UiR@UQQ=rqb=0>I=dfN^|7gY@ zrIL%_&(DXof*Rt3!QH`v#Wb*ins-lX6*I`WQI>l;A%_^Hem(@Ggz8tll<`S`#VqXz zy2}{1Gw#}5m0l|dR-5tKCPiaOHPr&M6%|&>`0Q@>+asnE(#kquIA_*YSe)t&;iRVj8HphA_GOeeHIV?{(O_hgeN|~

gxka&}qKc_n(<6 zHqr*dBy54KS-5T$!kKk;EwW1TRZz=+_;;+p8_bWdNmuT6-LS8zd&rq95yPbwooZE6 z)IH}v4WR>*hUL0Eb?F{yn6}E^j?|!BFy4e>CvW^%Gp=K>9q8?D_aiCkDqxRM=D#L< z!jN@PCzz8^f;tgozF5dUUNfVRb^jiB%D$-50BcxXpoi_1^^g@V8|-$AvRCO^d?in6 zL5%w4;^Kmt9R6G;`;(!19q7~L^?cz(HyRv00DoNOPUz?dj!uBwS+q|l12t~RC=v6G81Yx9qI>bJ)HWJ7Tj&oSh^HeX@=eX=Q~ zX^Xks(a-1@YF)eZU*L{5pzHun3(lkMx-mpN6LwpxSmQcv5!bo0sBo^`4v|d#IdtIN zzd|ZCi$JlcQ>?z&enPXD?-(yLn@$UCr%4h>^z>S8n4whdXyiu4d}&yCz@L`o78&IAHw)#({6_I)dX z_0TyO(Mnul3T3XBP)UA9>@(TEFvx`yQd5(4xi5+Q+<plwED=4P;l3|A`y&d0JG?k0uEHJ z7hmzWHlV?vUEjk$$88u|iavEn8f>&O%&?aiip72bR?dXS2aliJDg0@SZB@o@W*2AV z;}UM7Xlpx~R+!ojp7V)WAhDi;{oaUyIO_I7_*_@VkN%~q$_&_!QVcuTBIt~b*hgC1 z`uv^IsoAm2fXVYaNtC#QvTrkYi9@+z!J&4X!6nRO)l{w5*YG|y@R1DdZyMVaFPsiW zgahR4+u2X>xvh>VJLV4&ASQAPHXi#&ovrOPTf_`-2kq)pUa(;IX88C_N}(b&hK*Lf zhNO>mBEsiIjJ+mbrCjhKn{)6n8`nd=_xtdNrs{+0apr?N%F?B3J8pcz(T*&l^_5MZ zH2PmNk9q*YkdYkL))P((m7iHg$_@u+(0qRVbl@cL2%K;IvKQu+ws$W@J*`q9`295{ z2Bc-nkNQYN#*g88#yF52q?b^Npsl$iUuR22tz0SM)H01an#Am=)pb!Uo=A@NbfLQ` z#DVX*7OlP0w>8Hj1lV{bOF&PkEX!V$=z%SQKlQC!!EgndDp7*B&;zpm<)gl1^T{Irn73xBo1Ly-+r!VgtNSZJ6c5kW%LsH}b- zA9!=Mz?nW}ecbWQ1McCv{D!|K029PeZ+r&@6#3$r%Q1S=mk2DWY%a11$xLHcnEX4> zsD;o>Q)kMqk;q^S1bcNZ{3UZWVZQ>0CX5&*#Ck zbyzIkP;cP^vcEt!4c8BdD z;8TZ&Wm*DGbU zC?*tI)^#GMkx*?RxuuJoY+W8nIj`k}U?cr4tJ_V`zvLh1P62;| zZ=p=>87cfB#;W{2YQrJyfp zX0WP-C2d})$t4LB7Wxlxd;}GzF8y?;U<20=64qFnu`H)x6c0-dIut{~`xl5At^&(v z_au?nA3?9BW!@3DKXCMXx1RLIr*PHp#Mc-7YAAM^gcZ-xms*CBQ!oWk9_#90#^#o# z$C|*Sn!D?9+*jYjY-&x1pgSrN`!gE)vh*OK4QofUg&=l1jmcJhuw&)g0B_T$H@$0JJ1#RmV7ZCEU(=xOJ%5h6!*Q{ zSgx5_qSs*!tY_R%!ASl`bF26(KIHb@GbyyU1B~r~%5~t2@d@>L0jYj57U74hCX_QK z6UO5SLzcjo>gx)oNA9RRk5HrE1ZVoLXVXe$3%l_CK+Za z67WmSK0o`K|As(?@M+w_%8nn7_vQ`#UI3bNcJV16K1g&C6wezie(B~Tr zU%!CD(EO`+3YgZAnJIuNGHR|?Dx&tco}%9F`7DE{dEa(`E&tQLkUi>y_S&FdJ;q0B zSJ=Juh9a2}a@OCf{=*G>REqsU$bDD(X8NNo6cv^@3sLm2*4Pa%gAp}C$xP)|63o3m z!NH{{JTCbA$18PmuzlPMl9<^s}LX>HCw#_VjVB9TTbACu+@}bUe|`d1D%> z2#OpvW`gk3Vur=asX+W5a@L}6VTAa&>SGy0@hR*UNU|>c7U$M!*>@w2wWdEvENgzd z?$>0?(4aH>tShjew}hzXt|}cdr^AnY#6Zd_g#CLpnn_gVrd{t$m}fU)ckAo(vQX#s zVLG|j?h`P!!;aDz0AAiPv&KH0p)T7N;Y0w>wSfi}0I}ONHaMzSwBGnUsT6KQx?pSf z6Y2|W9d{auG{TsBlRz_8(~rPi3gQ7( zZ zBcv}*b^Nt~>o&+YU;i2mZG%(mC?W@wiZv~e=e8xD4eYr%s)-MbG-wwIY;5G%v$Sk- z-3$9T$G$Am_&4eXSt?*v-})6>y3Ihg9xF<^R3Axp{jg_jkI(GLHiY9y)Ao7MR_IA} z)|pbu&D|kc)$6(y!VpQm;3QQ z7|Y3oqz%uLQ+?=NXLgp|Q;lOvdm;(OM3sYv7wryN7x2J6syR#VcJl(v$GwEs$yDnc zPg69q=W)mfa=hKn>d=W02QSU&;PH$nJL zEoDU4sJbUb42$t+&K#9Zj0R&rIf!^F0P2ZFjDSnjii#Gaw9D$axgLEAN+n7Lv?C+=9@H?c$ff;Utu}?jJee;cGa3CY3d#u&;TzS2v!G5P$ZS{n2 zOxB<+yNcm(aYueH*-UOE@yD*DSUW6mq{l1(8#p;9tKfqn)Cc0eB(gXi>fJrry;o>K z6I%J6=0A)N6t@vL>^x~_JyVG%499i64F{-4aNIw{IXm;Wf74nXb~t`2zZ$O9{WHJ4hyHoO2cB?# zhx38wY)_DWfHJ*e)f^8+MO$NuRh&YDx=FdzuTXPsB{Z>FONDY0 zIvym#{$BIa{@^$AXzBhw%ooW*-JQfK-jh795UY}Nmi^>Nvz{2vtYf0MH+m^{XOdox>WaqOEPk2+?v!2F=0cx`NKhL;v? zFNe{D!a}S*XX)?s`9#DOk}5x0qS9Ik<=hkox%A<_w+wJOzQPY(EhUT8A;UxX7cm=nX%b<4wf>*ZgarHW_$XhaW>>M=^oP$13HdLK@$W{A*~|`M zBYnW|Fyo!5_Pn9o=h4}53L(055FHv+EW*eOW+jqC7Sdpa1vdJgM?&u0XocQhkd#@b zvilW|@LO6dCwvO_gR3ObyTMq)ZJePiiY5Bq3n35l=2l){9NL8&-8=CbYZn;0s%OU@zjzvG0mGdp2}558Z)JqcmdvOc!QgR3NjfZO4%a;~Whdr>5C1L(d7PAnWHU4c(~ zFaB*M`O}k{)RwL$R_y*7n=k0mS6793nYR8$)M~%fmjqV9dlxN7J<__U)L5i9tc!~I z2V>f~&Btj=7za0e>SSweKItz1NDg#_UQDVV zw^CPxc=NnBakHjFyH2c89!U_l&ZCARaM>e}w&=h-CL7#r*qcu@Cqe|`_PGG#>vNfO zlC;$9InHVylqqSSsoFI|MBTa;zl*<~&{g!zrh@|{iiXM#=o3zJd=s*es%);tu{Z=2 zEC0iTnLIf&Nobu7I&qRh4l{GyKbGZyuS~Qm%HeYi6E(v*ESdYjuQ#i)vE?3&ChG|F z?GakyQYbf=$wCy(*W@sH?ZGg+%d6IKi-w}a%j#MkBQE!MONd5OhQESrG1!{Z(F zWyGLRaT%9;k-nRyOP#EWeAqDsgMylb%&Y$b*&aRn_ys#{G8AIdLaqRd%W5Z2#Mq7Y69znfXN)?e5QP-9r{mA!Gm9S$KR!_-aOJkJ zcq89jF?*m#7JBR~D>3M%#q~+b7faG%Hy)4NoGo-bz6^RcEE@{2Kpd^Jn83Ppk80&U zth@{a^LQLEl?jm?FDDixc`xV^bO`iUF-ZvSF{RQGADW!yBim-03oowe_y1o5;(Qcx`Xd_FBC zPdA84p|47dnw?1ZN~xp_@6B8+oAJuf%o%L5-w%R!d;i>@!{4WODEijOR7Y=mx0Gzq zuS+*DS-VK0GPc$?lG0=jW?fb01g|mCCc&J(Z4{OqA*ehO-#?NX8c8kRxWRtjH|r}V z{E9hHeju*eM*k=SXSO|&H!S|vO69Z=ki;<_uI{~;so$5(%79p7bnPUFYJyrfF2pPJ zgg7&RvjfOgm>@e{<_@ zxcx5tKY})&-Q3OP=t1j){gJ`V*Dsv$X>T$U&5+F?oDk4VdWK7eP&5Rdnmf(c$a}6r zj!LN_DxRieEb*TUB-R;=gS{WN_lENmkF>O+1LrFJR}3-}_?(sen;g0;Aq71WcN%gR#s_x6DOEFIA}Zp?$>deI5r>ZC!xJIHX={V056 z#6yd(H4575cv8PFlL0@<`)kNbf7WctQj*=s{r1xX9zmFXGG~Jw1mB5D(e-fp)rI_v z^M?tl$h#9Luh^XC!C0yqnQNDWN*OVuw^k>h$bM#Ic3_a-D2^_~Q`+V5?i@ukGE*fh z+HOXg|1Z+)fWe%7>id{K8-jF4M!4^ZDpQRaQ5;Rge<#g0E@%Q**N3A{xPHrP_76SP zrvAuPhLj}xI81NrjM#r?)O*Cr@`-?L_2~ULyf)Qop-IO#ucllG$G@9mT-R;QsM9rob#}0Efxk9GoM~SeL`#8^cql2$V zc9H)d=^VF?JG_HJIO9qYu_S_)&Lb26r-wOSo7BmMG0+~L6Srn~ftOO!*A ztnK~_KlyxPFXt{eB!#p|qpI3HD!k2Iv~gK&7z|i)^~N4HhqaE;#3}-+R0V0L7mLty z`R@9`LHWIWe*?~Fl!tFV?ObGE@Pz&L1(7T;%lN)kR!uWtvwFjKJTK}niu)s1<0&3b zlK=V<<%%^cY{RL^wI0seTxE+CpU%M7wGNlDi45XQ^lisGRF4l5?iM(9%IO{i2AdYo z(=O1c8f_fg-nYF#{BU~ldT5ik)t5BIdFuI1e7NRD(S!$Dw4*|5c%P@ZUWg@61n*lxEOI!?*9V=psPZ;>B)0(j z&bll#SX^Ypv@Q*4B0p)UeiylDIL-QqIAh) zwPBCFJT~*ItS71}3VNX5+IHr^8W9H$?tU)#Y6yB}+kwJ&=DjH7T7C3dyT561obAeQ zEzb_pdXc|7QkR;HYO$wC9z{;Iw)O8LMN-;WN%e@bRa0qX`2?45w(3GqJEje#HIEHq z<2lSxj!9%5545CPw6;yj-Icc0hRlzNR?1Xk5A+ zz^{h?hVKZ8_oE*Nya1oS42(#Wrp}ltlJ*q^3;e&SpLDT7G6eqLqX|oRREcjr&E|2_ zX?G|$+bO2*EYD1h?Ss!5xCTySvkL5}Y*d9<+nIH3UL%cXxMp zY1p0oGQT<3-g~Zjt;2QFoK-bdU8BYr@BQA-$)vgzaev>pVDax+95%b+I2v)plO$|0 zghdI~KSo?1#k6k{J`4*ieLD?H9JDq8*u0 zJB-0`FQa$U$y3q{9mzJKgfO4e^!2G|G%RgOnX3k$I!~A<89da}H*L?6a%af&uefo; zcHpoXaEB!eaqdS(Pp^OR9S41v(Qg&uxSx$X`#LZlgp&9$HnsdR_#TrsdXXz1i1lO@ zdeQ?-zsV5s#WgC6P^g29{o=m@zsplWI)CCwN(DId8LYA>c-#zRlclTwUi`R(->)GK zcRKZD6a;?PU?nMp_%^D(FrP zP90@HHnH_0b(WW-I40CSwI$)8El)ZdVGo6x3}YM^)1tJrw+r561TqXa+%3H>jg+~N~otiMpgMlqf;Nu#`8~Skgt2m>3`#@ z;Tg`gDMzmnMriZg__bFecrlZ$Ph(D}5`XW}Z%hzua%URXJ;TF!i}!f>qP0nnZRe*- zJrn-O&t-$XaVy@5X|kU#jvTJ(uR}d;4uwv9gUr0c8fjuCi0U`W89!0Kp9A5-cf8?b zR8wtoR-Qg8fn*frvTYB7x^QZcP$%+Gl&*k-wTkMJ$2?7|rs9}Ek?VMhz1>KbQKT@D$^ zs6wbw&-dz)TZ1>E20|v4IV*n1XnRq;V$ku|p!*otGOVDa-`pLp<01QY8~W}=ldHuT zfXLR+TaYau@cTEhV--Mz+D?AoV?ekp3>j(YcrLDd^m-xCz+@$M@wj3G_zg3Ki9}Hl zcxDQyZXQU9LaL?9iHqE+5xGW4g`S*tlfEJAxwK%ToSlDclSCXu*~_NNQ6FgQBhp1# z=o%hS-N0y5K74bOg4e-+LzJN@aLyU=nIRrae9mtRDY@LR{c7|>L`r_%+BkAfg$NV# z-~+AXECSIv(g;t?;xCHF<1#5&bq+-^yN#1d0?#cXp?7EjS~3TvDn@V|G6oNjMV*|B z-s5qR8{|=EJghfXI6JP1P(_bdl)_+XOEi@%{=_)K@lG;D=$8pMe$_HpY0Z_(p5Dbc z(`&`sh8(85@wEN&k`JVZ*;3&BL>oRR982EvBs8(1U0^inJIs4 zc6E~O+T$*^EuopDksevy^=CdYN7v!4MCJyySawCzEQ7ZGx{?)UuPNB7e2@9XZxRV| zP(i^)P?LYh@By4BuZ%-TsTE7_$KC4ihA8_MQF!nh>E#n(7uc3h0r*Ujk9v?Aqv9EX zGwD?_vo*oPeDP81TwTO{EiT}vpawq@PT%R#({2&_$L}Bbm-x9z@eEMHvsEm9)hn_6 zvUx0T{-(gH_`O-Y7zzWeQ9`c|%@0EAN_8PAUC4MfLmJO;`tqv}th($0CpB%?YHf-y zk&@{?h(YlS5JD#Muik7|tPJdxp!;Aiq2Byi=-=S)v_ozi$OVNqZtqny1F$;Z0Uk(5 zxT2Z$QAirJ6U*NPa|G!iO}yHKbK2(P!5&BTe2?n=|3I< zvzF+ir_0PtOJo!Td@)-Ism-d5_9K)pzBc@{5gu5YDUg=4fmeNXi|9GLCt9a(N%tz- z)!$b@SD81E<#R^bbQP2jU)Unj(V z^@t!UGkNzX8Rab^Da&P3SsKDYvr2`OqHSo^8=Ju@RDWK}R? z^Jr1qjCQ_4$dg(fOEy5}K7-+vPASE1#R(9vNA{@5!XSlRgJ`T=&FlV(Y)~eY4MZjo zMc{WFyxd$n&q`_v_{VLFi~vQ3a(vgd#IlC^ID5m#Be>`4M7rWY8ObaTi6#Jp3`)XC ze(My!*FKMPe~>6k673?!bpTyk~1Hz0pC)ze>10m)lew%b5;D_s8drT0C^|tG3$dw*aCNbQ(;%Dp~@cCRnNq!f(;WI3@|~U5s_uAj7D5o(e_wd|Vo{$hmdh*f%+;-Z>sRo1CNH73v=>|< z)YYA;K=8Eh#bHr2xk{(cys@zPj+A+Y2wdn`9;Km_M}aBCc_Acp~zg6pXBFsUaCnNm7G_haHRiWzhUYkgpX2t4=0 z8vj|ds3YcwGVr;B`}d{qWMZp?5evAdfCjE)`@g@sNGH*-^^H$ z@NLdKGv(7G>TA>8LRe`7L2RP>OD%!Te0HjgBk1bxlEm1(dr;}qNe0;TfP_RoEi~JN zs<(TkgWs%-?1JT#e}@@P&1{&ue7Oa)pvyxP@C(2C{kHWNZ!$vbk60p~gPNc58Qcbk zF0E?*3n$cXBK8>x{--KF0kU%c6eD1@^lbOA$wxYai{HoyzI4F=vht%=9RV;OrtA%FU6FP%Z}9mJjaB(!zAR4Uiel{}H)5}LIsB`_ zGV`AB0ey&jMy8z=M~j={dH(3q!t#I$2PjpoPbpz7Rb-L z&ixm8JK?%y(Vf^NwM-I7qCY=@s40|Wqs)gBOk#kybnk(U*&;Ax*|UT5-2l1dG>z%2 zNUwl@%N5hdw^UU!1FJ0Qv4 zob5Gb$)dUP_tB+%QkMfu?^jy)8^_lQUbRSZ+rw5eU*WEVGBO-@uO%f5Awo79?@HO6 zUpBfx#T!>GV4TI0<0=c6j_iC*g48(WYOfcfPY2@R?7EoRy*Qshqw*D zm_nL1o)olHRjH`8THl9Aki$CW@L>(Q-JKB-;EXd6~C(V7vr_y-Ih+n=wN z&<8>Z;3A)qpKMMc2ZWvtU2pL4$^}84r7w}Nt0zf^KvA0dI<+yBY_6*-z6UJ?v~5_E ztvI@ic_lR(uEzUxL3tqXS`_gz#g=GE;iCOwUXg63l?ZKW*AYsl9m)!?4 z5qdWIE7zyv?9Q$!zxgtl-H&F$h{w`hp)bZn;!AX z84ZlrCcE9TDO23m5sYDpZvFe(hRLuqS;!YrA$fa62|Z-(%F!9AU0B09e}Zs37*wWo zeF?)?Xs>&`K{X~ue0Tv`ECD>Xr{d~`RNPYr6#=bl4f>jxFo0l%UhvS0FXS7AO+ zI$>-TbP#KGSoue@>6FaH4%{H&ojiT12d3>DF8Ja|-to`s$m}Zb62v#yATaC>hprwV z?@j?pv{r&V60J|0ni5TxcAVKhimsa~+Gy|cydDRgpF2gT4bjT1lYXf)Wl1;l9xMI4x5k5QBQp)+@iY05eU?k6;48ZDj-qaJ2J2sQx63=68w` z0xB3Vy<(IWJLT)nFW=zXJ=0l!KISG0QRuvj#I<1XOPjvGjp-(Cu8-`?5dcD?l&yN> z82yF061x`?@1Rz@NSR23a&>u%dqE*wvBn9h8GKlI?}E}1h?_2^@LR}P&^U4)+!wKS zt=>&T_0lR|dZ=7&v_&!~YO2CEDL8J*KqQrEcFRU5%J0y}iyWiO-t$wu_CMXnj0~X%x>n7)04j7`4afGLG6tbCki||j^=eXA`+c%z})wDdb zyY#n-EIA17E($_w?PU)}lDW|i&qj?3$QKP~lIx91ojSgGc!}7T4os`6dl(8?XL#U+W~1IIgkVa{96&l0(g5@@0sI-2HP5LBy`PW<*xJpJ^sa zi;{AlkEC9jCrMC8So@`|WAu>-Hs6|!Lejk%{>m?gK}{z%amnp8MY`3hpy8>K@!E+G zQfT{fl+6&7g=gZr@VAlOsNdGD0?pEJ4m)Q_r&H{N__(*7il>iyN7=CPdA?Jk9ul%0 zUFw0#2w5%lvDu~fKKy4RRD;QFtz@ZwRTzQzf=Y?2faZgSnf6H>mFg$%cWIVm(6N=3{KVvqkazg0xGK++7Q9$jIo$T7Q7m;xA!m!RYMGq^h@kW}81n@P0*> zEi@%fXYo^Sxdh$D>BJ7dU-*&5=D--wBl(vXnv>a3Zqr}}cf2Gc_nP}0@9#-M0olq}*vY#|j{|SL^t8_uZP106 zc^b}iLm-)JaeTFKlfAb5V7^&*GMK<5kTOjdI%p3KmDB%@jm$HYqqe0_t1b(2o7V<#zeG@l3}%zy5p=F&);S1{m9f}{dmmTIyz>`3@dFoS%KZ7&V#qt@Q4 z`g>e(QyYQ1N;9V;#&tkGTaajvuiG`|N5bWI;9TzwmC|M2sA3W4cQif%>`rqS`{-g9x70V} zpf$2opEnv_p4)jf7cbmZt6(By=>2g9S<}Mr+S58XbsOBVj;dheAZ{72rN7va)O7*N z*IChcRKo?YefKCOa#H3Ge+*wy_-C#9ZzW=hkk`sR%ag}GF`FI_94%e|cD{zZ!NtM% z!lQ^oUq~;rp5tr>JXveaDLS~3Xkz%dbJ5H z(XX5^31uda@m@8Yjq)tatGV!^@U?t`sHD=J32;^r@9E{?g~rVkWQ(&HNRFhUQSZe1 z%mwgULUkT7BLpP|p7GbAmP~2zO5gX2$8m?mlnUi9uH;YrHtM z_^Vr1HJ-1Tx{rDtucS=B>WiP_F$jOlSSDPDe3`Vd$>)@xm%NCHsV{|njAa=472o1W zh$oSe*^~*k00K^@cJj8z3HR~H3Z_WG+qr68f3I~CX#Ts3#l^9WBm&}l2{Qx+r>ie|0$=cp8YeVi=@xjOSo(R zw_sZx?~9>*Ub6=QzoW`WyLr`n0Lv*DPlUuK{^9h|<`a4QyWU6*A;MA5Uu&%3RVDRS zOfIJ3D&Ic%M^u|ZR!q+^e3*zvSKVrHTe5bLQjNRazp+{VQty%DJoh9jfzc;ysaly|TQZv4oa;^D>n50U(HK)qGv z$2QhqlN7J!&fn%p*w~%#^kO`~aG`F?{2{}S4I|X_8Coxa>v~eMT-0S3CU*m` zfNN~zO%}otiM2FF`N+|TRlUUvh3fPX+h5CJXi)?lJ$r1kHoY9qFm&K0&=;l&OQ9ln zsBghRgfdshD!u$;ehHIBWUB1f%7`n7h=rYkA}O4D%h+r@@u)YUtf$G@lPCT_4|Aji zZfPca^)b8-rFI0T$9G00RdK%%HFQ8 zE9mki729+O=u;OJgG`@hUA>1LWm3ndY{v7+r~0SOCo?Hf3^Yo3_-S-*@}wtYK3u3Tgb_qD_yPXnblv$uF0%|ACu#`8-xFrP$X-)Wq3&o1~9qC3kB-rHzV3SW;DTSqp-|<@DZ?L9 zC`Bc&F#yUBgM(ox5vC7eWXO!6VSZ&Li~xf9hhCg4W9Dkc^SYS+>u`Bf)@PUJonrem zVqtWr0S7}#M+^TK>Vn#$MI3w3U7;TA=d+3344=vGn#E2bE^okQJl>bTuz?!vb%jg(0oKc}h>tPqctEn(IbcoV(;9eVVXTIX~?6KrwuAagk?UwXWafvJ^uRgn>B`I#X>?)p^to z5SKe7Zx_L|lR0Inf3yA+QqD=_{%J?fE`-#eqs^vmMTU2`3VY%n)od$u2QDD9gbq8t zOc%WyWGhO3v1{uL+amtu+KrOgmzBO8gs=LH&BEtn=ViA0sSrnwbh@o*d#gwilwAn| zBpdBV-P_UR*Vy!}vI$iU;CqQnR!v1CJb&@71rl~hSFCn!{MGuSJvF9Kg5x#lSe%Oy zTU?hox3%Z-J~7pQEU36ve#>(O5bxv2isgI;88XKFnO=&9Ov?V*Y%A0g8hL8wBzv^u;H^ zeP(eHX^U1|7&(0pTMp*_&}pjX@B#9Z!j@*rAh6^^m;TL6+&gi^;wd`u&lvz;&SoZl&7f(9YzF|?XQ1*OY2#97|mVrBz;W~`(F9&(_J$be5tDG=2836M6-6= z7F_hQ4V#=%a*-a5Nj3xIOA-Zp!24V9+FkkbPY)*`?V# z>}Vls{Wwp`LM5ubWM@K9ni%;nxj#y+Fx)>ns6@Eu++Mq*wuIF5RB8liQ)tP)#Xjw! z*KX&iL+fT$g+jpB52Q`RYon1tllUCjYg6Mr3dvm~zxlB~A9KUw!BZ?Yz^Z6Q`Xb20 zPsjs?b-_KHZpsSUvUpl5+y#Ynrck!Gt;lZxG8N74*#ah*)Kl4Wy>CD9NX5Q+3SP9( zsksLU9m%hYWv0}8$D?k2Q)}bx=Sk?WFuazl?2m*x+YUjS3pn;Y7w-LLdo;KEqKE;_ zWDSJ-nAls%oIqH?!Lc_UfV=Y}G2gE7P0!hu?4!%kkGHj@LUC%XhK>P;?y1kXF@54xCG6rgrI-czfFoErDSXpPgWWxg%@f+l@ono2eS2s{!o6 z-N|4dycV{;l|a;V$c2`^?JWHtc^if9zlVOjlC@qy>(4X_?0~%ZpQi=Z+{@3ts6Jgmp*t+u9Gd56}oVMv~q6 z0Mc^NkvXAK)E`nqX5fPor)G93BD09Axu30p2z(gZ>z(_;bwxku{oeuTup?m&QFu1eD+lzP}hst7GKmU#Y z@PaetaBR-@SW?&F`Nup3z0g>-RQllY_i6w%*a2bP_p2+bPbMnIamm2r$|DCc&5aUxYH)fy69dOohz;?D<@WS z3R$A%erfu0qcu~t-`Hjn`Omj|r(zY&EuJ%g-odWcynV<#p?+AR#XPu3Ul_F_vwNae zmFcykTXfZjl>dMFCmTsSi1NP^NGbFPcjN|uHm2S3OAM1A#~109kokEMqkiBz9~L3{ zq$yZT9d2(%IBDMrrD+`+v>ACh;K$aPSlJdLv&geiXf-fp@K*;nEjhe#d($d&u`~ac z=Zi}0*b`ZQ3Q=5J4uyD8oHpU->m|S`A$-zpWx2fgr+^?f4CyDvXBC(5C zWfeTjW7AT)oj7GPb}d(T?k!X)v}n&%YK3K@6-6A{RaSVEj3He4q8KbJCzgG?<(wrJ z$_1)j^g7iqr);_N9ad}(TehJ_Uu}j4#0LpDKZ(Ag-SAd+kb$P9(LNM%nE%8`$~uG9 zAu*g!Fyy5@bE^RG_7OU2hF)!UV}1;JEWZ2oNZHRz(7}V1I7p&BorOV^Eow7|M-Qt1 zmf#=X<88?N#$OyB7WM7o$s6axF}GY-l-EimgE4IBO;8^a!Bx^wJb}?IGJ~c{a~HX} zSyiwOS)oH~n3e=QclN?zxA=lu^^ zoNlLP3Wf=lG8IXX@?qy32P6+@OIqq;e7~iSqTdz~tB4${Z=#TfrMN2qpj^;$&y{(N z9QPDrM8A2h>8U>=8adBrUO&OBv~ngAK%)m?nH%b6Fw1obcQQGFbCu-t&azh4XxI1E+a?>W@rjK0z<$4+@Pt7MDu)4TuJ zEJf7Kyf7dcZ5(IOFNT!m8gTIb9%_)ujm*4m<$Xg-XviO(uks^GVa_=yJ&PfZmS_FS zLVEl9HeHG``a<*Nr$3WvqmMc%XV;yU+@)E4{o+Q#ASm7Du_o@IK~F1~CZApX%d4e^ z(FQ5S`AEZVVJ&pAI7^DJ@PYyjiF|;k9LoWC{rmc#QoVs?m!&%K%-A!|tB*pDp!irp z6O0ijVylC}C(e@TzYxG-{Uu@&zXdvfw=a>{sOo%dfjaXiE5WiYrdBUjUm`S=Ti%^} z916N8?yN~(J!AjNzSPNMfFQ!kq?z_8rNwuGwPXNXaoxVPz?!T3w%mZAgmiF?a-hqv z+Ab1#^GZLXq1tlKb=pZ}uyE8`VQV#IxR+tB3L3aj-j6ws3!Y z^SAWmrY-b{d>=ZD`JmxwzhPwj@oF>;dAvg7*4%^|C%NoCy+*<{v#Rgx?duiQ3B9;u z-j;-hjfR^lVUEvORGVB;>p(DX1S)bek*e^9kP3aNyd2hdSOW&bseP)^l z>GVnhw466u)Jx(}Da9H$YEK5hov-&pADkhC`F?g5#o7VAYCobug-f598W9Q$#=j|M zGift31u1F@H2Cz1F$E&1YXQ?edRF)%-?E)5&9fb}Z4I-lV(4EPj{Yp2aX5Zx+`4$Zrc5g)SzeQ!Zks zl(ExzLF&nuy*k);1cD0S6}Haka5+;6nC1KBV5~%NP3bT(fO1uNo^^jZaNm?E-4&Ch zwk(HJHYc&=*ctREmkoTgu<|Bd_BMdXt^bo+SH#r-qm%1Y3ny-yLyyW-Rni z$lOf#oaZ&`3T3MLIrmsoisZeV@5}Mlwj}Q8gl8PaGi?GI z?{U79Wp2)r0Ahy1GNu^g)x4gOn*2Ticv{g~ihLTM|I!Ks^=TC_5M0dxMkR5h3`PQ1 zy*I5n0*j6!VjkNTKC!{hVznRBPcBc?&*WS;62lnr#LViBvyGf5G`PB zD*6QMW&?(yB2r)fF_xaaH9OZVQNT^a&5m#8yWKC*4KMevx2q6HOV}#X>{iJBS#W1I z=PZ)q&9}hnAf<-{zEyYPQ8Gw#)oc30&v^fh=YN)VdU`w6|L+tax7?hs|( zCFKW^R;B;I3nfG0A&9V#Po+Qq0%?#M5z;?>OPB#SntAH^a<8*Sj0mxEzw$}SZEhbu zO&tcDn~`I#GRqx*?zlsYR8&g{oB%L zPHj<)tmPe4#8kJEL!Kmgyjj;VE|}Y53gh$~&FZGiqFfw3ZBAFMMPE&-6xj@fYk_1t zA~;lF2TnCS>F|zTk%>vy*KzkkzH-YFygPj%HCL?0r!2Sx?L^)AG1EHeHigc+>KaaDh-zH597%t{M~wB>Xd$vZ;0y5V(IrkK1>f6r zp4EkrIl1vE>km!lNF8>+>pgGIVqFaV4ljQs`YYG;>~+9Gd*QQI`aXy1s%n%TVwYVKLqw#)Z}QFp`y;lI zJ!^dKsM7lWdRWJUA(SE-nrSGu@({HSn?^0d0!(+hhC5KL+PdJcY7dq%Q+ig zC6@)FoaXxustxex-@$qq7sEE;pG|H<0!t5Lglx^OZL+HUF6ajtW;$px97kb2Y{ZY` zgE=k>KQjPl-}xL~$=}ZuICoQ#K`@ADyQ(kSKt=+gmO3!1lD~6sF7%CGLZo%BPgm91 z(O%o$i*`iUG1qQy+fV__@F)fX?jvGne4z*v@%ynnJ9*p0-YmtjYxY>`389Odr#BD0i(rK(^>JFRN}F$!F!qNEOY^%B6C4OnJQ}t#=H+x^rrz-R<&QN~Ohm+Y?|8{& z)+_T`wWTDZSls8^ep^`0!p}KOfp$Qa<|I%l{iu}N68YE62gzI^=qaK zKde-H@}N(jjIq*a(et*#vXJuVreBCJ)>jR2F}3l_PtR9Lhi?&FZFTK*IqgUb3XV1@A$o3bk5^02L=!%17XH}V z`D>HGmrOQKnIL9Nz9Yi1MjN%XhCP|&<&Ko8UB1#~uMlLbo66A~>Vk;_w+0MDV$zYHcUoS|%O7%f!4yu5li=m4uBf zgQpFDR&Tc;Oq~)9nuhXH9A^{s2h<G5 zQs^KnR7^tUzw$hPmq{Hg6jo<*Fv<13d?Ge%PDD_N9I?4lbbU(~N%6#>E`?N^hMy+J zrov1JTIm+;F~ngVUq9kc=6>s7!?QD({3;^P>FZdIx!)oZr+^FA(QMXlYwL8T1 z{_~9|+hg`?l*M%^=l(c%y;`Ku;=R1-^D7QSb}frjP&v*Rf_oN!wfg~O2jSUsKd&S+ z11A~oJX2#qfGNhyrX~PUvhaW~z@TuV+R$tJs4dEC{L3uQ$?)hNEa1lkST(d<)yJxNcsuJakLMTKS z`UVeyf1wZ^&_+WSX1wGeQ-X#Ft@v{%!w3@#gf!0g`#*oin`?eB*?l#~6YA;ODIaW+ zxWCC$i#Y!Jpx@wL1mybBOGewGmL)mXfHBzdCRR48dvLKinwoN6bzz%jJe2@w&AE0F zln%>%VJ{_^vO_n0RulhAH4Gp3|642t*UqFtLl}ww;d6SP&8#zPiLwYeC`*6spDro? zv0ym6Fe|JYhczrJc_|7D)&9uEj;OO~!L*5}@~@7;BD_Kj>|6w*ZDKt53*X4aHM7=i zHqjsTPLyiq&iLSMXMV}~Muy9TB{TBOU6p}w!r6G9hh_7c13=<##AU}o#P4i7SXxfY zVdLwNZ^A1|KQKG7!7iL7D}h`yFJ&}aUR~6eebej15y@;XV%Pcx3ZOw)DqA_~K0*(N zwYzORU-HwUL(YG&CyXzqB?0lofcwSSOB+u;urO>~MycVBDTKHr*bC^DUh3XxAka=L z*ZfRu^MMhMuLj)3S&A*6inU-nO*MYhW47}{A88VB{R6LWSzrGtEB;8$*JtO$xaHXLjum8x*@Ww)_1+5 zsc_xgO)P07UKuLpqukSGWa;yG9&HvlAmBD3b3%2(qo4`a2oC4Mp-Gw~7W#Ufl&sUT z${G-IS(&nF{VQWBeOGH2abQ6!zZ4+QUCKM$al%!g(b+SrE#j#oQAZ8qqHRI{W}61` z|FBJ$-2PHZp zU-V{-LWKE{l~=D07N-m>ktR^gl}HrFEMV#qB?sBSC}bCaol;J{+iXj*$ks&g%Chps z^=m&|P`=wn`{BKBQbmQ$3N{A+<)<&V_n$>1OACCOQb`j_E}WW$-wWSBA4hnzRCXt{ zK-i|XyEG-OUVrTniQa!_sq?F&X$#10sDRhsk+N=Bsi2oNEFz>Hgw5IoYDTU|jvq1_ zF*CwUH5{sLS=1t>hXv=vkdyYMk0CF2LMJQXUc&{9?F$*!ADSaYYA^3xXF4D2d`9;^ zRmghx+xQ_Cq$qjMKo(+$*xDMs1ioJN?oajxY82>3DSL!@H(kVV)h=GWx$(p7L|R5T zb-~{aBQQ%M=b#TSb9FwUSBJ_Xfqt-|zek zUs8A!5Q^6H$kCjd9^^eY@h@O4QjoPrI(hW(07iG?83CEju=hisCXqL1JEdT5d(nhs zG&g@%Tm^D;|m<`qW$mWQQRv|c~R-wSzv$&7!ZS?ZW_FR@f!u#px4sbLWO2UP_q zE|W>#jG#9(H$a-(Vq*x&E5*Q?BwwuP4+(9ALCRG`T_afs+-E zH0pnitBG1q8JJTP&{%tXXw>)x3g)gc4z~+3d(+xn`-&D?T8gB0{uVkA!(d8j(O=A2 zmPMKvpq~^Q&xbjdf(W^AxmlLaDJxby$64E;vYWs8O!nGDlZw`&xbo$s?XiH9 zO_K&q#fQ3aSy*(SbFT|gGy38?Di@bxb%m$7AH$i)^eG{cuH3Pxx4b$R+nDL*UFJyi)o~o7{3+KsHG|rvxit81+7Y zJ1H?scjhM7Euhf(*I&<J|^pmxaOPoJ5iit}OE)QV7g#KucDMIU%D`E4qI#GC_cA6ZSM zVeMUQhs9V?BCDT^v?ads9L^4K;ODIDl+iL?*$3FveX^UOe17M z_9T~O+)MK2~v!C8zXdpb|#jb_0Ea>a>O$@%m&F|i+Voojr-rSTf(Wb2Z(vC zPEHpv7E$f^)PY=-P0^1K)l+RbJEGWgxe@;z!z8*3qg<(YSj5jkyUvL<7^t@W z?|`j4`!sjVjxW3-4G74?2&X<$->!gR2X_y*u?hCn=keDU6Nafs3or6)QjXMWyocni zq#Aa+iiujSH>j!Qms`w7jHO07yd0NWIyj8DVBS7!ZVN;7k`Jfdi{yi6dl`|Go?<^sGv8Ng#spIEt#* zE6K{5mw8mA$CJ2xBN zx1`&sh2z6Oj6kne@MMbGd#AX?%CgTeuh>9?)z_!9%43ehLQ)II%>0nV?6aocmTQL; z&wDOH4O4bJ#;+bxIH%jB-)e--j6aZA$2>Rf%{7@28++Cx*)&ji@Cr4p6U}O&uOT)tKZ6^B&>^- zL@@MuOHl@@NZl3s)op$vpr63dPMvC46~sQxCsXd-0Z2B>XoZHv+$K$?=QH|E$vo?v zj%G7n%#T}+?~NW|SOid5DEI_d0-)W7JzQ0RQae}~tUp*UaPHj<*q3q;N;lHRFeS;`X>bIt* zy8h`YBYOyu#2zX!`@5%1lSY?|MQJd9CdiDcWF>+@KUbb(gMeIS z!{v$!)?fiVwN#8lC|rZx4xih6@WJuO88xDg+~d%vL;;=oql@2qB_Dn!^S)8vO?lF= zh4!gILV=f|RUEUc#XlhrrqiJMu5q!INUg{oD68XsVL@fZ>6)NcN@Ahy9V-l&`fsBj zgIHjQR=@geq`}bRalLle&HDLr-FpKFCb%TPyjVD)09iMFt3>e+K+~C=(8p+MS4Q@g zj;*U??rdez-iS5Nc!#<)djftze;aODWa!vqK&QzQp=YU1TVt;`Ur`VLMiN$({Ez&` zKG1$DG6HwG@!8_t`-RHvuUX-}26f0BJn}GrjK3;xD?6Zm_}@0P_)+x^c_(UFY`F8~ zQr5`Vk$K60LTAV&yemLSs}P>k%wCyDH9&38r{ns1i@=IMmQwBLO%1BV-!*6oUPS@h zP|3n+yTR+0i&>6V;xShBIlM1|QPu9}DAQz+V6T^IoGd?7Xd;zY{Wy@g2s%@Qug#HY zk1@O*n3uqCqwZqpazMY;mbvLHIWsJ_r&}C*O6$k9ng&|WA6es4TH*HbcQ;dnFi8n9 z=6c5k0{WTvnxf20WcHn2seM+kbfo0}8b(MFd%ChGt@i|=kKsXIYP{LxdV*vuQ8@6A z7gYTmq{71#yoz`5{2BHWcsUL&-4l3R3&SnZcrv zA+~*5+WVwb8G_W8=}O;jut`OH`{l`)!qp0?0U@x)q;Got34Bt~0IYzESWA6Z2N+YqI6;aAr;Fw?l;CJJ5XhZ49fL3?!vO zQH-C*yYufOePVvYCuEY!|Hvp??}4#1ju!&_Df>(_XRX`%XbKe+8_QpGvuX+)Dvgb5UCcrd!%vN$kbJH5qN;#h~q^@&cP1U4?^K%H!crT-Y?Z$fhBAa zfGZ@PJrHJjzr(dQhp4PT-WBvOm})`jw)9F@0c8Y(M+Bm)%F;(w@eZ_+l|^b-4X>a6 zMX-gXV9I>>6wr;vwamlAF>b;^DkpEt!^rYk%^(-xD^-nSMG|F-e8zS(Yzjf+^0)pN-U4WC?(llx?7?PhPZ_F3Z!VGF zNf2e*Gi}#+YU{+wQQ~7Nnz{|NX%jqR18IIkb;5D~Na72ig~2;)qIlYq0EP$BRvO*_ z--ySFOj6WyQyQ2lF4ENPR9VU6&(8Mmk4}SbNoxToCcW!Jvz_TKlm}@TMLI$O{?ioo z&&%>ZP4)YqF@8v8QEMmJ+@6!xuy8%uB*7+f$*^8zM8viJtpOYc{aF~Qb*uKn`|Qij z$x1xE8qL2cJk63O|Gl_L*yyR^IyRMfmCj9Q*hM-<_(*iLijgK;s00()!pvXr2ncTL zw}|~(bTG9TECUesAO^LyiSW5?vBUU2*uVJ5e;dCahyfBa9=7yo-J*zFW&06MSNDeI1t;CeyZ z7xO))s=<3aN%^-_4lg^>DHH>5hA4@DY?VF8hwDUDCk!}{LY0X*0|a^f!t!<;PfrWT z`px(HOcN8^B9d}HKu$e%hvMD*8c(T$PAoqmsg=rUQ8*#_Xr43vogBXtj@;2e%XIEg ze$Hc9kIk{xKmw})v6G$nIqLu02xqVJ-o?*(B?ik61}$|G!eUV)ISm`%lXaaEG&gOL zn94j-8J_!39tvj!z>o^TI5yV*_Z-48$-tT5Ef4{Jyb#ZiJIjgT}?8hnjsVPpnGd>kU?)7YocSt1~CTw@jQ)ynM$-ux;yh zrW0>-y0K4aue#X*!<JmX7RMcF6Fx@+K&M$9%FFxzNCN^6V#?Y&rVfUxU!yu>Z6xMfsRG3li7EK8TkMvMGzSjMf$k7jwz zOsnb1D24Ud1k($vMc?ArqeQ=E**OC(pW}Qb{9&CYfVIS&*Z;s8|N8wFq%BVUZD>uv ziEj7J!H15tsqa&zl&^MGJtg zf8H!XVQf_lFguV>66~4VhbZ`F#6dFWlZ7BB@^Ul^kz0C1yPxvOJ_Ak)DWb|ykH?8s{EcBbCs}mO(+grIWQjCLh zA{>Pltmu9M>|a(4J>xD#`U*>^2uEA+PAWwLJZMqfAJo{AI_L~CqjUAwdf@XFzykrz z6I2by-Lu2NY-2y3_p%g;pZvjG|MIs<5z<7g$i+5NO)=(w$N_YW9U+{8-kDvBG`-pZ z#}u2QMs)H}A)O6;|42Y!k{|Ioljq{Z4QfHQ(qyRyc*2#2^R#=Of*@KvnajZK)FUC z(MWHQ2iF{Iu~=Wx1)#T;=zAbfPl<4-TxD1PLG$`$isF*+bGDWMJc7RjXt}a@qrHqD z;;9l&IAZpT%qYH|J(Kx)y};F&hj)G)LHY8{^qr?!Me{a;r`JufomWMsomUU+uNj`F z2~Yh?++1xLWCee(5S7@E>X&9$k6BipTbU5I1EHHmp2qEUx$hH+*B)rfrwq~yb1u2={+Tq=A z&VGV}xHzxLK)A}4y4KNfSaibg%U-kEEui}6-Xbm8S>d7)^p4IUoT+A^2Q)_8kl3k0 zJ_z@t3*}ATeu(hoS}^U4x){(o3|%b>WrZ{7Ee z0wICm4uRnAF2UV`ySuy73Bff;UR!J%8s~K0|GstZKDDcE-Kz7cKeVh~ zbIrBp7-Rm%^JqQdoa!_c&fNOpDr~fBNT#gUaM%HBZv=2$D88%Rq-<39{W+ugg~xA- zR^$hqfVK3G)2vx(H?UL4s^v*H(VVm%J9yWS{fT<2dzh|O_maV4kgpIzsNM{f#N;4CJUh5E4zbkaK~6uG`vWX$vu zJK=nuaRyvdo9hnuXS>niStZMLj|R+&r%gEwyl-SY7s4nlFo2d zuGBg1i7Jl0XKzDkX9k9nC~P0exd{&6^qW}Sjtmy1%v#I)bje_i)fDf5JCP!nwxt8m z0W8JByq)s;W5L#J={%jXEnn;(kxBHdD+XL={)|>Ho?AUZkS9fR`^Y)jFyCHYfk+#x zbh^XmqEC37(vnEb&HDh6W>d?mHFeROcK?DZyu>GwY5d& zVhrxK2|hFWesni+$x5BI;tK}*Fm9uzCVbS2>y59o%~*?}oLi~B_}*-~EO`iV7nm5v zpyKiC|Mjhx8r>n&`E*xWfyRt#q7^ET(~1PhnI|e+Imr78w+*+b zY+`aLpCZD~8vU)n?lh_7^Wj`_;a61uMG*V@tO@`)ARd}}y)wRy2ch!Y^3Q4MO3`ny zp0b;^zR7SI+#thioG0b)a7rJmdq3IkEh=#ei$g|(hpjzg=S1oxd}l2^c@dYE2315D z3nV-9Y(kG&EiTs=+LVoDvPEDIvekADM7YI0ll6l2#I2c6^DXu7>d(8ZgPf!J8Xfqq zdQO)QV_(0Bv3DwKAF_1_hFyXKRc)7k$f034TJwdw5-Kd9lQhctP+joA&ey?}P{)oJ z8J;WlTLCAw=cnhb@FGQaE51`3{kw0_gpyoE)nqCvE%b|PFQSp*u7z|#|DZsiwz3cu zm8wNlGT4m?O&-ehdmpCP>z{S(r~mGF>QDNX@dHkT@6uk>G7t`7ob`RkX$t1k6S}>U?W|;HZ<&zw42asw$ z=#aM@DW%gYf>-v$pGJNvhKXGthY6x&a_~MiLlHIBDu%nC>x1Mza#PfJ#8{qW^<`%; zrrG=Ix0 zeANA{oPqbyjVmVN3vl{+S2US7z@M7vL4x56HsD>TQtb7E08*-Nh;ty$)8_LZm$77?J2Pvf z#35bgtj)C*P`s6tmOcvgLBpq`^LgF9%_orDQxRMLj21xzy$biFlQC*IE#N*YeIQhn z2d~|bn!}lrqte7}n{bwZB{Y>r?Z9u`@zGaR-u4$y)64sJlI4cxgRF~G4N9CA#uxfNQ7cN;}U8gw6k8E~R*`w!s+TB<9gCT^@Al9==^q;yDX;$) zCJ_}M{-n%6bl5D04XyVTWnTkH-ww+J>Bk>xi+78d?FOmv+4wbML0{t9;AfdckY0hlD-9OQb^tMg!EXJe% ziP%;Dgn;D-uo7R@9qX=aB?L(}BP)yL4(G^=q-)hKx$c}=XHU^kDeKJjm@obC_@>6i z-o=>;k;5H!%y#ZwPN~-iGs)sZ>Y@91k?mwK;W9ifE!&c*skeKq0R zWUHo&Hu&XB8Kwj<0CWv9v?=WNn_#RQSdPXe*svR~a!dQo)T5(DgB-UpnW~2ot~bXS zJNPVD%%3IdrGGwgx&NxL2UQExcJPNcS_q0#Y`rb=k*UUdnGa}d&7!yQkZVnX*7kV3 z=WdxJ-D2UZS;BP*R*SQl`g|}pQ_NstEiCutvGc{lS^Rkrarj~ zdC)B0DigM2hYE)sL$ji_SZUo(<#IwROcK1gG@=t^baTPOy^`m_3`jg(DH$Fj%8UV) zlcm(0g-{r}O1s-ve~#cUe2c_hn`We)Y03RL8>zf>WAB@&PKUSZPn%MY;ZAr@l%vCQ z?w_wW=nE!(kF7>I5^V!qh~O<=#_P=_fB|nCN)D|w)LgsP_(^V(BbW zidU%T;u{q#xA^;dkCX345Z?*Wt<~kp9Zkl_!$fO9Yr$3+M{$%rTB5MgFr>UjNFP5H zgQV+j(+e!Jq;;P#Z8G*1!8wLCFk+sKN{PxYaOAF!heo~hA0B^)u~~7^;&hg6@oI|0 zG87Z<2{Q7{PYa1pBmPz;FB^H|V%Mob)gpuO9Xj04_MYsY?D^cc(T$~l;&Tk$#DzTOZvT$%E)|uH;w;BBt{lTE@-Qm?R$;=)=)(`2N#deR!gm`_4j;* zzW8H}7IzNlP9^6nX&}?Qx9*O1Wb5<5H(TE(EizWq=M8hA}H?dyv)b!>%q%C zE0ojq@x5ys%@$L%2yA-e5phwve+0T6axI5%OD3WZY#O7~NC`hKX?AcS1ass(U3ism zxWw6@whKx5|6S6^K45z}Qls+(Ss0>ie4aW$`h>#bx~^jB<@p@vG^+2m{D>(>V)iyS z@XNcQw0R{Xp>1eTQQ>#e&aURzM-_7V*$A`a5amC9*cp@=L`Cn)he zSkP2i9WJLENE6Bo!;n@fwL2GTjZjbXJ0n-mGtItnc!#^Ha@J5^48!J)#C!JB(Of!z z&3#+Y?%f+|e8`tc0gLly7F$3rnQWbrD-EVNGLZV6i?g?mHirX5E9OQe`m-A!Y5b2B z?i(AKg?Abkb>qV%GuV?=nsHK8yqC70a2s4P+Bl!(4-ZlYjHCVXBrs+3RWGX)T}CHQ zwH^nHrPLa*Q9zut0zyNLzp8q}Ik*;Uj06o;Bg>vx>YT|3&3PgfBCD?1GswP-! z*LV0NNqLv3%t6e?CR)J%k)A%+UaydqjnLyBhJhrW{XL{Zh}C5}U)qYl&~2h4lB=ro zkkgxPlaY_gBfn8pSVqlGB!p4mP{Ta~wj(CO5D99P7qE2jo+#)su!2(bz_zAx;Pg^nwC!g+k9tVJ~Dxl@gI_&VhCv zmqwMat?5-x)zZaiuOb6{)KZ5*5||5>lh@}T;>O?~O|mj%badzxjTB*OpNTaToNp@6 zn{I2#YbjJiEj6|Lp=Kd&O7yM>%8(${eSm4fM&ayN=W)Z43cqw3l*Yt#BZe=r5 zw#Ibe=LbQ4xj_EXSC4uu8gRjt_<(_1zEyDijxk;6#Ve+bX`Q1khI-Ktp_hQmbdpj- zL1fni^eYU6sa+to4zj#aYmM`_P6K^M#@m6IiT8hGc%fK)7)fXcYZxQ+8!> zr;yaB247VM5@yY;O5lFRrIMpAp7fiQ+^^^C)p3LJY0eb+@KsJAt`8tNzN1@7A`0&k zGI(&7phzIgFxj=>k@gzAh&e%KYi{15@N2$$xeLt6deQM`bnp6*!-8bNFFv-*8@V+m zdHiwic(K|JhYxmQ?iTZ!glEBbw=NF~Tp3`MR53qwGKPfS%+lN;TiMY^N-IX4o7iVA z4{sZdl_*;<`+SvW`fEG>xI25KXuy}ejr1nUsr-{DVlL(KL*jwF!&Khg!EYTAUmYjf zJDh=V)5b6*3WkyDH9lO8t5=u2u4a%5hdCj^8FODy$B0z4j|m%D8k-8 zz(Vc$E#5v?DGa0KUCdt8?Seb<&y^vd*SaIej&i9*S9gA zq!#bX0Sf`fafffaTkRsA?EwBUg2(cSAw2h;f#he+sDTa zC$Dp5tO?XHF^nwfOwHt;gu3|N=URWmk#J})G0d)& zwziFZk#CB1K5Ol;#k^#?r)m4Hd|$aSG63gUmr7B<5jZ*D)>n5&ff=(%CQfU81C2GH zZ-?r?Qfi&4B=sttw{98LX-C#tZmJe(H8_5H%(K|$ViY!3|JyHTYaK_SXxqL%`Or>w z|G5vxK*;2+2?FS;05z0|i+Qg679w|ab0;o~Lend>?`jM?>(~=}Oj$WUC{p=m3AJ(<_$i}y_ zQ|tIjva=tRt7d5W$Dz&wYjOEI5151Db#PuYRdL^eT^gPW16B-)XWSQg@0qgx7`bEc zXrwyaAYk)#RXk?)DO}=-Kq;DiYW+D}5=7Xg(kvAl!w-!g9&jWW{dnx!1#PQ2@$i?% zvFhAp%Ddj~tDk2-Y5x&X6|a>2L`bNCl6^9$O?~L=#Mc^)CrVi>0Af5px60DpOSPh} zp}%=K@BY}IB%@i1jrB2Jp}pnL&8Op~))%CEQ?p`a#*#ylqKzy?Y?I*~|5zCnKH zV*4EfpUd)gDMrDCG1za9O6zxnH-+QzxxVr;5(1f*A?TPzB4K^WXhTbS3d-YBResG;6-BQTOvpZg%lMLIOum^(E`hpC zDhPk+KP=QOOj7Zn6EQWy3QipEsOP+qJaUg=#P5Iw6p1q5N>P!v#vX`ijXEJ703w0T zLC%_)uTWOJ0ee?oyXLRv;+^07d(7t^5?7>Nf(>`7am^PC*+-!`Df50`%TPL48)+l4 z4BGAL`4`!L4`79>SpD&TjI4?nii_+5BNFs#=7n_x7W~~3#E?;HC+o+c>sH^?a_19J zx84t}=U;6Mni=?<|5}YGpDOnDCG25Xh+?y`F}mt)2`Nd-!&3pfC;ydL5*%I-oGPZ$ zk0vyo7uwnq6%Di#j#a2j7VsFi5E|f|z_|IzVwm_x8)>GYoj~P^Z>E`e`!=r}(@Dyn z7EOpSgNbpZb*lJ|9K~mVY9ec9soI`fjjbOXE*)|XG`lL^2pA6G56ddTQ{k#G(QJ?d zLD1jqbxlL7wDXmZFIHExZhD(s2DwDkhz`crk%$huo?mHSM$VpZWExPwU?k?!KbO^p zjd43!xI+!qtU+_M5~IsmlsVrY3U`$nyIvr8AN5Y4!r#UBU19+UqpAVCY_{PZ*?M8g z3f?B!WOf_f)UXLrN{H;*A~6TL+yuI-)7S)F%W#Rlk+s`oJ+WhR^t)IS})k8mL}tF}hpUv20UR>a5l&qUtt2Y|I3=9ZD;R zhC_Axs%?R|N0z4PzTqEdMbW>v=?7`rnMN%I`h=lguK~lv)i2y3|gN^eRU7mA=L3E@7US7&0G=P;IypVLuR3ew zrTV$5;Do*KR;ELq4W7@XINqO%lu7BkVY-B!a%WVtC>9&rFcFN6HG5`^d>Hvjevd;) zuo7B&&3g%342vXLO$i33GC$4Q(4P>ny8E)R`kft47jkzLUiFaTwexdiV1wzP7O?I} ze3rmB*?Yl(}PO#7ihqmONG8t6O>fdIGQYZ?g=QM!?A%~S~(ys{|1t`K>umSDOZ za&p(kSRl)lE&GBdm&_hp^M-e-*w^j-iFbgY6B;kf=9@N8&0ncPgYGzAy@8oLOZ2OW z7?w^WGkkaaozf3bNcslL3B0Oh}+WSkncyO?B-6^+v39y2+ju5czv!*(`VPIK(zir6$ z3Wf^fN&SI}&HKtrd%|L6FXgH@19jya*EsQN*v|5`?V1lh zjBEI|L0hBHetF=ElE-*HSEd;|jR{+35!fOl5A~aukpJVLhh1atO(0|1xOpc| zHP85%)NhmCjQu-~OkT3iy4SO`@S!%|EcdmZX0;QF9_>CEB+Vy%y(STBWWM?-nc%)0 zc*t(|hJb2*w@yeO81DU*a*`k5EOJIVYzf7<_ieK?y|b~{V<3`QYj1qpMr?XL>J*7D7`=4N zm`l6->)o-03TXts%CWPw z$y}W8tXMtyJIc%+uiklHAO!=DN9*(lZ<~bCRdUGsfCUW4b<}sbjpOBEdd+n2*Dmd@ z%2|Ird{VDAS299R0SSF}3_Ap{o5-gspQJAQSWLfQ?%Sc3EgUsn%h9>jr1%CKMNpf* zecM%&^R2Es@>C}(GV6IOolrZoQXk;tY4m3O1^QVRrzv;pwT{GTvUF53WAlWUdAu$5+kPvrg| zd}_@ac4o>RbnLz|2s80F@1OmQ;ia*vTZ|_?gz+f*9yTJ~c#Y zAvBEd@S40|tIddg^oEzvC!@?o$Kz_94BoqyDdwm;bg?8`;51&I--ea@sQ%cp*_*!A z`Q)8{4W3Hzy0Qerb*hutT8-@E00X2Ql@@Q)8rs|2WAS>a>PE_uE)!veQrqVqv0sMazNb1)Tz6ER z8O3pb^(XsYLdfBuX%-zL)ywwksX>Fhcddm~K#Cdd$*B+(#NzjlxQ@YTvS)AYM0#Q1 zhXU&F^*pk^WvpKP&D7|C_j{(c>=6wwjbFyVhxOE%S!4yY%6ZllpcnlgaR9m9fVHeq z_w=Vz_$RFiruU^3(d%yWLDnx?VM4#&7WlBWs`+w0YXyAAf|IF+EZU6FyrWrgWEd@^ zXp-N35PV>(jWr>;6$b`xq$O?3??Ian5a%ln9`rE&mCpPRrbKqr-;xM;FUW^5?Q5qk z!Emb3$<%UMbqO!dxt$3vm{??`ogCU3FS_8|2o!k_y&#=&Xl%;n1cW)~ETlBW24@!6NSWykx4hBS;Vo=sAsQ-!He z>MuyT&0uv0V~c}>rEuFw6stR`z*}9X7O+9O+;dn~{NHhPkcOUH1c)wn`Xn7zHGfr)2mC@n>01}1yF5Qxa@8>W2J2Lrz)+t5_9WW2XfVEWUe#N}W${iZ5&JtF z+Yc=D_jKybh>Tp7e-F96^YO63Hl=RU`z5o4{OmW&|IKmx|9MeT^o{Oe8plf&d{}g9 zxe^trg>g(fbuM4V77EQ~r_Xlln6bi1GGk&;&14dH<02wT#2&d_Z#RTSwt6j^A)9!w zXmzH|#smB^H)s-t7}N_j#F;{iG!z`6;dC*?LSXT19%+?)GQ0WL3Djyo>XGD_FoYtp zMv`{Ujs`-f^5iHC$dZe2P@OMMi1;)42eaNT7EVa#OoM3>8JyTXy5P~fQ?g0ZQ}vK$ z0`0r+si3a(y)CO7UC-CMW4)3srxwBkxxnEpR>qCvnQbp4rhVe~ zc`{l*K>e&_YF&Tn87=?-5RSzdFcNiKhz<|HxkbGh(wsAn|8o^W{?Mtr0N11mC4}=27g4q={6WK@AHq?4`3x_L=5kEXXX9;0Cp=(noULK{aehyMgYjWPMSn zUSEeo@7(a5756^lFh0ZHZhmbclxe@KhA8NPNX)<$7eS+matt=qYo(j4T_JdRMwz#@ zZ4$|ZlSDUTcmrdUNE)jO)iCETN_-MFo?$Z(CAdf*j3K@R@ndwE$&=|3!S6+1Z0Wzy zxQ4F6bAO4Z=EKQHI!U*JkyYeC{KdL4E(`g)kCvL=E#t;eOid!_F)G9QbC#zYiCG2`9=s z99KW2Q=3NfXSlMSAMnLPL8S@#m$Ki4S4na3?OwOkB<&P9YC^<^h_Z4|jQby+AlpUyZv%Fn3y!%Zk0ZB8M6H%99JjGwfA;l;ZTZ{V+Hf$ z?rS-`>5O>*XP(7Arq~&N6S76jazFC?C?RO7%eOI_UZRL+a9vqS$;T>Y?J zbL~vqtcmwr6^A?!K%TmZy}kYIe-P8pWQqMzzRSn)+y)9#{fVE3OzmlXWbGtlqk9jv z$*ewNtA}K*(6~EjcGsF6FS;EG`VU|e;ji8>nAgm#4OJkxF*z>n4AndoMs#sy>aHIT zm4c5QdA%^IzFDD@^(7%Tdt)sqfj^IH0woIKlV!fDSWpWq`+)x}AUIFV?X0nsqJAJB zVkRrm7rjVJu78-zNg^CqWVl_$pV$AWYTY=idOn}JI)&m=L?$2QKKq@P5ZT6nc=d)8 zIH;6OfPfR7ud{QcN`LkZ5(g~au>Pm6aqZ83yjt-435*E5+r5Ph`+7pEXd?wsUC#`l$fo#wXItGJ+090p2g(&8rRoB&Z_y8J2B@?NX`BCP-qd( zzIs%y%TsBB8?{7`wfq5x8QX$BU>8})=gHFRg#A~}oA+!+GpCN%_1`-elmr7)6o^3v z!u;IqS=|is$CbKv%P6T6Q<5O(PAuo30)dt3MmGe?v&-+}A9&ki6bf99=Vi2Xyct^M znl(a@ocAwsl|fMTJdqkQ_)EV1Wv84+d*2B4I8E!qI6Wcj@0>c+?SjY5&5(!W`5MXs z7s zSb=c4PiPGo0n~n@=>Z|*GgmO~r2v3gzvObI8*rvC`J7!sANiMoRU#osWlI1{0+)Nv zLXkUom;NKS^RyBoM45B0$OUdogB@uncNU|tRw8V}`$v_wt{Ol+dw3a3733T~-L?HE zmqItHHf|nrvMIu8uwZDe@`J2vfDIUtrO3SRan>t}^hdQM;ZNx;RpF0D`fm$vRNMd~ zp&e^-E-ZiTi?yh$66J_!lcX)zEi_^5`3q16og^o7nT4LUhyHMdr3nvFW}44b{T%Lm zhG*yi?JM3Y0>Tw$;F;Soi)9FDH&!gRVi6j9sZtEF3C@n|H6CwTy=jf2d_Z=HF7NJ; zPzU~u>#neVpUi8eyUw~5&93oS4A@y+gW^|h6g|+hti5N4FX#zw3x+*B)^z_J%-iL0{4&%vsKDRfyfLrhC zTRi*Ml+(>&xO^mTw)*j%Zgg_eb=O$Vr(>s8pHJr@(T{W4$Sy#DkUu!W;amRfzg+(I zKMfghDN`b?E^BN$lpqqD1A(!%vC*tHY$`?B@2Soh2AFiz>@cpGGIfSldF`=Im$O?P z^4@vgz#^{bI^QU5Mhan|ZP(~_ zJnv+wIz5Pvvv%62= znRsug%M6eqD^yfXYO&mAAIgO+k{~>wW)#uIJz}}=Z2?W!+mGWRs7~A4c=IoLu# zdt~yNuKE89XUoYc&@Z3b>hK`kK`Ex;vZV20(%l&tlRe2?3F`qlVgF_1K+Y{8Rk&Tw zPhyISp(Fh7$J!(ORt~u{oBPC*M$(tMepHIvO$iBs63}eCOf|7bSR;9yA_zPxYg^^b zXF=`?PlMkX*IFtP*0yg0Yui^iK8~!|f7a3cC9UVge5|OqJyiLre7QZ#L{ek2qWIH9 zjC|okwSX8;dhs)d&p^~pwxYI-2X619e48WTcj(jpzvRJLHT}1189XJrYZxRWBy{y$ zv^VP1r@5e^z%^m*ab_Rvb7M`~;cCuwC6>&A=$&%4#gL!`61RYJG~b zkV+S=RhY+i8U=+os95`5N<5|BhX4g0Nb|fZ zIr45#?OZylx`KpNsZyKj?Yy>iZGG}sE-99@P07x6LsaB*9wr4X`}Ra?KaF-F&)1}^ zej!mW-ZA}=6Kt)oRIvVuud|<;5Q1ApOGFKv459O`w zWObZXPY2U!rUMv4tBfn41*6SSb+8ELFo?z5`C!m!EU#o&LN_ zWaRF9NE-zC1D@$U*sallM1Mv*v4%fahO2ZY{~yE|F+sq8BiPguC-_;G%4oV=LC7*@o|)2=B@Z zV@P$MJqVA1;HacJq8W?EB10(b4T^R?t#C|=Xt8P8&hQN$y<1qi=G^~4?g38JL;hxt z&j9+{25&Rgvg_N-#s2PnwC&*EvL}l>!HnWsrAf6cn7&@+7kl)B6Sp}U7P;y$PE6_R z=lN_e=!PY`KW7IWn9?Eh?X5s8%Sb;A%_4R9e+Ex>xJ2?&6G}g$xEB^C%cxlmAgN&@um$qb_=sQ2SzeECRtm zyy;)ymdeLNvPGw{xIsPC-vFOXzcKUH(PM+W}F#no&xLrZ5*c_LN{!co&R=Cpj#5{Xt zLegw-qDnX1+^hnlfwsXk@sdq?{AKp)e873;c;n@vV)A(8aHSQ?PDk9|HQ9Obs`S8$ zM0c~cs5YczCay~9UTRqUtDEIy zC8~kF5pGWZH3}gnOZzvkXm~Lb=Q@jIg}+eBAB0(uOCdmFEH1s}bgE^eTV5Od0Rnv8 zT$dv>#>eode<77nzKGS~8%vxRH-Qz&xuN0Cyque}WL5!w$KLtYP>$OuF}UR9Jxki!^gEd9D*HUQt}Yv+5Q}lafr?w^zvZw%r$t2CZBn^-Tz?8MWTcX&$E6 zdh{;g8+<0k$PUrb6Dc5XE52xyUbrc)HU3i_m67;XGKG{>fMqG^<<+5-*{$Z9Y^ImE z=KYVhll0ZtWt4X`Y7Iz4tylRkKS(19ITDd}2H0Gh+90r_>lz;q87#dFD_q8}@-im> z*{JeKAM2fe4b?qYRHv-$lTDr7sVy)-l%3xRh*b82rXoxjXQ9Zdt zDI^WZOD0+-y7RK3U__(>p|4@y9hEx=48mTsr7aXKr2FZ@;Lz0Cp&NdEu=w-9u_V#25B%Gu54e7ohyy-edpyNlt{?LTd>>hA zectUn2%7#ZxSIW2SbSEQ)hbm6Yak|9?M_>jnx z%4!pX5dp93C=44&*_ar$zbb|JjgG1CY4Mlya2$O!ugcjE{JbHYuJDYfWtyiA*1a|8 z2((f4xK<0Rmi`xw7_4nGNt~b9_X{8!6T|!%?=FKHB#_;B z`tiD|w=EAJJx>WP-zF^2bK0^}cc89MMZaYCFDz_mY81yU2V({00WO0#Eosp+vQYhl z5mhoUbyk`f_SskUIm7R8fF$wt)P4)+W;^MzSGH;)(EbReFAnz3dmx3Qz>PxMm`>6h zVxg{ocDhtKrK2j`;j`RV@u*L_Cvkxusil-N=P+wb@cuT>y}O$0!{5SamwZMn?HtrZ z7*PXuG9a+agW$otlQ*sa#_wux%fY9EKV;s^G~tgX0Qq>1oPo%L9yQ;?z`P63SUTW{ z*XQLXi(*vzY5I(PO;>i6xW+)d`FRM?=8MXnK(6P~u$9Ar9@y~S{b}FrwgXB@?!+4R zgfO4{*(n0Pjd@sKu@EGVqaUCDjnCXpOSsF~D$k(;^WayNQ7uVb-(tb-tST|BZJimC zEZLC=B1$x;38}wjB4)W>&_`?0=R@2y5AWaxv54pmFUIw7FX+UoAlFjGSVHRoX_Ngu zyi)Pu&)9WX?O<9gJIn#jV%HPHnn}hc(Q=v`oD|DWpz})!ykoAWmTOC0FTv=krNf$4 zICfC+R9Z2#zNllPHGh+&zjP4rJX+=pY?YXxt+{U^J!&*K?1zaFd{iOM`=n(#klY(m z`?D!q%wJr>Lp1-=Ke5HqaINfj+jJ0kdh4FCIyN5f-}g{JJLl5g#T)jd+5O+>p>q+< zoPFS>(Kx_4PKC5ne^tutPTy$bl?K?E>_aO~I=552=S@rGuN=u-8SxaT7lfxe=<8Je z=&#JK$oo2zlTR9ztEBq#>B>yMK9gCuCZ(q>{0y$Ja5@qffi|X&1viKmCp=^9m{Nem z6-2Qm_xlTGDyPW5tWQG^rqwcOfR(&@_WrRSpA<)GztJrNWg_wXxhDww=Ow2K`T|-y zeMu4Y{Um(O-opDQdoGrKrmukmEii>MZ?-raGe0OD6|g5%of*>lDZW78J}64evCn12 z^P%h%uzgjofnowyy7c~%HhK(fwkn0HB6CCaX9QYq2XkDODV2k;MM`&XvFDuDeFP_7 zJKWITaNmOPpfP5=;}QmzwAOHG(} zmxO@zwOmL(+IAUmo4sHKYtBuAPOk^o_5lH>0Io5A+zH)xppr4++6fZ3q zuiRxfXK8+^7GGmTW!=9qcAG=s^z>z=*pJrTSKZZC+4RfT>K z=?fj-|1i?VnLXDh%3lK#ojHsy$5W1yW5N46vdxw1Mz83sM!m2PGriEpY0u*gcI#YS z=1(=m_oC#oyI;CYG(_e)cU?W-Xd4q+XSTg%D?@Ei{wV z#1_#KxwW#B*R|9>!yvPW#>EK;akp_c28t4UxX| z7MlPglnmanP3^c3>`!@^c&ZaEF5V)jn9n0$jjE93qoIKo2`VN!}7nI;?2y{odzziBca@pv5(9AqN520-RU4ztb74cdNtYw{Ak{e!p$jD>6} zZ(W)J(!92w;)Tm&Lypc^R3dE3#hOO76p;P-8qstZqL_n~Vx8A9u0Eqzb6coEqd!^Y zWiN;qRY&{NLg8$7w~&X_vL%`%B`|^z!IF`!#rn-&8%5F7c3vdi!!uTE(ea_G)#ni3 ziXqiP;yL7k0W$92m{_ed%vD=%ow}(rvC%4umoi0^%6mNt&O<*dQn+A@ggdi#4(yOR zB>>cUU``Hp7Vr(uE}5GRI*yl<#aGd1pA|_^{*4o}cF)fhYkI^T;bv&6Wd7u>Nofb# zjU*3#*P2QAy`5%bQdl%<7iKj9ER?AWneOq5cpFK~euCjxW1_*k>XruUJ0K(rMxVFY zin@X8bcvHZ=S`h7w4FHc-7}p%`sVd63)(O~GK-#PCVDBIRyGwblKsaNJvl2w#ddP> z!rouW1JI*5PN{H!K>wr^pGse$hErM5_K6p!} zpO43V&@Dlu2tI^n_DK>~tBJqo;~!+V6+IGFCf<$Cb3@>zOFB@gGtUQeV*8%%@F19}L3`#5igW>eUdBW8}AY2a#n z+5^yP9|*H~MME>~%3j798S{JbL*BS*rcA*RtI--E?;~*xAHsZ{;U0aWU02^R`JENF zGsTEEAo-T9`murSG7+V~USB6b54F~Nk6Yps$>50_jxyG1cYs@7$?yJgZsH0fx$eb$ z1-%d}x&dXTcoH0sDid&OM*1Zpsr1>=l};A4Rd)BcK$Tnbd7TO#nKEn?9(p|JQAvD&_3|3?WHMKZc!CMb|$*Opb6c z&~R{JM4(3ZZK-H=;UczhXX3;A1NN?*pQVgxKMpQJ9WHE+niP6cfDcX!MP#DRMEa=u zhAQ<$q7rTe-zi%fUMi$VgdobP|LnYdtJt2oQ&uy;_*cSBI=Vp@`0~569c=Jcc%sGQ z98JF}K#595IJo>KU+#jlb!HoOg~l`%7f%D;UtdGoZ7HLJwLdb;CWOl^ozz4)apd%G zTrxJD`-o0onT{n!_hk_(s>@Cyqn@?+Z00G*EYshZO5r18nUbIDJTG}H58eC>Ub+bI zI`k)KD*D-{Xr$O!?mn>8AarIkuSk#V|8wQKjUVZsvc6%d3vj` zyPHQt%~35F=6!tNq%4WwMqWNb@yCbR`v{~=k%QWnLY4#%rXpWyJ+dyqm*|7wOo zDNzf;-<_&{B~3j8Tn(bR&|ps!Nix*?{5+W080=HXrS`bH&UM(U>DPr0+n{vE3kS}3 zv2BWhp;hA-lBL`ViplS$ z6AKDofY;9xX1@y&=m&rQylVf~AJEguCmKrV{Nrhge|!2bx-VNKbaSv!F)<|t3Yr9R zbe4Vi@IlP`H5`;|3CvJ7F`?j0Lz0w~gc5Vldv;Ac0}VE%&r~kccRZf6yrn)4oZ4LZ z@%XAYiV4=w^9g#t5E{g$nrVkc*541^gHjV+Bm2E;oz6U$SvE0z|H5TPQrN6RXX+=6 zhnS&MPFKFe@odlrxoqNNFqZ+#%}lkX6O~GlP?!dbG^s#W>OWbMx8Ul3B6`rMPUx;a zK<@4oOTMCLLG@nG+v~v|H=koP?znv9Q50V!0{oAT%YSp&{%21YuqWN0&v8U*3<2#p zx|BZpLLw&Cyq=i3;)e~_1BnoDS;Anb>upcR0p7oKPHi{|7iF#V!8JCacxP+?@*#sU zf8s@tQkK>)fp3}18#h|g972FhMw@aXp=rb37%3dK4;)WPMZ)Ki6AN_}4hwLL0Q(){m z@(qlRs+mggrsC-A=-;2&lM^pIcF(#jG+fXqfWEv16aF}N1S*86gf2OvWjOEIm`o-; zZWuF|gG_E~%O%Q4ICUD?8H<5u6(Zn65ypQMUi>bor&T6@y;m2r3vt2sQGaKESfoMNIj780Ac{Fp>7X-5X^|* zs;D13-JJa7`ZN}VQST#t;zqxDCY2mpD$!Xc*+5`Mg>EDFPsXLH@XzmjpiZjzBaF7L zz+G}_k{1&;DIOy#y*fWtg7i@F0~(}S!19fyjiACef%^o=SHoXl;&qU}#8q*7SuYM1 zi9hU(=8#S8-;4JU+0D7?VJy8P_y2}VL&)d{Xv?d|f#B&IJdtW4Gm|^SRaz|8rjGGE z=Ez*)Oqx%HeOH{RGM}KJ${XKkwEG~a!V@^jD0gqToNBP#b;LcL7*n_=Rd=HPE3izu zK)#X&jA((^vfAX{+gi{{e}nko|^+P5Z>w!RD~$_Ai-Xm)4@# zqv=Vt;{|isHo>o=xNK96j~qbELK}$P3q>|7WAT|^In|P6+OED3aoO^pNLXk#FL*~G0Ayh_|kLjbnhtqlazr-V( zIW|Nm$#Rnj^RZ}Fg?{Y8ffe61IwqpY+Uu$Ns(2JfPA^^vvG1dkLd>W2`bil!hNfyu zxC!)JMcft5Shlyf{h$820Jl{)M9I2&pzaL770y=uk@QKYr0|Gh+=g9Sk|eC-*Zyg% zSGrG%YNf>-w61RIpO7VeW&ba%g;mg+yRl^^N_k*r&Hju`=R2XJ5V}B@)X%Bn27ju6 zub?7ksjBkwthw6NtwxYD< zQvEc_x_x0O<#$$^WCN+R=fGTNnp4Uc9*b#K`&`G+$j6Bt@TPR{g<|30ug6S}?&<`8 zNec|hiQJ9s{5R+5IZ|b*{r2WB)4da`7%CfnMUfX99KAKf@77RL>rUQM@&U$ zV+mbbv`6gDy2NF4p>6Y@C3{oywYXfO6(#2Ss>__)?>^I}%MhC=WfJg!=sO!lm% zeFhoHulbQ1?R%rVTv_t=dVN{~D_$fAEPRm5Cs@ia+bI}$rE_rc+Ie~PqRs`uLMfnq zy1Co^X^O{aWd(ni$$`U-&^YHS0qz7^Mi$M}oMnmbPH&Lg_1t_u3T*(L(Gw|Kiw^JB zW7xzYBp+FNAK5Tpbkl?tax8K?Ss>g~Iq1R|7+Yv@Zd!_-Zyi zv9=R#8;*~@RoXIZ>nJ9vqgz8U=BX-m6NPBx>?i+MT!ypl8JD?YYlYB0E#S>p5cIUp zoOLNCMR=v62P;G;}>BSa-o=*^Zg@w7(`MIdeVqoRE+$5VcixV4@*Re(_H?6p7 z(~FxdHG%(Lt@WhVLM3z!rZ^YH6+m0o17z4NM^tmr8Tjs_sn+IB%N0&&C~pT}=TIse z5f<@FyW%a8!7oN(D+#Ot|G2%Ag57kT&GA=T5N1iCIxXx@RF8cj5(zzao;~oT=Oq|G z-{sSzf3{{OqJV$t4gVZ;lkL&Vakg4BKTE9 zs~H|VBJIKQySD!JCD`gdmOv7i1tzx@7`imB0a7eu6@-E>8r-u?S%e{0pjDNaW0w4U z`{?V%&Le;_A@<0A zW0*Evmcm?Om+JosrD+MJx*BZ$)?2teHLP_HowkaX%0DLj7U|(5ExI?CB#C7 zy0>$kVbs9%RQE8^s_juKPQ!@f{@zsPJ%#u7{Xspod5qu@-3pgx6$I_@=0Sb_lfhI1 zJ{2S>A_MJ@Sn;LX?iECH3V!ps2-=?!QKQ(bRkn5~&vpaR=*H@TXVw}gqKN4`=Wl)6 zu0X0t6B!9ER`cP<{81$R;`c+usR;=A9?G!qFv_H=WdsxJ3~}?1+wOy>Gock6-vEAC zvXBw%=W1(VM)%K{xukp4*ZFQNT!S^p95$O}g<3u5N7d@Gh32+v%)c-v06IwLtD2E2E zs+aa$chMp7M!Z+`)iUTIV6&&}S7?pR6zx{+LbV>Yy$>aHbs#fyuojF`IGN6H_a|8kk1T{&q*hDa|R~dk|u=V*h3&k$Ge6H43_!^thq?;9I>PtUC|- zI8n*3;Y#Gy6MhIUycBwT4PV7_Af6`Fqt<+rUh|0dX?pk67N_IqR+)*CqtfnDT=Yrw zhi$|1mBR5IMZWxkN&{c8MNrAOjZ7`gr z$Mt4X5-ZbF>9?urgH__*pI}XV4AIV9%L9@GL^9pK^lP9&|8f_p#>6SxmPcm&Y~xXI zAv16=S~<^dXC;W8Hj+x%sw!V8Uhd9UbgVqF7g~BhQ#Vt%#Gj`SbO+aG%#`}`x~M$!XIq~dQAyjd+^x9o2zJHQ z+cBzFbIKCDZnu^}J3d7bizn7L^TuJ~=5TaYU>;Mz{}#J>3kN8fRRK7jRejU}b=2}YW;1WhfIRrbrTT@6{at$g=B z!$nR9f7OhM(=TsP5dSlBbJqbUo>ocOd7A)I!5Ca(St?M>$3=V89vb!$oohFRo48}k z23GHBNzZ&=qdjB@#WVq0hSi$RegU6XNvS3e+d10oFX~S}GI?8hsdN$Uz(GCoxI&}IcE-Vv^GA!Whv3B3KWLNtlV0MH$t94y9Z6K zv2Ri(ShdJIj&^O*-^0rzem6}oeML>LvoV;AB(x-_JrW|Az02-Q9>HQZu52nzdl!L} zcLUdNy!q^9Iu1=T&X@Dl+;W}-pMfwCTF+{c>Aub>1{qA5_Nh{MOtMW*?ome4m!73! z{+2{Bpq;3~1`9>WF)w}#v4$i)6FLUzb2E#aL&BZ-9#FF%`Nbw^s#q)JqQPgFGs(t< zP}h#3l?l&5gld`=kCN#31k@A7xt3CSG@9K@_Ih)A*%j>t*slf)p6Q9X*#^?E8WW#2<@u zqZ!+2n5*mN(&2fENo+qp_@^fmR(;eA;V4NQP2}!dovPKQN&Xz$K3|t*moYXtx9bh% zH)+(z(v-?Oj~CU+q-6|Dvxuc8gP6c>Ve$7YK&0YS8N0_pE6h+c=^%T5t`eLUB&dbE zU6rpL_v=q>N10UuuAgT=qxu6DN_(lpkyPLPL2h= z;J;oB$?u;rY2jwL3TLP$R^Hz=lVWkCjK)R--x|yQ3O4BaH*RNSRxUGwCv+g}ir~O) zGFN6uL!Nto)TkSOD+aOsJPGVUD3?!uu1c!*JadCmpBMwB8*QoA)JAWX4m~V_bKN7V z4@Q{=8C9}eJ1XLxq5rr7rqiB4BBcRCKi*XW(F9oou^r$frS`X$_P8IwnB!30wZYC^ zAU@_gZs0^hA243MfCb2kU#+D-cHb$)5H!pMWlX$dOIU6lKkd{B(DAKT_ejm#c<%}VHKaI^V` zz1ftnzqH0g;fUDwAvwpN?&_wTKX@%&6tU5{&(R|(y z4wY&)+;hzFt-udj=w#^FUD+(LVc!(j|F{d>8`NzLM_h2Mv>UNfxu*DW7`Eo?kK-dC z7*~7?Ny{rREn{kbvY_jx?)xTukhn<226mUNTFvOp;HVTC?5=(*sj&ad@3_({;ZDC? zk@CAeDp`~tJ?Nwoe^{Vw0f^!I>^({o;uGJFz7M_LAGDLR-u)mFb^`$zUcZAPRJ|`H zIWnKGk$mV5@n%Xl%0aJu=qrt9UTq+1o(L{*oGD1hQ?c}#H=SJ9R{9!+=MBzpbgiQt z6)K)#jnAunu#PlyC$u}Cl)*$cmkHzanKR#2C+c!~f4$JF_BYdWKu$Lb1#_ukw;8WE zP7D;X?YXt)!seA17|(kZH~1fv?fqr6pfj%+>y;UBLpbAZTP)rdA)_`O!1zaH^o0+p zeo8?{l*<)p9-^Uudx-wU(Xw~Hi$y0Y&37yg7ls$DR4_O17Q7PeQTR}3|45(VusQvd z5uB+cj%Tt|@XaPw>2xVxdS!GB>ugyAWRkc3uZ<g*(Tp#m)*rtepW5vxVPbi1$lOuYNh98d5-vw4tO5NQdcT+@_ZUddvg zd_u7nds|4H#Nq63YmqLxvoU@r87FxD!j`Bl7r9(+orI~Rbc1?ED{s&Zp}^vha>DGx zxzmY}%+VJWr=y>T1G*E3EC_ z>I7DiAkH+pc?3TJ=+X)<$7-%%TopP7yf{-@M%-}{v6pfg{{WJQy8YFJbQ|q@67ETx zfxfi2iR-#ZmV@!rBd$b20U4lGr>4(15sohFqm>r&!PQ;3gG`mN!jedj& zkq^s-`FMDnVyZJb7{(vYMr1J8D<}sfp=qRaY`1rO0V&|ix*}bg7P^$cR*8ItjF8LM60x02oYc zi%@ly^t7B0UiTk!>6R6b%cAm{77LjFOgGR=$=-f1hMwoqRmPJL7DPY5?e~_iFS&ml zRg!5mz*i`pLj%hE0E7+vE&F5T!!e2-R(gvB%Xuv*t(%lIOB%mTpizw}Rd+JWXX@PP)!I&j%>MW=Hn!nrfyTx2shoW2 z+=o4l)jbd6MgpTVaa~F-^&KfHbvt)tb#rQ#6uTLr72u%og%N39rn>{I;$D5$FNHZ3 zVE@TmxFC0Lxw*Jp10wUS9;nbb4Q(WA9{;~$iFTDtsT5l)P{~cK*4QnGj9=11N;MFx zd_y|gxZ_99^d`RGs8Yz}{&5Fpiu3$S4|J}T9drh&cwb42MDk?c!d@3DpLQ^2_|uGz z*DWJ!!n~E8p_)7i?36kxv`Fz0cI=pu#C23 zl-W7f!LfP8Z7$0z&7DZPc0Lwdi7OnbQv2=KD;g3z{%J{-m+$C5FE3J` z1zaui9HS+N6R&k(>*v2kKczW87fJ{@gI+DWDp3}LjgOe~z7WC1&9jjP_*J#^7=N~} z9Dr@-|I;eo2 zfv-);DKxShV^@f6)5O0d8IEKvRiBMCxWMC&Dla2SVc_$x#XHFc)A4SvSj-u(eMLY& z24IHY!5tUXtI9ezSn1MujeYie#jR}5zy_Mhf(y#b{bhkAqvFpsHbzo;@GY3OEVVso zP3L=@2foN~hFEKfg88GE3XN`fb1^QlNCabCm(=QI+{GTd{{zT39vd@gB9h1hCz^bG z?fQ@bnUK4Cq(ZSMT7I+KD{0~A8re8-n#V}+t{;J8H$-`Re6keGRY>CvCn29+7Iyw? zii6pjGcE)w(&*QS*O&^?%aAHG)f%a^?$JLcwd{?Rc|^c(@L?kbeFYdXO~9Hr)VEO& z!JcdsPDf9|^vkU$C>7{vZOpF0bGrcex5=I=fEL1s%XASZBJ)`LBl@kcya;ur2b55b zd12D@EiU=gUFjL(@{fRyOXv&@*;s>XkEDAQYZyspY{Z>?%BgRMgfb2M`r^AXG<%S2 zmWkiD=JE_}mjav{H`jQXUL-UgnK0cjmtV%`P|3wt!7%CLf6agf;n{Rkzm~icky7Uk zFWUR13c@LqDU<2sa1x(3VF)0PW0~5)DU&Yb_whQ8tQq?hE=7gam_DNgw4Hi0=p-qV z-7Si(di6kUFFP|)IQ|joMkA_Fil+!mB24(}M@lOD{LD&@eENiK`<#u*iI(v~@z}=C zxp=mE=G#N9@ICAf3%JtvG?N)EsWvPVt?&>Bf)G;`M}(b0D#n}sm-`YS2GGe(%6~4= z1ri1wZ;>^{AN6?Z@=WJ~Dy-F+KKW}vXP!O)@?4Hg*=}ON9&i&o*=l3lhGJHJS6e(~ zsb9CNL|@!?3GcQVz@?pg9;D>0yD{Xd>3i(NC=yZ3m(%sxBCU@!i%#$-_1G>$c62oOgC&Z4ruW8u z6lh-7dmh(}Rec|))2>NIW0R1t*!vgh!gd>JiAu?@6S^|qXAs?cz#QS~>a@Dw^aVJ5 zBhzvc$EYKPt+ws7=Tse$JX>{3U8oNW!>y}$>XOz`H_F#a0hcT?D?{lA`~%%&CgUCv zGRFd;^w@acTW-+hJcmq1(@%%`d`MK{pfE1O*KWu7i@-<-lU#1rav&V@NJeU;o2WXE z+dIYlVc=L>Gswo6*6V1nC0DR8WhP#4#-}8mBV4|-hrw-0SV`EeLT|~0%CM3t9J%=N zt?ph*Oe2_P`hFoTc&^mh(}o^bmCpDf9iF>>kbjFeM2&?^Yn`5~#53PAzlvmy6xR4@ zpm8L1NhhrdX+kA5TZfE~?pUX%pM{HK2Sp%yacZyL-qIJ!YrXV5s0w76SdjZv?RSQo zs@8m}i&<{7uJJ`8m>GYl2yug$&|DB zv$YbSQ)Vh&*qEf|{*?r2%=m%#$7Nf89gLlNkq8Tj0(nm9k40=Vm>3;%?&OspP2cn z7>)bHf_)j~psgc;yW#`wgsIzan9Qbt;i4R5gz2*Qnu;zp(CXste<3K!ud80w%x-2>F(KnOjFpBh~!(yNArp*K#OM7{6iv^TAE+{{%>IB$)@8q3^oXM zV%=^cDk>WfEN7G{b6y1n0Qq1{&8hx{)dUi%juNzAQO(OJlrJk{kz1nu!0oX@|%Y3DeJn&l)ksk*m_<{F6V+BL*&< zZi{IIj>ot-?KD_f=bH;tpOihzVf=6MhK4fjZ7k9`<{1Fv^8LBSj7+qm=DT0%n^KLIO@ zS9m7~ZA44Rp59y>h^BM9P^_=&JsWvmzIwU4(2Q)i^JETn@Z6Um9rFD|la)=bx6uEW zlV`cbMR4SpC$atO_h%svZ>jP*W-7NE=t6Z7rMR#Cp9BkZgYNF`6pB?O30hjep(SYI z{NJoG)Y<|)t|vYJRAoW8H~cR#)=84YIuiEz|BqIp|HiKKm$c}gdvwG24;>UQyyDu< zIPcDQcqv|^ZN|*DqhW6{Y=CVpr2ANsPtM)^Z+M$74;4%d=jj^M89=wXz1GL{;gpi&y+-BhtLePHb)30hhMSb@8J&E!C6NZbD)bAAKcPs>lDWd@>)Wc^qT}oH+MmQ4o zsXx2YNyQ6Uw@lE+qdWM^Phe(C9x6@NB_9&rg5WV7=iR- zL&Jsk4_wx`3F+ON633-4WMpxFnK|I9oM?1xM!mvjcV!)L#NYav{1_{Z>q90Y5U7^v zQ4{uvsL5oyfT2S=D0o>$oK$CmL7gz9|Lf;=Y_FblV2{nVlO-rpTW445#7%UkFPztF z6fmZ)qp_7G5&<4muMLlb&-?tN@l_$X%SDAN8IkAbfS5HVA8oaeA+>T`(NvM}Q^Ech zpy}nc;JZfd7&4>c@rnb~vVi1we?eeD-AVCY{4)uowL|E~hJ~^lHCRbbG_?4f^XDcr zDydpA^5&Z~A~Ur+F@?=Pd=My@-Yz9(OO=@zLDl3i<7X$Z*$&3SAx)vYYXO(^w25^P z>;C-UQEvKG&hrHp{eDV5r9I6Gizl&Q5F1YbX2d0aA=~N4WmcpM?Z(9LcE6G7U1!g) zA84COwyjLw70Ip)U(8b)eVicdQmwrw#?r2{%6B4YoI|@d9W%Sw9UA@FZa~yhpsB z|2v+n+eE)M9U6iqEn9cU_%-tVlk9x{Ov8q8SgnI6=g0>f4yY||6-&dRSQuw(b=o!k z*NsYpXR9?`mBAy5&fZ2$`G(lM=X3d`uj=`kI2j1ix(;o%i(f+(t~VeO(#jLDv?4J7 z7wZbOYZBjF-;xX`pea-uy!HaQ*GOHB5M5W*Mo;s zI5tJWQE^d6?C^otNR!r)EXgYE)97Z)fh#m$HkuH7CZZqEGWf5P(ar-tJD*x0xlr!h zt_B=tj7M>jzEh~UkPG);NfSt4t1?H~Xky9MnX-+g((;WqDpshs(R?Gd0CLE9>)?0w zM{_;`@HA35^-8&|e+QE*-#*`TYCM)kIgD&6ui~Jr9ZJW)V7nSQmK=aW@X9sJwa^3a zO-vw!x6Rn{@mi1ud(JO!s$agU;*}BgWS(+{sYTnxOU1A&b>@0JY(A6s#40)pZK^&q zb5o(mqu=&PL#WzoalEclf5jJ<6FZF{laF4$2oa?iP}07^7mhV5dQPV^uGnajjXjxg zJv7>0{f>a&9K^LI0vUBZ1jJ{$-|7%h^O5a#=wl+8h%cRyQs|WY6?S)eK>j+R+iR@N z!JIQ}rH5&2z`i`3u@zuGPi1uEMz<}I6NlZ^Dj0&jbc1XbPb*XTDYrnO80I05)AmLf z-Tc(<3hViX(nVrGY{Db#wyccvD}6@V3%rMdBp5c#f?QY+NE z=;m?htZ(&r)2%G958+EBkU11+^nW+#?w8NDlDw5&__-&_pN9qaETP!U5QxAzr{B-S z7_4rdX>`0S0^6K-Pzzk}bGN%4X(z4OEFB3mW6A&b?2j!ri7x~-o|VfnRj z2_{ra$)cl{X^);S8n~WBTuVl+KxVZUpa?=rjlmPE^dbtcYNNMsMg_q1Ra6F zM2QZwC3mpkNM~++4ShqExzE|$|JRf{je3gx4829ROXwnrW2k0RfFwaC$v|S#rn9Zp zxy3D85r6YdLagq!OHI1*T$>esip=81V>~bOjUC0nwf3)vImz_p=Ds~kyWN=rULPlC zp(rhc{}>$i{uv4KOakBn$BT6ko5~u*yFRNv^~2;N9;mk;(WI~ODnTRZjvID@tHO82 z+H|H4jmr%6&^k0~G5QsW~fVMwPJfC*Q7gwapHEMTROzsD(P<^hz7l2FZhXHY28An0DMJOVtgp)FgLZa~ACdzsaOb`wSuS7`ruDFcnJoNs_>Fe6>#i1XJ z-4G20sLKIsvn^>XCe1mQjU4cBHR;K9UUZDXX3Zxb&Vf;PH75?PB>29a6bG1n!?ZOe zYqj*548ae=?b_lL0r=%fI39oWb5|G|-N2a`?GGlejZkANAfzt8iP^j!zITH#_gq^U z9nIHT%sTj@1#C}1!PeJ{8r={Wd6JCF@2P3GY;K2~)rYe#G8`G(gMGCZlu>xqGvtXZ zRxq06SH{XF9(i18TZiJtG8uLT3O+PyCN1pjHt(804FWgp3=#0(SpA}9N5ptz-E}i= zQ)j6)0D48v-cSXL#5%&kTS!fO-2%z_rf_XWk0wGWr0n@I1UYr5{|GmAg)0C_*g_tr zvD&ovg-WA`8Zl0M;Y4KVBD@TLC4uCu*ETwAtb@bVA;II`{+Ba3E7e_ha|p`D95l&{Pl%)?K(ed`M$Jcw*{Cu{N3@#8EKFcQ^{M*gws7?C|(1 zQt)A8*-yGuKrH>jFWV1F@R*~I{Ey!WxWhh^Aj1;X9Y^ABw(OR7rQ1y-#yN9?)nlGh za5CvU0Czm~+1LwAm%X~1$!5mRjahGC%Mi8ue`ba5I0X|n=L=t&9Qq*OcwkNup? z5sw*%;FR`w5cD3V3tWdPhV(E1CkrSD0Ew*&51lC-P2}vQT>RsFkNOYm{LF=Nk*U}* zfJ8=#9glRo`q~1^{_&HHHl^Ovwb_{XJ?gP~W0u3g8g5(O{-wa73+4n4=XOTLr#&gK zz5Yq9)Y_MxARdaM`#mpP9Acy`!&C3f$=;;N*;ef|cGyc1ge;&0hHC~B9v@*DpJ^{C zW~gS*!>IG730sUQ{yY7<;}?}5m4niya5a()`>p30Jm6H@e^6SS&b?Z^_jo<qeWQ9x#;2%Tv6`cTyiu*gQ%j{JV2z( z=+bcV1>=fIQmw9k_xiLE#{MU-PYBkZx7JVknL0F@e4#Iot9P0ANQlbmt2`#KxmOZ( zPKOG_BY)z=IlyVu(0=Kngh3z=vKVqzARl9xwrV3UeS(f?HCklL^~M{(QmO2I>Q6NK z6KloXQ@QyT`R&+?ncUc&*ND?F@87>yA=1=P2ZK?#m1k#37~4cnP69IQThW>lwC1Z~ zjU=-?Ex2zsJIiXCR9k9_22MLLpaF2yMbR@xpuJ)(4wjH6PzNP(b${FdyH4p_wY`D+tLbqND)Q!tPb2W!uAVzM(;;A-N+MiDnfRpxW&z0aW!}HH+k0(ba^ZEB+)kU z!n*wKdu?{Q6shwTLfntyfwO4&zo!3B1k#PLc7j1a=XVUV^VcNIc$@N-{jxXFeGLx# z2<2^C-fzL33Na;yZX%)BoxM?ldo#^krTgrWed95mPxr!|XUcnZgD`v(#SBcMrAU%D zrpy^_C8|j}#VwRpX_HV9H5IM$n;|_=iMl*D@G}$*S`Mef7LoSvcevp(@ZQ76h=(g- z5DjUErt{)04uwnOs?{67g<#R>fwx0I$J@87Y`#KLyeXug1h*$oG~-)yV*{o*%ou?q zBAYjR8)z$37VStb=T~%z{+h;g9|9`m@}&d?My@xOJWm_4iTM?_aBB&)oLux>tp^Jy zwzBn-#Ux8UlP{dyFd%dky1Becfb|C`4s@O;gl=3GPHgAv-4-n8b0@UDaGAh~-$O~D z=VplmeG?|Y3l@?g$5HEID`DZu>>%p*0*KkgRAV7@v?Ze#qJcME(GO%@7N^KQT43mG zXylZ&XUyw9&n}^mC+%dp#-&OKq5W*sc5}Hc3r1e;s(avOkQD7sMgmRWCu`<6e!#Sk z;>;vx%L>FQ({6ocl~A!wT-=9953>@s?bd#|?`d)8ZVgSvH zV|Pxo5N2Eu0%5-CbUe}18iO4?7>(<$Z~Jj6AXPX-tefcZ^FMfigi*JPx(J~@RrP^7 z`@^x6Or~ku)j`ik)1&}j>*F=%CZ_D=aQQqLDFwij8&6xgF7?!2&o12Cx6C^C#TL<# zN>yG0MuPF{Q-$)yz}Seh_Br8#`^lUU147}K(82!kaiY}DzA&X$3C_^GW3Fn(9|-uY z$zcEKk_jifk&2johbU{MBy~OPDMW21CZ+z)pT9osbG1SBlYlS99&WFF&t^9Y!Oo-0 znmxJ>X7c$!^oaR1HPcj1)gE|y`VB6=5cLaj`*UVfF@0x+YrOJ&f6}qlOOnIk#w2Ys z1!2{sq9ZYVbBl}tJK2$nst=zfBk>j}Vl1ePFp{m_`+ACW-Hg53$g%(x*2ehbx=Asf7Bq!s`n;;p1TvJRrs)x=Rhx z3KDHXe~02$!YFSvi_r8ypj)WMGgs@(gp3$h;zD-FgiEDEOHaUa+l9}Fm`*Sf+85xQ zR23y$*4vF$5y&WLygJ~wM{6<(pt~!-i!Ax#kbI3dJSkkPR&7eX0L?MmX(B-X%+`7@ znHa5>kw?xNtOR}1Q7aa2Wi8F<6P`_lH3BaGJE+p6j72B4?yifG0P$N$Y|a?S5A)oBimjdmWwfJsnPSlcC>5`$AwrxMe_z){j*tQ;s&^YZp(NQ zHsxg2?7a*^H|AU*e{)5>XZ-E~S8F*R2<5FZD~Y%P1ezla4F%I8YaJ=x4FHYauAAdU zDnh@%&{VJ|08j&xDZB51@Fja;^BJjX*;WVJ*CWD+6~iLaY@_A|38M-dN_6suwV)F6EgJ^=2FEFSgdr)g4A}UT4E-azqWu&i= z_&4iYS?;-m{ak*5v>J=o_^WwUcZEz-Tjh>7Vur3{Ek6!sI-4Fm?ZCZBqofuShBPi~HNw zeA z!`vts9bk`6r<4Yeq*pVLJ1^)Tf)OO}q7VJQDKOs1Ey;vm$F1SX_^ceH7K4t^@5yoJQLx ztY=P`jXd5$(rm)n!QP1;5~~~d}!7Tc;d9xsr+HI@w9e?CW$)j z9It4FA6rOG>Nmp+oV3=QV((mi@)^GS+#JzLWW8s=nDp&EL#Bkp z)G`lcR99$ZO33b<5m~_E12HKKGd*2Ji7I_OuE;cWfj8!AE!h&Hm*Q zi0~JayEzFosteu?e4W>`Yl*iz-VB@-dM(g}W5)=UbwLGcb+~tP4qO88N?}-{pr>vr z)#3`3HBS5{>(tjuxy6F{{_3Aqy`}RRycn)8&XHe=EFFZ<9P$M7j0iFe$azO!=|;c5 z-h%iZOL4At+zHY}a+yP2dhKkk$`VC&1;WYoJ(|(|HI~5Lypw?Q&<+|0Fbj|VkItQ6 z+;2wMg})^sc5^!ZXh0S9l7!BH#&D*i3bwR$U*4fGIZ=&I?@9U4Y)iDX1yu4ptqq^2;_pD7ZM}N0Bhffj4%15vhz~jWh@?dY&9wr2I>2%uJ6Q5E z)x32wlWzVJID_fR8Jl%Z#$+a|EAV6>FLR9*!t9^pX4sT~m%*C$N~P9RmtI>-O<&-i zyr7yHFyBJJKHD*o&9p05$c}MP`@`^%IHCO!U#PsG>sT4k1t*N>*Exj4gxiLZ)=sRH(jY^+8>wbE z<8AfNbE&87H~5d~9a&og>dYP=(#(`HC*FA#h3!gL`ugVqp*BCpR&YvXrdkH&1x+%7 zPO5=O^_h~@cv>d02xE=0q*<)x;N}K>MXy4}Sd50j6U@WvRFe64%{N#{&Z!)cm@))x zR^Rk6stLVa-gGnCN^92eKQK_AB3hfjg&3H>V!t=W>JX}IhCbk-Kjll%$M>aRJ^k-N zK}(5&Z-d`f4X`zrNYK0u)}dRuTU>FSo6}BAi#dl{Vh~QI8OXgND53K@FT7?HrR4h^ zH>`*gIUP`mn^|&zA?obVsd~T$rIcCHfc4^meF1dgw*2 z`NKY+<@*KN%af!eMHOi|0gpI`a?fFt<|xn@P-B0TUt-gx&ka^2@5L&H@C~e-zuibi z?z|nB7FN18N7&M1 z+To%{WDM2`c$pak=HNnq`O#z0GPzrfLp7>q+=SICO*o%Qoxy=)B+3$3t=$xvJgrq+ zxrqghRfDNbgo=Y7%gf(|ps7@CDA4~#Z1eov2JSY4L+H3mit!MJGMsDq{I3QoZ1F7I zsv?^;@J5A7eh>*J%x;?*F1)KUsoP7!bauR-u16Xr*Xip~UcjQOM8C^2(@B~0kX(Vd zXimEsrb>NRULD@9>B|%j=MI>a*Bk{LhKMO3WdsgXJ{jJo(#8Iga3eA6Xo)`GtmEO&j z@I^tq4@8$>qrnUQV8#l#QWyX+E)%JrTbSh?3Z7J`e7=N?MN`Q`BYJ1jR(rD5N{ccV z`3?EMrx#b~OomW#pst?JLOUdZRSJF5Lb`~ouUQVYSVDnD z6WN{)*bA1>2ry?#^E!f#J#8)9>D}&o{Nlaz6XX@>qV@Nn_Ft#x)&~p4Y|2F{xsLeM zo$UD5Tojpr(eamaXS`Pi=nkJQf&lzW zFwAH_)KJR&riWhYAvOb+?wWA@Y&n6wk13i+TVefi-LiDn$s+Xb?UV$GZUo?iV8qp{4d_6hIX)vKRLZsolEB@k$? zRa6NjG#~q1aX$_gS7(@B3hqyxJu?F6PHu3>Ij|Y?h)vnKw0Q~WogWWLt$7}T5|~K~Cvv(N z^HRHoM4L`E;t{Z2PB$y{B_~lxeY^-@{l{G;sX*m>rF=J#iHnYQ6tg_7MA@(p8P4as z1lJi}Br5YQn|&78nXA4K2h`{FNi+-}ud%es)n^wA_({UsYixo14rS7+-H+Y@6Tnpu z73mH7LTKeVAXucGLtt}Rqm{gNGzdIDeuAO;JB20#j(6g#fAa~Di1UsG&3c1?HxUb5 zAJ{x$>54)p&9(VodaXZshq7Qi59*%l5}}JNkHkOxY@_LA34*8ax{d>=s%mhAXI?(t zlF$jh1&0Qn zB)GdvaCevP4#7RRLxAA!P6Lg*J2cR^yEXEY=Y79#-hXCej&EmXHfEF&X`%s_8!{m0)q|n}3^|{PQGSG#&pfA@t||7*PIG&IMa8`GyHyn~?UR~u`Z;zC~8pi*81uB!u>CtU*nm=LQ3!Yjvfa$juDnDyL?`sm)y~PHRk&(r* zsl3VGpT*GefA>^AQ?ApSgN#KeXxL)-&#_Mz?0k2UP8yG;$8}pLkmdQM3Xh%#i%eiR zz~gMLf@be0|9{#(1?T|B8rz&k$uw%s&D9uV8x4 zda7~gkDeq1(&-6k4SUve&pI}v=bnfD!&~vpDZ&{XIr;4Nx3pIoEfJ}iYZz;rm)|+P zuPdI0zP4bb`j3r+9JX#UmJjAh&nn$VU)U&QY%eS)`b-cBe|$8}Y;bpC^S(OR&JOFT zVDS-Nt~Q4q36V6ce(4haQ@8dk=kr940}fc*hRxJ#*cYjmN*@ZS2eLqVY@KxmSmj5H zjN$Zlc60RELReT>1BncNlb8E}ER+IcEkeCTQj=QN&Jp#(ff2q*`K(`?m2h@-SXj&g zZL`jRU3DuggsvOhMelR?>k5S!Oz)j)YCy{ZWI`T&b12WnJ)n`}JMHy2ximmpF@K}bk1e1mYML=*=oNrG@*b> zIz8QDCBqzglX0QxLkOEiCYY@xk4-q}-nA7CdV@ld{c`xc72R$rW7oUXM}Q zAf9->!VOy`s4mZCVeY0W%}i@FA)N0fkR90s*wlTuVoT_~Q5$}NZO9p-Fyq8spj5pT zB@tnz%BT^}95U_W;}AX#)gCuH;8+oxq5m6Z&1|6^t&QPTd9|eh{iguuIp%M!#gbPu zuS=(0>a0eq7Eu`V0Hhr6@v^l8KD5-QN*52f64oIo-oN~?E+Tp!EcaES`gm7keZy)n z+s`|Rw*bSHF)>^8Hic`CC!;o~o})q(AbYPn$Lq?m4IvOF_QxAdok6!=NJDYUl#E_^ zj{{};LXayjS8I5#RpBun)fFB}0u~1^?pfzKlU$J^yU3YBkI-31kK1NZU4*Sm?-qBtQ_t3f>ymZMF zjFIk-STZ1_!$0(z9)~p*d+xR;3x1}%oAO#RgT-AF6eoranA@ zjqEwfN@2!C82u?6P>i0zsnC$=Lv%jX+M6cd|fu&6La!`8r3P#D%N{ z+|cZ)x+XP@`l3ZzTV&FBbUb!&saeJBqSil2001u)KJN{7&+8F39DHCKcAoSZq1~$O zmy(4Rf4TqUii*0W5J3b6WD0LYL?ilI$w|#G8^~%3w4y(Wg{fpY=`B2Prs~Nb|5$Q6 z^0s}hw5Z89PGp#CRU$$^^p+pqlkUA5sCzDAvej&qh8qpuuYD!tw?uZ2z%;&wuZ%E+ zlYIKo81#zGC~Fg%Y#Ody$0m^1%)Jt1URS-N-^?X;9&dhuvMicHq)(Du{B!^yUN&#_ z^ztEuF3#jy6|Tn>Y9>ZP6AYj3-POm>HVb)hXI!n78&x@^6G|jY1%`J37MKV- zFMqGaw%G?NX2OY#H}QjtMIN43-1~zEts_47^Wji^tO`A@xJcJx#Wqb8Mm2l!r({IK zA>jaWEjeGa&1+~7+iC+Vi%*6jZ#C1UG=8>XWAq$ysxw!MCCO56bC8xm6>;gFdX7=0 zQCy*7thg=mC--71)~vEG8~v?N8G_|fevG1o9My4U)iR|_%vakL%N=5PZ110Q)MJG` zuo63G#)-d%36f$?k|9KC0v<+S)2T=1YpCR6sa6r>4ya~<_I-!u*Zg%f)8C9X&oPrp zMQvRcDAhHz9ji8jbl!}v1j3yyAG%?&InXJ|6%gc$q4@l@|0kEN$YLi=PgU*^%XrlmFw4b zcEnK$SS5E6l( zKiG>gM}SY@{bg-a#gl%?#8D2rrxHfgvZ86_oJTzfD^(OSt;>w%=z|G~4U# zxn_=-rOE&v30@6$Ro>nWBu@%%ah8b5r9@Ox-Sqy+D%hS=Qp`6FX2?3cBjyi|3ecHf z_eaM@^Xn{gEnIZT6g+F^4s?Dc&xOS6L^fp&tLDj(=u(Pzw#}Mc6%4KmY;dbSdB2JK z<7{xcz(q+q35A>Ok;Reb=T?Dz^MDsh6gLQL#9?pIqp$fXd3AH*O<*>1M}QEH)xu z3C6^Cic6jGlat<`j!p!D=EE(WCi2UzVdrwz3+9SUrB>1@C9RR?LZ*w$&ay=Wo-#9t zW^%KIjU12Pj0*WuOmkiqywHI+j}MPG`Uag9p4a_Tj+lZY0*;$^Y9os*`Q{;_H_Z#2 z&IfoIL9PJ;uQ5h>v5fofQhlTq{q2|{okuzX^`7PT9JgOY@{<`?+KHCUlJdxDN2>hl z2uR7-ArNpN9U+JRtiJTY%urdF^^$1L-Q8>jl51p#5?@U6TBftI{n{2iHxd>G+slo{vagClIZaC*4I(b8U zn3^t2)|{Dd5UcFAgD0;K=#7R3Rq#7UPkQH1@JWyeFKdqmS9*IQ9{jXd4$}H=fC?uv z+L0YI`Hn7p1HNC~<_rV|%Pi&k$hL9nXRypW_4P9TxO#Mv8|wemR-4SedTQT&)$7P> z^Vos44Ge0`krHiO*p&RWxM4WDArGB@=xQ!Gi>tw$IJw5Re|BL8!+2&2{O zr>SI1{ua){k%%n#FS(_&;IZV8&bSSRm~1b^7lsNr;j!wE`P6(Bw%E1b^z!$7 z(jbVj_D$8XsSj#RYVY3oW{ACq{a?5!F?3XQYsv2*3WL3fpyY8=0&jgwju3;;I+pVX z0;RqEuCx9bz%7xVP5Kr`vy9<;pgqozq<#}ywS+oV))g*dyJV~9cu9=dP+OmLp>y7U zI0<5m`&CChPchPkI@HudO948`8QEn6Ny4StixyEM$^DT0UPK1>Ze%CKE(JQ8c)&*( zJ$|6=&T7ySBu*N2_7#u)m-NCf(@$nZMVPxXm(;Wt8R?r-t@aepg*ke4{+#6ZAv!*P zo%d=-pDrQ~$Nnte{g4pJ{MCjEyJgfoq55J9`5um&|CC)hHFELbM?C`bml~8%T$n?K zC{6VC+%YE%<5js%v!`gVie9;djFT6^B;joM@{S#o{Xna&V* zBT>=L>}h#7MErSLRGQotraPmHHDS4WaT|40JgJiJjvV^1!$m&~)=!aDx>Hqij$v~r z5pIi_F}NpH+Ny&!1R$#2_AO9_QxxiVw@)4%0)T>}=My`7nH0(SlJfWU`AM9x{r=0-`|N8Hi3J-G0VemQX%@)GDqWAw~F*o><|u+02!gF!ZxC-xRiqx!rH zL*IH*F>8@N(7jN!iQG2(9i;d8g-+9Kw)nJ_2wD7~O4B#tQ1!!((6D0)knqB2hWxpU zh=8N;c3tJQFL@X1=Y341@Yfk?)TR2FXCZPY-WJd-2+_mj*$NBKWe-M<^3N+4`dI(z zot4(Nju@j5C7NNW8Iu9`>6Z1|bM}|!9fj{z?Au;x*bA`N8-9YP2Ws;7M|Y^+E|+_r zc8>LVWx{_g%hb?@6inrD?1D+mUf~v&5UB_op42wCI`Vz%WhzBMVXDnC?8C~IwiU}k zMfqxQse>S^*SsX;Y;pRjlr8{??O7-{xOB&|Sk64_5;FNqExnLM2J^`5GMs1QK|j;- zb}#?w19^rJ?MCmEiA{4juzB`VsazX-zT`hUw_}b$mDr-D#y$}Nhd$OF7aC#wYeU3T zrb)b&5@lr7TG*>acae65U6weD$&8m2f*8_IMFN+M)SX?&6g@yjzU)Oe0an$cu=D*WRDxJTO`nDa9|%)5E0OI$JR_|rg1b_S>K8vrXatr>8hMU z8rN-beMn!c1_ue~sqNumkjb37?? z#771{SxJAE56-A%Qm-)DluvWXL3se)tmBMnBZL|TSfgZ;WL1F+q}q8rX|tC zR@bw&gptt{#2sbCj2hop?t=whvh5wKf@>ydllQFksC3>Z{h43NOiN5Fwb4iheO-Wu z|Llupya0Uj>xI(+YHqcX(EVe)lV*5d{CD|R4C`%O^O~7!Jwi2=IE+GA7;JX>SU1np zgsK97v`w~;j}Pwx%usZ>~b)?zxGKT@Wg1q^Fl%l!nXh+EmwUcbG3av2b8W zEG?()nJ%Wt1SvnbCh%p6=VfU90Bx*hh?Ehwv<8&=4ablAQc$@^t`2CP8ZRVP(|)k(w6fGm4-{YQzcDG2mSg~EQrJPOIgLKUDn|0=04+35Na;Ne!W1?Bv z3!}{bGV?h-=g!YzPsx&_fy;a6%2P*zk5~#d%0AwnCG-?|+UJ#s_;Ee0+lT(>fRM`$ zaVndyzicvV=@~Gk3omKG50`rBw9)_T!|vNN@4lWDJLScxk}jh8?5k0(QPZQl7{&QkB7vn8y8O(t@w6I%5b_u_@=)Dmt9tSMISx!QX51kVc>{m#9%t<6X6F%r_F z@bu&`86kTy34SL6F@SvdW@dLF`Cvzs8e7}_1(QT;uwdkpA)N^q@2<_4oZMik=d@kA zr>^KT)R3nIT{kM(JZC=pD~YaPp#0_FPo`S2cGO7_x##Xddk1cgrB16b6&7R)nf6ar z&*^pn=ge;}GR_mn^^=bLySmwj8)5mT!hX$Go?CPctOp1qkZ>6*Z{C7^LQ{ld$5{7x z;BFLXoKcE&j;qiOX*FI33-qDk z?8mJ4>mpL=8K|2s(BrlJhsC8>e*126BmG~5a;QmuSKJbHBr~wbTfdaor?13QlBxTo zF7a3_#~Di`&`MBgP;M;vkZR-~2f#fRCue7oUQ`ATM-_HU@5?-Xn1*!NR4#YlpFUXV zlz*ZL!=<(_BQY6GiQ(%=k&Jg@hCfroKC94P#kP0|IjCc>nNj$3eNUth`C1{11Pk1D zC-!Zn^O%w@!Z?>jViCJq%liaf!%ly5qeE_hW7k(Lqf1)oDj6}8lVo__adKpD6kX>d z7&xLey23fz;C0D`;9)b79TnJ+FPQ>m9#^_faYep<`d3~|6d;|p#%o76up<%fqB78k zB<1r95@4FP+P)M1DM>2w;w}~6S^JZd{aC-t*R$;~_x1r{S`K3)veTQ^cWXH# ze?Q=D2HUSkZ5Of}Ou&lnqCay_frQQM4i8($enTBcC#X$2ssX0sR z0+?~Kp;l46%u)4|yHyz1!{^$L{;&;8fedmNpyUMp7%|K&nO;oIy@+l!auspGL?R<) z!aG6+A=pY2Hak^`tu|rN#!Bg(k$Xk|`)N)~UN8I+47~} zOdg?-FM>q092<286qH?=K|vZ79a|*L31vMDH>3%^z_x)$s;2lF+2Gi6_C~+a7qp_k zm7*KGn5^=Y8ND;DZR*?J)Bl}ADb-!{A+nT9(Eeb~?0m-JL}I@wv%~aUxk43rW^X%y zQ!&{%FHCpePKSHKcanuYS~ju%c_ddIPn4{+mm=*=GVO2|=zE^R6xumHNr@^iTXj%a zc(edt(XAjySQN#?<>=aRZWg!pJbw3!wiK*s0aQf9b_nPD{H)qMr(ZT^v6m<78t?NV zU%*G6b6f|~Q_(}uh%HMz2g)cS3iYerLk|J3>X7g`g*>Zt_5{_59^QkSq7F?wav0+Z zx#DuNe1-r(vMQ}T;b#dy)^DkQmIaR_Y6DhNj72VQ?~f{sFHlFoJXH3>3nu7O(@Hdj zfB#t!^p@CqShq~J`}&$P{4D26SBG)hOr5xFE2gNkicM3vWieY=$TzjjOa8KdjK323 zmh%p*YOz)ZksNGRu_lDIz8DU&Ssz#=&o_EZ;Y~}QP29agv7D)k)@rx}NCu!B&n+E7T1i#7oS$}fd+B~%I_@L!(zz^ph z6+a&V7p0*YzH5HXLbUZUu*|s5os&)oeG#{4>T+j>k19`EV#Lfy1W&N(&2G4*h^}Gx z_frzLhft}7i8vI1_7WG3rE-@dQ5n`!>#e59sq?dSoU$q}Bz&TOsqGt<0)&@edx?$B ze5~)GVB$A=k{cx|hg_mx$*FAIgWJ~5V{?ai_3i1_&4;_uMg<#S$Mj=Ty<&R88`7d! z)!fa^qun8XQV$Mtj52fcNpRJx1&@xzO~u$cCGR2{wJcy|Dq*!e9Is&g`RrqGsZGUU zs)w`cSMTV96H&3sPyP`6QGH>fYkxzVrZwzr;d4)~pQXL}OpC_R&5Cvpk_xV&L)4G= z;Tl-(9G*Mk&o5hVJ}6*mJAbKP`c?w?&_|^q^3VTGK0a=sHWWu=7pVnw^`m?XsZfj4 zb_|rn$bIR>MeA3HHw(oftQd=S|15~1t96BigV_o%mLiglA2#v$EEhT?b#s3}6Xhmn zauZ1GZ}XVxWHIQtvXlDsW2fxdyf8nRp7b)<0DvX-pT}qZiEL~K8XVp$W|6R~k8UI^ z_Cl(fJ!flSViYM@nVsKLc01FaMFzK+_qYLa!>nmjE~oHGoK`LH=ItZ5c}DP+a35u9RtrfQ};r+BB*UX z!u<7NScFRnPv~Uky0Td|MzMD8og>ZAWC)F(AV=?-khUve0-r_V)F!0k0b4^p9iGul z&cVrJwAWNPC6CikI)3J5jch3Ud)9om(FsntK(d^qJQ?z0k~O9cfq8}1Z=d8WJ{T51TnIRQNvme&=qdK< zCAZ2O&{CRKsyN!Q;k*eAUA_kTy#Ae@sd$7qvv*PwZSg^}E=7y8){TR+A)5AZQzu=l zhe?@YB2o7z;}F5;n!vU85D97vKq{*~l(6y`EtfXk*mh9#1^IMahnt1-ot^iPMzzUC zv1-T{hI&`|fMbbCL%}J*!CEBm(a)LSn?i9G7ns48wxuYwQGf zwG7|6WjuDV3SuwTvPh8`z578YAJ#PCnm~12Yqf4lFFn6LFKMzq0w2tGXxNW57z*b~ z%epWxQAS23^Kt}AnF|a%jDcD0QtsoJqf=`_jI$iQhia?M8}`-%!1qSznvj&E=Z$a_ z>;Hay7#d#5L8aDsG_>HFBD0P4salEFh?@Sh!9J%hpgP%51m*sLjC*&#K0ECilUA+{ zo@>YzcnyWh?$1T#Xf;m)7Ojt#xrM)Jyu~KMO`y4}yjnHusV^7B9ApDDI}tTM2+lVm zKGLzRz*{XDQD=6cEf0t!V!o679M7=xWSqH7KEfTt(e7UI%X-9ZGYm@6XFUM#^!uqX zo9byu^^gN? zUvKgU(xZziwLM=#zwKFU-!(@*5A(OH@Ofr!yFnn7ivw>{qS6XvF8w=T@KYyb)v-xyE;D+1=)X$h$i`|nMPB&`2kBbv}t=FFyqSlv0 z9qsg*s{w#HHLgUHv$qk!e5ey3%dy)taZ?f-V6ogQj3O;-sL zGhj523|X9p+GsTf9@g>RB-Qay&9dhEoni%!mghO9`MBsc2jN0fRm5)*<*ej}h^9?u zy{Z&j9{GmuVW~@fw0R=>lYAUH19$a9z3!h|rc&jgZrs#oY5O*R)8aa#{SEP@H13^B zi=fw!e^M_*GQQxwT4=8tC{&_STTRM}>yy#{J$>{HhX^?KEdntFH866t<}V5i^m^PD z1=Lyf2U1EU9K7%o)407jz|Vbj!EnUHACWfy56vdMJj|iQYlyXm~7qWm`1;g5|kZh(wFdFZ}tos zBU66`K2ps_-Io**E@g}(R@N0D2aiY{G$w2G_*Eq<)+1|KiEd6@`fwkJ>`r!iWUZ@g zG9Vq;wf6YYo@{9|x|r=$*@RN2rz_f<;{<l%KJ!2Z?CL0D^+y5I#H0l8Vvh#ldiEaciq|cvz zY>(=n%}i!`NU6jK$VoFBOYf7Difu-Nl|J2UJAR`tt^aLwpR#g4EocjbIX>;lWq>2) ziVhn4696AkkI(OkW?NNa*sR~9sNR467e7SvFHqwKLel}+IgEXHYgG^HOcV&KOxGbr zgSt_GBvdgU#rhqb?-x|&>v!3ZA6ua8JoNnwe}5t!R<{+V(e+nc zE$xVGYhTH9MbTh-ng8HNg4?DF88pk@B|ndxwXbwda$Q7e&Y&R!Hufp_OI6dq+Iwy^ zo2|OjMZYRJJ;-LR-kK&Sb*tk`HpTi=u!;MB_4?PVD4LvO37qVn?cV>=OLsij zK!H{lP$@slqa7W20M9-F{_s-&9+~wgKWWo11FD7SquG&?82^bQQDkE_ifH`zmH%VT zChqnBYlzSP-}N)s`~M_U_5Xxay#2>6a0IXYkqFJF&>z=>`)Lr5D0c&N z;>TC_!hp3kSdojUzXc%Ud1|x8c8Iru_sR%kw<2QAWo7_%ocsdgz2Z-cwhlQC=)R+wG z#5vWL(x-M)n*3Hlya?hxuLKgE9h(*k{=^F~BFsQOanOYRfGU7oBxQ|an)^QzZGBS9 zu<-D4ctJeUjC1vZyMtPsnVA_UhTieh#0H~uC7>VWE-?;vwUm%sJaWd`xv45Q;gr6k z&yc(3DGFSFb|O6x^olHY&paRbYUygE{gd8R{S2@CyV`Q7iQF%qmTULhvu|I)ccpGU zh{ew;=2^pMG&RE?d?8t$eOvlMTEIoRxk`=brg0MW%YowGJCHtTwCg$MdDrL(fIuxq zx#n0Ye##e8H4{-?jOTdaNslBIAG}WLrOaa69@N5W`)#BRnL=PNZ+@S%Knye#r;$Of zHI!0){ADEX3Wq#*3Ee;!@V$_A{Zwm(FD-7gJhFhHXSA76Jo zXBUjp-MAt(FJm&j@|?*cS)R&>lNKz!YzP>>qSAG0^E}Z4W%%w@!z0h$K*vtoJuDe1+$Pf@QgL_P*(bLhFSa6*18e=z^auEV34GRH z7}D(s9+1K~4weQqI(rZYjp%J})mrPig2YC!>9k-~UCB`Vmy}pxMw`v|Ue;?^6d$viDhe_#jkYmn*3boX) zw_!5@YI02Mn?@(;+&KqRXeD-duoGR0!9g{a0SK#&y#&(S2X&u9lDxYytHjPTT}3>TAe@|43X(tDB?6H!|q?3Btu3StUr;u($Tw zKf#AFjAV+GFFCsO4Cl#xAQ7fRq7nIRrzEH0wY=Trzc;DS#m&hR7a%fGIDddda9Rm1 zu$P5|NKI5~92|AkZKa)<*?zk^e@A>C6J81Xdw;_hb0`1R1i%00=s$=nPRQRe7bYqz33eKa}@5WjZPp{PPE&$J>1@M zd~6;$AvN!EBsQm^`%K-L>}Q4V8l=j0ggVx2v<4j^-5KK_gxZ$uIX70;l&#GlpLKvN z_yu$zD5~3Czq)R!ORQr>1@tNJeGUkf>R#!!bIAJR}=kS)?SbPbg0W-Gru3=JIn~<)m|U z%Nf@BR~3kLVy>b%E`z824w1v4=W^cq?<_$i4xn?wQcHmH7QJYQ6Sw6|oiI|&eJT4d zIzfRChO*&>y4vbb<*%O}*#`A%veqv7t=$$3;1?Nsx?VY-L-63+CTPb{XoazAJ^nCouK`$)+1FZC8#%~|N zh3@ z^AE8EpLc){eXYP)3z!kLguW&Y#ob76it`n=aM(08yCGe~jQ?ZQ=n)>(A! zkI47bmx>nx=KGVm>2AZWHrowuBMm%{x3D|HFuo9+PGj(5^-D#6!A*^uclycNVV(I)2{EFKG@gH$isTr5z+Kji)6lz722j3=}}2oonke7zS5EQIEWc;yE#C2lSBDjxFTUjl@u6@&`s zj1kFp$9OD@O;7n%_(FwJ`c*VSL2`yx&9LUdzFtrM2V_zj#47&)zp`l&@8QT?ZSy?4 zyMXf}gSCgohaBm@eZ_%<{O<3jUm4JUcoJ6gIh)xZIUy8c!0oMINqaQYIoq#k$V`*0 zA2*krw&r<~TxDB&Ozo9Iyg;Ck5>)MTVDHug8zJ#)UwKYWV29?!`Hq_hC2P!(Q??6H zq0vv)?Ia{3{+x3g#~s~B#+rvDzOM>_P~Q$U2CXVgp`Oy!li>=#@U?75!)yQfx#p)t zDzJb)UwShMeZy8Su$QSpJU*jrixji^(Xb9m2YnN8%%YB-+Rrc>?4nlN;+{0vF4aqE zDjZkv_rBfWP2^WidvFvlIq>B2aw|3;Yk?q;_g;#KccnEdG(P#Je7vENQj4Lgi^jR} zP0bN!G)k${>ooL~t(@-P92dvSv29SgPu@^No0;n@Ngs0kG=14)w^+xMG4PGS+VwhK zJ&b{{9Tw|7G774;RTtQpC2b(WLinKKN${w zrScU~dDcew0ofl&UoOPVs+p|;X*P%|88f1E1?kkr1C^_Xun&0!_ zkej>NLrAXZ8EXkEjk;0Ku_w7GBm4t{Qq8L`9V=LaqyV4d%4DETrhOKMzb9^-!j=Wo zJIQ@6uRAv%jRBOU<~f_2D^f#%t*cmmyeG7p20cK~dA(nuXZI}{){{1!qA6L_%_-d2 z5SzGq%<44^*+!=>%HACbBwU@r!uuuifvd9b@6&~0i^(#iS{YMHQ1IX{5MZ(O`|x$n zS9}fr{@U6thl=qo+AR)_DBJFq;*q5W(hEmRkS(;C(zge9_WJ2ziR}?cq@mFnA8+&! z;6rL#AeqGexqXKj$Jq#J*QZ!Yw+c(;?!#AJv>(S0EX)g};|yOZqqN$t!gprBGrBf& z7U_h*c0{dj9YADyi*g$`g9W(voWm2}#|L?>;CbsN`D-WOf@DUY2W|3MWL+Wa!N<~>1As$d^Ks|6%(NFg zYs$CG-WAKeB`)d);kA|rCfixt>&cfqY7*6-$9dwx$z6J29L{+-aJmU*bYxWS=CTQw zt|4z=ug4*!Bi)W4FIpD8eGDWQ`*2Qdd9JY-6MV9DR+W4JHvJqhV%yJxYwmwv%l~8) zM=;W+Rr|JfFgG;7)Cr?m=gDM5q?AdpN!4g>JcT}2KtVvDVRI>3e>qX+ z`Dn@g2a*{cs*{WdJQ4G)nbN^d9p5NlLjEROv%#@1J7prC{E_*fVr~FxMxL02(^L~x zVdvzEC0S*S61SPa!|&}6Bm7S__E+N&c`zm@OuWz-dvJ>Q_+#|sQ(qcbAkTBh-GaBZ zijh?Jn$(3wDA-s(a5g-ohW|LpRa_tU&MK26|coNN+Y&0GOU2K z7dc2N-m;m(LqFgqVm~%`hn7g;lt9VexLmN$U8+CYR}2Jhw-X709Pf-^cJ-8hO}^7B-6v zRE9`L2uM*SklOYPY6&_#5;gdzeoH9k$kE>51Uz+Y*WyUH9c!&_sn9C2tU zw3=Gt%Iw50KWW|6jYJ+}SU(%HcHj>avmWvH_K_%?#)<$w zVea9gzekb+S~iI%Tg>EXSbQ2=fwVehUPz@-x%Hor?r%g}=&E2#-3pzWG!>Y7hjMqm zL+XpE;rBI>lU_@kT`OPHTwK;O1_c3-q<2i8jg(DU{tgMPtzgWg=|W=(u;4KqGt)V|bSk4*?y9<4K^=}i5OLC6 zAE88rXXw1iFYFi-Eg)wkE0l3|1Ed_lN*cb9M~WkBc3j)b*?qn5j)I-EJ%jmy-03C6 zAnAP6Sp#1hs{+bvPVRQNudvG61yKmwKO&nVa(F#Tl68=IjGL!!-#H+`n0(GwbnBFs zWhzh!on~HgegytWHE+k-mgTPpzVcz$6Ea20=Pf-!bD}ozzP=1j3O}(O7jwY-z#+y*vZEF(vX7|voG}|^(ycLtoy(PI zU-m5T6k;5rr-B0 z*_b9+6`c$fP%M@deO`VN>9QU7dQFXr0GgD+$k5t=e#T6eguQwE+EO1FLeEgCao+|j55@*H)b9w0&e$Jm8lgf7Bd-d zmzy6)tMKW!Wj&GBm+=|dJO72S_k|G5@78R-uO|bnj(Fx$d;)ed?92IHXAM?XEzC}e`z7(%37QeM>)$->51`-*b+>JIz40clcckr;-hw#Gf@m;0cVjtcUhOO9%DdCD&UYvUF)g)tXL|Fp zIdA={QuvypQYwv%m*L92QQUs-0d!(bXVUm(IEuo&Xcp!dBkt~Mx#2>F09q$={^sT$ zm^J@>mi|K>@z?@!W1n+ZCPR=^7|cb!vF)jFI%X(aYJuA3SI-^l4wkH3K5w=}Scy1e zQiS2FTi-I58&bLj%U%;=mwJMJ;-c~TfTJ$65|ilArkrQIR%`OuTnA>JtyXVs_+3&4 zXYKdT+b_}b`C1&was;P-D=$;nG?Q|v-NOvvs|nu_6`mrIbodjM`Z_%f)j6d~Dki~sPyR_xq2pf4!_$Xt8wAfIQTyRRJ-QrI=*{ME z+4QOo`Pz9WoC?vqxixaJB3r{fV~+AmdOXGyzuaM5>Y8sjsmd%#Evbv};r#EYLGK-d zzh8nWt1KPB`*>saiVT;rARX29(R>a2;4`)6n`aG@ZeP8$OD+n_`JxZ;?FRm05%26? zd*}&|4zmT)`4*_)=9_GNQ!{IM&vgt-1wGqJcpfRM+b%=1CZ&5<&t6(-5v-ispEQLX z76yq6-qE7qMAL_>j(asZ98S=%5_|JOR=tPl(^REWDo<`c^DrlO{>&(i!+H8)!ldZ$ z$(;H_#$L>>n+ZlRAPm6A zeTB+1#yj8NcioO&Y{>;1G!SU{nP?c;WeAQ+Y(9-dYTO7%WC^eYpwc0Vk<<|5@z*Qj z+Ma5F_5C2S!eDdk**;-QPgfrz+d;fvnzv0g`$94EOtzkhMfA6mTB1&WO_Gno!!(_j zH6v%wj&5FS*JQLmE|M8$C?Xn{zahrVTy$4IaLsMH2dN1)v!C}F3nPns=sBC871SJE zFe4x(9+{c|825g!3!n2Mt!F=$RTj|4!elQ5;;S#+?@lmg1^XMn|DtL6PF`O_bFPj* z$BpltB0T-fk-uYUvZXKSGwD6d##Ws=6UbHStvoW0gI0>>v_w*e0SR^7Cn58qkkt-M5`8vZWyjOdvdf#hKIQ?y&o81 zx#&#oT(7@jYw>EA`)Fy2GqdtRV6x~l)q)FQ-vx%b$Hv}3SkQ>BE8j@g;bH~Yh%X70 z0f5**7o;8tD^J^E7JsNLEblibi>TfrF!q!opPifTIU`Cn+K^OzJZ$Pya&<<|)$2d+ z_iWmRY1Mr5J<}YjL-Q3!-w${~ShT_%_IJGUuF1*R_55f2tW$d@TRokd6ok7b$09$g zt|0Et!0R23l+kyUM02h*VLSEb$2f_6D>q13=4j;=)tt}SB?Z5ygrYM%w*!l zDmA@y@+KG@QR%@cQ?`Hfi`ywZDu=@!K!Y-k5%E#!ZSW?(2VV z((@z}M`r6VC}5T^j_!|vY6sF9P?#%gri7S-b1#Nvhm5cdo&aN1zDSi+f}hS8P1yi7 z9+1ZF%F9UtJ{z1D-I9ggy{@@x=Vh`&7gHx~I!hxd#4NZ!EP=wHqdYu(1YsYI&yPYb`5tnb3p zpmkyP5x={!KxMpqEmlNdo9eQ}TAR46Rw7W4$(dlRKU&iG8+@>dN7|;y*$$frZFFqr zMg#WwaW_H}$jjutwK!~F0~dL+9Ajr($N^!)`I&)UN7sv&`J+^kW%}Wl0pG*Q@6^6Q z4q@4)x<2^YtoK8)vDQgb`J}_dJo(m;(-OWb>J71;kDx4h)UbNAL^rl?qCsXgdnZ`c zZuG#zvGJAbTUfs{p9c>)f#`wU{ZP zdJ5MGc{tC!G`c_acK3UQ7BpTfWxU`*-gA-L+;Aaq08*Z#td&*WW~Qq}MER zNP5-#sHzRTMst-=J()E^1D-;c5AxK^2eFisd9RM;Q;WsU<~?u2baq+#rbK{9t8IP!-lCtA}1ZlWqa4!rXMGLwk>Y za^2D%v%BbNx*_-^YeEwHu2a(QxLj#MB)|3w!D6CZ#Ic*@$a|e!B!dR z?MFHn3g;hm7Kx#1Nl2qrL8U=6v zOWrR7!n(R#>7;Cwg&-EXr|C@m36UMd<{Un>3C% z2xns@XX#CbzXJl7lN;9mk}vl&(7W91*dm*<7f4+sOkuaUw`kIk>2XdqmDT-Ld@O3M zr%-L(OIWt#gZ(oxzG-dxkV&^O+go=t+U~QTeJ28Ve^gnf!jHPiBEKwetl9R2THdc}i~Is3J4?IJlSXnQONUPK#cfzlv+}MajtMOv_i08vPMA;Q~_t5LZn08ch7)cXWsKrMH zG^dLSE(}{77rYt2sh-#?=39>(xWeflov=%b9-)RBESdVkh<>q32W6UU&G8|hR?h%p zCC1_#G3j{(A;jByN1I0kDQr4#eChxqn)_U%Hst!%3VyT8Mb*_8Fa#mSa$HQ{7_D?27FcfzhfcxgpP38E)>%F-) z8np_{z!XJc%L0Vm4o9Wm6;Sk!K+HQz8rTAcLR8j_mn0z2Z^+G@2fkZcYNno)epv)bRTd4W}*XT&yrVYu_{bghl0WzfW%fuHnF)hl5jixu}> zlj_?gBdUJyU@l=C(K;~PCYNyDPMj8;Db=os7&7Cg$b7EQv(}^IHH=a_kt{&t)o5;v zsjI{<{`+j<;nR}P*_`Q;!yFI(WCoj1Mb;gD>)#RJ*u8&3K;+fy)LqqlOh?=Ny!V*5 zv1>EO%j9HBCpBr#Q)+xXPMHZ@w0(k3Hru*&OO_!$U z^*ent-6CprgdwE5>JDQWAu|DY8iNdTf8BWj9YbMuvb|hWvU%Nw5pQqOx^Nh~ofL=& z0b94D*4x|1!66|@fBH$MyI)rY3Adm2@0qPdUq&c3^#^N19qmCW@q&D=;csK>IjP%q z-tR7N0N)bzCMo;W2x6LP-W%Q&4!rpV$muM<9u~pvYo-9`nZtR0|66KHqy?WY_w;l6 z!(zxh2Xzw^n#ziaq4^v^*7ANi)-G+56|xt`6oO0+4h)QRE1z+`xr{F+F8{%HJIX5f zM3FM`dV=ihaUmN|;*ZB~g6`*p*JVl=AqJ^=X|1%rK=}xUH|*r zwezc#IT5Crm|V#9tl;WI5-}MF2Us(e;eUcW+V&#u^0xrpvTxLHrKn4{#Kq(|$AcGM zM2me&I(yNRXseBM-JqD37|=*w%h2kU?n*YPxf=S@0v@srz*oD&opm<5T%CGz`w)F2WTDQ@BF^qk;{vgBkZ6r6c;J7tZZ zBqnP&S@1(b3`}nPx^suu1NFbNj!?Sjd~xI($6v=^;AWSKUV^}}_)pUD*z$0DMr6$M zYK-x#R~GZvG^*StGI9G!70$?tU{cLV&>46UcMvr@o8*<_7gNVrtW?N+JnYl`|N5#G z>x!@46Aw2ZE)ns!W?1fK{o!`C-c;o9x+L4GOj30`G3P&Ioy)S_Y$V+d?_D>Y_n#+_ zY^_(ipx(@Aci|%jW?ml+sfRQ7-kS{-xx#c79Dl2EvZ3YkCJ{fwC9~>vs+@wyYE0WZY@-3=$geyPcyB~_?X04fNHrY-Q95&_@a-n$0{p^jOYjo=JMkD-^ zMsB?P;uGjDxIaVZQyGX`Wf2*zGqIO4_9jI*W>gGNipZ?BVY|Tz8@by1vvfFV)EP%S zs@UM+%5hsNFR>(#fHHc3GD^*Aw*cF**~)FuYr|XVQnU- zGyQ;S)DouBb6dqgAluzkMhbISGOQ5K(akXf@%OwjIzJJ!tQH}pdq>kBmENCT6#~8D zVo4*+%3AL(RC5_!rleJhO5S=pn>|JNg6?}-(YuZ%HDeu>diY~c*)3q@1gr)Rrew-c z3~yftR6_4rV4j#?p!SL*Q4aXs*4Xc~SBq?s+1UhB42h8SZV4BlYKMVH7EWA^k=2Wj%tY zbhBn1E2Al**6qu`Rv8Li2+34;E;M7Cwn*)+LR!ZUOKz#gTz>QJd8TT9(PC28pr<1| z^>4Vc1`okUAa}`sox?SPL1L8FhOHeRI~uFt=LNGo&`{z*kUoz%Q~sF5uH(VMi=y;R zJ+b}v`|F#6bpo03yQ&`B+4>t3sbcImiXSiSt)8EF5aTRn4y$={JP!8o?Vi)6e@EUE zmme|hSYMy$g@Q`{*=!S8sP3=1XM*Gt#|d=`nxE7(FU`f@gJt8VBK)#(nm{@`YwCZa zYcVr?4~WA$6-vxX0SENzvC}{;j?OB$hq~=2w)D=WunWvG(}y}%18Vm&(_Ve7s;yM~ z>QU9zJrbT^%g5_Wqd1+(F8yv?DoU!$^3N|G}rF znJ!PeJUDyM75Kszg%u0a#*uBTQUWio2bWdOZu!ZVDN8o_+y&*38PMA+IK7Z()`$Yp z4EoI$nICUSanuC(tI0j-AkKW@b5G(+u=kTrvKLWZ{=U{;=R-7?_pR8zn|a+;UnIVS z;O`JZ$n&{nPHhfUS8qkMMVej|8X z@&?1A($qpC)pS)FM=F-y(Usj|Tx=u-XNdK7bP}UvsV|R8jyee>spB6RV}{ZB&E{L3 zn+podg}np>WoY2jOFlk zBrbx*qv%hIuqXJpCbm<^hd6D!@H6Z};zc^2Nl3KdAH16mttx8~hdJl)cr@B!KM;xkWcU+k7?yQ@!qnUkj(iL231B$+y-;eRmQJ{9r)jH zrVBrt**eCVu*QlhoIU$nCu)R9eTJb&e-+NqNh&sFn*(`HT_M27QCSX+bReG##7Mvs zcR1UVcodC&J`g#9(F!?fyQ8v@nkg|W{88+sfiSjiiYPEcY$h8^`DtB93Fz?GLvXR9 za=GUE;e+~^Q){E5iTm%m|H-yscCe4G$fm%jAuaHW)1k}2Gcu`4uDWOy1=qM77L}Pf zc1}hm?cATJ7X;IUYLIE!5?jBcx!jsOA91gWTSa2)JypgGzh|-3A9+Uh$hCY-tcl z_8Q5vf>$aJ*C<>B3+9LIqTSWB8+n2IFUj^(C-anM|N02qzHNqcf3IE3N3%L+x3Mcg zf_s;Jt)$k2uF8Xy!;x=bQLAj`Ox=m+2{u3S=~u*j7k9P6Xy0}{$&mBP+nOMqG-n$q zcb~TZ(>OL;hF$70U;D`G1{v*UM08@#>lmX6RGXYKd#R{itgKw`!q#~e3}7TU^H1dH z6bP1|V&j3jY%JKE%~oq@at3h#KL5pFOHS&Oto#*iRW+ne(w4^8Q%jp7$upe9H!gQ? z{KL%P*Ghi;jH$BIqU1<3qr}lqSx4nzD!ENEcZPBhi0)#h6x@xt)v}( zTXI7A)>loYD;dYl|L{}wIb}3a4+Ym2J{4Q=BL^@uKL=r+mC#9}pUix@p_!*2@Q_-- zTrGV=Q^OSSv@fPve(NkS_0fu~_E;p;j!*eM9 zc`xxa!39gCRt+y-Nb_ERV6P{5G#H@_pH5XUbN1!!VTjtlg^W>eD^`#VB^z0OUWd)+ zm;~olEgdjEIoOj=klw?%1z;H(_Zb>4(tZz%xzbOMnm~|l#Nd;0e#g)fXH=_E-?$&7 zOA?07M2QE$o{QDM#MN^w*TMa?-Ak($FWrgGd(<~Jgfhou31*@b`vR%|eO2heTcy?E z?~Y!{NFwu-JS6;l9A7_*M6%I6#MkAo&5}PD8n~ub?#`DLyJcn`6rT_7!sQzsYEQ8F z)(gVz-RO4Fd*Z?KKxDUSWaX4U!m{NFfn!((TFjW7PaEv5y$TSKXyWDDDQ>XORgEa~ zbBhq{Wt7Y$kemBjZ@YpglK+A8`N?FG@PsW)6(_%2Ec~cO`Rbf`G*IPP_bteDYJZ=o z=jNM0SGGtU!RVOG6h9v_F_OroK`();gu~(Q90JX2zbtdb{A|%yGS#s9X)V|(2D}`C zza=ur+j^(mS%j}J6M=~=RVC3j;r8aNb2-mY@9W!s1?Kz~v-hLJNn)OaxE5Kp#v8o* zt@jWf8-UPEOjQ_Nh5*e6w57+5ms}Kz<~f<~0rNnKU8yG=WMYPgq0MG`L;D;{EDHXv1{fk&SQ~nF-2=n}StyxUK2lIO%FQ-vRbI^lGKM4VDgrqL*Bg^y zSzcsPrjy#rm7F)Gan=VTc-x&={Nnk*qWOGVHv`H)273bgwC@9ZXGDgW=CK$IQslrX z6n@N~I}WFzFQST%>IEA6G283JQ$XUHt#_FBpQjA~zwqSI^9CT_&KEn}(O?!1|uG0rSl)uR+VGx{1egpj!Y

9w|fp@2t077)gKLmq-~170drfIs~Fp@Ur)gthj0 zxTed8nHW?WrkzWlf<82kj+q_5ESYo8v4-Y{w^<#}Rx0`(oyb*u2J5&ARr4ZQHQ?_(DD$4}GY&)b7#X`K5R)-WA` z>B2v>sv$VK9IhPveVz(4-2_$@@>dF#q=S;bSrG%J)yiESfn`oY18)`vbQo(B%r5LI zEf4yhc>?iMM|?5on^C%@9$?>L)}-aWr-{vZE3poC>`!Ov2UF>s(*6ZLP-?MQ!*6f- zK3DfD@5S^k4kIkYiV*oADTPy8yycht*Kl0XA<(sX1YKjyPCIzw4wLGv7KSFf6F*T0MtoDJx`*&hmZTlU44q951X zlUL|c%S@w3qYWaHx65UkWlnzO3oT9?11k{?Pl1~JUMBJ^m8x);knktC4{V+c?&iV! z1tA2ts;`|!E*Ys^` zy|^osOay-}R9qoYtx?rfpWQTjh0sC2ENQHTHQEavW9%}l_1*dhE7MS^l@+)dOG;dh zdb&(%MDrU^vxiqdanm?Fq2Af*JdVQH%Dz6HJQ6JBdt)k-yu4DBN)haGJ~}7C(rl*m z)l!SM+LJN6zW5xOYfM<|-_f%f?CYw*XG>ZX?EV7#sPcRrLjJe(oqp9@`8s0_g~b`XKGa~`TI0bq zgB9LN+Jy=(VrWd6+tV)?f~{BRW)ta|FuY}2{uhWFgGX;#x|FBeGjucBFh{@{!>xKr zy_M5mbqhTGMyUnqat|LC4`=t?z_Ogto1E~-UiDu=(Abr1KHG>$G?)Ov`jaYNj&3Q? zg`FXrLy=x@oj7NvcM6Phe@ZkhRKm6t2W1>mI^F*QviCvLJ522}&if1kvxcbZgjM(B zuhM5^5kEg(c#`pgn^QplB96iWhY*|dNnA~*bkDc(*1SrEU&(N&K)MWAPa7PqH;@1DZWBA2x>2L1HE??s5@q zTM~`Rs&fE<5Nwjb-p5DU_b;YJ=7JxcA2Do%=oX8UlUaFubxbpgn%B!q%j)8!3 zy?N4{2=Y#ALA`j({L>u^<@13M+;>^c))~VTAD^sw)r)T_lBiHO{wAhzP7pV-wY|G1 zN#>Q2Nf&-qBC&}i-Ph~TdW%I*AClP*!~dZ?EH9tNK84q0D*}RI$7xGAspvj!5Ut@N zbv8(yaCWz3PhmhwwWtTF=HepJN9Mi@sviw;@}zNaS_k;fvKe#gjVUn@lZ*6yhdwXP zXj5|_9D@5Kb31V~heM1?A^UH620@Nbu@WZLS)xZH>>Aav=OG9#No5>KsQo0TduC^Z zwpA~3MXH_J%C;-?mL80fP0l0BTu$-qUb}RIK#}2`6e>S<2WbiSd7U$yy-fB8qLBem zfD*}#etO^}1MB^y#B^TEam6Ot{rT$12!uhW$rvY@CZ@osdM|u^NMT&Rsy%?2VKa0^ zDjvRO8t=#72nIz#Rn#ti3!8~U#ADG}8RgW(XenhX19>Vs5%BpP|43_v{2@+qqYuU# z>(8OSnU7u7gA)NxDThiRsRfp>uCPeopFFrhYa<})`}y5>gQHON7h;oWYl27N-a+wK zL}vQEp=eB7qr-UizlxXVY%YOMx1(cC#(??@^W)f`3$Nu2PvSXBLx0E(8Rbng@N=mt zWTQ)(aG57$-ixiCCqeAtCX42GzUAah%lShbME<>n_v+Vy}_1S#i zDo2MWYblj}&Uelv7zg-S9lzzb$>JQk+UYVNz%vU{tf$;!Dpy7la7%IW%3wj4Gf*`U zQ0~himmB`;6#Q~?bAvEv#BsE3KcmrTimCQs;otp)K}q`&p_i@xy+o{{roLIPJj|?` z1k*nQbO$g416on)xoj~kCZyhA;KuT236DW0HQy_uq;j-JqN2m46w?vdFG`a{74uMA zk^5xqO(O)HE6r2LQ7TRBU9m3Y?77!>9lf91Y_|9tD$ES{CxwR@4B}8H1&KAJ94$xp z6tNH;?$W2z(|L`Y54z2EM&T|y-W)?|_&I2tBE^Pybj`98tF=wY6MEh-L>%icWv4KF z#*G>TA$kz=$AhPu^`?YVu;QzB_Ydso6gUFb3O}k>8AoU8#=UQ#Hak-6D&xL&P7V5E z6or^z{}s>M&!fFU|5bGbhcW8(1Tp!2*tFUmp(;mQC>b<=_djeM+=LU75$T^|LuCXJ zy|QP*FVZhwC4SBgzAU8+sLOT`HW{U z8lHeLZ45d9Z*{woE~3n~^#9!^;Ig9(jOM6U#>C()boU<)$IFf#Z*XR**D4g3)t+wD zqKSvco89LL49O>F?RZ&$9^1RXr+#7eyMs_P$+JK%y16nP-J-nz{KwN#=BKDSdjQ<4 zRz;F(iz6MQ7*WIz1oZmPE!FUb_$%s9ZzC}8Y_$Kpj^jC1NIbi=*qnCRH61r5rbDC} z<{d;je^Cbl>VUuFxuaB1P4n^yifj0M0ffK^uR@iUq=WI4nD#9^RPDNPcMdGu|N~>u_^Y|z^}DRHpu&*NS|cBYs#H_ z>M79vq=9=5nkBw9ZxhWhxp!B(L=YkCuhU7sTY7~amF z`IH&5%*>Li%MTa;1_oB2SF0`-srbmrzX#e&jk$&H2?;u193c@Dq3x z+T+_l<26oNuk`6k3W`1xAJ|A~-50xedpr+sc2O3Gz-F59<#V9?o+aLcR=J|lyEaX;QNU| z*bUOZfN^0@<`ePQ9E3YuD}Z61nZ=bRvVie#o)=S{XDxpa&c_hAOwyyt-4pCf_C-ge z1&q7S#(Ob5=>UCHAZ0DNuGde6_gsR3AE`As3iLGG7`sc?rZYdXT8M{>Ala)8-Y}bl z_s09h4ZcR5nE!?(QG@4<&xysN9rtybEitpxX3VXlmnPl6E{XCe$Q{X}nExoBc1MBCrrvbrf9#pu)|R388wE^mioJ+MoVLRm8-{ojO_yEp!x;7r-9GF}&RaVUN!;)so=@N3ltEVem(XwO;iXMC0E;+)zS#J z_@MeM%R=c!qxRg}cn&IqFLHw0fCIaPA?U;_%BIbVDXW{N4$$w)u(du%tgn*$q+I0d zKDxeMD_XhAt2WKIYe=WieURq+3&00`^K7xqa+p13GCw;f(?f1V%d)$t zO_f%E1?Vi)28+gV{jj?!M8o{SOui4H5%j-H@Z+g>xv7Cw^vc^mBJRi8nRlzphwj;mE!kNs(QmtrPrMmn*#F?66DG~gP!&3W>v2OBma+O2h6eTR(S5q;-=7mb92DDc# zxmTZ#VxALl82%dhqxwhS(L@n5XN^HDeQC4`uNHTc)woRmgc%=pFUplG3X*x5mr)0!hPfAsSECIz#H$ z-_Cuw@H&mdk1w_T)vrd+!tZ>x7&It&wdFppaX>PhJGKER43Pic+T=8tfNwu8>Eb#=dvp)82pI+P{L|M9dJvf_izb9i&fq`20;V+TC6mvwF4 zu&^m)Z#7gDhFd*cMX*O?h>!~P+&NUyyGXK!aVhV6!S!{%WRnXxc^hSjE(^=?-f(ge zFWXy4E=QSv1@c1YBa+4GcA3v>mRIL%j6s5FSOCfLBhR|q{M{+UOT~7p^P0SQ z-1%KwSEBjyca}T!ozzUU)KG|)#5E&q4~f}|o89W}>xhC;>}E(pHR>0Ok5!>GCQkid zZs-M%Po4&t1ow+n>pfr-tWETPS9NEbT=zxn|8-^Tg1oFXd9AXv@PW z)xss}@T<;jRT6H=$(6#+3QAzi+ZLS^gU3^n#&IU(<}N%cBpe zH8$=QEyn18kP^`VyBh zv_S=0ZG8V)9nn_&UIRIA<%^vc!rc%C4E?2f3>YGJo0fmhUqE1tjUiav>+EB(XtJUS zY~+_0xWMEaJwW@d){7l0HfdOUI29DMP`UIgT%!ih5tC8cJS%pBtBF3M5cy*LXgS~f z^U?boUNp7S(Sn2&DpSV9(zocB3TczYOv#|DzF1_O!wNmV6un0U43%C-iNj_}+Ijsc z8v}lG=jB01!CHZM^P?L4n5)H{JUh}al{76z{*gvS>|x|gIt$vmU$)W0mQq9f<&p&S zNMv;sb|)X8lmp+e=OjQ=VZ%bFtAUhimMj*7t*|R~M2Zo;z|{uOSF5^>!NXhu(w^Q> z>p)YBahpdvSL>E-TajAFti11&ZXdOfW5MTN;a3-n$oaOU<`Qc2lM-X~S4NM{F0UBV zp)}7`*B<_V7VSvKxW)+9VeK;6JU*?@@VVF?%k!s6SCx2vw!Q=w8K@l@JZ7VqYM=4yEaAkZrJdMO&eYoE$gVkGyePhVBPenFRUdz0Wq`KTTi7rY6( z25!VVnR;d5R;2pBgyk-@_Z^(JI3U}uWQfYeJA-Sze&oI{=5~1^oo<>id$G3`I}I)r z<}_4Df7^x;+%~%dS#P_%6{$whLveaHqeahoj~<}}N2!Pd@uQgAyFZyflfM*rA%{8(mSGSfqJ*=-qm84@I1qo@&t=;KZk z`v&N=p7AkT&QEE5p2gfWM#LVU&?x;^zY&h1_?9mMM=<;jCFgN^bbWY~fvK8@Ntv_| zJUb?>D4N?al8h(=J3r^eroJh^Y0B&T3;SjNlBV_iJ0TqUcWo9>Hy^M4Yb^;-Th3N5 z=SUTCvh^Ib{0U#!)PgSQMR11hid9zz>UunYy#@VnVfNr_3^v+s_pRntV;qoM*_N_C z3m;r=l0s(C0@?rf7D+^Dmv)TPjgUH~2Im=L2K`$(K&vyATbM;B<3pP0{zIqKbry|U8;enKOJwEOJB|mn zt|F0W>bL$SXVyrm{;l~_wS$?@DJi)W9{Y(eYQhEV?_|b}aZ}N_4d$Dl9J`Tl0IUk) zo4Y-9g$!9`I&^x>21C?558$1f$`)k1&18@5I*f49)P<$=U(bG9yF0;b$Y)F8 zmJq_ZPN=u%1|oqVmx5k;YfI8;pk_JU&j15h>rltI7SjCaP_tW_jN@YP$&xur;!NM3 z=XRuB{6f>#XDTm8w`5FXj50g&w zMLso{^G?`Fr+q4R{xoC)MuzAn> zmohkf1L92)9RJ&{s_-$LDu_$weW7*!yp+7YF8QzO_{(5qMR>Wud!gbKGh<)UuQ@KH zWMMT_*JF95y%mw_YX6(Xhosl1GcZKzSSvT1pSLrE zTPKgzzq<5r+yP6&BJ8Q#GqFzHEg~Y(a@kA-MkoATiJWI+Hy&Lcsy3LxG zzDVGM)H8#{$Rbn0+j6hpAm}^f$Di(n=c@t%;r`x`zIvrU+?#AjFQz}||I~b)%7*_8 zeifQwtzM?I&xa2X0RV0pjXTi-S%iJxx-XCvbIZ7sy)G<7p)rDn%lXeA(Ye*(^lYRo zmHK)v5~_$s-_8FZM)1Q&MU_Y(CQc6q6Q2Ea4FoPxSixt4g6|+29`eM zX1JcH6ueZFw17P&xvqvK4UT?~y}g>QzCQ^Qni&3iZUNE} z&9X)W#CvyI{KtTrc+X|W#3OI|9Ivoh3zW}r^$$A?hjXf)1py$)5z?D%kD!Ys=yUs$ zEByM>BN(ZzqCEFjy$fsPA57%7fNwKb%v_oJ0Rk`^%&LvQgJNbJg%OWB0fvW)KIe9& zqWa!2sh%lePMVD@w4G-0cy(ZQe_B?%b@@?R48V=;bwrf)F?h#L?Ua*QzN&w>?J`b5 z{J2a$AuU&RF1wBe+u&7f9{-T~!_AMP4*XgLCJp)J{$>pymU|Q{5V>Qls;iTPf;jUI zmTiGj5i6diOUne4b1<3Ai~DuhW8OUz#fwv-fziLXMB_*5{;1Y=g4FdW$S8FgBJz1} zCY>dmTXbBme?9a+x`sl2IHn1SON((WU8E*SMbshBT8MLK(ao(xJLwbd&X#<1n%bvk zw)*V>5QhYJq-(2r`l64l0M=>wkHCnmJB6brPg{znk$G%zL&mrIA3SCE%r!G5WG68A z;I!F3eZ>8G!osF8(d5&=_`1|Ep!2}uY|<)2n__UcjRC5OKW9qmd(n5yP4@NoSt2Zt zjHKG1yT-*zQ)6n)(!XapR3dfCEGM^kLo7R(1`HxIhNgbjHWF&DR{zPzhdjjUSo&v@ zROO#=5t&*H+3vZHEIxV)=rbZd5J)6nGcDqU-oNVLObblT$Tj|#nP{vVeLnQ6gKcS? z*GfN#8^7a6G+y(~!B?GKeh!M+=P&>E85=`s_5N_=$s_5vkc~`mC|vu`gEeDC|syW9VX$UR9%7DpI*OUnfm-Qiog6c;L?Y zcWNc-zNUPEFJ&M+Q0(HwkS}wtNiz6jp*r&MW%aT|Y6|OfRXj?HpZ?3t z^N(QncoKE&M)5r=@aXDi!ZqO07}Pr^1n6O@;4Ua8Q=?y@Dw*#TA+_T_&#tx6G7ay-;G$KX+()<$)gpqG{;_Gxz-VMuVof0h{5239k z6xw}H6j+yvA^089^Q0)-9r#GM!z)*!@#3o;ZZ>s8zeBRN0XzS6(t5#=vsikewA zY|_P)j>SeMN7}yPHtg46rYlYrDmLMnpSDN3X(^fr^$>^kYCVsfN~*N691m`}P&9bn zBR}?ja9liHSojrvrH3Ph=pG@T+OEQQ&A10rfLuAk9R>qnSB0;qY2xL*H!l(-cR#M4i z;(DvOb}_?+#+|_o6g9wR>G>RS!_n%(B`6^Z3I|`{e#{#9ctnF(V2{;GV`~J1@p}-H z{~TZ*A0r!wzv{jEc{GzK){r!YM!#iR3p!BO&IJnm;!o5FtddTl$bR#*?ai@TO~AKb z?FsP!N&!!_e>iD@Co=t>tN){`f4PY|yJTa?P!BquT)cg0bt{ml3n(@C8cU~Br9sxk ze9^aM>T}#0lhKtDh6$amf?zmS-?h+rE!~&;^=%>R2Vifn*vRCb5OZU~Hyi|;B;p+! zEhkIyzKbfXb>>z&Jq|X`mr?I_4a%SOWkhdtbTiyb5#1=4mpZ0DZ$Ge^TF6K}Wh?T0 ztw1z%9(`Kx1?&&@WP8mTi7yE5-q#vSX@jO?K}xU_(d)36_y#Jo@p_<WCQ-xPSGz|KP~l&9uL`x^%GMCyi$zXgv=Q``LFa4$*^qZl*(GQJ&<02DYvPv&IH@X`3NrzQp z5#xF*eIA^PbKwsdGao&_$W)E#0t(5+(@IUAYx)qfVTP4N38*J~n-Of3Wdo&o(@C5t z+u1uXWz+9?mJ>=Uja|yIV13D7);y+E^i5|x6whVP*xltw`2~7vDtnfdywd2z2b-Pp zJ>+IUVv=%|cGLVj(!+(7%DR4{o65k0AdoPnOuGL}zTx3tKJu9&4l(s|k#-P!v##@$ zGer28%}CkgdSgs4ms|4C0e*xe=RnoIt>fAAa3j(`Gl=g9m&%+3U-;XWQ-{|so>biC z5RVrw&Xwv}D3Qo*h()Z*7WY3k=HJ7^+wm4FeiNqc~9lj1+lt zS+5{g3#5Epo$OS97t5}~;kT6n2LC>snXYNji|+0z=y=X2z!*6JJ0)aFdupYV@lijm z_j1r^+-Sz=aVN~lj{IxyVY7ed1LqKHcUzzm%a$)0eTP7=@ye6AiTFTb8?NHoU2X)L zHpp-al{}r(1md;G6Ay3C;Yds1k1#eqeixI;d{*LuQvHF!`%JfAb(t|R3t+GnUPT3B z1*`s9`TXt$kEdP8sri1sGhQk&;l(KNdYTR+$^6*2zw;|9rJ66n;29_@@fnPDv})Z2 zK>G{TqKs8dv=QDop4@`i^FDt4tBbkP-paI^!vA-Youb3Fgi5AKof1i9XHdpM@x@%G z@wZ8^&&!Z3A@=liFh5tUC1a7-k)3>vS};bbtSYUMPN(CueC#R+U$2evX-@EXpyN0k zEp&=g%8ELkIr47}e+r{&7CRhRrEXBNyIR5O;swVXL8b6r%rNr2$tne>ErcQk^F|h# z?`7*-2LJHN#Bim0HBa^>Jc~pl-9I~QI~q=vXRPPR{`D?gUPreaz21(~X8Uqgr6blm zB=Yva-DxlM&Etdx{F(~2pQrCwwNe+zBh$w)L(D7D*o4&ocmqvKH}6NG7Y58Ez}-hC zBUcZdiThFqgpG|XE4$G-q3RPom9{_G;b;#^K&MxJRH4?Ak)f#?+hm2))J&61HlEcv zYATy5^C2DrpBKqNHBi6;vqom3ln~1HVqT+$ta7ENm8SmdlB%|H$+j-{3`lHsC|-NL zkbN=BvruVSbD@4K5wewv*W&|^Y4?)hOW6cc0v8dV=<&d^r7HFE0aMt_(soa@5^kS1 zR+s9_R7d4UR05B)(s%@X)hfm8a6pa-%bjlCp-D5aJ(r#3EUACFOs3mp_9=8}!umP2 zyvpJ$6?_jbjJ9*EK;3ZXK52=}ay018MQsF$zl6=MOYcS9!D7?8c#n5KYYPQvuOV{&Kv7BEMxho+N6)DBH zOm>Is^MFwrpq{x<{18K(*mgAHm;9fPOlReqSA?)L$SnYsiGlWYN1_cj*fWd9-rgwA zc9Z9<{OqO~U%ZDM8{hld;78yflt|4st@M(1@JA@#YQ=0Jf<=>dk*CN^u;wA1-fGmB zmX!D9+&_?WSnWPLx{KS__WYp-S@Tw^KBKabXoDs?4`9Zg|IK&q=MzumK>FI=Nb61X z#f(Sz-lx|-`TgN_8YLH>{it$kYJ?=K?|Nw^eV(^fP=k2V>w^{nL!+tR`GQoHYNeEw z!=!>PSbKenpuq?&=JswtShf>mQi-%e*24I2T&k#8v^uV&-l0boauqm7Bj`C@XdZcp6LNOfjsi7l?P{z4=3d@FaIgR$1t6Ekxq_)`^=b zcR(fM%`o5`Wldn+W9as<#+CP2RwzB5L%D=aB7*C<`7epvNp~-d#0o)VTW->JBVNyA zsj}y=k_5L6%O`Wj&G7BJq!^;w;3Lk}~Uf`BmlmxK^<^7{x-WIXZ`HJVIf!K5~ zA(r(hQpps+P#;PjY{4@3*y*x;O8;QwKfl|MB{dszRya&Uu+$*}&)`SlDFFppFCbDHwmb7oArL{1qP z(^CSp(!_S46S+|M`L0d@lG54T#j3SH7HxZP%r|m=aPR1S;b!Jd34ip`yLjR^8Y|%q zy`{7fwP`Swn^p}PO6ji!wZi%C!-W#myXK`i*HSKVImtbTU2@;VZt~D?f$<|@20{d^ zlVmhzXmv$T576l0nV$hGh99mRp!|3mk6#0WP`=9+e{dY6TJ0lAc56N^dzr&Gme|AE z0{;c&kW^MVZ(7gcg)6>cuP=E%m+wwa$FsV!6%5Pb8HLPD+2lpt!fG`Y_#cS`%Ef&X`&{JHobA!-^sizsS(@jQ z5-n%lmA4WjqIxyK1mcX?j3A*$`T5RDe`YhYHC?U+I{*J1cDXW1-FzYIRNXaGywB$T z6T?h&e$skC*f;XeMq8V_BfTNz?Vb;0XrzK8hZpeOfEO4?cy1Ll90AlDOllg7PR0W| z-MB0ikTRzRrF`B8rW(D(9~c>TDCN4gp5m^6(`aFIIg??qJ{Sw=UKy90QCzW=G}YfAIzN$3=ucFv({75HXI2Vg7NB+|Do#tu2A|v(EQo3ctSL%jh$Uk zytNq>r9|1rfh;L$pmz-^E-VP(l;1k zhooz=Xa=i9;rgBYhWD{^b1(oTDCt=x-r*|FcjVyxUlFkDGsYH6z1!QK(AH~!$|J-7 z0g6|LeN&03XYg_qQnMAlF{*BpSfJ<^xna3EJi6sxxN6Qox+=2cl#d1tf3yh&b9yfb zRcoC;O|%%qfwczzekjAlKrYeZ3|1TgKX9`&m?ax!DR}oRE3BGHHu&44_{`o4_4X%H zXjL;+A~pk9zT*;ZE)FWO?RqGWSRci!1uQ#`^DwSCb zzMXp~$A*hrvim)YaE?9Y<@$sESud#Qzngko)(iaSZNlZT8eXh&d4|zM89b3f|JHXu z4NG$N9>32b#mQL$fwqj*Va+B`hhfpr{$pPAW4Y*=H(XmI$XY8ECHrZ;qIcihHS_K# zjvCSBR`Pz87e5O5_t5+B8ZhGL-->T}4)}G|h#)=o6bLcHcoW=l%}P2LY%P` zJo3M*NR#Y5vI~)#m%#YVH0Alqx#_<123%gC3HM0WLs+4s)rr?th$v1mo7zq1!O>*zsWKf!cEbuI32R+G-nSSYMsNhiQDkz|FQ#+ zfKiYSNYN1=_!-|Rf%@BX$r^bN3Qd$OZ{tC1I>*dLd~h&gs%mJHk&U$Uzh4Fgq96yE z85xN6e}_-sl96AmbEp8hnHk84^~d{<-|;K23VV(S0|f;HQjBmES%dNBz0kd<2}UhD ziEBrOfq8WamR%HbMxwAVQ{i`c1006*#q>+j$PYM#k$o*OWyx+__fDjm3RMjgsbLW) z(6q*?vrq8;!+ki7QiC-2TL}`xkGNt924WsL!fw`W$lI*N_N_}Xwohx+O7(}^<1gHM zY7k5&a;7>I9)k|a$(#S}|h%v`x1n>K6^?z4U^ zRxg}^B?q4&Ol0M+dU>q+cF|vExBoa28&nZh)cKc>pRP+_J!B59rgXv7Jy-GI^$XlQ zya)pthT+*oCv3muQ_0y6RRl8;ZVSy|W^Mwr=@$|4H!MrdkA}x_${6(Tj1KK}pxaRg zy-mkqm9r-jMNU6!shkfUpWTK9wniAS!PBoH2LKX#`jJg1-%E zwCHJvSu3_;+r&e)VJ6(gV$*@JFfqZ*ixE}Zz_00uOXu?A@acvV)=smAahD#j zoP7wdW5rpr`G|RQ9Lq-=p^HWb=ymD{Jp(gLSndq31U~Pf2f}%VHLQmYtN1f~CY%Fn zW^0VrL?5;b)?)WkQ#6+1UMz&x1bQRq!*Smd3{))nrI?X!0Ry`^ShjTs#&;Io0x(nG zVuzg_);}(Q;r!V!XNjE3VnEYg5l<|U7kC^CmOO#x(!H27bO6jo&cM1et~kGM z2lg)N!@a8pL2Crv5%aK`vejnIBny>lK1?LuS%|>{24m2`>2Ucg8c-6d5@tpQGExHZ z#O)P=6LW!Dbx=>fKI+%6j~u^SID6U|XRmuByBaL}MI6KW6Q?^`(_b++0 zY^8|W%nZZ^zrmID<1lL3J;VrA&UAb|Hyc9@b)nvhb~x7Fe1muH2QadoxOx-v@b%0z*i77t8=romUdvW!T$_oE*kE{E-hc_V z%W%JBLg|t4f9r$KzP=TH0+O3SOSxuuY0D@yLjAH7PeuU>Wqv7Uq!rMpfvE4IBjDsl zoQu-K%8RG4WO!#ZsU-#3rk!AJzXnHdU&ChGUg)UWsA_EtJqVAVe1qb^Q7~=J?@bM0 zILZP_vF^C%RW+8J?tc=S&P1Wh>I*o&Y&beKk%5$K6LcIh6{}9%#+9wMFw|B>qiU*F z2@9g|9uQ;4bY%&6%W)% z5D+F({NBNjZ$;4&{Us2bFfjyy5jDL5Rf8x`{{LE-$WF^ZflND$J?e_{PFt{g#S$!7 zxB&AO%))S8PRt@zw*xF^&!?`<$9(F$`HL1|;nHPT?zkSCcI?7D<7OhpHdQZ=;%*m} zqNdyJKS8;=BC$b&Pz51!Djps`kDxp`bR9extxL*foz9p%YcLuoMj`4C)Cn{70}f1? zgso3w`FA?VcE-dt^DxZR64M>0qlX;#dW|F--cCz#C{iDbF207(XAeAmXV&~pH*j4hgXEiL_)khb*@#tEI`@@E)_R(-UbzV7Eh`xW(le2qn26*|{!_4-N#5}OSr__!x}vOVm(egpx8}+4 z@e1Ky5hn~pyz#)#My8lxtHfvaQ$1+dtiZy-Ei1PKw}NtzAmL9UYAnI{aThn=Wq{VC zC0f?zUaToFQ{lO9DyD3HhFHDlLHs=u zd4cc_$fQ(W26A=y7kb0K*0AZIK;2W#FcWcS`Ai)0PA})(G&Ju!2Ro1L$3nB#m1{&) zG1;C6u;FTzLRA|l7XU=XM*}oVjrF!*+Kp;J=nN(KR8S(B| zKh6OM-{zpB!!fuVc0iBjvfOXQNVX@O);&YV9T&0B!4Us)O)*Vg1?H>vVSW#GLXq&) z4R6vp-?5-aL?Gc`8*Fe|i78`7VA!x>7+T^pNI40?IYt<7+pb32$Nw7$9dtTSyKbFw zIx;H>GYL;t4nZ&DIk^6l{{Rd<>?fkp1}ZB2{W=>tEoiAoA^Jxc_kuVfR5`E<0(Git zEJc8FkRai2B+JhSAq9X`U3t{4QDc!zB;H<**-qX_|JwqB{}{}K+gLfn3Et&Y6s>t* z%-VAddl#FQp8O$!5C6~dPmon02Yu54CAJBvKB`J8S^0F(&|<(q=+tH);{Hv%tE&B?B-9N4Vm+*stmg;g^t?s5 z6r6{qCTp;NSwB?SjQ!f`u-JG2YX&qgZRDj}!_2G`*eT79MkG%{X$svjVT}WNl{HAq zOvHm@Hz75A5{w&huSuv{WR%cFrzv8d-NsvCCUFZ41JSn~vGz8B#rFNM*XBR3j}ae_ zWHd(8X5|TOT{Lgr5c%=3-2aOc8MM{wj3zP9aOX``6og>qAVI?42LmYy$(((gX*7}d z46GUmGGpWaGaY|S=HENDi)6+jLDEAjX8xb$Uk2LqFXQB-Hj;s2k*LXb`%m!S@)cEy z6rte$EgqQBI5&0xHoVQH+5sIg^~4!0HB=O;mywa^3pbamc=$d9zi2I>(WN&m$67zqHR~OewO#c9WM7g@#^wvyov^z^&E#W28!&N z6trgDVQbS3itI$vksj%XckU1IIHWD6Z?c0Lzt_?e;p=u2?w$b%3XMjNTvI6N^ub`8 zVd&MiF83xLnAwO4c!MYR9wMam46GZg2C3xFxb1uak3N1!icCxBm`#BFXniyliqA_m`Y$l_s5>W!*Mv6K*|0J?yu5gcNhqVfazd_;?OX2>2qKiu!!#|zIdh>TA|ew}}yTq_uNUWI}DI|k0r zH^We%>@krOAB4A$-0&c%6{c;pgG!b!uI@Vmx356QaVxRhye;=$4npY;BLx9>&*Ssd0c+zh0xeckb0Evb{>E+(`=!uAgq$`Ql6~S5pr}i zY_@$wP76!yy|WjC|KJAZdH3g{$IQFPsMQ@SpI^e{R)VF4{$(FVjPu3FyQeW)XoFH7 z`H1(r1(zF7@rjb1>{^OY)*XORlWqCRZcdaJ9=YDcJ&!P!Qe)7>$*}6ASV}MRKW)Ka z+Y|Un>wz^dFJi*KJZaAN#x^rsocuvUkF_swZqmPe{1gL8zISo?$^(1|`2|`F4e0i< z!We6gU*QiON&b&<_ug}Oeu+dvS{~~BtAbizZ{c+q!9R9q@nmx^p_Yd*!d)=K+7Vyc zFU0r&-?tu0kYuNkR;?}LX zP#3fyDp?MtVIt}L1oYY22)jNw!MsZQ42AG#Iq?Ycc!YcRzM>V&pQ&Ww^X2_GdN&Br z9*5;i%~5C)*)S6E`K}ADJ@|l-WExs%c0n&II}GpIOu$Bmu>MLI-KyBP6i3i!3zFt0 z@N+$j*HI-#b0~k*V~jQQD4)Sh`G^~r-XVdvUq?LRLt;e1S2n7cj82n_Ar zmak3-EoZ#s{lJrp_u%#F3Y=fC0|Ja4+XL)#m}t$=ZKMslHlb>_l&x-rh!Nuz0ZTa4(|gsq44v`F~9b;sjJp=dK}BNa#UzKDtJm;iXV z-^Zh`t=amfCY4O-*BM--;;|noweYX58OA$|f?k<}Obn#>-^InNFX8_!0x2{_wAJo| zp*E(_kV{8mVj|*VqL5TiAH(}8Rp1}Xvnve{?(U5HUrS73k!k{c+mYx_#cz!0*Kl$5 zMH+7_nn&-M$$q$V-UW|5LlBoqP_Jn_bQ)*}ds|(wLrp>DzYu|KGy|DYet7-hE*}0= z$AXQcpoCNe-aUi!4?OWBtq$55j)eUb6SON7*Uay5KktlNZ$gkN*AkirLosQ5U$hce zQ>+yE__Wy!V@~`8X)y+;Uv5S(zV9l!k7lqI&o54d{EsKNa`86a_(xJPY%^%|w8rEq zRGITJQ02=bMP=Iq6|{>)ZdcYAyIno9RAEdngsxOr=PZQn!x4+^syPTC*+N452ZP6& zf;}-NI{+uA+G6fe55x$xeGUV0Pq)F&at>~$s=;*BNEo+{!^1<%G1kH!XTR{9N0^Q8 z5B6f_u+eb58H5Ze8IX)H_&j&T@s;B+<7hDG%tXAoaUE~G0}w+QU{>#OPHYgoy}hZA z5B$C*u}>bR`yIyE?wz3D+ZwYroWMPwSiVb8vx2T-xrH&t?05&MPD3$s#S)C|(*Qx| z*I=UQAj~-7gS6j4AOlfX=D=7-2YoE3VZ*Vz@QzJE=q*Q#95@22&pg80k3R5z9i}@(}s#5ataT zf_cu~NM_QI7W4wHhn8T}n9cA=1zh)oH>?KLAX$a)pd zJ@UXO?@#b>I|WC(G1&Yvl`;c-pq$9ZOPCw$p=FLY?oz?JFnOYf zIAdBL9dzkF1k)Uk!p%DtxhZe4V~ibEJ3q$zcaL#u-C^$CEQHgY{IJ_tZDj!QE)3~V~#2A{ZG?vo11 z$G1x}F>K@>1S#8M$p%NvFl~;=hsUtV=`m+xRsr>pgP{4LBgEMrMmn8gIK&?7k5c*- zmxI)|+bKu63TGd^!}~`ku<_7q&h7c%aCs&bFz*h6lI>EgT{{yd%_8vl*ajSW{EL6R z3QBphp7tE4&UhmWlw6FS0aJFzUk>6!0}&cUHR?EbRTE5PrlhiM8?CuIw3-TN9GFRX zbnG%xO((%pj2(a3-yUM$^x?2ueG^|ZXpkWp2={)DTSr%6g2OR{@azqn7x^5A=UBjM z&KdY5F+ofFikCMJW2ucDw!Yz2YB9iw!?UfUF>Lk?q^g@?9!cP|18!S z#NfziQ!IIq1ZHL|A}d)Cf|tXeLI{6G55d`~eWBN>2dt*9#c|4?#pR^n-8MUnTj>n< zckkhTVm*$&Vp#wVjJT)RKFSL7uBSrHY!qwoY=Dmdw1`_{&R1UBHtMGYjNx#tyTjl)XTs}mjl%I`*6lR z9L$CasKeG35#n00#S%=!Jih_AcRmP;$)Y?i<#n^85#+=2x!&;cr}A8=&{;vaw$u_P zqjun(ls2qpufW3bz0n}>Jl2jg!;onw;ZqdYiX>;nVIt}E4cvI`jW1EGt%9hu<;Eh2 z9S=}x`~01~X?sZs)hIqcoALoucf;+|SA6we!A7UMR*L7`b;Cceha>u=y45REK$Mdctih*MAA z-oE(3swlc2Ws`eASGNyrXKchtHy`kZiHyjrnAcAidOfW$W9>0Y-(rv&atrH5n80@B z89es*1fNfDaPQ=5Dt6n5SNs}6`C+)TawrB4-+HRZY+dTtgW}QbUJs&YI55U2E2>Hi?!%C3qM&{`%6BQL> zvh^cxp$S2OAK7ifDlZjcyy+t;o?8JCI308nacl(9pvtGq+=o=HR{};Hd9uNh=qjs{ zfg9eFOz!3EFHXcplT`;AWX#EM>WxJ(vZC0sq|K@WrH;g)uLYUwbdUH%W>Qj7K$5(j z$#er1>bH~}4nIP^m#iY{osX6olMd=eWX1i+;$=mTC65;MVb`yk!AkN*!0O2R z;mYE`m1OU16Jj-IJ2|jQ9b=~qmD7?J16MC!ZtV=s_|B84N7R~<;t zo+a`ufF25G3-ZV>zoTTFHYaUnEB27N1BZ}#+xL;J(*}~xYKCOKYczEqgG8MhPuV36 zGT}V0U5F&t9lDd=D_nS%JNM|bDDk_#_@2eN&%fNfuWb;RX^PK0qiwx;7lpG2!U1Op_)w9PgVxwnB?2msUDMf-v zQhYCxl~z5;z$FhzBKtan#JO)EL$%ux4WkX@Ly=OGgTu7gdf9&DDH2{nFY`WbCIi}0 zWvn`ioQeMZ0uFhf$kzTWomL}ur+M^%1?5{_I1VHobtaIDVLbVzbZ5JX8uc4>VzJA& zSlKb+9+H*DnncTJ8F?64+*;COACe`#S?_8`dR0cwa-xXmrRBt`gECQ7Rv{e?M-fMt z&*k`49Mdx%@;PKb2`(AG6nrBtQ@Rs%Wqsms@q6)iW_%>O zhqR~itxWXBoFc)z?-WAL%7#vU92&|=+n_z<3s1HE=C`d@CLQ!F$)Z!Q$d6RcjRo=V z$-z-9f2KrK_2!WWsqD)CsZD_jmYFJmx)JkBFQaCBaz;B z$ewWq?EJI*LyzeiKwXN{jkPa=L^= zrGGz6tW{Xg9Ypp9l&&a7b}aGQH_B?4{56#)njT!Q6EPx z#uuf89&wFK?`B9=zvh*WisfHe8=V{PMVz?pSXJ$w#BA7Ha`4Va5|KfrB{!D5-93_Y zVbzgRCt_u1L(FX*$)z`;B#9DfMn(v6U1UVGSov*7#j>A@%enZ-BOf>Q=h(9DD@m~; zDMrzKD(bq#a_%Ye=4UELj|<|-yS<}`K07a6$lN=H6~Lh)d8~AMprUqBW!sfCz;0Ka zEQu1+3!p3I*14!Qyt1~d<{`f68@$k(pwB87T;662tp=>#X@bn3PjPg<6--7r;&v#X zP2JL-W5=os_^D)vMWfpCOq;EP&ej&tqAuhI-N1FP!cjy9!mlpD{G&1G5VkhY0(8{hRXa$j2IEPvSAyZ#Y;hb)O?I+SA2fHyxt5f zwQd7xl8U$cHsNXncYKys7yov%K<{>}T+yH~U>(jKoP|NUtx%6joQ$F_#yL8m8|x&W zyf5(jD9rI3{9qvJ&K11)C57gL=VOX)mCf6(0AbQ0jVAvzM{P=0vg4vFciesYYg~2l zL%MWF3>~Ep*3kwm>a-t+F@5S&f8`<2*T2|oD_Y%_=xWgioGMa)(fSM6KieESt?E%V zCIdy?@mMphJC#044!?NeQ?V*y;QN^cSa#(n6o#(Gib1W4FOQaPfgW8|Dj0<{+|n{_pX}lOZUi(Q4RSj8SJGjnC*y znFwtQWTDXB2;EsrlR-!L-6v37a~^w^&cpJfZt(DaioHYsrM}C7*BdWn5*f(J)hf!j zbSqfR84GoK8iYw^amthRY|1CMu@ac5Ab!{hR0_@_&w!?4jsr;yiakFn!=gRRwpJ_r!g6w37H z+py-sPqejL0$bkoQFS_FxJ7$PCiC(2#x;EW?T)_V-25fD`lB(1u38DxR>ggTbPEG? zRT0(#@S!$kr-oZVr%^3*n06T3ET!PS+79Elz81=6GLv3o$K-i%l^Kiuho+#t083S+ zSQ^7*Rxlb)6$OLxdsTjnvK-}8hhvCtL)7Zv0H>Xnz@s%7NBR9XLKK83O$UE+`Q&hpwm*%Bl*TB`wQX1Yo$`8^zI-^^NE4)b?8D|O5!5fZ2rOsf zLK+&A_F((u-cWAHDNUJX-7#(LICP-?OMbn_Yo8L5Ds&x`fvE96h3r2%=)hj0Cj;ei zdvR>VNc2>10y&umXr*g`1t(5nVYm8}jb$Ry{RCVBi>7=D?TZAgll0eDaK$ej(jA9m zr2cQK0!Ky6 zAq1WAjH8l>MsqTD@16`prG^|mmT86_v)5rfTlrJ) z;k9=$KUKawilIGFLA$7O?aGR%9=lz2vQ#xOeYtfmvJJ1K?W%$NmUrnGtBO`zCT0ST|4-|^uVjQ_?9y0(k;=wcgg+ESk#5S zBlTA*PuU0$GtnLUk9Z(g zX$01-Hiw|A`oxW}>7doC$DS@vvNDlbna;mP7Fcz74d$;~h0(); z)`zT@2eIMCH~jA2m+636&f8$#ko*4%5y2L)DNLIb>KWQ&mSN#wfGq0C7iN-1-NQ~L zUL9PAn>>RMG972*7pFY`#r01ns=S6xM3#Z$=)M)o1+BljjJ(8z&;aaS;D}Kswoi=SadlThmAVbJNfiF~maO31%#1KWa zRfvbjv!{6S_z@o7cZ1t4S3C<$Vb>)yIU2v+(Zl^X@;(DnE&5@wVOgi8h?zP{Fk9-3 zGpo8F#(6dN3wTlz$@qYMR72%lt`+v3S^@(>_O+q(O1c(R$OZX4OY`}`q;8?^kS@be zR0UFXpfqSHPF+|D-Iz0Q-1Dx;{*;4gsKEMOFySU@}1VJfmNk?*Yv_JzR75Xa?bW330BEqv-RP1Am zkncSb7OaL2)sT5~%d^;W=$sGt@I0?S#@ZCxCsE?ss*5GcgdT|N7v54afizn7=*4%B z>$+VnVayH;GVtNbO@#8gC;{Y5d{FT-pHt6ely%X8<(QZ$h>hi~6;b1f(o`z`r|y>F z{y;{WPXWtmVeHHyVD(>t9*XyGV>s7Elxacgq>;rMI5{qWt^2Y4+r2;+vfENW2GxbbM#OB`N% z1K)}sjt+B9W6NN{BTZ3l^l!T+uL#AGKu|!*ghC0Cq&3z?hc>M8BuGh4 zrb@MDz&i1k)#p?U86|YqX6-jgkQN(Ty6sq|Jc_G5P^$asPPBM%>NrhJ{Y+ zF!0ay{0W~9$`H%2qB;h>f(B+q$e~TY$(U`Y&)HO$1v3*ro;l;(^LUQ&A%v1P;h;5W zi2Cg35%5+~>WjO0nM^%RS{WMZRU?ej%~YULXq72>KIyJ;6GIQ3c_(`u@#1lTZap8qbi&&QVJ3BQyghDRaHSlzT#E~JuOYZ zN#<=Vvl@hnYtQ3dl+dXxPDQfLNJL^X%MeJRZi|*Yn`m>8Q?i2N$|0P6QuF+&fG&X3 zkY}bN@{2EgeS8G_eEyE)a!m&lNsqQ-)17Q|TYLbHCIZfiX8gdFebEg6WK0o4%Mh*N(lxJ_jZ;zdys-GcS<9QEHZ2R~ly0E4=if8j$1{ zJPt0y$~7CXZRdU*J$??CZ$5;Nv_7oIO~vdr)6kbKf~k0U_XU2jO@Jn<$OM#u9MtXR z!$v6q|prXRFGU7LsJ$U&7zHCu{gTu>~!*Tsq z?Ad=9C(mAj>jNLq^haQ<{ambe=qFT1R9XH?c-<1*w}|p=Udr^byvXWc_^^&tV~&ZK zN3M9C&b>}GAm6&ZfSkoR3{c=+62X^MM=VhiM#LMu`_2w*(Y#eFJ`ExY+4ktf32Z?R z{RD4*vun$gvuZ#}9`bcr@d`nHZg!C_S2~_3q>AHzin?75pexV%i%M%^BKulpWxCut zk!yp#ljmZze$jzB%*^k2?tBI>Y>K7?4k zQ$_6oAt|d}SrIkIZi_BU)fLlosA?Ntj_s;}h+f}9Aq_>HQP_C-26hZpV)w|B3@@BJ z=gBd&^f3I0V0HJ%q2I>Wc>m!Io;`mIH#b*Yb~%gV$DFWp`v$C7Xonu`7IHp9141Z= zP9Y^-tjD_g^BD)Puy}^fl20;Sac%|ZB;oP(BdN>VL{)J&5k?jLB7$n z{SMkx=pzVBBtJTU6JB*;I*GM}fP1-;~ujUSAm3vME< zttuLeQK{)+KM=_l!TwnH#tR-EFY(0vK5pH*iVNpX;)v63Y}vR9^T+n!blB$q#Mf`x zRG32LMqZx1l|!{6tBH=9wUH7NBhZXDY4u4scJnq4+too@{Cmc zDtB2e6Tg0?Q;OD@`+qKCmSz6PLPJ{I->IG;L({d=}y-Kqr`W6)eI!J^Q*#X>;eBGR*kMjEQaN1$6hDk(`% z;QEBS1TgId?!In;;iL5^&&5TAxb{!P67;y(-=`GQ3a6))LIzEnb32KcsYtHgz*YdH ze)kO(Lu~OxHN^k5nxRP}mNrX4z5!>>QMJf4GaFH!t~j)O0xT>R!ZoceRK)2}#e|Gn zFZAF9uK4uiS+Uu7%oK0s4X|oM zim&oljQHCF)kjUT+m)52s*dS7{0)6L)rY9=qD+`s@rWtw4lQ;Nn}^u9Cotb`8ScdkSS}*u zM>rZlXUY+{-a3HU=33w+GY0QY%*FVnZV)t?90ZhgsYYnT+Vg^vlAQE({v(sJs!(go z6?($mSFM&dChR$ZFce!+%(NZ1NyRSuPtUvQpDgAX69hDl7=m%i&K@ zHU5mUM_C--MURb!AfjGbgw`!Cg7_9upDir3mV+^(p8}*vIy`Q;A(n~@6CS$aQ+rzs z=UtX9LR|YtVhJXL<8P=pXHqd3|F=q^RxKG$Gfqk#4fs#3uX%_uo~ZKppX4GxpGqqg zFQ{t#!?{$YGM$g`2RmSI(gOqRPa#Zs0uH*}#)0|9?9PxHN1g7lUpxe@WC&899>CT+ zVVo5bj5s{sdl=7CYe8wmYRu>%`050qV%CO6uo~Emit+N`d;cE787#fOgMiK>V8w4# zw4p909l3)WPIED+eIxcDOr8U&VkcEeE>-lx8AF9HhT}F?-hZ8QmdnXi8N)JWJkHF+3BaNL(G|;rb ze5wKMeq$r7RN1LS%)Mi{BE)`s0?WFr3N%zWdwtNuLh!AOu@O#DQ1aiZHD^{HO%WP~ zMldoq7VKlBi+}kIdFKS;%u30k6 z{sHxq5MO*2w+YDf#<8Uv_#c!-k^k*FZhe$NCxb4+1x&OSFxx-{TCHDW&GfDCsNkRs z8Kn-;Xb_J8-*U<%!ykU%X;ACfo_m3dSY$a9-ba>UEs@kRbO+;IH}na(|+D@;KM zSq{}smH9I&j%v;su%HKfz@r*kmO|?mg`mDglxK^E0*pqOviE1p_H@OqkTAI23Pv{@ z^Ww9wM2KtuL@XiGMhPw1K7oOV(9klL%@JgzXR+gTT9bBAsj9$M+{;YG7Ee@t{Lf7J ziLhTx(3Qtcba$1b~KrEw|(Bp{eLn zHypPYnxmhI6^8a3gvBo!!eZ4~T-ss+0k<(0A!e-y0}Ere-sk(>$Gza6xO?X(3~dV! zCF3DK9qH_cH-Lu40yy1$hN~NgLWT2i+&}74eE+pVN(aQ%ZlnJ@h_^jZ-P9<%EfSTC z>4o+cHMXlSb33b{|O;Bn!6R6fwyZ>0)Wy**m6YC=X8U3EG8Pvv=@$FbLC z^wt@VgqE3b5BraLBB#|*OtdI}NlB)k3)at` zjg?N1@lyaLl~L;sJ$95%$CnES@PNMo2a~lG0PBzZuXz6aCkj}8L)Q@P%Zd=hpju zW{`>Grw{NxPZI_PO}N*@2qP7-VV`k*p*2P=Jc`Hu37lCmgpSm&?l?4a3ib!J!-NIa zXeDex2vZJ~L|9#^I)5eu)o!|+I4aKz=a0UD;QQN%hS0htgl`f0*_EJf&*3m*&D1Uk zfa{*^xSe1OoBk!Hs)`WTR!c0w))~&9ETmiZM}JOWi%hSV_`o-?O~j8d*5MK~H1BHy zy($W9Np>XXfmKvI)l!q}cKMVcOO=f2h4&RTw-4t}z%nUfMMk+D zTBSXO)9zIuI1OWw7sBbV0_Psf| z<4^$BA&4wVX5`aL2O!Kme`4_c z!zHX;eipGUREiv9mN$-18HirJhGUHzXYUHWFmsWU%Ss7BUQTYgX%Z}W)l291oOyIHWHJw*!f^4 z#Urj{9}HDNoGPMIf0h;wW*#LE>_RBqT$qDw*D)BW!>Vh7j5j+mZ}VeB7VC3PM_6PM zyUs~gI?{z0Ov>j74f`MtURf+cSlwYD{Kg_!nmgdQcO&#N*N2jd7CN?9LX)}`MNU-y z*{VTMkXKM7!_rNlDE||;Pdz9;O@g*0s${nEIkV;RjwoqZ8$zMLg%Q_7$EGEOME%j_FXuNUyIZ940 zqKCZ3`zQwLb{>aG{mb%#Lc)l`{gsokBYFrntQm+#X}sx7M9jAjxV&Zs&c(DqyHZM- zj@SEl<6)vKbnM2X8?PxVMGjt{+=}yV_i%Oh4A?Eai5Pwrz)Nid*p2SQo~g!+zlYV6 zmchlB_s|7861`4hw)Gs`h@!62#T4lP6b;9Z!x{5B{tEQ3ZEIC(h!4LA#@`-OX_ z@Q~$+BZr@Z)_V+w@h*Q97t*aTc>4|9JTw`~KhI&2Wgj?Ph(>;Z6UJD~$Cb36Sa$Xd z=64mXAOtUm${@V1i0;q0Co{8TpTF~fT=!A1)?!OK!d(`^Ve@_bXtCRMQFX{P_d8YgPbmg4N<4w%Rt!UXJDG!K)=*%Q{jDC)x{E&&o1EJ zT)-VrDMY#*Y-d`5wf{LY{vn*N{3un|jA(Z}^Dlr@0|VGk@5PPVxd@sI2eTHW+&oi?Vk<4+ZNQ#Rs=BuP?%YhTR#Utb^?|#ud zQ19l1iTvNqJ`J9NFsOLD)YnYPC+BnOuki3WE{yOSSYmFD=|{bx(0dT{lvJRp-5yF! z>lT%lpa>hY7DDO$5Hls}eRO<#_zbnJY@x%Ftzt-{iDG?(xt_wq;tz*%M-8xcfRSmd z3Z>rsqZP-EBTx<9GwX^VcUh&l-aMrX%4n-2r0<_eS60yAfwL3)bvot0`o(Fm=lk z^kU7orW#ydudT+&{sUk$aRz2h8i7HE{W0}&eb_sWM9acl(iEYo-h!R!+z&^vV&_HN zx^@Qp);Qr=D(4pJ;$!gS;+-27e}dWM~-Fo;9mtFt)z>>J{ud~ofUEBk zqHJW;O))@|z{Y3mvy&>&iKp zFxUp`pC_}80!e?0T~ilf!_KSl&E)*NOek3l4CHPsocI+%@%+nQINgy(gW3g1W$hdKQjNN3-C7Yz z4>n=(A+3Ic>F#U(rt!f>hRV@0a^umD6}o0VE+2@TfY*61ChY5sOq*sE5#%{ z+_Vr!Jh>aSv+jTK2p>|V(ZvZs;>$6jopVj@tT$=u|=}^SqB@ z=d}nhn-0VTTb{-UU+TbU>2a*L(?KIC%D%ZDgG&H^SuH{anhu+>xOWpu4hS-Xu4Byz zBbW@Ih*{Go!PcY~22656eTOx$WliIfQHRMuO?D%w;LA}=pRfW4jvm04d80A$NFb!x zO8pgQ=T5_-*$Z*fmnFOTi0};rJ3e59Bj9s!oz9Q&K_KT#g!}uUXhdBW9j9-^OuYtF zkio>SPp2_=a37f4PQ@&TF|g{@8#cS+U;+3L2k$a_1PaAPT5U2mE$fRW)c;BPXPlX7 ziJ{}>WBr!RSUqPPtVXQE3)U%~R9@4c?7*~z>#+NZPmv5%L_HOlE^xwi4ko%2~P4ps1z6u^G7^aBSI5xVT=&**%Up^pvAR zjMzYgum?|=hzbcRHDiMjM}42jP6Q%@0*l4ZqQnz?sYLu=1RbGg7Gln>%Xs?f8=|8^ z@c!m5%ow``;l{gge$VhC*<>SvObb*eGEg%e2u+s!7JR{R`w3XJ?B+?Q?ZX^QQk&Z#JP9$t>!7hQ4V%wDW>dJ0ZWQEBx5!g)6%9)SVe z)5r^<^zBQbz9oD^XsL!!0s;a!%bOXo-%u>fZcrNqX_?d;=kIs(bT&>`*Au08PP!qDmfD;Hy8mSnQS}4_!)@65(>pk z@q<5mJ1r)XzXgM>T3iHcKUNGq-h5=_~dXtoM{z=asSHm9Skk z5+ZIGDdgQj2QpY!ji|I$B3k-`$XJItWWmfyWJIsdq^pSo+50%01|yYxKEIR< z*W>(_^HCu>1E!NhFQP~u_cmU#LT;1IcD;zUa^d~dN3A;xn#k;~2#Z{GJ7gphO7Oi0i6D!-qp(pHt|7+R1`PqU~SvdGi*)NecR zey>jS`i>&|J^AIB3nP|X8sCBEH|2cVPa#*6xWA()_~_9~H%B67*6O-4jrNt;Z$6irIk8ugmE3uK~}5>f54 zhpov``HsGN``XdACTpJ)v0SLL~rmSa^`(J$q6}2W)AF5I<@0T zE0xziLpG3S>?(RfHkuod&b;5N=@6q)dx;lM73D>}AO|M(ChZIFX{$kcjaoxoypu@E zQo-P z8jmE?rcEMN-8z&0W4DrrVWqXbY(3=OAZUIVN#x#2N`EL_EV@sbwC~cFSk5|6LW>nu zCi!&Co(#~YbWKfLP5 z&3-(wGSDN&lXj9v6(&GXC|h=6Jn6(QU8O!9i2daf38ie-@e!KvO>t6jblk)t#&+VQYSr!EhXo@Q;OSyoUg=XR)5lwd!MRSe=>dl z3lg21KprmXN9mUmQSUs2EIIw2M0p-06O8mp2c^PiQXfjEdktDdZv5~fhsGL_ZW_u( z-%)w(++z?~d@YjuGAcW%zU1PPp`@EC&okO-6SHXt$;&8yrIJUYpYJ16dubHiuilf` zt-eHjl2XXkiIm+@(EAB5BuVfhA+8l)EI}t>F7wFX9v!LjD|{9!pIwO2;Hl)e5AWMEN$~A0WO6^M zOsMiQwzVg79PEf?4_(r0#By@sQ*nFD5L(X4fgVm==l3TaxX)439zdpVcO?OdKggAN zgNR|V_?gOkzp>=dCo1iXU*!Hub7EL5epVxTeQd~{w>+{TLLHQeF!6sO^zY=zP)>}Z zLD?QPZW`3I49GBt_2jHabh&8|R9}RvlUx#faW*k#w*^@DwI{~*`>E&Ul7#z9iIF;W zt(^|BT6CIxh%ey&hEBq7E+EDl+}|i`6I1)`HwKR+zn>lC|P;s@1t4vD@p(c{L?{iLZ7MyRN*k9-ohL z7h-qVmr#*wxd>}lev{`-wi>CD9t$3kbnc~6WPT#Yry3C*s?DI)WdIp7bvl_cxI5|6 zdmPzzKdiLhFH8Q50%8GeG}V4PG=Z3QZU0-wSRV~aP8VE_q-rmheAqpb80)GN)!*MM zQ)x6Nv(9~^zE2~M)(j!Ni^bDaY-BK)INncTzoGp0W5wip>>xJ%dJr96S?0c{lYU=f zvBZ_cvsI{od_CgoJb7fvbLHCu z<+Y0nD%Gy633j{cWl5NrzQ_cXtt%DRITxX9c!3yfSIt9;^$)inP`u=);-}w7_=iR# zIh&w%!)8$G*cDwgoARCcRgC;(g!=d*G&UQut##2;uN64k<(CB$nUQ|*4U9w@ttnJH zcZNnY{!{MRWJTe#R{-K_siKF0Dnvd2CpR8JJ^=_zDnR`H{nqI2-pHJK)moW&Ag5&ve7Qo^#=@u>yhD?70^!AU_2^{C)5( zttoU2wb6{5PiES8eDKSHszE0-6~`>AVla^v_Z5D=VaRXO6$b5_vPU-PQC|?iYz^%; z4fu`}iVZ<^hcybhZakL0Z4HZIy`k|>eaJ}_ATu!nfnHDX=y?!Q=z#pdop^d|gkYz` zGP4mEz1&-g+ge(6@iS9H}IG0gq~^w z98E1kT>EEYi9Eyy`ru1gA`0rafu^<=+EzoWABAEsf?Yw*ORO5W0d@D@$EvR71xHL|Q~LYa?>my{^7uzt z1DfjpqU=yp5c#iu8(o?j)_$xcf4)5+F8-9=t}LR&^kVWAe{CO5<*B;PKM4{9A`iYh zhGEPp2JD=#VO38)K{O))uQpG{tjkQ;oxF_Y{O*w;I5{D>wtNP5hMD2exkcz&%5df( z-)oHkVe>rsjBL-1|s4$T5ax?6wUjbw6Nw};sKz;5v5+q3AMWQPbB>bn~ z?fjd28?Fo6^Iaw`p*(UBa%BZ(?+AnGk+WFPt#qejUdS;_8nz9dxhk-~b|1^Sh&ym) zBKgidSUGFp^!3?L{~P;=BuJ3(pGU;*QV9~oL#Ee$tUD8jE(>>IA-_(&98c`GvBa_u znpk?_B9=-z{wfC}8n+gW$LhOzFkL*GPsbk%IjEQ$v-h5(HEIk+Nq{4vTyo&G*A~MT zdqRE11)N_Y>CBKIfj<&mksv{W1mR#H#&t0!t-Om|vqhN6r{j->oQgS&+Oq;z1vF-D zz$ya!?>NOjcF_G$~bLV zRMPR~!XnIZ@i>2(y`za>bhMrdfFV~oC6cwq#&cP^9G1ws{Jk-}6CY@)x+@|A<5e4a|Z9 zrB`jIE*>n8bW-LD+8e?CSml3=SU#UFnIAAm@7+KEfOS1_`{R3D2AFjk;~$ld z=%Cb4atfUU2@)hokRU;Vgc?R3lE3*Q;9DFrNF6l(M+F@^DxqPO2CjLC@%F&CI-Su= zOHs04mIMhB{%Rzhe-b1}kRU;V1PKx(NRS{wf&>W?B>Z0j{0|I@j-`jUGZFv*002ov JPDHLkV1nM%ti1pL literal 0 HcmV?d00001 diff --git a/docs/img/mips2-image-0010.png b/docs/img/mips2-image-0010.png new file mode 100644 index 0000000000000000000000000000000000000000..98b21200dbf069d5c3f9d3cdf9d1795456303c35 GIT binary patch literal 49988 zcmd?QbyQs2wrYlT9w?%kVI3^nj>r`_XN`Zyq6Efz>P61+0daYRF} z)y(VrMgp(vcbmsi7P-FNhr}1*Ql%8Hu5Q*Y{WD~&f776Ymb>8h=JkXmovf1von1X1IL_bt!RJsKE$e@*1C^k&K^ z0Abr=ls7IcXC0QPWJdr?kiJd%%QGq=^( zXB|~e^T*Ckxj-5pTl%ugy6Kgx@#XFhB%#CyH>=)7arAPFw6?o5*<|rcr6Y-Lin&hF z9h2lOuq=DLjsQt=mGUqAd%PgI{hN6Dj5g*&x}&Y(s#D7y$p@mb6qJBal@=e)xjLA1 zP<{=)`cEzvDcdf(y|Yr@S?&sK)zHMeuN4`!Fx5Qy1|IoO&eOuo*W}Ptf#k^wti1lo z9MyR!SE+J}Y^m?c=;97H3%=QSmOZAiuE>e1U;m`_QYfveHK&QTL^v7*JS07rz#!Y8 zr4V6jEmy?|Q?3|;48ohSX?l_DEz~fq@YobedwNc+rpGk^$f1e8nKA;P2NkxJ48a9k%a%6j8Ug z;d%2r7gyVMBfMt`6?Y?=@0ei&2jtUh_$~#^+t+y@{GO6aI`tr>LCxBjZV_)$&1Fq_ z&Hc-yd)@>gUy`s+x$#OBIV&|Q>8TeEC(FWVk&C`2QR_|TDaXVEYHXVYpt+cCFsAt2 zrODDPxTTQ-+;g@%8h^EUI9S)lY<@AfIY_pYHpn~8Q9B~dBdPcfw`1wVo3M2}s#&+^ z^z5{zMDKx9T-#)IYYue1lNteN{>O)TDAuYg*B@@Ux!CaQELSuLoh3}EnGdpSYiyj^ z&G=ZxFK#82w%9(9uG_5u=C^4oXDV@TM{92qXet5J18DO_!rivBI*T^At#hWRARc&Z?(;vt-owBsuO3gS#N$PjJW%( z_u(H2iMR(H7P~FlXrj8+-S1+%G_lV5Sf)-Va69UnQ|`Zk5tuM#(o~gdT;|mhj};A9 zx%9@)Zf&WJ@azt@l%iawn1Dxy_-Grn=C*W#EAv*(ZB&Rhf0+(xj_{o9w6wIaT^(y^ zFCJ*x(1@(i%2FJ+i4As9q&MS?VgOP)*dy!wK2PQv2ShZ)KW_4h|!BRaMSb<*4th6L&2Eu=622kQK zO#IF2mtVCysC$crt#r~!QQe>U9;U!a2yH=*N5#(-^TQwzv=uUksbl}M*&FY4v=Pnr#e}n8uu9hb^~{A6IdvL!@}8p2y}GpW{Q#1efW7O4%=8 zw%)|Bk+~6%`U^UQH=3Cj`P2lXS^I9K`W*h${e%=^M`knx6!&P;lcPOobIT~1Z6MNT zUg|1p$hrFEO)9%7-8JOr%MP}XBB~}C`MT0;^$$=PIR_w3@M$jEyHwk+UOButG`$Q+ z6TqJhyUNxWzU#ScOZ&iVE?{P-0f9|GPF356xk{DNk} z{wCG1SbkRjrIW3Vud%NhgK5c{nf45&VFI`SrFaL9p3myZIR3If&KVz8C-FOC#hL@GfDhR#8 zGYjs!hs+}eBfcIFBsQ2id@zj6-iY$#__--4hY$N;|;bQ-6C3E*2KZZzt%QFut$BHNi7NYU%Gf*;@A%PHat-%5&6DF0q zejMM?>)!OYMGI4vH=Y|+qur+&Dg7-1N3lzL9eA)*H2IGGhqGa68j#&DIy62?xCc#XT@w3W_=6m0V(0FOq#i?A9FE2pQtUYk>A z{Rh%GgIqsyQ#0OBOl-f)w>+{(XU*uq;8b&9S7GY$Q5C2}`WQLk6xd|`F|TmsH)!tj zhjs>^{pB<*Tqc$Bbqjc|MMu3PRbh00&xJSmIP`#vJGyf1Lww zjt6q^2hC37o|yxfk&3BoB_HVW<50{zsU>H|0_5~qu)!I>Lb^5pJ`JT=qhYfie0 zA2z3)%p}lQctq;xY7T7Hl0|yHg7d1he%#-bM3DP*s zOoT2gZgs99Q(7sNESmtTS^qZOrWS@xhSQ>Hk6U*!-ksH$PHy<%g#D!bXY?c_lx2j* zkJm%SXe)tH@zj>Ff*iNDE0sradk^?WFL{rhg2LHYvx1hk7D6}|s%aqH`vSSL$0hsu z{%hbPf&vg7r4uW!vM>u>(2e8K41*W8X?rKjCe`h^msq{W5!ZIvVt3qNz`^Wz^s$2g z2yJ67c|xZVH=MsgiOu>GmSBDc_c4(9laXpILkOND2Ib8Ig2d7xglK)P>^dwXM zQvI(ou}u-Dt#d+J#Uz6^x>K_x9#ckN^6PmYpwZsl^;tMEC)5WhkA+4~V>alesSp$BLfL++J!KOh2o-Uz!(h|MI}OJ?wEZF{lX#Q-T8ZeXj#z>3}mZ z8F>%=#;)^1mBUMk4NKOvw%>!hoClX14wT<^=D4h65VP^0wT>2QxsA^(1KYw;m;Xh4(y<@Xky~CnR!C zPGUob$96_~d8y?@&>is<0~H;e*8IdVjl3EQX+5(y^#f&w>b28{Vsjr5n}@(p$d?Bd zwRLgIwN#8nXRwML9=Df-gdRg}@1AD327TpD2Q(n0*Ap}E*E&zqnUc76kY1!lX6bi!m;gzq zA6CRmD6aG_SIhVbEmi0`#`Nw!1;5Sr7P(7$F6V|Au%7R7?azKXZ!mq*LncBA$XISe z&8N5`neXc-Z{2`2DG=Pth@)&u53OqxtBHA)uVWj~YBo+%dqxluW43d-DY|(MYlwN) zrNNb>r{|&9RrSr@xM%pR)rrldTyd9qmG&-+Z&MN(BujbpEAJLuc)~4YBF1qoc|BjM zv%syQORj9L0`X{0m2$6|;#h82GfPk^MV=hTse3~4{#Cw=X8#w^z>3I-gZDjeb=eK8 zs+R9S&rSqd$uuuVk);d@9=&M8csKp!nAI&6B_&(pw_Q0C{#$JFi;NE8&@q<WgFJ{Vu)pOz={0nd_=ll8_fslO1i2M%B^z!K~jWkMskKr#&thxXJ8vb8eVI zhjS<#$!_bks{F_VsC9#Y1|)9IQz6&BM8tRZ6ZZMAW|_*hp`E!Vy2bsi3Phhz9T}2Z zxmHPZkBPxAm6eP~U)?}m=_E-wWh$q)CZf~(_IE~xUk@BNJ{n@WlCi<;NzQ84_p`{y ztAOBsvyb!SPY%Q5%hfXKl`ZgDp_NbjRg_gUrzDBIOr^^HnNoA+2<9Uxs)i3-)Y$LL zyam~9P--4ioH7WljwQ4GAt|_bAkW}tT%+D=ml3aulV1>Pe&C3`sRi;~)9Kn)9-D)3 zb^>c72uAArt+Tzo53@Lvt<_CDA|>ld3Bb1y{Oc_*@W^Z;(Dp#UX(G7gX$@+t-1XN| zy2G6mF|uoT1~uJb`^kgX>)I&`);25K;Gn-(KIT+lB2{%;fUlOgwTJ-LRKG3U7Ldbj zwEY+O`Z6MjXOBDrQ>|=*dwr$b&-2)0g^fS0M?7)~)ahKC_u9Quu@aPxr_R)7Tq7b! z4(j3gp>Z-YOYp)_AW*sCujl<<)hR!Ii(LP*pxE=e#*Y1@mah{}>ufO{(}OFgTsHd_ z>M5nY*XBC@*v$$=IFtwNt#^bn*0uJY5VbN1kj-~Sbq3iDH!4#>Dlak;2sl9Yqk$i$ zWTz`fiI^x#;sHco^egkeZg@6#n-RQA@e8<)acHhK$E8!YS-X*Nx#T2rDeyXRc(LZa z)s$cwWwyZ$7XO-3Ip%BZ*S}0HP?yLn!c`vNLD>#nhb>umSH|WjJ?uxDb^|lJ6Un1B zKfP&%nAGv3OgbFg8iCsVjS6zfGfl71!3CoQ`x21^hH%Nksx#IA=4EFn~ z@BZhOV``}i-LJcF{5ID@#VS2xP1l1+On#~)>6lW!%>gsIypugX>Jiz#P+a;{xrG!M>x!8giZ8cr9auc*&fixRlx<4Z@_32ah700~wO%}I z=&VDweUU0Q0eq`_GPoYa^hvE>Xq6KWIxdUrDy^3OD&nW3_Q-A`(YqmS*`LfF=|COf z7VIfB(B0b({v-~1aXMYpB|xhWw^;_4^f(irPo>Kw31WT?N;vf%X`DQ)`Hng=HADYb zdLVx=bdLUWYG|^;)zMtfSBP_pmTfJhmM+7U82stxo8l`CLRSud4(%7x4R`1+Zm*6P zli!!UvffDOO;v2?;z^46-k@RWm@g|n6>Y7_orl&y!d784qmi+=YDsCDP!iozsKjs9 zFQFA1Kd)XsCzs6>vk=Yj8mnt3fwj!e>6o(VhcDbRaEx0XU)`UE^G z`XttvyXki`LMNvHm7YT;2$#7rUb!0nxIcTJp8rL&_eR>dGg;nJK?9_}YW1sy870=Q z(|8^m*fb@N4|zE7&2@JCk?cg0lOFxI%r*AtgoRsfQ~_-Rxbxk8J2YGU(bEt0R2y@E}*`gl_8M1k!y605%yGwl_G>3kd>yh!A@}f21*@$(O5}QFA>>$8>K{fad`aY&ubYFLPjKY* z@e17Rog%_6ZaneIPbz@UCT^F{tZUtk6*fdONZ`B28I z#;pqc2e5uUB*&nV&Wq+r$1f+K)2V92n)XabL!EY{@-+7I^R;cCPkDdoHG60Z#B2@{ zNt8&x?dTu6IMW2rehoKej277ID|qYx6Q)}n9QfzR7=GEXEkK+z)CG>WW+rZp?fs(Z zgg5(oWp4u3oQ^R7&If%56K0NFFzK2&_#V*74c~aq)$}e~;b5-yTOoPf)X)GcL;Vvd^fjpiFk;5~kmwD6!G4^wB#63+Hek3cFEBc#3e#`+>hLj@RR%VeOHj#aDl3Qd0A8*?9 z4*h zQVDNdop=zI>RRET=UZeF3Z40}w6UHh%$>Sbcp!Pn-$kQ65K^*Gk(`H$U*&m8QOiQs z4nF#G2#Y^?608^sH!_`=E-G92LOu1pFD))dEMF|r>(hp4S(TrN%_Yr6i)A*bV3$bU zrI0#w<*S^t`QB-Zde|LjFi3l^-ij-<*H;$CUeTSFeiSx+CV7I-1^yd>ous+UDlc`q z=E_5Jpn<{7dkDLwLr0d`J&^C23_0%zK`gfK@2~z0=?<=n3X}?QOq6WVr$hVHKHPz& z%N;3WH8MtQSWjo5!EDw%WPUyXL_8uUElgZtflUq$XMEDnnOfPc^azn z(HoiB+;=T!No7Wgy)lwlYF~$Sl2|aMmdwW{eJ1R|X@q#}iTQ3kn(z;#3414PAxkzz z8OzV>)K5N!MjtMo%yUEw-)}kSMWA7N1TZ8$YaPL?bF z-ZY<@c^|EgU>Lr`9h-9M6-nuRtI;!hu2HjxLV<%R10ds+?|n9G;Ra|Mdlic|dTr5% z8Fck{CTzYR;-2a2-@WLJ$P};laO6$pu`ymwP6fQfLriL2ME5P$G_zTnljr-=8pGiZ zu>Oe2F!}O0HNrdpE{4ohS-woI^>92L>v@y)y!C47f1qp3!x+v5udfjiL%bc=^T4l~ z5{Mo5f^(NMzte_)XqZJk8)KF`uP5h=%xOa&WmyaT$_lfmDvRRmo`S`4D`_dQT8{H7 zigQMNY^oYK?_UG$wj^G6@6U)$-b^+~$PojzSv`*|)d^Xe`Jh^+9M=m2^t;2e|Mt6^ zY$3{%o%*4f3U{^`3nuCg`&>AIad1;m(O$XKO|NyvWClEtsBtSEFR_(q%Ef{u*!A#Q zH|zIWN?Vr&MT65tV0Q3rNEL++MnjtqrpG*jmu{1s{G1N{7V=_-qxv;0N~4Ft_f{MO zb+*%ASih>DjhsCEHXzS>y3+aRV~S}*dn4$U!_)Zh7Nb}H0)03C&2U9@)F`*);`4-3 zi`#F0JfYP8E%*)!_~quMA6R})1xl>AYxZI(PJA33#nY^BfNKlim{E;s5v${K-ZZUm z9Pr0?r}9*~E3<_PxO0@6^U{*~R985_%V+>~^MB0@)HiW z3*3A|!15<?Xw0I`jN14rkDP$vGd61YrGT$$^<~PX_wdPfulp z#G=O%Icq6m$U&~=whG^}l-F@haB6eTGh1H@*Y*q-c;w=l+4gvDU36LvQ;J$^Sg;>Z z4b9h&{NdJuf+J5jc!(h63r#>nuSD4mR~H($izP3SG8&janGt-lM#9!s!-i+!;WTQ@ zc5U|aZ51p;2Cj?p}9R1HtcUNssBCf57a@yE|ha!y`9q5=6aJ^9e+#ht#{G_poacEVyI005@`)kc&Y?CBb; z(%v{ZvTD+XPx1WPT6K*ymJM8cRF}9|H7XDnH*nOo_iSac!lV`bl^wR!g1N3^m zau3~B2v)h{NKcUfUUo%b6X^r?JOC^MgkdVrzrLl6f$?JZ~@u+P-;~xLSK>_ko zR8t&WIt|&~#Y;RtnKZ%mnAk19Gv%P6aH<&JkdF^6qMEL>k|lQJ*E8z=RUzSOPg^9B zNm1IDIGQ@d88lw(AQ_6!JOC#5>I=UxuLpw|Txpff`egfq^h6=@$36iu7m%AC2U);Lmue)R{j9bw8h261!^YdE-C=i@$5LB07xVRE_=B@ixa+ zCtaFI$`&=cE*^1ousDkt7j5Tgl&;$Nn$WsR#Zb%s-G>Ko@9KNZ^3f6Y_&e53m;PE$ z{Y`jgXACJ=gExoDWBu02;(-z_boIN!ap0Cx2`~>p5dbHI;c@Qj=p4{Iwn14HNs7KF zdH0n1M!K8G`~4~53nt_hTCLdVy zh{iUSQnk)Xx zD@{)IgMQaXqT=|U=1y;5dL84v*noo)-dR3hG<6aV<$NPD@aiLslPY{mrs(4n5Vvyb z;4WrzD4m}DWzWK!&CA1gHI3I?itI3wNSag8KI%DTao0`_znhr(;FBK{H;_1PK`{3V zU~O}-Izn@~)lm=mpLom-LpB12@V=gS)Ny320|8tMh)YN&le5~6HmyF>3nM)mNI)_M zg>7l2&LY3aS$fgO*+?8R(+=M+L>=Y$m>!}71?yOh_vrL1R$`I|xviofA6^5g78bjc zYIO&n`ef*k#rHlYIHVy zSdwbjD|1GXo~V|H+Mx7A%p zA7|R;IRa;&>VJ%F*`|d0sFKtmsHjVp!ObT}X=zz=bB=t)T>Q^ZI_XPvYWdypDkm$f z-SKS7Q!KujckoO}y`L`D)8n_DQI_}nuHcZ~eOO)O)b(8_+5aO{GIw$NZCU-nlnpbQ zj=#cWNzWt(|z8h%9(59`n{Bm*0j40r*sfSpEr~nko zKg|r;VLzOPVfQ@L4Cqmw-Vl%e{5qfQ`#!379*B6&_GH$6PB9Ji zG_Hn=OTAk3{VcMHf?$&VR31LV+95rm~(Fzd(qEG7grKL>r zxjCUbSlYIHish&>>VLHpYHYhQAo`CHnfuX;SFozU74TwE)0RoDLV7U0I-S?11@c0_#yUvarE z$^$CQ7I$Z>kX%mp7z3WH?M4NWDjLH5UkH0-%6AU0Z<0nDx4L=>or`~=HH=qJ^NKYFhc}L;ZP=P12XHcRv!Ts8c7aJyWOO7XI;j#L z1Q9~xwhF{r*$>nIaq^5}L9iiJfw;B;HmE=aN4Q-CPkBmgHHt_+C!8>>O*7k0V0wHv z8(|X^>X0h^zzEvw@CF}EFKXT5jjdMv&;HYpz zCV?wv0jEPq*gFowDz5_rdYWGL)sIIF-@epz6M7d$>xRh9iuRR3go3H@{$Hs0WryDd znP`~Qe%NGGV=Q(3VF`kd|2vj&e^8Ts)@W_GHv64m(Mt?w8x8y!(YGyDSbfM^m~cC0 zF|C{L8DP)&`T>x~f04(_!mYIL8zD!I?z?X1Wh!C9sB9AC zYqzfyU+*i6NKF!YVt;Q_qgi6Vt~B5G0c$$r``HYBA}7k3SD^YXJjgrPvo>Hj_>nBC zo}~c`@O{$-xnR|QsiEhvc>3)-pNh^51hUz#ZqC84uKn zOw?evVFvCThj&QyGiy?TRdO5$<3g%wi!mF^o<#B3{%E01Y{*Um=a@*g!UZYAjUZbc!S+5)yXt*;c5fF4KI<*aDtx;`5VDs8U?rToFA+bhIOH_mfXl zsae%~e{Z3qG+XxmgcJmMB`W8>@!3EnjqmcP?HQ&=mD(q%2l|x1_qk&^%B97K1O%cN zcDZl(koi0Efm)~Y3oZ*E&1s~j^5ZOK(!}x>LYzFPw54jz=DLQ;-TBaHx-My-`+P+K zvL#)>86S2=gI^c79Ek5qN^F?^=?+WQEuo4KdAAjsIrw|a!SnwPKWC}}yR{lNy`%pQ z(i$@~A8{NrqD>DAYuQ-VR^_1ZO^}x83@;Zg-!axa(dUgu3xdUD-|%^k+^0h`y(QB# z{4BUkSiQ}{^S(O~sN+W%?IY{jZas}``t}Z==PwT5U{u0{x+@q-jNOksJ(|To#KC&bA-I>}DS9_xj&zkf^Bu?rp#w`|U1{IzjXS&lJ4YDc!%E;Dkka~V-N{7YL$q&fgQ1L@eq zLOa`Y%i&#^Mk09@X4tPcj@y%V#=1QmASquV%Kb&OR&_iw>CavJgm~8opLPfNQ}J>OQnhi$H_?2swx#=%-x*DHNe6+BTfQ{{I!-zo9UM8@IQmA%9A$&UC> zs$^*`W1N<)(ma=!)4sygovf#q8}RTV?LY@zEzT-mbF+)0k>1A58z zRkfdJvR3^Pu)$ljm@$%e(@n_kRfE}`gR;7DW%aIfI+7(?9}#fYo{KlUnEU0r_|C^p zHv`mEZECih-}9hqzLZ(;mXE`a-^?yM_ftP88~ z>@>o2EBoCWSsL!bZ76@A?KSU9wEgm-kT-kXO{aS1?c6bY=YE{=LyuG-=aU5f*B|#y zLqC^DPUoECRm3@Xd*|dMv^vzkn#t*%nM_IU1&JcZrTE%%G||NXO<*M}NFJ+WVM%c_ z-cTmz;3XSAAG_%L;dVn$BBRp4J{uEge^QVTemEDjd4}h3mvrezCZUpjo;#eBA#nl& zp5yd)^9x;H-~$I~ZpR$glyB~;{V&`0ef@ z6QQMRp9uR@^Qaw8wg;nNX9LYGNulRIcHQK5xJ_x%h|JpEEqI>9XMB5%r16CkK+-H_2?fxl-Sk z26mL%s?r>(v_8P`a&pOinC7&+wFK*|>u&U7q$hLPe|mo1bi5RtT)jK}!W?o&lERnJ z*?ReM`-~hS4J|$(zT`GnhUVP-&EQPH9$tBk?F5EsJR}_w61tP3x@4I?UP@axpF5zs z=4=L^#Ie11skKJP!{t94C@Hau(|W&bQqh(#_1w_pSbnDwQbl4jSUegUysi!%BdAjk zd*3D;tLW}vqlij&B;gXA7_lXC*9{#SNNzJ>5kNmQY3GgTyA~{jvl#c!B+*i}WotZq zc}1q%4(+?YE_8bRX1cHoBh$ODCjt_}A%@=pbhKK=UH3pMymbIOZVrp4xH-l&EFf{& zXh+sVt#$Z4(28@Au9@5o8mj`t1-uV|JpKpkD_dtPIuS1#5XAgSz&bIly}gBr<&6uK zersCpfKp5cxqQA06Gn%iugO_PuwLWm;d^$!i3f(hiG6`0bvDsAbqgltXEZFI+T@zI z`MRgqYT`MkNo6#R-=n3d^-K*#aA~5(7Ic4ROpx{;+U83H0cJI|pVg*$Tkvk6TAdTh z6~k#9P?mO)t+^5*cP7X5QhibRV?UIOeBD^{SqOrfy)75pm?UKrsikU! zzmz3mzGy-2dn7Eqb;Nh^YE~v# zNXI{<_a5L3m->Mz&3Sq9hUMU1$ss{6^W%<8*pw2&3m=k0v=C%R;0ffGzfck5*ckSc zi*e5#${*>sOMz>vBmDaACUui8N-4wFV+?ea*4&Mp9nUj`6RF%l zPAjyTMj3GZnwl8C-VqPyGdOim7GwPRi!Ch~8aUS4Rl=2%nzm?f%|V@kq- z!zMMU-*z0U%@4+oi7Szd%vJI!muKkJta+gnW;7gq9)`bG>;o3FS$gM z)xwo6Xt_7ZHzW2IEpldwfCPdFcdO@;^yM6+GDy0PQ}e2k;r!JrLgg!o0GoHkQHUII zkFWooYmT-jc1BCsnKCS#a;Ajq_0q}lOq}fl>Enbbp5L~bKTM`84aYVQM6|S3Y^9dT z?|w-K?cr<`zo|(QHb%m17&dFdKa#gBR{8N+OiWPS{@hh!9C>B(2JK2dmO5ReKE!7X0vmgw*C0)Wp^-*X0x{1=t%fgpCEYTlSeuX`fyrF~*op_b8 zeLjA|d{u(GT*o>xx)(qIttGVV9efO@3}2SgqDAv zEPYc>Ywk)dT4^$tu)kuk;1n#i;6FAJ;TnAPX65Uji3v{Sb2#_5x9{4pV4k+B$h1a? zAQhXdBLs*!N#~=c&a2`iRG}LuzH$1sG}{>|}G&ZoqeK8z@#Yqax>BRO+ z(U=d_qIQ=RS7B#{)Wdp_l(uiiycV!hpwh+?H9-VST*>4&3LKD-yTwX>5i`%Z(b4VJ z$fBXs`WWoOEDxHL%;_6EMLm z@0k8LQfVpx$8vC{%+q0gmFb7S-j>>=lQ{Xnw~@+_17Tu7BVb}zU|W6e9f8EumO`(} zZ$j6$es5hz$=Q<#F^vU?!~~BCTVbL z-pAw^$?KVF9fQXd-Dtxht5+3BSaCo8(llq5Qa_#E)Agrjk@~8983aj@*2?ADU4P|Z z*6uuv1i+gMaJ;V5lef`N<@a@$q!wEUGl$^(if^%I1rS;hMUL!Ur=5b;2CMA1Z@5bk zq4Y#v{kSu}h{KBqM71B4nqB)%xTeccnQCu53%aPl_OJCXaee+`kyY!L+zSzngeO?o zB5O&ypSo-4NlT!`)>pT%AmhU}Ouuyk71}DyGTWIBa`fpZ&FHD%GrBH_fyP7R%74MK zqrS2pX%l%T1)0X@ioG^@sJ|~{KEQF^-=9VtUiV^zpU5HC<4QmYci8t{HUK(#H z&dbeoZl?=(L_3o;gg{6mhp$tFZX?&7j^5JYdH2N~>96BjG5_8Ij^1mgWOT73t7ik6S$UW4lgx`C*QAHly%9$ zcScuzSFfXt2a~X9sahQ@#Nb0lyK%_wWD_n3VL|WBQ!TAWaT3R5&dH^Sa*eDg)(!a@ zOr)T~ZcYO_lnODV^3P>n3@}@c$Vu97vA#%BhUUQ`EPXhSTwp-WYLgoG)x(5?JB_VG zl}u-E>_Xu3a>kX!t_PQSv3TnNppm`ldy{O1uUQvDvj9YrO<0UIzC&hZHR@i8l;6D; z_8puJ;C3S>@;!S^2G7t`sXUz|J3*sy^tZPfrW-sMOYsmPrIrjEVIVrx5{ojXl!+g) z9Q`1osCTA0Q^0CG$7KSq7NY*ipTK%w9NX)fjv6#Pw=bf(;MHPzdx(!SWVbq=vTJj- z&SqBtQjA^VmbS1dn(b>1%CE-R<4%jYj#+7P;gAc0o3@|FzrrPyhz+!3s~vkXEh^*z z<>~|^hnMIp{FhR-hkPL4zYyoJ9D41o3UX+(!9KKXb0SK_@KPA~Bm$NzU!yqhkEP$B z5zWX^)7FCK0YT{L1kE>pw@@bpTsz#Z@gH5iCf;S@@6%nBPFX=Vq&?u_9nPTnosbq+ zRz@B9_&7X=ExE&DmnIP8`#t1}uriv~rGqZ8&c5wThksj65Z_IiE^KyiXw_}l>97OM zMn+dAmK|4-eb(9f*+X4P7ckyGD93*1X6fCd6$>KaPZDy;Au$iPZ$s4)atq@dCd*a8 ztU8RSKpX|zxULZ5wx&pG>3AMTcSjq(3McHaz>Cha6{ge6Qxp_LzBnQ$`hQXEN;F!- zuTk;mA6u(gPwiA1iL@&(|jtpYtBJF?ebuX8EcBC%0ek z`{4Cy>=B`1KYIvM^66HX1AWAb#jEjf50updtj^Cw6brF!!t}%Hx;`6LlV?h$y!;hI zvG1J#99!}iy|n_g4(*6m5v@Ood5FEy=&sp2;UK8%GvE2cw27eFiZ^pGO|B|( zN+$NVo)lsh^q({d%;;^C9tH=FHDPNp1H>J{|GD=3!8fa};m|w6Ang7DTSMHd!Ks`0 z@%PW^G!Jh7rN-XUR5wHgf&?`W{Zk$L9pr`jg`xW*$6YPYZ#}G+&*}IZx4A}oy(>ER z22Nlp9EOvOcT`8WEL({H8UkSx&5h3J65+I@g;0P&uwwTgk`hZV{?zF|R!DHSny;Lua}~$T=$)p0Yi?M@mK|I>ki+r`C7r-u%PhyG zaq4bUPbi^aNjx%LNpyiN@@h1XILxm}=L5tG=PQunmzd-#)ejpBw&ZcFbkg|*=qe0> zCd=grrPSozcr}*S z1-)Jqufxp@jaUgl#^Ub^FA*`ANS{@^5i$h+xug1x?s|Va3(0ar`k8q-rWIQhjv4d1 z-Em9C`0-!gj;^q<@UDABGHN2A@4wx9A)~Xqa_yij-PSrC-5vS{zSe%(;AB>Q;$=J^ zmDa`fM%>2FP2TkOq-&!{JNOrd`+maqk&g z!jIm=hPrpb+E+rS0{W(p&94`{bi5Iy56J&N;v%QJkj!7;%z_rg=2b8Bdfj-jqj>)Y z?SKXgd%n&#M?6UJot`(s&WM>8lBT~^Oo-8s4ZD^1Kv$8O9${qm^b15#QV)913~&;46K(W@fX zcVw-?{SA31ecT2}EKE}8io(l0T5JlYj{6S&yjKM3BMt|A#BaC4amZ_8tdM=oSA$PT z__ux8?uba%+Qf$w#4Sdf=|eK$Q#DgTEia3zc1*an9tGn_P7XF(+t_o)@Y7fX!?VN} zG{&;{wTGn?Jdk_uyIr-uRA2rQroQVZf<`_BlHRh;MQsa37KSO^b@OI|OM>%Q!N9`des|kct)tVBTXw1Nfo+3ArjJk8|z@)6N z+fn)8J?rB8jU)`esr9H`f8H*-aSOlt+7MG0a1QBg45x9r1R%#np@^IdwE=Y$?1vS( z$m)`WtMDgQbMkZHx+5uB%Z}r{QIP?Sqm_@~TyF7&Z;KX|{=KKK(52I)>u0 zXFv>!C$vL-+LdGtm1Jgz(^Py|--9Y&noStPQ9Q#5#gr0LNivtBrkXO?WmJBvMINF4 z?#tmTyAcqdKWT`df?dh$mbiL8Fe+)Mo&@stmq_B?J`bv z@ek_`mp!7k(Tn1T@di!9XqaUPPZLAm=3$b^=>Pl}VvC3i4lz|eg_p?wKqP_L7r!0X1r?6uSLDLJUbnlhTjB1uS(I`?SE% z(B`Hn%rkKO7b^^8(-RM_Ij}L1Nb2?rrJ_@=s&H69iO;bwFpL}8_P;nKYi1>ej5gq1pY_lk95Y7h+;2BP z!v^^8+z3MCrYNN1CVWV%HYwAM`KF_af|L=G{!{2Jy6(##0braS`$Pj|yV+Ioez0L* zh0p1{cXLM#?Q)Rz1sv2az#KQwbDZKnA@ zwleuXw7w`0YX#`IP>bh8SCgGnc#Mm9xc=B)27t5L(@L57sT%bTQ)!W^dV)S_;}QPxYI6%D!xuUD=ddsQDDbWqX4U{r+)#M|L~!_Uf10iEl?4 z_gmlcwg#7J6Os`FF~4jiAC-jh4Qc#Mjb!E6VeWV(-tXW4q6$tKk8X05SqmUtISzD! z{~{fpx?nn~HKkUM#~Sl zDCSyg&iOv?^LwUzH?>MX&Zx-ivSd~#hz#qBXPb$}HHnuNPk)&h^Hk<*h(~9-wjrKZ zg>L6gOeRirpbRHHN1m@78~2pXPkiUy&F<|TygKE*&V;0Vg1J%@V9&_fRZ!D~R`lf^ z)WX-lon11`VkPwzZqa-pV(O~?#u_=*RlYfQYq@rqEJARlGeq;DVP*hE(0&$0&J%Lg zrFi5&()mVcWIG?Gd8EnMYOkA_J&DF%YAZP9L9`owb@@^Hm$*Nm%X8}}orE;f*gi7F zo?(?NOn#b1I?jQ`R;TYg)IF*r%E19f-}@=!Wdk|Um%W&XKortS#H%?p_zueSsqq*K zjt@YIzg~&{P=e`8BBSwxwASNo-UZBVVXwWDVCe@=9&&D<#d^* z+&0T;IZ^!f8r7WkV84?@m(PDT16p;kHJ-C_Y7Y}e@2QgttSuS@unNYMx0U`7e{4;!IC4ct6i!{4ew;iTDA{K#s1uJL_Njk5RW=hjbyC8(V$vy z&8kZQJR0aQe)}DhEQ@LWkz+b4gOW>J7Pa;+qF{+7=W(^oVjO_sctB=$xZ{117VYt1 z`%84ivE%OOT%fD=hk{Aj!;4y^;XDXA^vXg)5ClVXuw2Jt5n|U)mx%#{Vd4a!MD?AU zX7>M=dP5=ENTK}ush^)^+6klV1bSy9iI2E9pCzqK(JqhV<@b!}DDnU6=3!QG=F=#eKm`=Zwl3-dER}^*~`Gi?3p1>qGeas@z`= zMQ7VYSNSw6MVzvyHeo4EEbcnL%^`1G_FICDTeYG^qj}djTH%A1cMXRtcEqKKw!&TO9tY28QA+uDsd@VjiXf#Z#b=#yTpL)qP z#}n8Hk0T|6_R_n@=&_H4@NjZ%y|4=X-}WZ=bwze(ak%ark}R}EpJp=72%T69Riw#& zE=OWf-ga~z)QX8fVV)Oq4*Y@7kv9Eb2?`{y(nUYKc--tK5$@G*Cq=GsCOM5#o|5}4 zbCQDh@oLss2g4N*DgD9|4mmV4J#X4EQe-~van8Lxkh{p!+e@EFP8HT>v%UfhYoMuG z{l?izXcaY7rQ~o;kqTK<>^0!;dRU^VvOT3%ow!XBz*So{2xNxP1_~D5d0Wo5A*M1l z&2s%@RBPfkzERJ;7JP?6Es`!Xd53cFSwSNyr&cJG*x_#@4WiYy5cga*8tB!l<8W2y zUN$+mKp3~{JD>+I4ASbYQ9Db%8NBr8r`jm3J`#=CYFs_OjKb9@DaiXqM{%T2B90+z z{Kp3$Q1eDjrLu0%FU#INOHY}PKqlQAb=uf{0etj~+UaO|&SJ)Cj*hywaZ+&G`r}-_ zN)XU^NzYEO9;PBC3@;|&dhLF@8$~R>^}<}O*Z=8`QEU5v;2GA{bzuwL`jd?vpx%Z) z9rlKLdCbyo@l6+nBh6TAd{Vv2SOoVG(u*VjK=#fd5N+*Gvgwtnypq*$y5jaP<*?AH z_jfFym8p!s6l6JKhq;-RqKnD?S)M0djefa2v-RR(%;s&b_gTj?gd1*p_oT+IM!?@< z_Om$6erxH7YAc?~_3h<9>Rn}=>NGhZXsfD^nJ5H}t9kescX*icj6_SG*& zmq}ex+7|8mFL1KPtv>EbuHzM)RF5Ybg>NDq6JouN+(kYmn0X$qRo z=ds07@U_8F={O= zE$m7c`4?EjQ*IL#)^#G!^GFmvOvrEQ{_xfa{2{Al@TNl^klamd*f1Z7mxvzW&=-ek~C&~2MwjKocvN# z)OR)G{qAg|Fh(U>!@L7fs)(x;w;^B1T0-W6ZC;y)Y3B)31BGdlw1%tAAM}sxT>Cew zFmmzW6T~y*vi2DJ17}h?qClq#pra>{CP{@!E1H*h9SR%Kj>f89!VtALxu#VhW(dVm!Ne zaw+;cITb4(-;BR5q&7L}>xax1Z7DD6A{y88Dpq)2H;O!%^;~Cu`mFn_H62e8NQQ zVrMj3>4RFJoNd5hp9AJj*&%t-fZ(67|9RA4Gal0ia076XShVdOTwQ^GsXOPB#?#Bl z^sQvKb$#=4fUVxlQHrbh_t9L?)lWljGv&L0a96$aP@;}w_Q|V8L)tq1x|x9o?RN8N z#~q#e`^9u>8%VO3_M@&DbN$sIE3J_#9E38;dg9EQbHt7^Z(pbdE39luN{``H$X6ki z(do6kBwW>7zBJL`06la0=`AlD13SjDge7>rlsk-vNFL)Tv_M%x*?HydKE7w_8*1G2leD?cFHw| zhmF?{rQO7hHQvm=Zt=(zG~~I3YZ0;2Avn;n|Vwjz(VUDMB zlAR>WTd@9IhU0Dfw|-KGXF>gB8z(9}ne`_jzxw-{td zJJG7I{Zb@uh@NOiYD=b08_=GWGCJJXV2wvJpxp8LH=fd?cha|*lnV{f^;-8(?qy2+ zOWTc!Y_%)V{Yv6Y%}YcQ7;>rKJbz7cydaO`O|R^o|Nc5g7@v|lrH{Rf-R9k_bq^*! z+`Z{oNw_B(-u&^uuQIRoF`2iSt3pt8MiwKLj6LG${qFs4OCPTE8sEyQ#sV~7-tv}Z z&(GbFhSLtg&eofxB$`IT0Ely=1_t&{fQz+}I@=jco#Zc~7!ctdr_Sgj^+Fn(GfuK} z&Nr^K_EEzGz14`&22wA3OLWKa71b*2$tE5M_p#{Gp_aF6jpf?kcdXFe$$n1MI^SrB~#0h2`d^l29O3EE! zo0(icO|kPHG=bO$Z6@d5#eLUGALE^cYx+<}C(EE`n#OdE4o=*^Hk*Ipgg*0Op#OLu zgGg+Oz0HvqJ#SZbguL;I=;~?4i^#we3u8g#gDV5-0lyQ<`S;B(I}b~8nD~|wq{=#H zc#bie_x&a*$~pPxB6DPG{JX-L+~YRgY*pu?3(xV)H@$~DvUnXhxAYW&J7WoDSjnWP zJh4B=IRwDHUWeSi!;N>l#v~0EVo^hee;1q{-+dJ9@(lqohy3~Bu7V{wh4$}6EouFK zCu$w*2qXMsqH!bhx>y>3GVt-G%=0g3);S^aFD&fmQ&xae$IUB^t~oYXbu8fiT%nQM z9Ju91-rS{3JeIN#MU*F&zAXJ@psU#JfUj%+y{Gt6LW&6s3&8U)xkw%NJ?Rbf)Sd>u z^&*iqe2*c$4a#SCc%-*A%S|41qTc6dBA1*Y>o1$y4%*X5A57fr`A7>{ z@^6b?tR3-+ZM(G5QS4bV&Fk>{-6yT$*pGplsdr-ssLEpW!MJ!TS>*Gy*Hu7a{U`&2~HDYEIx0`ZrVD#9@yZg3vG|tWl#j4K)eCnzK?5G)2=6U+ zv)7Wkc1~U%qF)YCLv`e@vJbDlSFQYkE;+~b-DjySDd^qTa@n6Kq_c_ZqPPUNn%=3Xnf<#jkcE6AXxhQt%?TMO-`KX4Jx#g`s4UooZsE0s76+R?kMp`EhM%if@}th7(?qkjR2+-|&ZT()7UuEwYC3zA>AV&%M3& zC-UwY`{^wUIuOM%q7a7`|Js?0dPyx8S0klQ#LeoTyH2TxoXK;$f7;3;HThAw?!GSL z$J%6(@Rczt?ipLuZ4=J!E$QTU8k)J$2-yXh#|;AF)cYGB7M;4dA~!*XPb^YM#^fA0 zRtoz*!hQNV?@+|;h#|lI4`UJLtMdWUFgqN&WhEkY*Xk*<_W>@>y{WW_hORjei{C?K zUOj6orm0w)*;^sNtF7toU)S}l`3%W4#te+Dkf|zbIo4KtsNXsA;S5476`}Ts@$a9L z6ZBUjF`um~F{YJt0A1~&^b~%voi>W8R&ad>p9!5_R9-Y%?Z%!7 zYb?7QtX;y0m)AJUHV|piTaL~9VYqcR!HF(cV9vM*6|I1jVOTnx6Z{8h@YWDT3A86s5~0*Q4#Tu!*AB@OrRI z5R1FAG1jnZL7N$KqR9XkRJg zr9}ALySPr$wk=cey;c@RFkCjEPIWWQ$6dgcl|0m5sA87|zDKtYM{Fxejxg6cYS{=F zN{GTCB#RO|mWdUa1p3Yh6v&8o6eTj_H>?}m=x*^OaXCcSz0FEGH<*v0(qNvh_ghcJT~|f>(10j`1mBVP1Z~Z zJ+nOfFHP)?h^)S2G}rxFcuVg&xZ3?(!yZ;^0g&$*UYVy#9SD=qWIVQOE1qD;z)2r* ziOPy7GU@icHK1{}f#fv!ItnwrC6wnXFgiT`nkEw5QJmwmmMWuDz{4N+%F(4$|4P$~ zztxV@6^2LUi@51Y9>qgd%J(0WUtAv-%M zWJvuef`!74)D0Liqi|ALA-3nA?usl@o?t#&f6Th+bDh|#jb`Gxx;|CpgUQaX2UjDp+iaTzoN2PGL|yRT*2 zCrko2Lfy;)KMsh~bIl~byH)6XY#?an*?5yGV^+QFIXWOhI40Urq1z$aZ`YM~fZe@D z5OTe;>l|wRA+=ZQ9{$>ZWTQpvjz>dX_#kM@WBt@Rueqo8eN(L=N|i^K=kRTAjX7Fr6s&n0n`cYg~hWL~i`aB})o zZUILdB*rN7WyxtnInfG$B6CbXvvnTI@UfxOX2axqJ>H!3@=4Sgv(ajd4-qbkp9i|T z_h$ERACcA6JgRZ~!uZ{gp}q^321OQme{yXI&&ZZ)cl+zYF&Ujh_ZpllB{8y0fYzLt)|+!L%)dQ8fsmJE-75)wQ3 z!9ZGyVg}V88eRSe>)^(O3K*W|G$@Z2@(U6)9W^Fm)=H*m@0KhjfagXDFKtHiH&t%fiNow$ ze^ccoGgaH6&U-3tv>-L0Kn26D@3q*@2f}m|9$(a2H$9YKXsg9>Ob~JVp?1f?YIcZy zxRZq8t~r|-`|jFNnYoMBr2#a@aNYu$I*C{JE#D%6W{!8Nd<7G4i%w;UsX%%wTO;CE z`z0fqHSN(h-mUqcOn!Ky7@f_f$b52oXDebK2HfJ|d9&NQw%DTSI+5xmoF2n5M+3<_ zh!?(OkJ3;0DIQFCiy1XN?>D%;5J7%>VK`~#3nKOrFHw@DGJomPzm%MGuN~7@ovxVM z$Wg1!S>Vf^)`oEMakVDw(@@qsCwSc52?la{PkgVh$$wL_B(F>ss`%(%o(T(%W;LvH z11KCy?M$F#{dFbQ;JFPD0owuwx1{=UP#*5VmD%8W#{-gw4>YUhy;5nk<{x(fPwceRvO9SyQ{-|#E>X&F zduUDTN&yeNqz#YYlvbV|9({pf=QfGF{-hv@Q5NWFWy*wi^`>CY7cqM`$NPTfj={bN z4?95QV~WQ=1yia!Gs+BWEl_4_=O}2VAxyIKX2qSYz?aoNdU@HvY4fZ3Y(MVWS6A>8 z#%ZRM)&6~bAf?SAyJcIokWv&acTzFKuZ`qm;r zhDSo8Z~!`B(r)kv9UMG|WWvKyHLAP_{}GZ27uG~`;hGsG;<&H*ZJq5eYiJbGeeiP@ zX?d35kl%V6V~Pm;2#@A4c&VhvhN$L_4G*>v#BBfd>^Uh5uIsJ&$1SA)$Aybi20wxS zAD`-R*Vcj1unQa7CvFYY+B1bSoLI)sZ4Y)FCl~$_WF8Z@vW_>lpZsFqh97?(a!oy& zeSJaJ6gjDP_!+kjCf{22$Wnu+xS?7?&A69$MBKhG8y92A{|TcT97?R zQ&`jl_Jvg;bwKrplDyoM^*7HtxA}SYc*GWHrPnkgnNsJ0)8z&8%Ye>(MEkUlVqW%G z%lM&A#i6~saN-!gdbw-bI~*1EfiMOovRRXlNAqO49vt4)-o7bZ4@^8Gn)sGrNIP>4 zQ)phA7=*{+osd7f(%kh{%T)*^7CRh7h=J1#>>2Q66ZY z`WCJ;4^CAJQCcClV)fFSdVc_#wJtTsE_|{)&FDQ4C@+c{vuD3}wRlVoICB&vkPEHy z^?N~~;*nMss3ZJ+`aluH=a%-3&GQ(hao6B%gG_s|w?0>va_|E#U&13<;2XlsWO{y- z_>{^;q2AQ-7Z)9L3fuHT2K40jH&oP|57^qB6{UugA&CTYMKtjqu4{$Lg6y?wlEFNd zLpvEFm{kek<4eXG3p-4Uj?)jNw;jh1#f19PWkVbzKYDM$V4r~qvwXtIVrtG?S0gzn zYQ(_`5P)Im~HA* zWV~t8OrquI;DbBw3zaWtd-t3?>;Htx0BeimWR;*RKl0r~gS)PMi8bXXvFo9dL!Y;7 zB*Ev9v&5WPipP4G9PJVt#~T@ik1ch!Y=Es1sBPvw;qMs9$Z32)A!c*&6eOYbk6RjL zw^pJ1Juo{&V`==gp?;LX_|Hh4W+DTOK$_M3KCyl-dWXg=`N&3MB%j`mPDh8wWlw<- zjSpGdK+6Xo+_;+qqwyJ8w;+KP)dr+uQZK$%AI4Du>P~b=2biUddXRq*7FP3rX&pND z;mr?9mlriV_!are+*&@jDjd#J5!Hq-8A4chQ9Qmlpe+o{RY*Gk-eH&`4;Dc7aL))h z19Mn-`9)gDOI&9Iu7Uemj$2NX6cr_5-$)txaGA$LoGcd@%Hv~oKFeY88Xz-@ZVwfR zn^o5mciwV7j$5Y_! zPk8b*(>}k&BSQ-PTDg``Fny}p{K{%@YAMmf3sElmoL5w9=ryaU(ihc|gYo5H!HcoKiDMq3RD&6_)t zO&G!J+RiEjGH^76I2}Y2f-aP0Gxe5KT0BrV>ep#JGgvK0uXR_2t&!n%MdEX#2MfCZ zz&CyU{c^KB7ZO@gJXVB0TV2@hvHuq&DZ)^%aBeWA<+43S4H#AaRj)A`!xJB}trr`6 z<9~E{ldkQmDE0mg4OhJ0o%S!PFNexKs~n z5((lI)zAV+gRSb#c`z$|(H0G*41~Z471m#{aLWBWPw2=m3*M|1f7;8kb$_Y$-Bl*F zD|ji5Vlb60UL3jQ^&4IuPHk%<3}X3Or&LN}%#jvfsUqdi#XRLou6(tIodMauvu`7p zy@wQTzB~6Cw6+&#guISci}B~KhPhSr3oG&WstE|9gI%3Y0x?@Um4O?}xHhu#v0#aQ zy0=8wef^V^@-J_7;msQ6zZ-HzNz~$6C8+u@2_$cei3utVP|6y)0LCxLhX-#0CvRWw zoDgz&A7{7@JhGgn1Ebbzcv6z=zO}a_Y@hJB=Xm&HoJy!emX?R| zBu*T624Tp5^y~N5ilKinReE6e*Z24W+igrt;WBuw?Fx$Y$=rg!X`@>*+8Hn5uk~t! ze=eBHh3%W#F7NVTO0-wvJexL)(*T4HW1%Mw>0tD-q7)fsM$&EtN7Wgn*lKm!FAESu zCQ@YxHy2OHLpK#p)<)(&zy~Aoc`$_V8C@}kO}l3*W_+$jQ}s#XH@kATKh9kg$$0JJ zDjo6>Y1;i)W}2M0#oYO&3J*DbuLUdp+q{`x9cT=rEM1ut>%@EV4_I{xA!FfLNSEm$ z6yMitgD)MTwyd~PsgiA*vQXhktP!^|8r})1Yo^F!=gGKVx0aGs6XoJq9++8duT$5v zS|Mke$W*ip-}@d69xXE({-Zw^iGgZMK~9kz=x7 zt7xtA&r}9VPE;<}*ibf&1jm+-e!UsKPUJyAQmJ*vKEZM5!E?CG{+O5m`pg)|_f=H7 zE^}=rxa<`*-G^3=Vk*%}#V31^hRc6y4~m7t)9PXeP1-MWrm2$SP}*Ej9z)Rw3QORcg!BP7*Zz z)cJe+yrtR10b4j%)7CgzPrm+Q*GMpHOrThVkBnE|KAnVJ`RaZe zOV?jmK6$HraTrZ-VBJnc&ELbUkvzZMSzqtSbbGNxZ5Y<*257pJP)i##86XO&^sbq8 z;=7^N?@%5#(A#W`c(g(1jZiRiVo{D_ih6aZ_xpiSMNF$6|2B!x?{YM1!a~dy!`P^) z;7-WiKH)F2thJ#2Sb8JRQp^@G`;utH58WT7oJqNi*VgAOVsS~i?~8FRi2wy>CX8{| zz$5g!$41s0hn0V)R)nU843*d8k3`WMFnUhwL~yKp;u9&^1oj^i3ev&HAc2mY;wdwP zt6*)icq*Skwlk{cG+ZlUdoDmyRLP(dgi=ZbO()l$GGEz92QVzAe>aUI8gT$*NZYMC zhU5ZU%|=>bS0KTTFiCxm{M%`_pq>|STaF4VDiQb{V!wI2lLfXp!uYDnx-RV61@2A& z+bdWpRoNP76eA@4yPqmwTyvz>S6!)XDBWk|-d;K`0uj@teIhqMa~Ahc{_fs>oDp4J z)yfwr?iA)Xe02!O-;EKDy{9lP`HwP0`-123Ttg_g{+R*H5sjX~HM_`q4Lz>TM;X=h z&FTaOX{w+xJ1xfk>d}0t{MsJ%-pZ-c)3Q?V)HgnvAIvM_`94f_qx#Mj)j#U7`#`^S zv%+$z#`0K>1+i&)(TXIa)kZF@m)=wnP<+DwmS_#qXDxzuJ7KDj9z2*?7xIc5GM4Y_ zj5S*hT=_HGo4*8;3GT1$wGdiL$Ywx1fq;{x*|XcdPpx?dq3y3h_(60)`nmzwy(vr=Aejk-Ghf>y(Z4`F6R>|M+6qx zirWxrFKBV-s>+`Hi|qxZVTJeC&L^D0N9)IkTmpAX5-R>Q-CkYln>XzR0MjCFtCzUn zsUhZ*ymxaIh-xC+Bfk zmm=DdAI1J(Jwzvh&F~^uDA9N|A`i$ak#rZ-mvC*_{-?=-glJXy zN0z7|-s={hKu%BL2A|DrAo+hRK1DdkoAsYLO~x5mHU5ifC%T^pNXBKxu@@%V?}oJ-SWtXo7U=ynJlZa4)$65`psT^mkq={b$G6?MXDBY0Ewc9A`CAA%iU0*|5+woufl3JNi< zlCr4{`sl0gPkGt?qGlF8w#?CcoyLI+LPJ6KJ&v=jsWr5b#y7pMp&!K}Oc4glAACqI;lo@HT)^Tn~NOp>{c#&54{raPw^a19nayDr|7x? z=Zr6&d-hbB?m!{AZ^wam=F>?u`uQigTT{7$=fqr<$ubHyOLdXY@muFFJY{Vv9gOwR zdX&(zC6Zr)Qbm$WJ{ZVNgIsEmW4Z14z~CtJ(LjC>4_WA4%-2xqv3YL#@oVhwn@Ts! z+Pb^@3pQlJF>j$Gm_&r3B(gCzD4)YK&9>)DaLAh?w5~y~HRk*TC{K_ z_LV^}7nhB7gdtThn|CRks$LUvKdG-Wf~b0cYoN!LBWs7yM|6H|^z09(IRKA2_31C< z7wAR9Iq{Pg_opA7x@wYdA9^CB^d0Js*n-g=jU_`iZ1~B!*QwuK;zKP}Mi)D8VvZQC zH3V;nUG|zRL^Ch>3RtAJqg(Txjwddoy#E-PO+UQJ5}=|ZzrbcpB44pvvmyzNZ)HkE ziYQPxxYEfSjR_Og1!%W@{#Bkx(+@uW;)U;OvR5x|vfq}5H>pY#9RzfA()GC;3UgB1 zP~$JjZL!9x z?(HAU37=i)(^W4Pt6#l(g(-1C_WdOX&R>!r-miUrg^7v#0@0COvS3g50!h69Tv1`YYPio63=_i1BkZqKn$nx1Wj*XSV$dLf zH0gx~1%pswmV*Wdr6UInJxH55%}I}Dxqgde0z29)DlSFMX(#hLquVA0qK2fGhouF^ zolJE*qWIpMLenhs?`VK8oHl@v;}=wI^oFehA*lgFe>GIeu{?dRAt+lxWX=U|X0mNYnTBt8%*zuSf*xeht4kDZ<9o_u z?a*FkK!~bEp8Ihud!nBLC{n$tNFrB8)*R(K@-5dBQ>gz__QyUW3{tr=(9iQcmts04 zrpFBJV&D%;CQtcyL+S-sWSPbIa%C?bR^zhx@z-TjX~N2Nt*~29r7!f(@|>pevqC>I zP@eo!nSSDFUhfU?h1V*EIutiE;OG;-(}1$Edmy{Hu|+K7l09NW2l^8BrkF zG}&=P!y|(r0tFdDXLn zUdyCJh!pNm(1-gI9wku=9wWF@Tn^_l#+->}87SjGl|KZpvP9qq3jPg_59!0{ptyec z)opM(C~LOWmbk^^+;Grp-y-}j16w3rL&&Gde;eT`Fne^INWnScfADYT{~J?f`Oo8$ z{yXEiR*dO=rPgZkIX(<~8q>5yIQC`oky<*D7ne(F*}Le^T{KBw%e$pJH=PDdO5i6O zwLO1(CfO)OAksVl0Ei>d-VQT~ddIY!WGYN$gXrE&8CH3h`3gBWM+g3rxIH&^{?{G4 zCNB6Pufty%05oU8zWBlaTgA2B+l;l))o!yR|C@<4G{-J;qvL`3uECqLm6jFrI67pV z^0k2LUrV~g{H;KI^>QTRx&>^A!Y7BnWw&D{9g3mwt#od8n!gUKLmx)MBqESVFeRmY z%4*4M(P=Yopvpupv;S)GHwr&L37T^f1;ao@q`&YkrcVY9r|UibR5NAmgDzX7^2#16 zAAF#aSdNG6LlQUSU>p2_UB?v~6%^ineT5J60<8hqO_vV)U*x7`BqUS=bR&ZHJ!3yT z9iuDthIqK#+tU^|_N>AX{)7?#$@)^J4P}VKddfgiuMmmQ^#1+Dy*cMH+Hfq!9p2Q7 zz|2iswJ4#bJsC9mqLkXP*r|RPL}YyN{nHLdf)q1j-0>o$DO*ST5^=zM5H>OCpgr^g zTuo{rV#H^9G6(sRQ&DcX-}P8!yd+5p3j*AdOcvcw6>|N|q&mQcebY~w-0=2dj5ePS zOg4f7nB%n5Ma}!p$oajg0gGoQ((?>wKh8Y^j{5C1bGC)jk+@*dy~A9LEdio}32_h`(k@1DO}E;@ryC=r<2>hzi)N4%tWR;SZF>1_h|q z9Po&ST||B^3>Ql%02eEJ4!vs0!SD!(!ml*e?Hvk7euPXnYm5)gI7Hxk5+}7%7}^Xg zs2ydb{msqlXD0$S#u(X0QW>upUkKz0 z3UD5Uv>7eV*z<3Gj4m+tHChJYHXmQ(WR}E-#N2agaG28`Lqv?rnN0T6=)O14#P6K% zs#PiR8&TC?(y#L`v@JOrtXFg4`xgnYt*qK zkbupaLU^%Aex}2oncLfr zmGXq|dCHxqeYup?x^}fc=!TIZds{xDR+PevXzjY5FB@N!#v38urnI@ND328`;y2Qa z`$}NCpf3bge6JvRf#i17)&Pk*n zwXnIj%+hH{o$#7jlK|R+FcG+w~ntDVQy ztxup>*g6u^&SEDEU)BFUEdubIBjRuG-^uGTOpe4K4RNTw{mDh=uX|*tMD_;a<&A7U zECtiZ=3hVQ$~xT^i&fv`G`c3z`r^{HbuQqYd;lBzF!`7!W`j<(wWneiL{Lcu>a9$O z7@Li+*o}_RsZGOY5P(`GNe15n_q!x1_n7(^C=+_G!hf-bsYf=;HeU6+CIfgY1`_WgN-c~U3d5(I# zDHnE!dMQk+*sIdC*U4|G)MaEl*fu*sv&W?{S0vHjhr(FJ6JJvM|exS)x$ja;PVPX z(3djMiFJtO*e55o>9#_5CRCWLWVj-zdzEAD-NRkhb#)-D(Zm}=u-gVd!J5d?Qn1Oa zbpYg7mO4=^V^GyBm=7+8&WoIUFzk6b?)KVti3S(`Zr`ILuprPKLSyxdh6uQKnbdXqenXpBWA0GW%;yG<8c4?$y9xjNN-PN(@yYeB0r_6S zWZAHPdA}L=yfip&7Of{sjQ8T6JK6g|f0oK0)_-u>)(xE{WCxtTcR?Be%Fc*L?xq*B ztXr=GHU`N_1h5s)Vwd=x`rcoU&yXImB1+UL4Dh^(=zfWO<>*Wt-%p+W-B{hO``_r6s-z{Z@W-Mp(< zj?5ja9%aukF0U)^n+Bm?8*|F7e#rr0lvJh14twmLO4Dfa>10LYWP6+j=%7jOeAYIP z6V8aUjdUt1S=TFwXzqbH$947Xt$qXEx@1{c3E|1Q`Y&Rkz(!WCYzI9~!)(WRE4Ai% z4VpW(U#%=S-sSAGe4SN_$j1xyet$FO@8@RfSa5`F{b1A)^!eV~%w0TjO*-e>m;z3| z`v7(^b$HD`m^pcC!;CGz-6#a`RIXnC)!gGF+uReYUZ0o)^M-F)vz|ARvV++GJ>1jx z%QP-!B3a8Gc^iV-GcCs??B$7cT0JP@QCc|6JMSm_miQd_eFoTA?vGp6H>Vw#IS~A& zD-7!bXXi}^x|;?gOx=@x%^!Vs=`9sgu=PaF+7;9rj5F-^R6+jKFy&5eN8pgES7+&x zRuZAQ0tL3KYJKZPzP4%)_MPjX(PpOgOtLlEVf`qZlJ_x(+ReE+EZePcX=wSw=#Hsz za@O7Cu4*d_Mz_Y_4tKiQU)h_Eq=g^Xsc}8PtpyB6qm(W1`;_Lk&;;-3jZ>WTy54bA zlLHTLJpxRVVvK}0sy`OCCk$DleA2&IzGd}Yx$+YR&9bF;cP<((IrE~rcw|)1GTG<$ zP=4B-`fjr#Qc8A=M+~Q7_p+Jy(z!$R@iM5zuNNoEccc#D^80?1Mh)G^z>%=7$9YU8 zCbA*kd(kzUya4J{L`*!8KY`_;q)$b}U%xWX)qK|n3H8gfW(K2VGp;>$SaQn3-!zEN zP*JPhCR9{-%l7jg^&IR8MCrQ}SakN(FF*$z3S`DN>Y*9No6-oHEjFaB(ie^%_h2Sa zV+u6bKf&_j;uKGnv_^7>k#hML`;YWl=iCQF&6@Ij?iREmn{SO5!JeUwf{2dUJ-b2XZ_6C!JLv;33W zwj^=uOqA5n@z)5y!6{=USk^Wk$pA+7DKw+key+PfS}V`=+*ae|mK3t-#MH zA;WG;S=$^Ay`zF7;ig@cD|>U`=`WbFk3>`U@8N}#&z=)Q0#XJMds9b9A--NG z(=uW#8C{PqZ_2N~#|Wp(pX^?~R;_N`C;_I-dcWi)RxBa^@vCP!y9(pVMRT6`-tVcoEh>PrKGTTl0hj?PYc-Hvp4s@=y9DD(@i?2_3C zsQcNQZxLH|^7T*XQ(JqgX)lk1?J}l|3S`c;MEvOtQ7w7;bycp6 z?;onyGgJPq2|R~)O5;0+?qe@)OvjR58Md-2efChTN$ALc*Rv7Nm93D#|5lkxeA(B9 zSFxzuW#aI-P3BjA9B4uEdLMGYR{@%JQ(D*q#BW2ChuVT`}Blg*{& z1Iw`R>|o#l0Ytq;+G)*2hx+TJfryo6i2f831ea-$m$%BwK)0v)V@Nb~as!59ZF4C^ zF^H*i)yk+Qbsy?`;{#`;#-1(fn5T;9%5JfikJ=rPT&NTq&=QS5C2!HxvuY)pzA{aO z)ao;}|FL=Z`2>=YJxxL=BlDZNgUZ;*UYtuE&$}ZD0qj7(lytLjJNm=W66&q|TKr3M z(>dUBbVRdZ$LhvM5&n1nZYW6kXs3VD`IQ&$CaxTqKZ*u0tFL5*Z_KTZ%mSNGx%Ga2 z%PmW*n#FGh&xfE#a?UcS%s0Cs)>JXo21gY*M!%T&nF(=Oitmg8bJy$X$&xwx`f#c+Xuc|JTv`c>z^(-H9Y9H!Lboepselvv0gUoC^4B~YHZI9~ z3x_~wCx#caUVPqHZz!gUTg?VWUM<=tfBd>dDuxgc+Groj9LLs%4T9FBjzfmAiG2GV zKCxv}tT^U6jb;ZfpuD5(Kk-H-gOFh2xI#~a{#nq*Tj*8ur$A|4wd*?J{`w}%uvl5n z5M9_#JM-OkO~z^KG?Vp1j6ZLsWxF9LYg^`8=h%gPkVc`v!l<+uiX)|A@D6i|)?)Kp zJBfwnVT{T2IxFU@48hRD@<@0#X*i>Lz#(yW1bS_=-iPcewd}Hym3}{FkjRd_gT!4@ zoh=bg(i&Y6|9qo}pF~f245MT^`1k#dDoFX@)kVHnCCCF*O%}ey;#C&6jpj0et5Qb3^%-^7KqMw zhWs>%(C7f+b@JQ2_2)r%rr|LAs>$=#qK7;-!}5yC^`NwDkUZ1_LHG8U}V=cttK~RW30fCci9t5O<-oTAp_5%*^!->Dui+^p;&jT>`Cy&FS@#A>aBaFMQ` zdZaU}M-2CE9sxoZb#>A0C|Qb3WTFWz$mB~)@*db^WJ=pui%+l)*5YE_PnjDY&kd$8 zO!_@{lHoRqh2kfNtZ@BsTX&6Y%7@R7s@=3m&kZKR}O6sMF z9}hFpMXJ4Vd^%Qt4Cbf5?&wU`{VlYiI;Pe-hwj8k`R8p~{b1PRddcB|AXWCb#gnK(WiWRtZs*W6Ra97CM<6zw9Qo=~$#RrJ)HM^SX@xfB)e7++HsDMYRO64|A``9(sFqCX&#vCUP<@5@dYTYS!NCcvd!Q8BGjK2<3d1^j-C)%S(zr#QEm1E)HaWGt5A?)yVlQaP+Ll^3zP0fn=L=v;K=KbiB8anU z**Xrvb(TB`SDS@^L7{$)X2Un!rr~dz1bXcM&}aM3+t^2S|8wdcTL{@pyCb*{tL7!) z0RhOh7}QqA2!R)`7ND2(PTd~-_cclK%1EyFZ93Z>htNxa!;jgI05@V3yOE+pbx8Fr+ zfx`Ua`Ws+Ji>`j1Z&0%w<2>xV=u0W6ou?Ngx?Z2_CBunFwq(kbuX>KGiXt!h`}Ek4 zl>$cay`Znj)OK{fT^oe`c+f_iQjCU62!msp0W5Tj!b_lJz(lPhUevgUGfma)c$(4x z`4rMfM?Tx-^`nk9M^q$u$~}o?hZdj;56|Z)6UeYIOCs^cy3fJJj!Jo(Wx+!S9Fh6j7@6Nb3*JBd>~Sk zeFiO5pfxM~Q!qF~kSeI^6ALpVS#k}>*we%J=)spxE3V(;m8r5Cx(zqmzfU3Vrie0H zNK7N`FW|saE+e@X1&}B#+{*1Ynj@O7xk+rZrS})*OkUp;_q+J`Qti|CJ#D$ULi5@7 zjO_Sk004pkv0-1_G%y<7q5;yYWcL@I~I+^^JZxN#ZsWu8WdNae(n& z(>)WQqGj;3VAfK;S_w(?WjDnBFfZyksf`?5`|}9eO<+*I0;j+06|S{e1o94y7y-Jz z4D*)Wv5GM`wyW`=YlbVKlHnXk2W+xr7;*+>FWPj5vypTA!*%Npj@EO;(Vbm;*#>A^ zjnXPyHtR^GCYvo=4zCn+3?U8qC*)NGq!30?+&_NnG@h)stUe<)(5Xvv=>;znoHWRV zH17s^N+XdKV*9^N>b;J>1q^2Xqtjx~+1W+qJo9UW(%cN+snG_u!%%+R1nEKR26LJT_+C1smG!v~NA2KfR)#^K9r@tK8T8*6Wt&aQErS)VML! zO`cD~4zp+5vW@*cF2DR!Exs~v7CF6`r1X9Fy*}1hp9)3+jPeZ54eVF`eZi%CxDe8F zdcW+WrFt@9GXM!G{0-QkV{T%sCAR=9UhxeP_%8yvzHkSsfalB^D}!d zJ+I4rb8rq(f^h^RgW(9|9q6+l9;ym$t7M$cw9YH!&JPusp@?oEa_WePyU~~wf1VvW zAXsX7JtBjK?|gwb)p?>>vt7y?=){lu%3%$RA$HVWgs$~h0>NC42 zTLc*F-0jU*FFVYXMqsv{)|)-#fc$3e?kWtwp5#t;PhcZXSY~mk-Y*cRsS8$sDt|ZN zT*^yMH=+~mc(o(kDTG=RyXGoPnGmAuSo0E9!VJ8reI%%)+*WnSERC-AvDzx?!BB2^ z(q(zpUWz0!D0ynulR^yy6sFI%oCHiZRo8IJ#b~PFYtlatB&v)$PuuW z(Z(b{B_SY)L6~W%hA9gg1ZN<|-YVHtPp}RK*bDmb8jtb83w77=NxD2;O@W=ern2`4 z^0@$$&iK=pf_h6aIFs=+B<8tmp})iZGoEq6%T%gzai#auyOO&wiq>SaGkW6u4Y=1c z8|OJgU3R{vfW|5$$Y1n`+&QAZh|=azk{u8h-@FS)k)^^#8wGxwveX_!Pn#;RrW3or)Qf0gnZ7*^-#h17HLf7d znmk1zn-=Crz+Ka7i=Q?bbPw;3Q#7ykdhtQB3|6Vhc%r1F=G0XQmGBp zzqpqC=lplv=|7Mqox_<){C3r<{gwmPTW{|@;S62AQ18RQA$rXK=1;bAxOqG>Y5ctl z2NWU_WPLkUyGLTxapSvfRx5vc=J^Tpl|xSILK!+n)1Me8ySMm#AAsSY6n$?YMznCh z|LrrWc9+Bh@cWD3g28=bx40>y&Y>dpo5&Zj)h^PnwLcuVM9Q6vdQ~>lzE>o&L{jF- z->POqEm;-sHS`?#kl_sQF`S9Z6r(qBkm`x(>?BHwxn#OfB$e90H9h&eKYA%44s=~4aMxzk|4T&)#QziB!?)G!Xm?yN z0h95zR(3Q-Aa}cg@jJ!9;nCPI-iC1md^S-yVaG6O6B=723$qy>_Kj-{5k8vu)=@S~ z@ugSkAx|m0q$&rGdvzk0&D#jIv2vB1e{hM!KqdJNaT0of^pLCLQ-`~>g0-+p=MBZq zlIYw8B^mtYMl$ZHB81#)%{*zQUO;Qlo`5iy@2!Ag|DW%#vi&5%opr@|Fnt#GNwYQX=sXJ>>HA&uG05G z?#Yx}|3#ap8*BBDqYAxNv$s;~}lit{Jv%@@tE&_r`1wuXgwPdAwj8XQ#1W4JIvKddApFrp%!5 z-kGCjiyc~*cA-BoUB7O2QawUNgrzDlhv>^4^jq<3j4Wj7&%}sk&!GTzZPf|>z5l+0 zTGzj3{?9;{^Ur&dJvYhDkhL*#hJjum%KP{u(4li_e9P1YKMkaWNb&m676*S10nB&wc4`lLh^z=jwSG4{zDF>h|u4v zp8mUN^5@yMN?L;~SYNHR>SZ__ky?Ib|i|d+|X_Z`p1?1k4L@QHP`xfQVur`dUN!`Yt3mFe!dZmOrJe$ zfyRalf!Iux5f5ZfR}Vig$2t&qC~YVvO3Fr`BithuSv<4a0U)2d^?i5BcKWe)LlWjU z{^4G;YssT%Qp$v*AGnh1f{>z}pA+9?{qaM%%c_C~oJ2ezj@nNB!p~f6?#(Y2lnSjK zjaucMb1mNG`S~~6a3{=-k868>dSKaC9-qf0A(UN5$tZ1>YV*$4~@B0SAM@`{`-)ZYsu+q`cJg~dhBA+tp7Lrkf&Ygb#VoH-&y|LDd z1g@X?4A?D(brZOaRlfkKq;@xFkFL**Zr!aE0HSt$KQi2h4;FTvXTKa?#}p8+QqBQd zVNis9gI!9T@JMnMk<2~tLeR>iSEwtoDc!8^TO>A&%F=#aKCEm8cBF>8jYK+yKc+$_ z(^dr^MsNu|n>+eH_>*3qQr&ja97{BO#%NhG9k!)4rt}@BYoZUHbddVmi9USC`Taio zc5s%`ccuwQ$`cmY-l-&u$!D*MXe!#N#hiiVA5`#I9W!`1nsh{)8Ie}Og@v-nr4Q|n z$shS&M1>xspRvzK%?v-j+Slg`BS&jZhbO0z17){cM%D*B8tW4yh#fGqOUkTs@vwo3 zzj6vDgNMIRa4Y5P@AC0~h#M10&m3fP``es9O@TZAoXnh3%(9;RcJOIuIxa5dTJz_= z++fCZRP)a9Bk>wW!2;pSc-|HHd#Ka#`3|t`0Z0SJ+FWVm!qTg^=W&zch0-q8N@qm1 z^!+rcuO0y4d?mgeRQsv}v08c+Kr#{B8Hp~r&rO)OmJ(R$e(JjMP?t;@?x%azR`*@L z1xxX9Px+61$oLvdXL_e?Zm(Zf)H=swUJTx^Ua_FEH%4g`y7HB1F{vIrGfwW2FTABM zRxf{kpmxL+fUH*0c-qDx6+FSDU&jMsK8qFQkTT>2`^FzdHQY)+HeSF7IRZzk-?}`P^-)56IB$cxxV^X0e{-9n&c@ zzDJ+IG?Ag<;hMj`2n=YK|M*g04`xni9m*2=`WF%PD2>7THd1x*fecL(!GR>A29$Nw z41+{dxve0N*U1WVo?mjx{m+nQRp{fJI$3#+r^$6u5p=!Ir_7s6qY>L7k3h5d;9_}Z zP0pl;M{*q~nX<|LLU>ni++W}|6>@%V%iq*!U;n$#Pd&F0u%dgBr65MvUtTQ<=v zR6!sRhrCWfAtB@rwoNuzL9ld=?ET5{E_P_=1+okJxcV%LkSX_n-X{6Kz5o(&id^x$ z|FNST44lIFy}K(kJFW8GKE9WAvw`YY=!;J3q55L2Yr!yWB~``Qzgd>@Y1M2vW8N@d z@e4T3(=d%Wl-?1`;JcnO2%vUe-IRe6kbRuTwH;n~^7kj?)2^M+#<$+FQy!c39;_L* z64%YJ3+vBC@g*m-?XU4u+ zZ#9E_hD3g^uUr2C-(myh2CWWpcC}1-0wgXAtPyG0`d;I%yXB_ZIAE!ylaE{HcRgO3 zmR-}g0Tw0N{-N3B3=%HtN0o>-^``4ANgN0YaJeOxh(}oGO!7cfrs3huR8e6 zEga71Cj;h_&_C|{t~T=Y@pO`d%%0l_?D<|SL<)!rUr{ezI=u($4{iMhGqwoV=IEU> z^X{F}i#S2&H2$mH53d6Bmkr5B6+D>Q{p!1wT=2RH&uf@`JqT!H2W$sBc~!Wpsy=W> z8T+>`t2YFR;_Q5wee?Zp&J*A6=0oRYwT0TZ^9b$O!&!wNVC8NUe#gsR-CyE3CuG1L zX&T%UtEHg9>HT+i^=+#uAMN#OSBVR8?cZk^i2L0wYI)3}3#)7fTG}i{Iy`4qiBsD+ zo+~LBB>R~C`LNa6$h6ZkEul`k5bG3FO&3LGbgldT7*b=Wfx3C-P(doH0j;G>*wd zYNfnO(78-tnhu)+tUqS!qRrcHvLmq)b%`5TOmDg2^v=kM*3$!MW#TnIB1^R}Tu!d{ zb{Q0TgetxVQBjEztqua};CKnxL;$!Od9UHt9)`6=@8+uf&idW7m_K~Ur7s;jJI81q zr6#a$Tcc=kR0&Kz60k>~JFqO;-m-1Jb5&7HVv@>KwE*$wvV-$Rqb$CQi)!1=o;WS)=U06(7u&HD$9dya0AQN&EvNf>(UKCi)&1X%Ueb)O!g_&dmtYA8Yw4LK7 zw$eixCj-bgXm*t?I@m)kviF>kl^0swFz&GAj^K8pvH5bF5t}68L!AuThNdPrWlCC9}&oHSV0$0$JMKg6hXtXe6ul&4{hcS z(;M(`;isNGfh&#yPp}KIon!+Bf@2Osj|TC(YUz=~(;*<%rFA6-$iHR?`~%s09{7Lx zQiRC1Xlh!6>$)(51r;XYr|OA&bCaLy4waafZPqO^qu1_l`_TOAvbro=p%?ps=1fMJ zVdo_pg9kLe9){hP!oAR;q93~cS!{N%>wmz%WFS=#6G=vk#5nlNR3ByPSM)ROWw&ckW}mtOJg^uWXZW!2%mV-u(iyYUgk0rb2swoLIj zIFyO(aG>oRj=KKJ34V z|DEgqb`^bj@|zuhXf7(1l(3O7{41qg+Q{nU7?+^oDlLDz%{ZiRB=BlsF=*r^Pfy85 zM$ge5t$y;Z$OZBFhUQ3cZ;pBk;O)g{L%R!;-e=(KqB)UqJ%8q?jDp>9$7Pbj`v_H^O z$LGVlaz~%8%XdhyxQBRB+sy5Hy8L>ObSix^v&fm+V-^zcry>V&J|)}m7v`9*8!%v2P&e630^P2vqNk zc~kkQ1Hn22m?@9{?-P%*p})2(O(lceZ|wIHldqy4C=RzSZ#Gv=y2ky|huX@PGlXc9 z>~*S%GJpR5!GB;Tf^dQ2IyQdH_tCSz{gk6|ej@nnhSTE0k>+%wKyn*yQSAO}Z-ONt zbBLdR?nnf7hkasdy|!d z%XoYje_YPF8_>)Dy1Z@JCYpz;mP~#48a}i!jm`eI`(}#HV{=%NkW@CDab_`YYJC>G=03xRkhuTp!kgyIlxg4I`qSxF`&D$ z?z`*Pn|Q$>)V z7^H;HI2^;ly-X39^-BfXS-_NWCpjLfImxg0Qb5RahhjxV zOvW*koNd3Eb>A?-2EcHZ#sOeoq?=Dox;2)8?VphFSN|2h-4@;T{)N3lzRDLMJNWy_ z^nXVA|4(Bxk9&F?Kk9E|3Z6?DUL&@tUI>uSxi@TBR*Tp#jJQqtX@VF3hsfmyfAj8% z_mwgIcXDF(W|thKV&d14 z{LbKm^y5@gerx;rV5vjXr1R#hpBX2!ib~=){iI276#Z#Z z8TDqb`?7iRCx48AdoG}NlDEV-r99iAougwh_ZsbGq(y6MTByC#igATd?Yu)z(|fgQ z2S0(V(hKa7`tA1>s$g5)TK;_r-QiF#%5V$NrdORhuP-s%YQ8XGJTDS3Ud;N9&E5lV zPd|t(34gdWQcy7F9<|Ln&H^>ezxMOG{nXJ-#kg0gg0bWNRn%qCHQvi9-sBcfHs(!U zH}fuizw-};^1{h}ZFr2B*?O8n8uoAQDX9yZgl&ZqwfC6 ziO3g1(^N2e`E16Q3EKk2NwHzpt}0ik)>}kpAM4~K^+?CSZspA?u_^6V;`HQ{L$n;s-Koii=(!Z3bBqq2t5j zUk*2z%dL6W&~wO1)IDN7_Kipy#mLN;2tW{Z-c1+ELG+m2At4YH^JSKQ{b=|Vb zHmN`yOtua^m~^b8jFqr136O*r16sR6vFbVJHW{3PReQRVfOA4b`-=}-+8W0SQ67Ggc@4;d2fNU%hF4bnvv_xs)OOLBP#Hd)t5kKTl4%=M3C;}$vH zyb{$Z#a~y{DbU-5IlQQ$8%rg@himNhW$+PrY`lB&1b>m1X1jOk9E+s-L#6Yiw39q8 zAuBv+)GuT=;6q(n(luIqQ5J$9CHW3rWHY*f;i__=o3D*LHD(63>+)cRTCN9KOW5k- zYGT!cSppb1-_aJ**di4=G*aB*`IZ`}3s_Kk<^5%72ASVe<6%c1fU3x47=_r_`lFJ(O0!kn28TAw9py8u|O$it7 zlBWxsNMu&76XMgJy7BgO^iG!b6RA}X9fH=j)aSU^9S+X4O0QbXBJy=$7g(Mk)P{ib z#cg?qTp{0U8fy#36UNlIqQ8EkKE>q0WL0|6Zz34NM7}5MBOx8|k)G3>x>=)9iiczW zur`Sh;BomAQ%qc%KMvHI8?4BhT0Rld;6H{9_AsRld`4V$_cQ zLqlxlCeI&^ZJSd4ViP>?9nyIweO_X8h=dM%@1YhpJfM(&5jW?G^*-iliU_VqpA#g& z{J2C@+Va+(gKqWYqhl)N5vxY~zuC_~F&)u>W#^}Z=W&&|r89*1XuiEyi2sB9CC?pxCG~%UeTvPKdxB3vW6C-kc@GrD zSi0w`z|DY;sWeSPY+q)dlXp`rDQoS{eHCV}gG!%lR%uGl5a+$`DXU9E-qe;-C1$rg z?Yte!D8Y7*Y*T}w&Tomtawf<`a_d! zKiQiD(-AAw#h7$;!BH5dG@Y12A@X>B3n7+mRZ98_A)Rd$TUfeEoyZ~DIzGQMYjb_l+XRi(PdmZ3B9HElDsz=W?>CC)pa{m>nqw`{I(Q*WcD z@kE0J=+YYBrnk3O*ZP2ccO>)1*hkRBYrmV;UVbg&WfSbc96V6du1_) znkFF@Y1i?8tXOA3Zzx?Mmp6KQs%Ayz!lx_{9mzaN|KhU8K;|*lz}+l4BcGt^T2(`= zSSA#$C?_MXUB%N~lF6i&3iVX)qM~jAj{%m}x^faqo(({E5H7?>kU8^!GI(E>${PaV zTQa{o6{_K3J^AvRtMG&)iUEEB%;m9Ugt%e;x{G+{h%PDXDX^Lyttowvg|uJ!ohaN! zm3N=etF1f&`o57${>D)XPk?1ABmGbo$$yNV^ZFuj?T_n!Gh6-mK02iIAj(dVp^uVV Sh2}qyOF>3ey8OLq@V@}oBT?D_ literal 0 HcmV?d00001 diff --git a/docs/img/registers-image-0032.png b/docs/img/registers-image-0032.png new file mode 100644 index 0000000000000000000000000000000000000000..7a2a9aadf3539accf0fdfc9dc204262e1750392e GIT binary patch literal 11981 zcmeHtcU03^*Dfjwij;`bLNy{5B%nwU1W^)oK#_3-ktPHMga82vASDPQK?ngAK?NeB zFuu}4OAsM~2?1#$BPEnT070ptgpziDmYG@az3=ya^R4@>yVkvT{zwSPIs5Fh&pzio z`+0r|=gyv#+@iEaL_|dL^eM}qMMT!u1Fz%a>w#}zZw%~!Kbr$iIRuG_NKwDO)}`&2 z0*i>~U`|_F{1TcuJuvA2J|nCC-f-j30b8C8Z9i4F*XY~FV_VMF?M;cPOuuxE3wCzg zs(Co5P1^iolFqRUXI|uaE;6M=hV}^u);5ZWlzo7Uh}i$1ehYX(B9R;^q9QYi1~G@$ zW-PSUE!$-Od>UMraq_Y%Nk<_9!w_%aEi*B~XvK2hn&7%2uB=C9cl)UwyEEc^pGT`B zlIlgvQVO@rcqG|oT$U?MTOX6Op!{33Vw2q#@q-O}taT-Ne_ChJpb)qDs)plU^+ttU z-8yZL{ToFU5!-**7%{cdc7uQGs<%b9<#^@K$5Qm*ZZQsx|EY~};O{*9%X|Ls?exFDo&5d%U6;lABr~Dq z0^>$XP(X!Yff*7EA>-Wr(Pj;+O{&@AGu&I;}ndoH+{EbmY;%h{B z5od)RGqOZ-%r+Z^(9b_ZER})f1XtG} zWvh9iDS449hLx=hO4S3Fuc)PL=L{HWS&iVX4G(MNfu?6V+{!Vz+=OVsvd)c1d6E15 zeEUxRW=2W8`ZRJmdjl#qZu9Pn3SS&4jL#rz*bX|Xn3=R-weAp4Vn&F#lderpGdhh= z)qF9Hp|Wa|ng~y2Owxo1YXDOT>h%~kg@iP4J%64mu1j)W>0OfTz)-Wz9z};137)|F z2Zh?beV;6FwD!k+%aZTMG50)|Y$B@)0i@7fb7eB&gh(P--c^}5Q#Ze(6IeU=(aw&s@)f7sB60% zxG9r#i`#Z5A@oXSeQ84Y*3!(MXoP)s$IHzx zTBodCxTA;j!ZZqI^7BEcehoV-OX@AF2X3lU7vzza1)11ZmK)c=T@Tk-v7H#%;>^G_ z>s$?{8EY>)WJE`XWE7&F7~7>?$(gZFfay(%wXh3a)z%Czp#n70bNNvrO$@9ujNUOG zI@r@Os%@IM%DS-hawbsHsrD|7vxCyYmF>V|ZG zOnlsCqY0_9!+9IoIy%?FGaW${di&gdp+&^YCN{AgiNdz!OSbn$9{fs3j2+6S4QgDw zytuPN5y-$$ynH*jxa4S{uuyv`3{l(GQ;XE)#>MEMLM$pLIg3OaoKdqJ)R8v8_O@g? zP7&rF#_Pgedj$LL7`3D#Ep>z~X0Oae%b0MgPjlUA)ums3A&(lzB@Aod){81%kMC9T zk$2B~d}54t-|$-KRzId0l@z#3d3NpTEsn%Mpc0BB9xUs>z)Bm$vG{e`+MYDA zZn$=(KVD8ttYGnRwQGpHhlU^%b=;B%%iTO3@}YWW?j(k3G)KG$1_hOfr}wCX?;Gtx zpKi}Ub*UaGI{7dkbrqc2o^}AOcE9%ueN&i1vFlS?Q=E91)U-p$#f<3K8C^$t{{^(# zl|v2fd+%U;W#}OHz)ZUOQ+ImQaL<;tPR&S^-O$W?x5*xp(evZOcb!Niqdm&Shbr-g zZ%>pBo*r;ciRJz*zDF!>E?ih(b#gQMHw%yO)Mt#hE-GAIyZgxn1{4n(a-&h$~)Ea*X+ys1%OP#=A87m2bkDN@LqiP4_MKa-MraofOzJl5OWP3(TKe1uby9ub0S z-T}P|OTWGinEPcPsRv|!->j}9V$*vY$o!s#x(_7GLxLBC#rIJ5G9t$aruKW|Wnyv4 zSE=*7*xcj+;aO-YZlYMB{GvL+idiIZZUqLLs$6@%EwMIKLc%XZjV@v18vyJF16KXE z`;Q0QDmh|@OP89K`3`{#>r-u01MO1jaU<1LBY0y!?VA*yHO_Pvvbzp->qt7L;aAA* z)-a1v{)G_s&$*PQ>5+(gYUWW+x* ztodxqXlGqW&BMaw^JGpp{B@*Lf<{|P3tp*Fdf%7k!0gg=v4gB?+vg+C$^@@0=uvZ| znO&(7AIR3W7|Ag~b+6`PP-^I)piG_>%<03h{TDe?+XN@Cr_%d9#t=1~S+@+<`#m*3 zt0e!v*}{A31IPT@3b5VLByPpx^vL;r?nUoyFbj@h$$f$lMCRP=$e}H5E~0zJs&=4? zX%6A5jbVPyn6a0pm|X-vVr0?W${=tb%+UFZvxX?&R4TCcJ;@KE3!RNBKfJ8j92FYc z=sciubuvMtu5QVLZg(@2%>7t=ZBen^?{#Wcy!LZYMX^5IW80KGzf&C_C9Re=@e8d9aqS| zUA?UnD|k>=t%sz(T9l-VA8ZQ`qc9>oQtL&RSoNjpvrX>dy{{g`rZ?;aewzr#4$zRd zJYs`Ys=cUnNBfDgW^TbTN#`#~vw6IJD9GF~1Aemjjpn6;X3ps3V=hesL9u(2;S=1@ zuNfz_&mLM3Z1Q{FUMnkq{oPW=w-WuW6i24Iu6`6LQ?N&8PI=rq4tD2&S<5A`1yJU@ z*<*UINELmwsZ z$S*QfbOPH{*GgP@|#n_PP7J)FGkf5n{6U* zyGo>$g8;sQXP%z46FrhnVBPwNvDYfn4B))r57(-Fx7a-<-w4iv`-Y8QpGrShDM6WY zBk>H^2ETRPx+r53=Y3A+8U7xd#e}{phnsaUDHv#2z`$FQ)>k&7((l*!9$e-NH)ut; zP?HKX$|ev-n7fNXLj_m z)|pI}7+%&3!&_mmtSF@GB|{n6wH5L&g@KIDij#hL;o91bCJwSpPDBi9{|;EPZw6NM zhjXg;Sf6-`!@k^3sZAWVC?ja9xKh((7Oo-ac3#;o zEyUilY{6H~rgZuU=Y?Ya-mexeUf*i`)T;(YLFxF3)6wl!B0G~$KI3(@#jFo4lLjF3-lt$8%Ld!N%iS8Ig!)0DP~p*?Q7s>b+0EOtE#RG4xtn zFIQevI<&efAXw}0w?X78J`mJ2O@DGzx=WbK?8Ju3GSMx-jcMl&f7^j>ATA^qbM@fi z<*#d+F33+$dP&WvF1Ym4N8dIe;9wA52EbLZQyP~%WolsP1AMJ>^q`})iT2yTvuZZO zx4(}Nd3B{gSF$NqiHO0~_($XgF&(LjazsK~tT@pjA`syx+pG;3u??)a$_)W-Ug^8b z?;K#^zj6iuL_C;%#@f>Ax-N2ru$>TE- z?BOZnQ`qb${KwV29(Aexi%3gr2;*v4y{B^3M7#~(_AZ*P09!0J4u3SIz;fwG9li0$ z7cxJQVN9(_{XxLpE{{ZF>OE^CXWKa~S&>}Qk{s$Eu*^ds{VD)V*{ZVUq@iD8>T{mrCm z%^wV%q%kqS9kC82qk$gB3|7>H3;k#EM`C2BXHenVX^k}5>JvT?wA!R0VkGoIlpQex zZV3jZS70UlbX=^NPqghqMWwP@ zWDSc&N$BkS6rKhd54=NzOqn%EuUZ0N(*s(HshXi(70UvIoSnQIMu)7htbc+!!|a>+7ny&A^q9u*BbYmjll@>+V7o~QzTRu6izywcJDq= z)_CDz*qN5lH#FGO(&a2~?!T)4$c6z+)sBl!qObK#4YUF@JRWN!zY5Z3};G^HEW;-$`Z~$KLq$bq-Wz-RU1B?<=uOVmiNX zwU3kCzhw6uL)69m2*)qhlW#u$K2+Za!vN#o<8I~+?fG_s{|lb_Z;tM7j_yB|8j_41 zGX@6-*P!#1V|w4P#Gia+i)Ng+UW$m{@o%u?Pe~+bl_5S<`3+hmRlU}AaNkUN{`EFT zw7ds?xc%dY5?w{un^pio{ga5IO}ypc_3gVu*UusHUq<(Jz|Z~DIweKrZ3@`W5dW&j zBxTRNco^;YKR5YHGR^-(=^qC0pQ{!Bt8(=(REoATgY|zwVfq*95Gwo7;mdzP)(Y!_ zze)OW82uYn?O*v8l(*?G$XZ724DpQA|46O-7k2-Y?ZVprl9V^@0A6?K?mw%5|JLpw zxo_0|P)Qf^7bHR-$X|)&A9nvdzW;kA!arjYY4dt*70B3;jM zm)|@y8KKkZ`T6;$T}>nIHjZ0)LyX22*B9+IH8&ToE{qQkyA7({{P>Xb_EI@0!n5!u z7NP_cL;>?!t(~2nGe>o=Z!6h?6lFT}dA_c#t%P0zf2H>wDav=WciXHo<)l&b$E(Kx zE0_(C{R+=SS?Y47>)6Gs~oK9f4vasdTky?e-JcajEBM zVYp%!!1*hltJCs^toTV4WhWZ!tnE7OdouBsoHYMHB1JGc`4V<$PLUyAo0guQ9=m^z zd+2Z`Z?$I0-YGIF3LtrfZ|t=1mb}|ml#3hUdYMG?-zw%1;N?(NHMQvjwKox-9_0`8 zD5XgUyZaRI*ku6dEBqEIyEI{j114x zbOKf&L?#F;>%V8Y51XH_CI4*g>e-~+5AI5-wxFzADbcooU$L@5*b>Z+=80Vb0iR2Q z;)*}2y*vWmR1N9Grughl(i{q8h<`$(r9g6>*rD~7%m$({RiMVFh8w#2RrC|}dMOP1@qRx3%CpXN^&qbeaBoOPU){Ymj!GtcO1pz_UYMV> ziFH>&-1Bi8kPuzgQ|9Rqm4Fn3m;~oqp%G^@K`&(@1l<2v)?^;x8JryupwwYL55)4O zsMA?+T>%GrF0`KLO>N7;^=8(-`prSiyeBAUIDFSJPHdfSFKNj z4Q*qH8w~d_u-nzNkg3$HM4HUBjUu7@)yj-!yy{sSh+OxcppNTCR^Ynbnb~krB+x{a z)idtpUb?ONLsJ+6c_6CL{HXEG+`Z*oMU6#0= z2O6%w@UY~}huAaZ0Hr!oh?`A`*OB0D0ZILrWvwCN_SO(IODwq)Fqa3qHTh{vVf+fP zUA{m!)viN|J{}s_HGJ3mR(nTuvp1wiv{O9hf>eo)B5ijDTn63^7Y7@i0fqwpDawXV zXbq_YT`ntGkY}tVhZMelE-_f&rJ4J!tG8&u*9ifwts$4458gSWi&M{lzj*~jE5=*2 zPXF(Q|38GMWfVB=pirn#zz0un22wB&^fjaOFHO#kw-df?*rdU3{g}&2>n1;H`zmjmbHD(qY*!gwJmGX7bUi+vLp>f6qfQ zu`&d6Y@6uH6M+Ow$|0ReX@~zrvb;Zzpq@3TLUC+J3wIn?2zLswsT24F8@}T{S@# z+EqV?1@;*h&mZZ_9b>14o?s@KHL?=p9^_B&J$S)}H)XHyxEdVJ<4k_(6W`|z1ce`~ zWZRK#R=zv;!qW(e9pe$N{6xD~M;TZNX_rj$h^Av@G}9ll6UvzGm65K=F(+%yU@}jU zb^yZpd8g%QPki)LIf#^p8)|Uplo*`_`McM%#8D9i@PcZ6+~wc)`9LmB(M+|E>z~l` z3ybQ;tXTDHvJ7-8E6Lk@3PkVB!slj5Amn2O8coG@aBPJBq1nuVap=?hC_20^6p+Rn zLXoKF4~+C>iZ#>>TrZl|6XE+X9KjXp02iUj?xfK!&$}%oJl#GzvS=JWC!fLm0PhE` zt3DG>-j^Zu#h&T>hj1CQL%7B_i6;cc2NInxaSij+5?o0IC%*V^7Kbypm_zVz|iu`^N)bH!W z_T_?D_}SAa+iIv{7?P^5n&wdXTjO{VxC7>f<{j@RYuJA`L<)AQ8txa3`xd#~7s7IwMX`Ffed$CAS+V=~~EzR5VHGX#a9a4;- zrj#DO*zfa+5vSZp@(0!cahq1(#6NU+UL0nIpq$6!A14qo`f_@zjih;Q2p-J+Omk1T zGW8fSahI;dqJ*VKjjZfttx2wyY3G61=k#o`)gDY4E#xQnFXZP-5xvG^aULuhb$M$K+V5hF4XM@s>=C?U=n0N94JsoYu zMZhGsjl_GYu;dkr&wx?VKPF#T0ZR0yCTxy4D<7!#aJCtt1lqr8&6~=y2G&2d@k)a6 z1FQgIu5L(jDxmkPJc3i38ztpBVl@stA1?-@0+c}Eby4Q>XlKV1E78EX%l(F&H6kTxwn6o%`9dZ03a5A% zx@EeyH+S?nElQKxH@Hy?9qt2J^V^-RZ__!^ex?;FCAf4PGxjbDY3brq$|MUn>KrKq z&QcO&b^kk>CIcJ(1osUO`J90O8Ozy%swfxil&QuOEiymJ#BU2kQj1Kib{6HOSnZ#G zDwS9f&%f7Dcb{e=AU~&EdP}STMS?;3QPgtv5FWd9L7|oP1kTi|uvh4#dhJq0W0020 z8Q9(GGk2}DZ3=LWho7;3V#$a4D*c(j7stLnx)3t=njUIH;9I&}do`e0o7N0j3u!mL ziM4~gO6f^oPSgwumydksjWM%T?Y^j8c`?&1l`9ZfCOHMA_#9fewulPnzM6%txtG<3Tqv8}D2Cr-d+a+TvwH}iY9H;S zNOQr|)EHhDaaJdLr}R-}lSd`M!!0Pe$0}Tlv!<7X(ZW~KBZC+=--aZNuEtAvEb-5o zdl|1d9$oR`yQRxI&IpTEb^`FWPCuq(O)Da5cTwA*;UMt*g@cp4*+_SjkClh4On2E4 z&alx5=mj(rIOx6t!Ge{|1nz93jc?Em^N^`()EO+zak^Q}z>w^29yyS_N|k6{k|gfi z*?nY6O|uvCM#44eDr@)mWE@`bf#|Sdb?D(_%wn&NF9yBo&~NXlp3!{4d)HsD-M?O| z_QRof`tF4r6tzAn64XA=GDC+)Fanvk2_`epScNaA&I->FFcn`5o39wvOt_hl-cNpN z!;y7Gjk+%$-flYd@t%U2&5w9Ffl)1*{%cSNdtz5jn_1f!-drE5m{qZrf1MG>&H0{A zFAH#FKW)@PaE$At_47Vu-~G)2u+8J0-$3#4)`v I4UrP*gA=1_Z=}6e@}!3Ib-7C`ADx$v_YgRB{F*3L*xwWGF(BRFY&2 zD3UXhQ8Gx7ocZR{-EVil=bSt4_{JUgo^RaKKYA#%P|vgX+H1`<=Un^o1v$ypOk0>p zB+}~h=T1{dq$OWSq(%PA7vVeCu1hiFj}^DhshW~V%%6z=7(Dry*-0e5)8|j0pj!F= zY?^SSR;PdYHR5<{%{7nX-P=M^&ux6R+hDoUvpsvr6js*LE>2N`44$E^Z6QlulPJ{V z$8DTR+}xXvORZUFvva~WaiGpp=H&8^4|g65nqbNN5SUe~dFXYgb+)gs*h0Y)d_HO0 z#RYQr3gT}hmjjet#Gl)&!lj5mj}M*mB>r@2eNJW|{_=i@67lGyyOQ+Zes+mF^|zl~ z&KbRq`2Lz>|NMggscVqyxUlFl<%GlpiNv&QDamQ!`hJFc7bi)V+5Y+BpEdX=4*owm z3-e2J!|yr_FpyYRq3SG@t1nviW{4qo@Bh3nHgrA+3Jm0AXJ_BI(c8<5t#P?tX<&em zVGUg=CSEINV6c(Y%6-9VCCMo?=76v_Y2f9D%ppYz#ZSnPZNml{*Io82Gvocq2GzZ_ z2`w~f@7Vyg!?p1mqE|%Mm~%-(ts9ybk%GI)Ma8?EX2K8f&q~ZshTU%aTq?1U z>9E}R{yw#oswjoFV&Rrdt6x7jES0xCadlNox?bKCc;@+7OhPvOrOub994CrdNleGT z??e5K|Inzu=$$;%$Op&VXn|e3c9F^SQ_jqm<}PD>wO>Ac61-j(te$2f;$5<-k;>5e zo-cDL>3F%4&ns!t#Q7_llPpJ+diRQ`;K2ubSv4{&l-A6RH{6^V?KwqXav_QJZ;!k4 z-2=y=*7qmwEsML9Y%o7NRbF21VuYuu953|d=hw>4)XH&i(W@8NE%M2-ooIe{`y)rP z&JrDI6-CkSt*Yl~wTYcQUYnQB_V6lXXbq&y28=`!cf z_cW%NUcY{wO7$?gjHMI&(B>{NK$BW}(#X0$xmNJb+8t*F^((?DG^s+beKRwIIp*$y zyPD{%BI2$YCb7)=b#G~%VYY%M?fH>G4kCN^?(Nd!7ZQ(Ncl`OOF6Wu;LPF*>v8wch zJ2^+k%X=y#b0&YdZs1c^&#>56%YnB^m>TKgSARDoE=#fo7+bb9fV?5Mz-yoAwa-ry z5#geia-|Ig&PsHub(@>8$AEx-L`{ic#xTl4^c=^(uQ_RoHD}psLmkmc_-MY}( zh*wEEzkcK~`MTISvv4UN{r2YC7a}$v`IJ(l3j}We_{6kwF^<=ICH_ZE+8;5Oi&bzN*2c$Od=tw2)xv_< zuty~<6KCw~?6PdeY{q(N>9!J|ThdG;ZFyc4n8u4ny~IYJiIfYd3T|{?(OCZVC6D7w zf9j`Cr-imI6}WTv41LKeCJ`m_37whGPgktp&B~{IqnfVN?A7+gJypN*tirz96XOF- z>}%Kls!_YCqpRUa#4CNRlua|Ui|LdjV{Bkc6Q(ZwpcEevA?BglC zZ;TpJnkT2G)M>-LiKXJNOu7E`ym=v~oEvR@2Ndaz+4i>hJT1q$u?CuyY_MvguEENI z$|b|M`s;-{zHZdAJ18h(D${OixVJiHY->ZZp-NMIrnRY9M>^HIw<;PjEM!o1?nD*)P<(vNdM+-UM0?t4 zoxB?(qm&L$J{82}=&vta6W!$>i(i}dR9Ht!c`jCBY*O4HImij5er#*^>@6)HS3He& zXc4lnm^qJKY+ziyg&HBV*_*5ps`zl#s%Ms-WR|T*F2pFu-LU3YqpN4zS$7n8_EpD3 zD!Q`^%b7+g$6ex#9v;kDz;Rb9_7hUtiYQ5#b6(9Jk@MpB=PJ6*b)r0I^XARuqUk9d zx@K8~&pWSOLmQJq?UT-kW~e2m_ue|7vaz3TfG z#fGM)TJid$UHL~#`}z|kvQA|(WK~*A7W*EGyz=qkOCI_8-k1a_uf6B#OD;RRM^he4 zN1Nbj(l||ietW~FIzHGkD1L0vBv{(Bt0VxAuhNumKGEOM#qq+&CyJ-bE<(y}!OUx< ztJIcV_{O(2R;w=toQyWXtM>Ht#4ma0`hHnN0dk);*WI#MO@}F+VuQKqF@1e~-&9BM zy*SAVr@Qo?8oVy39_}c_afrTJ6Dc2b|Ni}=Sia7EnwgWHDw_ID>0=1AnfHubKkJhV zGak-78^4ksA_@aCmboUCmS*^XSV`j*jd`&UxtU>^MKw75{Wk zp6si`aX0GTPRV$ZHz#C`UqbSw3aIZrX4+X)7IJEF0z+T3MITdCw=6l{rD?$AiCGi#~)_+UXbI(wEtk98$V~MCzjH{L&uoa-#?Z1?Ct~9E}alyXR(e(UKVWaZeXd=EcAo9`c%=5bouk61QaOZG@t zk0NDCd+k*?y7iFm6VYBg5kl>q-PGG3H9$y8S3S9v3=btXTZ-6@-{_wm$Qazs!xJ;f zYsQ=K@ZrNw38jee@ThfKX(ngd`bzn;Cr_?b@cibsP^TA!v?`bNz(Vl}k>(RLvre8o zsZOtAZgH52v*@l(2-+RJVh<0Wn!%zv3eDyzj*H=o^fsLNEwU%xAY2Pv(iMeoHXlRf zv$>0-Y``V97qCgWm6~LcSh1>lkG5`BvC>$xeWs*f2~J~Tmbn*IwM>yT6udNbXl#`_ z>KYFu2nhG3CDXsDOy8aCU>%OBFMJgs{PhlPB*MDEkWNBq>Ztk3|8{-BC&I$0ohm z+FKJB6Uz2!@k$cQI-Du`CzPlQJ?0F&hx+DXfCERO&o1s8Wow(uUHaY29-)eowInVuO28lPF&^V`9eM{c5VKja6Nb!u{QuOIJ5* z^;q6UtsCE7hfI9X*RA0<%d*VveY%GwCMm=CqrzLaCr^sJ$T)H)qumNOxdP5i{J6&= z{%-4KWIMkpoCP6Y;byB-68xH(7bBO?-hKA%+r{LiQfbJ+C1XvhzTr}oU6T+ zLi$h3z8|GGIX5$LvpG|>^y@VD5U)z(cR`hza1R>#x88UbJs4T zuc7agbBwFSr*ihE_6D4-nG|ug-4R0$dl6!*=d!Ho>;}HDGqom0g0U zFP{1GVC6>UO$UMjXtrn_;#GYcF6~LL90&_UfDMncWG=gboQ-2oK%d-CKK)x>?^hB> zYPvHrh2Jghu}1;PR+oWQnJ7gvKE9qBW6P`PN_@L_>!L~c;?8ARH~i`GdM1CA&DyYo z`V~8Oee*AW{zokT-fKD?O^cAy3ICDjid<_oEwN84``C^hZ3Uiuiji`0)aVx#Z9WID zCD~)cItsllqXl?*&zHv_Lhjt;OOK)YB0En}qb_ih$p$Fcc#U!`4LWhOw#Ud3QEubB zBtmKzg(gWW`1I*h?uy2PN)98%!tUbJ*Ri9CO?_#mT{!4Ca#Q22@9(YWk*msD$-**- zJoV803n23T!-vNaVpSj4ZQk6&8I>z~hQ7C(mtOZpIS<6$-2pb8h_T6o+@LHJjqH1!|h+2GhS8sUH`UA+N=9l zReM(9^wIEwyMc?G7~{_EolQzgLZ#}Ooebp>5TGa}1V^qQoss$NWlE^l$k;b?=Eln< zxs;X+XIn}???GA}cy-33457iXetlbfM3_(bT%iYV8-+%xiBbq-EhT~koncF+^~h*X z`yJquPVygF6acHt-43h$5p{p_Qmn*cGC((@&Z-PhPiDOFm!H zowFlZ3j6oRd;9v1op`UJWuJ`hV=!~1h@d`w`V&wu+y8`1VjkxQ=Z#Cmto#0o^`qPNqI^%BvAhKwD)kC6y%mkj;rXGDEcH2P zHi7fa6V8AB@`Z0*DGpa_?i~VkL>#oAHd{TAWnS}WJGh{sjVrlGMWH00MB9mh&f-@D z-l|LCyGYLC-Rgw`_&6Ro>SpGzhZ(UkCRIS^TGkz&=%2|acmsJgEq;D0pJ{&n&AN;QU@QdW;e3}T18tvcx0Db!rX?2K;#x2cEha?nm1}%U8x8^Z|scpxu>3Y zy>irr6Xg?esm;@4wOSj@Jh_`@kX6f&akW;WuyIpk7sS+XQbB zHjZs#J<$~N9OtU$6zjaaOPI(w=fZavHb3dyZEWHspEC>xx)AsXld*Uc&OKsc8a>^} z7mUnX%UCwLtI*GNva47g?-+ z)o?pV;fcF@?Q#T&Nm~YAnkbW(NJ6JYd;VHknMlP|HEe@Bp4Sf}eSOv|rzUH(?d0Lf zSkYbDzV~RC>D;t=fAmPNX5aJj^G!TFp6gJ&e9(-%Ot4s+80*l*2j>vUd-8ws;q* z1s}bDURlt%aT1WAmgT+MYn?)`EkXvFQ=P9i;_$YWpf|XE`?f3FzJi5G@CGe*BeK8? zsGwT07Z1*DMiaG%z3~P^>mA;If5HWZ$N~=!kEp09;JS9lS& z#?PI5G=B_<{nq+rPK;r%HyqtEn#-SRtZbm`62Jiv}6!dFOE2bgRbazB1=mMAcB zZzHM~Dht@%H2L-UAJHVCw~07=+KuRg2NmP@|M3YEZ-)T&j({o!iYpG1kPs2M^hUPS z-&ZwfJwX$pKCqT1*%$d7MB&N0-S$vaN}dkh&i(P@9&i*EWy?VoRwu>_-=I)XE?%O! ziBLmco~x9XICJ)Fvl9In`WCq@0cTFxgA)V|H$tI(4mxnG6wz9J7sh~CJ`HI`8|F~*mGK@|a9C+KJ$btIMGp^{SpY_!x&!IsAL;n+H_MQVa zf+2Y1mes5GDTJOzBm2dT-4a!S@u>5n z6W}D%y&vRY^Z)nxXPc{o|5x<$WMo(Kzu4#F@*jR9pASm?Mm{Hk#S9JgSZr8Lr>*65 zoW0GjL|$W1Xqb={vEs?5gP}mC0Em}TZq%XEkFyQu?NO$c{Zei8yk>q5{G$+8Q`qwz zlHzFYuUxs3I`gvwBy)yYPpIT&tlSBS6w(l>Z0h@o1Fy(A)4hB`n}KIt-P{6>+zz$z z8ydPb#>O(oe)~LF_X(f>#5_NzOud%!BohdtLIX%B$g!Ef_Uz_*c84GwOKiz+AeB0IRs)g8LEx)t=8~V+D zhPjLPf_yb!n4jCC9+_c1(us!8)5UXBlc9TB_Tup(-$Rm5x0Z9KONwX%2q37dxYJ6m zfS*fGhLFPMz_B9uTo^X`@?oWcuc6u8hhp-G-iuF(95 zvCSMDO|P$f3=iLVvkj8aZ2L?3<^fYrn-mb5al#G`;wsUZ(?1hSJJaQDY|^jZdc1B| zHW+@<5;hVOTR@QcgAtr*aR&o~UN9v#pmN*@(0X_2N@~wy#SX+Y-gK%jAqVZ=Hnd6z zN#ohcNh{&E26i|V=D`!}Kl*AD5|HkI2Dc>Qgn=3z-(O(an#&;j4y{;vPm^U!6M7Ax z){+@d;EiQ8@R~N?20OK)ySbq*G}+S4_z&5P+Qm?#BL~J8s?pgG4Gn=WO53Csj(1S;mxb~nO30Q5^ACnJ)C=I zF~qI{uZg#`0_5YquQ{4oHX>v1Znp_KqYdbIPF|Rw8ALoq>qfIheb#rZUO6Y!plUV< z+C5@X_?9oWS`4f!%p7Vqd~ko;I5PX~I2JTa0L!CjF-pgL1 zQyy4QS`Y;>j=H<*vB%uit5+doM%<>h2{D*&B2w$MP*wdGA_Za(3$k4N2BIn><@o|S z?cyk_%RG1$tA0J%dbC1=dx-li^4YMMQg5`{e`3s=Z~Pz_a~p?&>KN}p|KIrXAI%3| zlwJ-06;xs}KWlw6toK^0&}DO`>K!UV#!cz8eFIlt--&*UYD!)`aI3ht!&|E+wkAPq zaQ}+HL)N|7ML`l;$jg;H+}zwjEZaz|dqpJrn7&J@4%pj05H4OoTlvf40#7-D?nX3) zVbEL4;n%o=R;W|lrp;Ep#3q0t|N3IrekHoCkC35qicHj(3-&UD%~>Pamiu#s5t`!S z;*S_Zt`o656-XWvjOQ6q2s^tpo3Xl0K)WlX)dnG>aQtunEno$X85yZP|=N=Slv~q6QiIkZw_a(exA9RO2Sr>smHFG(;=8AYJ@aVl@@eh2A>X6B&fX z78=?SY=R(fZ`V#12h5)Vo0Fw=N#fHv&ppSIP7YOIok%Sb$DQ451 zcB%9Y;-(SdiPHFxg=;|E{?_!UzF0!KZo1xJ>#?0oE71%dW0Ie-=K9PIHyJL66a@*VZGt=DBF$3dV#sw?u1*gLNvIaY}I6 zngljfpKjxExbt&Hg^8|mMVaxX#AYv~RP@DfpzkwzEwyBkdFTBgp-2nAz<=Q^x_6aq zx@uxXp!pbUoXj_fDj=!#bmxTU=O$XlRCHcHd$vX=$Fjvf6#@Xn@=<23Y`e6S`?}?h z_ktYfRqq@Cj#}UwKEyZ24`wJq@a^YXRZZ)T4Rd;Z5JFG{n`TtTBxDs7k1Cp0&Y~V{ z4#;C~=}-8|CnD!3cz)@AZtfEuN_ijbAHON^&lvV^aGW0P5m9-wb+7udt;e6A?b6fu zKD(z-?5V`nPs@v2<^&oP;tMTSfAf!~tW{9Tu^eo+&hd)ceJb+RQB&R1r|)lZMK&tT z==oWfm@_*pjR0IEDJLsSU@|`C*ds>uf;*lIyrf$5;HwMn?B4|FIk6xoupAJfev#rQ zq9*BMp(EpcwSx{pg&S?ildbny)Z_Dchd3S@r$Q~Nw^alvg%GZg_#2#L=~E~}kS zSDJY@@u|~!e(*OE{M~}CjZN*s{)z9_tE1dNJy$de#s;=0x($klCVY+g^v?Z|*zd~Y3%v!nZH)Y~qxk-2d2_Sv#Xcq`1Q_aPP)#%jW8z{LD zn1)BvzCbvPG3u|NxlVL!=L}G}bAsiDu5vil9R>rP;<~C=-se26p?}<&ckNvOT9a+G zjcelH0n!nYWnB)~Uyi-&*RKaek3X6T_21Gwcz47yOZZ zv9~hP-BN5rzcMT)`T6Gt{i?$Y7#}`hA?YfRV)^cK>7-5k0?}UqO^hIN%7J9%4<;Zb z$FDEmp#)pUDa%Bp56A;P5{}seV}x5`^2f@6JPq#gm-6DiD4r3cBc&2xCN@XP_?n>l zy?b{=?1%&eGMr7;>+Imjq7`{8Lm^*8tx&!fvuf+)3f}-x%jqF^l}F|3oy9b%ePR<0 z&PB1p3O8G_#dh!hyp(4yH7DVX>^(=wikBvdZX{+gp_Fb0Y#nBByc6h6Zb;G(W9)Tz zWSZ?=+C(>xOtym-n)-k`mCG9PAn5t?=g*!!>tm;Lh)FkCTdp8AW^7j^-3ns;y=K;( zg_q#LB^efWH3{5>a&b?)T*k~!kO zfKlv;2o$qrE8&ke-T0_v{Cy{5uC(U~bt%8!pLlRTPhj6j;`+^-^}pLRky^cff83Ja zO8lK2-Mjk}ntfe;$He}9SP`G-h8(FxVOhxN)A|47l()zI8? z@SuWd-x}K8+yl2D>#IAijQ`rzb$d^`%LP-_ZN}c@(jbY2;X-~!?*PtKlwHPMT2^~l zM;CUG&TNq9BF#z~zDR|O!7`cBR0Ug2uKQ12ZSAcR4t8*F04$qo`OJT2ziBsCt#T*F z;5N|~gRDfS0Pl|({x&DkvxonM8>iSMwd|RJj2n98ufgBdyb&n}`q{YeQoH!uBc`1) z{Ru}iCc3ew6>bD|Zo7jhGx!%;cE8S~tTo9#e?qS|4n<4)+__EA^Be|h;u_G7Aw0eM zc6cpNqiw@g_3ds-!&H!vGf#A>uamm&1i=9+iNyjeOdE_nk zE6i-#uS8z!$*Z^4d4Tus0dS7&^Di#0=}MR45wfqWO333`f`e`0?ZBlIc48rqIxG zybh6@K@D8L4y+_CeAlSLb=Cj0TGea6Mty>ogyoqa^eM%a_M2l(YJs;Ji<pgw?H2NejeyxF&chVxx4<7JxSZ4%?nA5=yySQ@M4V`G6 zkv5Hx+L+}rFzhqck0z^1_;M~OpaUZB>L;J@oKM``(D%n)?MM71253we!Uq=}9c^j% zDU*t3sTe!!wh*c1Ftw{;)gYSO9YP+v4_FQ~=C0Ql7?90(d2i{z;q8^*V~#W*mR6*e zG8jWxkpR1z495t(K4W3t1(j?-EL7@Mvx?3Umq)PIi5MXKjSI25Ajeg(VNe|z2O>6k zjm*)GDt5T?XGiTL)FOvjtJbZH5X1uwsq$U>l;~CPbOiKY%&SL-&>IEySWncJN~Jo) zuMF7yjBGjvnOpbO74%Z78Z7nbkn2I8_y@@bfV^Sn784VbFumvedqp5kx_1iPtiz&= zh918NRoRI8fe_YGyTI!ZiSE;S`Bhs4uPZQPuPV8lRnp?sQsdFJ)VJ8Lc-E#FQ)OJ> zWu2pZ6b#eSCS+igm0mrL?(A(mW&ISqKVMVideB_2Oalk{D2qxxM}Art&LkJWSEo%p z;V^*1K-`CcK;2jUP7I4pvfSV8_??L-wMyXRc4S^Eg;N1JMbz?+KQxu922)t4>PK1@ z=2P$L_-^$eE!K+8xb^)cV$(%OBaf${i;OmWQ48BEU$uB?S=l+{JXkD$O}4o^J39-* zDFt!(Tb6BVXJ@}@2DN8E0baL{A3m6rC|XJhLwnojp4e5~QmSO5HcINnbH#BQG*w}b zatnvHOZ?5gKH}9UbQvvXJ(Sl86Pjfn)th|k)G1gj4$dFWEafv;G9mIx^i#&N*mD9-$|i207cIqsg=rLh@5rq{)T& z!u54VqP=VFo^MoNKR@~xNj26sfO7BBqpeT>z6KT$&!;Y?;9qr8W7kicy zO>uX3P+nEzk9-#JUwz!va0iR{WGl4FIQ2BbTNda$W-WatoTFt#)P;+vCoKM~&pN*Csiq6B{7w3QJC)42d< z$4upJb&$)K6)RS_1l(ykqxw3UGWrg*CDEY6Iz*sTZ`&;MKCj);qVZ8*oyqrde-~d+ zhfRG^hoLXBP?TeoWm9cxe=3koTc$!j!GM0tG$$9Ln?f>@Xl&u%m1V&KUdNvCgP*Ld2Kcl7GQ)44()ReBI zSCtPock{ukpPq4_0x17(Ofj?T^wks8MPOxE4i1PXL`ewIuu(!co7KOMTj=HNXi=nf zuigKghR%8MvQ=eq*gy7TR=3Q|k|=~6A6T09{^Q?Y=!`2HuTOQHnk7EG&X_W!xKnWn z#cA{;p{=#p4vIbah@FEU@pLMAB`*U=q`9=NKv00UbZeZs!LL-qmE@)l&UjoEWx4v@ z?Q3~?#Coq7fiHDfuKjKey38gm*kFUh>5I*oyw4F}t~;uG!z8^4O%`i|;N^4Y@^cpE zZswJ+NX(Wsg|D~)&JE}jSciG#%F5*f+hdq~>$Q9j48`y!2FyJ*YX+fhShQaw-Cx9< z>@L=nDr;bO)3qdqQSpoJ$~VBKzUY7;0mGQo9Usvbe?<-bDFCnosH7=>_G7;nauUQh zZ);a~ca;yQh=DUh+Jw%}30#GC*;XyW6bwH4yuIr0Zh_`bH%H2KiNJ3iK6~M;%j3ri z`wi;ecHm?;o^>07zJR8pX_wNjJ$Z*LD=TQyLM6!3nTl8$jbCFD3sdeH+A+It0gm}s zR>}x|1Uz#b{>p{ESvTK~{ccDv1ZroJ)A$i&a^k?XXI z>YG;hY*B5qRbwpyM-Xw%R7^uks(_Hy*Y4Xw{5Tg8Y2+5l5#@Bir?A( zWb>g$y)BD*ACQ&c)BZ41vM$&1Z%e_V3A?Yhw)VMm=dhiNv?MYCeV|C9E03Wby`1Z` z$e)|j=HGtc(xrOzfdtmW0%Rg9BEoO#Sbu-8k&YLFXKraBRE&+Ig1-)2`>O`;T?35; zWb!~=q8`C1cR6XDzY;}!Vo-Oc4Wuaf3 zv8l+A^5l^ZeB$ELTNd)sKH$Y|LGQm@7t+=VsLm5J58mUSA@=|z*bzfHeI8H3(w40H zqhXy50w24_5We*<4R3*ey1TnUqRfIDG%kdtyV+r;A5t9*k-O{QIr;YO8{{k)V5MyV zWzILi4j6iydFzo|uy4Z&3P>p-D3}CRQ|dP4ZGes{aaijCeJ7l`9HPRKOp$?=B;j$e5H{t~x@o@C5dtWAQa zxj#`RNPG^|h~I1GKg3(+&6r98FOs01_M>I-jg`f_F0c6=yS6UuQHE7o@u2+LLL*;M zOJgMUe}O_But%V`VXd*8L02rDdiM0`JrB(Xn>7x~G;HWHs{+P=mLqf$11qfGM<6p#vGi0NWw#+tHa;W0mu6~-@k7aZ33@+ z{cycug4^apXWNAToXt_(+Vob(RgSI(=iy=TLI7usg~fBhH`JKp=*SVu0m~~v*)?gk zaOv&%TSzSM2ni`2l+ibs7-%wf-L8E7dQ?R%mZZrGYY5x!Rfx~N3$mWyzkf%kEhi_Z zJWPF3wCbGaz%&0rCxlOEXz=z9^k}SI9y{@R(~YL3ylT65?{2;wh~bi25F{I>0Kmow zY=s^lGekErR)W4IiyH0b7dTFRQZ-4q(IDZ06>N$WGqq>@35`_HEh$Nm!vydQv6AcK zl5yrQpU?df;}=RJ8|-x+bkYmsjGCY@S`(1WbBl-cA9 zH4VZxc8r-zywRMbjcJM7{fCJOq%DVU zOm@DyshMeYa3m*MKyM)Z8eKizOdlm3PhKN_+--}H3k;Kh#iDY`Ez=}Jvq<)4CFMo1s)EJ6PbNEZ=mfK)Sp>L z=>T>@W2TN)5hD@kJLDRKMvhTBKrF#LA9)IP3lXcK%l!)wXbg}4hmSu1ng#?InuaVr zwhozHNe~Fmi08$j;o7xx=R2{HAhKai>{QUg?3acsXt$yCZ#j7Nw0J`COSg45u{ItK zSs!m=wgjUV9$2PFFpysH`-PN%?DZr8Y%YM&`zI9Y_cR=?SSBmiat?6^&ep zcQKjbBSnX>dj-z*Cg2zI^Ye98J!&$=MtsRgJmB;_VZFCqyC2rw9%|$fo6+lQ4jsGw z&_%D~!Tn(J*c#-O-WiI%0`x0ITOe2K1)*yTe09BK+R zoN7|ZvVlys!u$$IE&A3B7*KI28~};Yhh(&^y4m>d7hH#!yEs2mQU@2PoULRyec>=!ZEj!KXVNy=K!r>baWJSzrmt%>Xhl6V>DULCr%%PLhr=7LAR(5DP53iIg0F(TCSE-)bS<8J z3maSKzzisX27)n~V4gbtSHj32K+&RpqRgT`_%(#Cd1pT}j&cRfy{Cb}edJ#{D{256%XqP3RF|H?} zD5ZOEKa`kl*bYWWBzl>I)lhImoOLhbV1`W6DGKEUbM2f7|1$$u-E4?FO_q83#i}!1 zng151|AuXjbHDga6L|e=++v_{^P~+g)4snV8vobJs|MQ2)zpocJ;O*{S>>mIzhxvQ z*jk?;TMu9AIOX&gz99IrKf%VoeX%Cok-?hWy%0j;6#R?9`tQbFIgShmVuOS3kVq_B zsG#5|pzke5H+T0SG24{+y}7(|NXn?m4)A%5eeAa=i>vSYk3UIF`GAE%z8**b zCIM9V?I)j;SFZV6h04B|bX@^sAESBw+PYUokWGmgwqJhVYN@QxL?B`w#xT^*(x!{ zT2)1DQgjRcm$R%s#faU9j0vS_s3mwiI;yN+itVWcPG_*HPXVBLs-qcKZ3=###YfTr zp^d#rFZ`FcOx%7tDdW8R=gD7fqUOEfubS;Ant%s;G?y&MD}|T+c^8F~IWZOtU&L?) zfLfCm$^sh<1JGW;v)xt$(ptmDb{LkxqS$blT=q3>S-Upmbrp&R=sSZ9O4QSOtN}c8 zXD^uWDMmb*r^Z&u?oZ)mLl2~NUjCHZrh`9x^#Y^8SE{QwVnqgzlwv2zB`Dek5PJyz zQb7A00iF)uh?;uG0ordAVABgDci03JI-|1SI|IZhH0~_m`23WEos+XUNndg4wYe#f z!DutZ4=9lZ5qGB#RW;g-|BN1rb!$kySzEH@!q*GsmrhVEjnI`1tl6d36b(el_p8@| z_Y^@D4w$9SK>ShOrn0@iF>M6)z@O?jy#A^&v5+wAp}GQuWY|s^r_vl3=0ie4ATFt8 z*(5gzz+pj4MyIPgFRVm<1p!v%=+P;Hf9U2+>QFX0E-!UJnH`i6a%k}lX#hiZ~0e%6Iuj=qw7Z=U`w z)|P%fZga0g&p{|h{twYmyK*X>krfm7YX)oS&LvS|=t<(d`a9|7v|xXm7$rn|K>OhS zLm8NBLlzouF^iuU^LF-^L4aAhb7H@;HyKfeo|Kk*V!(&&`4+hTAV_54h%m)Hc}C5d zM<40)1@t}{_606l)Zf{N zLD!pqwLT{=5v@fe}s$&0#;z-S)ak$BH}W&!4yS51*lG zBa7oM15C^x;F!ck6}Hg=wJ~YB(w=^%t*cDM$a-{jm|mVza!U^PW8eq3o8HnIRM>wK5Q!Y_-9Qxg46bKXiib&NyvEMA-Ce7Ri`_U&+fcA)5Z z-iwnvWh*Kui%%5`C>OZuyFB)K9IBcfzI>CkTgS?HgZHFG4CF1t+ZSDZ;q++Lk`mJ| z)`5wgzE?U|>5bng`Pnxzbw?<%uIs01%d3XI>GX-CNM2wlhyh-XsT+YclpnIshecba zO3RUWCr}%HZ{9ZADPm+nDf0v6 z;a+=Yqw_t92GR+KJBlj0GMYgT(TdXG$;Q0{MDTZbOhPG(n1cac#U;6?6uXc*zv>%X zv`L=J27NiGAzmM@-ML$yi*>{`-v9g;I+@Aje{@)@(xEz#r=Qm52^P1T^V6rPz>)6M(imz@lECcPnx2OOFB~-loUEK;M}d zj>sNt$K@dQKi_<*;FDn(^iY)Jjr=sasw>cT0Azt(rL3?Rj7_|qH10^A8xl$m@czS3 zcwXMNPRG00=irXjLntig7pJv774;j>sXmnTLePb{L;%bMM)G7NGx40bSB21v1corY z5OCH{)6^yzrTIDf85TV2S)uq)hF#Ib7WJX>GYYFLT0E39-i(Olet}2xGC@B< z0Sw!bFvRaTRS1J%-?(U(zbQqx54B0e*g6QO=dclf|ADKY9-giWtaCIg97K(D&~=KOt$Dx$0Rw{ z8zE73aA?~0CwIV!S%;4J5T2vC$$$lPT%>d&-X_rCFKYHxrt6c z|4gv|eEW~U{vW<3_KTMHTv$kDbUJm4fs`-*Ek*QaF2lA(I-J{hXxbYNY2|GdA}t9i zTHL<#2Hnh7du7BTr@IQ@NW&MH*D;KEQtR&4k%BK%g`D=+9y@tD<7%B<=IyghxC9LU zs+yFp>^fUE8`=?Kb=2C>Aw(emS%XR-QzFChD|_@w8hj@78v()FpQPJE?#l}cXD|z? z`5H3T^m^%TrIfO(oOer?ksgEuGMITT)_s_=UxQ6vjq3unm0M+}(xMCJdN&{UzRq_b z$#LxMx$uG-T=U9wUTksqy7!7YuA4S@F&T+6Z;HDnx0JLr%!ffcAt0*kdBfF}!E>%J z^Vn}n;x<@T&#TLxy%{$>`6c0sg%(GefNJx{^xJ)EAawq2vpsC9nS702&H zYm-#zK5LlAJHHu6woPQPUCZPk9^x{2^Ko5~bu9FZ7j01qv^7!9DT{Q zMN3x&D>5Hmp>3ORqyHJ1<=h|Nvr+ow6#wqEb-wvLXYGJM#XZWIiv8`!NEfW=8;^U^ zSCTX=jYckf?WJh$fs**{NhvZY!HnyOXr$<)x)%5%Jf% z4Mz&}ygB0!XqDFy@&3As?6kNq)HvIV-@&5%O?W}c&qg<0((m7YNlp~Ga4d3c^))!_hT{n}q2|QrhrqU$Hz4b$`x=9pI zu$WS2<~{p!Zl$=lIGRFAW7@>RB%zHDZKd)$sV{xPn8#^i9#+WxXwh+Fk3INK(Xu?w zw+&7V#~&}sSIWJy=2rd&-}NMy(@Kl+<&m}j{a+doo|st(NBkFGw+2`?_q5JK8?c4! z#lB@rMOY2EDmcI59i9hlJRrAZtI3(pB~IHFs-67GzI~glkme5nE;Bbbhg#;CHwSe$ z6;d_^M^m7zfGC?>E4lR`uD2v^ibD%~G_$^B`6ix4%xT+A7ae~dWsn<^3S{=H?F(_u z7-$f=wZANLW;F7gVN;rW$ZmWDuzZ1jqj;x7&C%hYmn%Vdul&_{*2MDsn;Kw*$?T;Y|Qz_ z#PpJ^cp;~k9z!rZ(?Z4LxRQjpWr7??&scR-{*Tw3jfF!ny)Pc^O4t147YWT<@0Uu< z|8j>`@hW9uBxr%KJyI^nG^j-uz~Kk$W;tND{PWHqa2L!D7plZwEdSw)OFY|r59y6} zm$%KdEI3S~TaH6BHr*rdm=2k;f*D|Xp#umzjBD;X;rewB|EPg`G7>U}r5;|}D?^|C zES&u-kKf^7fOkcL{xD43-5v`uR`daZ_G5p<({SSD_jd`9&KqA5_7TVVS+>$lbYQS^9uOPHTA#~-(~0Ly0pWH)??L0B zm>t7NZ(naOMuLBt1t)dDMUc}S>iUqG<5G63?+WBX;`2FP9L0S#wV2qbtT4QOJ%6E_ z#Ofo((#}IGz=fHF;Kp)nC>M=iDhS!CPOpWOIjZmWVhzhCSO6kMb8EQ1zTEzU#c}du zQYlCuX*EPdHOvVhHiZu#j?k0K;k0glPF%qW867co(dHm;qbBI1g{e|UfvsWGx=WUu zO#+#}`ooad@4^>yhW~p*sx^c{^_d^vEA@{ek%wfI0~yXbXmoi3HFxKb}f>V(BsQ^n?WdoMHjt4}f{qQkz?l%71dxVq$-p=4K= zHvIii9@9Jh42j!UVXBBYnuY;_9JT{YqPaxpyEz)v1 zA|j3GGBXJm-)tYNjD8~*VAeNt03$9`EiDNQWixVGZPSS~8->H&Zsb+VR!l<$&5uUD zloR1KDjk>g3Bti`G=+&b`1qBxcfE_F5`rk?*9?3dSik<(FI_eH z&kUuMqu+w1?`a_nIx6*(AO&y$&9P#Q@H;h3!|0bNu{4!W$d?QG7UmhvP3-EsyPG&e80~cwLh)> z#q3Q-Bf8rorTP5ElttF~b;GfVB_ciHFU65)A{Fa?eXRcQ%urT2nlLZWbSO1tWw&~x zBO_?H;qxYLHtZ<$kp*DXIExKVL>Zi(p7t2MbR(xPKI4+z({mNbX%!lFa1qT{cxQWD zy)qJG0EwczCCAZSS*qonYI8rPndOd-n+DA^!q%Wv{}!1Lx=lpj47jA8>9t(P_nnRf zbw`L1FzMTYT&b_`a*7_dZJE*RakFMLAGx(}Ra%Xi2E8%M)>0%6Hy!C0c=fPGa_E-$ zt4>P@5RZ47csww0VAfNv-+h@x3kkW>ypRgx8ZiT;zaVShqkvRoI3In0_sV`uk81L* zWZQe);r8zSad*erYe;h)g)d<5LV;4LFzy)K_Ei>8q?l{#GG>1d-RCM4S1fHS*(Nx^48eAAPN=ltbRew~925?vheH!C%mY^Pr4UypNUQCZ z^S8q9K%VH2lQ^`QM31Og+)h8cU0Ld3t-7hKhK5GZ44LpO0TaA~V;rWzTl&rx6rIZX zgcYbOw+d*eMV3?7uKk{LG(5;H)?ERG`!n_iWSD6ZCDenHAny=vhXn;aEUfSsV(}E^ zj_M%WNX*ogUa~9XBT*%3jQL9ar(^MyGCl`Fva_>Cc_nd4^?8NxQO%lmwU%jRrkx<_ z_s)sH^y9(pIM=7;{oCu{3Tj0rvG4cS7qnQ_dDU+=>F=KP$JGk@Wx*#vHOicSn|T{G z3^gwe5CEmiQ_c{ZE$Oz!GqeqsGAU71UnAI}zic%vy5h{?^|r&bRIK)^Hvu~@ zR?Gw4+~V*Re9_A)U^kC}A;1=NiA%#PV3(GGA;cea!^?syU?DUlwe0=RSbFi=+d$9R R^MM{<@O1TaS?83{1OUyDSY7}C literal 0 HcmV?d00001 diff --git a/docs/img/registers-image-0053.png b/docs/img/registers-image-0053.png new file mode 100644 index 0000000000000000000000000000000000000000..35cdc1b75cd69802d66ae1ef16231eb63feea03d GIT binary patch literal 15281 zcmeHud05PQ8+SN_REG{BH9AtXN|Kf-M@XTeMbRcnXw^Pb({Qxtgd&x;8C2S|r(IE! z7LBy;M$5Es)3i*py!S88c^=Q>{qJ3__q|+~HuL*^m-~CKpZoI-Jfo|{yMFt6E-o%! z?Gs1!xVV0);^JBvvvwu?kJVKjKKQZD>BM;#E-t>e$k&Q6DLx@CE>$b-qkkB9#*BB* z7oTg6bML0v#<8t#2dsh>%kMmEC`@nrAf~Wa!1mPs@Vil2Rc#;T z-LsBnoi!Xw%6hW%$xfZ_NgO(ZYOQY+k{JoR~p?L>&Z8|8P@Qt z?JHeD_<8uYb{PD48!EYii|dYrAuRJ(Ov*1@Tsw&d>)>Ox$H$C-s!$Z^YI`I9= z&lmpxkka9K6aMQh!`rx3syA|Rb!RjN-kxav;h!PKiNUTa$&HQN`}Rm|R+PVRSkzFw zHBeepRt1eWd)8Qcg64|568%SUQeeZ%^~w7Sb=98yE*MKJm2DWdj>oE?b3QyVYkGTi zg`YuWOwLO`Y21ZT@qmw~fAj%ETl;vzrtxRu$9eX|*YwAv$y{z|{DjfjFBx`K@Y~c> zJA2U}Vz`gq!?Vdp|DIIY#+K*&Z0>C6!@D}WJC2>cyXDM@uxe!!llRXO6G)FP8%Q?D zUcZ!k^GM36`u2_^ThKDBc(FLWK=-o(ajSpRvv#g;*^)hUZCGOWwlJOCps1}fPeLR^ zUGnlmj$uw}?5$2Rc=jKA__@^oZBl)B$34xo(0TY%f`#{VB{kK!#B(~r!l%%#J$tj% zxh5kS-b)fI|D{dKC-E?4eEoy{N2`>2Jo}OpiMW2-V0Ffj07h-PoJX!MO40VspQ|at z*V7`8XA0c!21_Uy%)O*T?lL%X|iZEJ=)v8@w8I6bFGZl{T<3z z>K@n1l`-3GNW5MjWNnpIH~vdOQ<^ZC#N5ak-aoYy76wCD4OW{N!t?jir!*ESnBM(@*#@;B}k6FNG6DA_%J7mCq=#t z*ynf8^>|ITU#iB>wcBKrv2jC8eGFRBy5^BbFMb|&GUj@A)mKT#ezD_K@+f#pVsyl7 zhnCAx>mvL7)0=C;q%=b%PCa(&!BT~N7AV}pt{>M)#5he?3I?zV@I6dDj7Kp|hc)Y} z6WxvrJDONCiJ$b+U{444VyUq`Cj{!rg)Y<{7cyGZm`~`S^w`Tl0SVa)xk-5)CK75~ zp7drTvId74(y7#OHICkDL7;t5;-7CaG%{0k>?v_GJ(bkoVVl9L!8gbERWiq7(op!> zhM|VIR!+wnA-jFv3sYHERsOGOi&Rpp(e+wCtrLl{?j7RMcG;}C&H#r163Y3#sE}jH zbY7=}SKmD)S@V(tW}@@c=Z1=gIF*FLmYL+OAY9+%>;*|uXRr5N<;BVGL9Dm_$@|`7DjAj%8{t z+{p9*!TzqDVj6twk>f?rUrIV1iv5BXQ&Q8{D^(#IohYRGpTwo6*zr zx4pfui3Zp<%K$t`3SA~3!VAYogYht)kKJlz@)vBps?qaZE}i!IW}a(>qRK`l1L`e2 zy4`vg-YMy#=}pbKXtiA2;y{FB0mc8MY+*m>?ZoU0w;V;;ghc)AlGXb1u_EN>l7+`@ zPlZXHd+}J_>bUWnNpGsEO{`l>?zKiq@(Px({wUFFVx15rK2(>{ABtg=(4Pi~blBy5 z;6u-T3{gAjQ;ww#cEf2{nh4K?xzsCDZ*hwZv3N#7@+^3vlsQvt#1d3NXYBNx@AjaQ zNvLoiYOF`k)wp}*!IlC3Rgwxe^<#LlF4?&=t^36vm-MX7YLqewDbl435iv?vFK#rg zVqoJ0{iTICPUd`ee`^`rt>AG+(MZZis=6cZ4SDG*bFcBuB1d@Vww1f7TbBERACm84 zXt0Jcs%YD8yL+j_!;i#u=mw_}t8$WcWc4Er7Hgz3VhHR-(UpE4kJYfw!y7N25$%oz z^QHJRXe3S-CvEc8y!i=#)$!cMAh}ZG!5kUm%$+e_lpH@VnfNNgVgzAbuoQb5#gVsr zMfC6lyGKs4QCD@m5u-8AN(vFl@AX-75&{$4Q$n4tLZQ^fn4T0rL37-2LMl4}lW0>o z-r~@*Q(hp_`0Y8=KEo{*=qZ(j&$kh)NY+n$E}PRl_#s-!p{syW5umZyAIj=wR7;TV z3ah$T343_MP-~Vp(5U3H#lh%`VitS83{iEQAlkQX>grC@Pljc^{HZCqfoidz4y_~o zbv}{5x9CK5m}E|2x&Qj})Dd#4}i z>#kGYXL`U|y;InuE2~;O`Yx#MU|l3-aJ}jTxIVr>`4`v7y)o(PhI343Mb64Rt>%W6 zMGtrF%(|1~mT9ofVHSkJ5(156&G(Xu>dx`t)tOTj`Cy{Iu3aiq>}sd{p%-bet!F&k z#32&xCAIK0F>H!y_T_2|4x=^G zyq7iMAy@GE&+|D~66A{TxV+aF3*|4Dg>cm-)=cfW1XgEGS6%JP>j`RUSGqXp(Sx-S zHC#D-XyvApk0m4IEZDUc?EUdI>jcE(LEL%?HPd}n0RfdR)cSF=S#Y2tE_L#pIY-VM zV>H7{(Jr??8BG7Y_JYCPs_d}%(_xl2ua+i?3Cuo}*4?es7|tvvzsva~$A%a zG2(K=vtv)GCU#ks;8YqpX`QM)7p&paY-r@y=P*0e zpuwc@qp7U4XBCiU(XnI zpGGTv4iVMFu~&~h+NT3^7+!G37!X^0wX?lI+nen621J<&P(I@8H^=MecyofLiV|5 z54Gs{r0~dYat4hRcgRsg0Q!FH*R);q6t1o;GG0G^JsUgN} z3!ki0Aa4-tU6}5xxaSTzm0t*wKBPxU+SEPHs+BGF-N^{hV2ajhV%Vl(v1^f?W&U{G>8pqv)BM(_q8m3jdezD3pXJC z@(NxbVnX86K;4XcwME)^3N&fBpzl7c`e4^s~SK8 z`(kTe9ptToM=EI+Uf|Q8V@M+Rt3b6jxF5-$JK`vz8{_#UPy$jqJ4hOg2`swi`yHz8 zV-SV6`-tRKTd-#$7HQOsX`1iN?cvhiL?nPCXIwV@P6*}ndIg1efiCBI1h;{N1-sxO zd<2~ma<@2i!lUC_Vx)r2T#4qPD{_zjIw^BE*_FE5=3(_r9|@X&2v@-h2j|E z4)zm>3x1%VEhhTUPo1Yn^GHJsXX<(e7+K(7a(c!8*`&V!vr^E)d&HKkMmu#!r8MzG zqVJ#+JL@%p84*M zX2tSx8WbKHb8S`_UWb|fY92vZQziQM<*`XRQ(qpb+eh}7Ep<<}pt|m>P8_oD$aT~I z3JLsEof8u;nS;VKmqjDBdl8~%)Iyz|D2OmWYyF?F#80s2Coc69?fVH#{$GN7N3(O> z+Ow_YN*BK9TSOnYrnfKhC?@C7LGW``R|jIwfWC}$3oxKYaeLM1R0v=&G( z?C(`do0lB&emE6bfq97c+w0o?%p>M|h`LZ#)kK3a6bGrV2P?xq^Ua!y0DcrCPG%n6 zFRwN{d!s(m?a|u}AGdPK_zr!(In`cnqIp0VfS(jcMse9vvAos07o`t_HP{RJQ1cvw z!~>@O5TmRx-CF2gWY?go`#n-^8WEd@K!iuscPKme1F(jaYe@WsynQ0+Y#fqnBZ)ff zWR*`7q>D%%ItFPhhtlC4%iQhSHW2fbyx}RhlhTJo@3yb>kw} zVW^@B@PDH(IKXTmyh~;lPLE_wX74wC58Ss}+t^}K)W$L^dI%g=Pp>z7kvb26 z>IWq#SfU-x^X$%Zf=9H&s&=@XB8P_JXX9&VfDpo$oPLD_$vYe13qP_uKsB(O2YS}6 z|2_Wb&96lgWZoa2YVs@hhZqvdj5-VoVH<+xo|FoHjTiuTV2e_Q?r7E+2R8@+!i#Bo z9bP@93J@k7``+s>l|hNRu^rSjzH8oFO{dH<$&@DKMYHcjQ4nA?>)_9u@D3H|}X)WxG)Dk!p1mIEJvdb{f*QXSUX3O4tTjjq1 z-ZX|q(*UX!6eqk75iMghXCUChtnH?a@-r@YIx#Ut$_(qhu0Mcnn zcvvk(ijXzie*aHU5?5vz`D>F4RLG1qDp{df=d^2%lT^@Z=+83)8GG@RZG@>a;&hDg z+{xLyXI(PA7oaHEapUbqq|Ux`XFwyR>caDy_8c3OThm$106si(x@wnti8!L6I=cgm z)B_qnLph`}^yGH=u`XH-6)GGyz#pjtJg}zazL%MM9^V}LBD5eVPJ#ZacCWjJis_jL zXI&H*r;v&@cqjA#_O)rzv1S7Z#6`^KTPUhoQP$aVlL%!Xf-cj*M!`?E44yn$i}cBo z`=@HCU{=1V*t*B^=nQW@jdrM%gH_T5~fY-bcSod}H zij;C|W!&r#eQ90rO)vBNpKh4t4GAZbQVC`Q?}QwgOd2_YlOzJirgG!UTUaL2eoHOA z>HTMI1jj5nKHoNpxk1NO{rg7;r|*|SRA?^0O~GSwruaGg07P-)kb=H1?3c>m z5bGjsz0oe7#>!C8BdN~oRs}iJ0;ifsdjSA(ucpdhArpn!UF-}SKEhG)Ua!J^lAEtB zA4y@b1kv+STc)LG3({P>K@yExR*`?;faPX=m`;_&;tq9!Cud4xj(wjhdF#H*FJgn= zb@}y%7nXe|4zW?PI~aa5vD1et+16hKc^Jsh;yIW^^1S6JNm z&lP?nKYYKnpFD)`XdnNFDHEUKE~9=#<(M^g+-%)rAG`VZ$?w%2Xdbi1lbj2E#c8!2 zS6BUwll?;sF-(&;zWxIm%CHq3bK(0B%)@L9PLwCj=0{yj{+>8un2J?N_=wR_kX*H0 z`k&#>=?!+dB5ackofNTkar+;yxSjAfH)VG!VNVwo-(qd)8h$J|GpaitA+(&!Aj>v> z9YWp12vL8~HMnT&hvVr`^|cqC@1C$%hq+&2zamtT9?WTW&wKc@BF%{QMAHVu9} zO({fI@Yuw^k#B61IlC?{zbF>3lTzbtu!dRu@P%uUrt3NZ$UFu*TuiJQ^s@q!#As)= zBiJLKhWQ$HpZe|00VPBCsqN`0jcL!^@0pKw{gv;rM~-&(JokLDVl1C$>n+{}h2y0#l<@Bsk^I`?1MT`vAC^;-w<(pE4Y!USj}yeFXW{ zG22*J>usQ7ZcgfMn#K*C`bd?waLA#IH%t9@zeB zDDt;n4L$b~F@V*RT=PoUCVOe_4W?hmQ0zamb<`Nf2>7z3#{BS9Y`ZA!?C?eGD)#uP z!SQE>%g1YLannFMPViM<<$SM>uw3Bt_psU%VUbOm9tz9hMea$TU)BU{M%CP#11s2c z`Tgj3p%O;Bjr@`FHGe(ur8ay!K&!>TzrtNPye{)>zcA< z?lNHOs>aNV`~;zHOiMzYRzgnl{!rZCHr2l6I36|@E9sMYqW#Uot1-v}(Nd(pr|SQE z2%;oyZ{RybxKeWYxS{ReO^~1yB}F@1omSE{^3?XLCD-Qd8)+OmM$TN=aPoIdWU!9I zz`!|vvx=|zW}e?!(s4PQf2ED_CM+!cM}sOzt5-aHuRj>~&;(o9tl7G6SwIo?mQ9Hb zK}5^xZQ)0W`%z(CHMMCXMgCti9y^{m^?T;C8-mE0Vl$5xllsq@JJ3HWe&wK9n{1_D zn|)?u?Crn%L1LZO?)(|&)PQu$Wi68JEKkC~=~aADkPcL{R+lUci!edE@_uza-^8?S z3&~QufA7z&{yf#63cSn<{pKEiY^(VqGA+ZyK@WaxtNnlYtr?!3t$hDuWtw?%dZ*rv8x*+U2-%i)3y5zCf z&Qck-d5)fR#l5?wdwpc%=e4)R_IK&TdP*P7`Szl)>COw!8Ws3l_4I?ew6=;_O8s%# zxzlv?fcZzASmKQ*p;5SB4wdg*XR_}4C6^T+MNYpO?`jG0P5VVLtB~tz@beyb2ip_n z=l+apGv&(F(sxwNS6m*$PL``9E;&E8bNj>0uqWaN1-Zr>nmX(4d9~YgℑF+?=~Q zVo%NU7qi=)6!>BFVXp)9JQowqO?jJ|-VUyOf{wy<7N&{*oc5=Xf2!2~#DI*o^1E?d zA1{p#=B>VYKSXlH6Zil6)rtH^D)v1O*Xs*2XEt3p4c9L&%3$}bOB08S2ASb%kN)4e zj_vsyrG&dn^F1Mi`bfQ2`0v5`ZWG zKGz$n+LK^#^?xKNhvb0(i`Zn4n?3t;4+Q_{>pm8?=!`kBya%!K)5^= z(GI|)5e5oXx$io>x|lE7%)Q-uq$!y~1rlr_pm)$@@d4(Sj7i>$RTjvl7p3dRQ({y- zCZG#Y3_M|kJOr2&(#=44ThNr?Q1}UJ9bX|V#%Msj)74RQ6lWR*$dmq^H~_K{`inb& z(m;TFIiGwI#%wWPwG6~N77afKz+=;kyDcxndr0>^D7@ zipkD^_5*>Y=SG$AWbvdra>r+9A*=x5rd-}SZ#n>!QCA?DAVTe3>X}E{2aKTATwGTp z;3?oGLqEbDxTRhIv%%DgKKVpg=tW=*D)-%07$lP{2(Msn8CYoHrOLk$MmS*8tnNvl zd;m{-dO4qO^IxftLFoL7Z1V4vxOBj&Kx(qgf)N{d@uCc@dW*&C_g43nuPjVa$`(I3 z9(fp}Xxs8OP@pOpMS#=91D+#l=ukYK*J|qWp||v)$3$1iF)w}V1%!aAL2uI6%mj>; zyCPbJwHh?ZHSkJ2FxrjkAItYauSE#@VZbgra%cw{Hw%PoIBG|KC8YNTF!TV@U87j= zI7R{XDo0qX-MH)cspx}gRE1L{b+mUAFoxvYIA`Fp)M3L9C&JJOzY3sofOCa`>}&*G ziy$%R(e)ulKu+}p4S+sUEdZ#CK#^LHYNk2VM#z!&Ti3ubX#ioU9uGHgxXco?mv38j wo%ner>*qbRpO^1`-Z=buFY|xlWmGmQUTxDEo@Z@+Bl`QW~O?Zi$@KW8bKa`a8 ztm;H3)`!r1;gUH4tf|08oVE@8e#5Gb0l#9xVDPWRA!q{&i-{U;BY41{#>&ESWh3rjRI3wD{uli8*St z(pyjF^~m;Z5+s>>X=CVRF~N897`PYQYDXiicJ55xA6)B#zf^WDHMAaM!10*yo#-s%+%qxnpEWA&$P{!Q%|h zLts%J?ym|_^7k9IK2Eq~;6mNOjIYYC4U`Rq*aOtLw!ISPH8-C5@mYKzbgF9MM9DRZ zZ7reaY?7r4( zFQ4cA2w!Q~ZG+h@2z3&$m~Orb&iMz$d&y1d#8&A|TyjcYYPDMJ2AIJOWXS`64;>Dx z9iY&QD5R-}K8N0h!8xt8k3HNDS1FP=(unh8#^{|on5#z_nz*7AWgCpKM;2a>%?5J} z%u(B8ZW0IY#|uI=p@k@u5fV3;TL9|R8ZM>;c z)rS+>pN7K|sZ>dc2^c(FY)c82Cy1^uc0YbwkUSv`C2oddbO}M}cMFNg(-rAO`h(`D z%$PtfvZT)^`3Gm#rKg^6=`laj0foakHwTfMTYp!}3u-X!#u@ws+%qmCv~~koj3M*a zVsNW!K*U`g;x-mjPe6?&WcWjz)|zW#BHA&1+ruqk4fI*s-tW6Sn;dJ2BS#xsZk=h* z!f(rN$=OVb-yH{=A>-<8!D5aDDMQfwM0WMk6eihgMyD)#p~gVRO;Jwn^~&->?N{fp z?vFt8@0D*zhQSV3DD0fa#$|345{O)ucfPy`;47cZt5Bh58GzS)#eH;V2KtAV-RC-X z`h4HGBJBWPz4>HS;QoYmF)T);wpJ@ru)bs-xYS?6rpZ=>2XsFa_z3H! z?bdA(#aP-wF5=@?W)4{i>6>x*CC5JAc5HQm__Sg|5^iGBYGuB~hjF58nr{X9|CgP< zKNc1(feOPbTpD zF8)BItm)mVI#+K1FOH#xJchhgm&gXA$XixO>Uo%1#Z2X2`WEWD zXp@?sJKqy;DOjfx66(n<5m`n4{yp$2+9#Pq;-%LtLRq_3fL~p8Va^%AN=j{Bd`E+S-a+f(~sWm|5aG5@zC$(%G2f-CXOVkzs zv1wd)1@-mUkc#Q51wsC~N7t&lE%CV@Tbitm_)3k2>PbC?2|C6)n3-$xFWk7c+^^hf zBV5fNxOZkU++2AsX5rHD!VsuF(qMo;{W`I{UxUzYI+QSS>PYpaxk263Xxm$poi+q7 zwjGftwSR_19@F7VeNVae^&PQ@8$UZc^9PCMeswTD1k%|iak851CdPgVe>cV>f|NJV zd5!mJ2P%hX738)T#4MntXBI8*6NeMYln*V*Hi&WfSAN!t0DE*pRBZAxjj%G;;ydBj zop0s)`5xP0lJ}^%RhyO@tDk=}C< zBP_z)`LMhAx{EcmJ5~udI2W+EY|v$7PwweAf-gVO?7gHG=0aC9+#jylD?g-i@R4^c zC(=f$D!0Z~hmUI`+hhn|OcZ{c9JdLAIQvqDd*WppN1e_|(`^0DN}@3{dSS9g^P_dr z`a%4%OHSOudtaablVrYcgPsQ^oycY7^&?!1FZ?;W?x7U&RI}prVzWn8YN!{p zV#vGE9Cl$2daMj{RcHQi9lw5S+}q*KsN0-(W{Fl5&-L-%K_^k=y8JV^%(s@l*9n3K zF$N7>6Ik^*@oQXMJ08}khH?5kUha($oZRfITf~pDkiU#iXxtG{QPD`&uhMOqF1urv zxHzWRKPtY!(cEQ4^{~Or)JAaP;aqpozL(vqCrbO*()>4#yxc!GayU`+eX!|H=lt}l z2i4yxu#|b32~As*sj~VubeDp~<lnASN-L&~$CPE31^D^8b< zCt-YMW{k_?3s$5MxvsCQ|?S$aP5 zjqPm#c)wXOr4cTvx6RkNlyB({8G417_f@@(<-0dg#Ay@)k*9B$F}B#>96N&Tb#eQ2 z#t3>aT$4(q%{UVE~E(;Qjm!3NE~!uSy~NQ9tN()XnxO;@Rb&2TC4huf#-Kr^_;$X zey%mH@grP5NNS(=EHr*|zgGLnj-n)-X9W46u`aQwayDXzfTFmv3T*YstkwCo^`?#s zA4e)8*vAqkdisN>^p~^Rd$lp0cF%5zUM34k%8$xTXAdN_(?uM*+WX04u4tidPX5@T zH!1q1>%hSvg zLV4Wl#No14#xJ7nu^bVWgm$9*9(1VDzD?2|PlS50TZtJqK67e)BTXZpQyat|76U!& zQpmZ*)^$ZKD&nG3?3g?1b{-P?7~+u6g5OS+pQS`dKgo9*VyY~DfA9PAJ^w`R{_|&Q z=&&0lcmIscs{)<(ZPBIMPYMsD$ew!uhWAjK<-<4ON zW@b0>`n0FxM)&H$??`k>EA*w=h9V(*w3w8eEW^FcDouIPuvU;kV>F28^YHVpd=F6d z8hd8Dyfp3WJJ)Cx^NoRIIFIUu%RlV$sE$f}NI;5p5D8v>z-4)pR&r@zoOUF+BrH)M zO~CnNW&dn#=&aQCEX)aa8;a<(NNVKbDL+3EIx^=eP#>~q#<+E~FKDPpNaQ|uFho}K zn+b}r3h{cuCn0pZbq7j-w{a%tL3DUt9dCb^)ocXDGF?gPMtO@}^yLZcJ*Rrk`9sWE z(oY*HC@Zx_?de~)gvO{NMp8z%E#0!i;m7)Jeucy~ZG)$xV+>&i&4@+-S^F&;f7ByJ zjkJ$pgvE~wQjqfU&#$nh0lkoD$#f{OPm|V)7_e+%Q`i*jQ0+CbJrV>QRwuD$AeM3!b+jVghTz7mpKY#)a(lF zjkmYyr5JZvabU?!PAlh}DNSvT9v6IK;Z1Xcub+tj(2ffjD?87g&Ex0vT6VWJMZdy= zoYziuNwpS*mgc*wV`z-GJAH0@?hcELjP$7d%A4=IG+Di}G>ykA?_l{n! z^U)!(9Ni;1XHyGg&NR8s2Sp1`c05b`cIr1N!O#Ns`rim^Flo}Iy($HajBWjcic4?lT??caKV`Jm^S_vgh zEF@T8aG^~&v~Ydx(IHTYxeG0-FX_zr$lX0B=c)CM#gz<9eT$5YT@&lpw6BXVtY=yLZHP+L4zY` z7Vam|XsqIX{A?i22-NND;Yn(Ee&el{N>Yq+Xt<{zO{`etHFFWr#sz8pF!K%c(zP)i zPbnmFc0pf+6VHa|ZVS4ZpLTBkDYBUnD60sMK7&2KI&uL%8I-m+r{~~ib~GU z?yzp^iDy`KKyP;rvghyW$=e%0X?Im~RLH}gedfA*#4KemDVSoL)SSnA z-6)cCQYbOdWbMb>*Ihd<>Xazuec?yzkpT6ngHk;BR4TjAx8D0wJd*sqJa5iC%UH&W zHOr?{+2bRq#}vz;S3<$Ir6%e=>3@aKJ5Z(;J8xgqD<8-VgKx!MN^*UyAI&;9>XYB^ z%Js*9SQS?nBxL1(8W*Hd>fJ@nQ@pirTPTf^r;NoYj7QPN0xB$}*#yh-xib^EK6g<@ z-1#QpwH5}YIwlf3`^~-d{OAK$bfomVgU}hZccz8u^o7|-c8}bb!wDBA;B$$^>6R;$ z;x5O><(2YHpj)~W?^<5d6R!ot%f!#B$8Hl1DHr9%o4bx)94^W4-p@*wRCDTF7#7Rg z$28M^LCx(`A-wi1zw}&id^>5{t}&b@%_eO3xaP0d3gp}_9A=Z8D(DiAF~$O<&XrYm zAD;w0jP=)yg=o&#s{P|p08kvnob!gVS@wnO>dw#38Y(R0-e2p(0_m`qS+C$Z&|d}V zI;XQHj&}FLZOWeg7nnxg$rlFFqRILd8gon1vX`HRLb(IHbhUV@Yn)ds_dd|OKY#JFkVN^xM_DhqFud;xQKm^lwcvrj+#W@O)?-}%%v&i&u>>`y>VjHUG3 zjmi@`psRwt!@BKR%gwRaQjeI%2dH;zJ*UQ?`E8`c<|4(fWBz>r)fG^&F&mO4$$ z81nk{8CZ;mJBRAkkUz<}Dj(r@W+%owcqOLzHfJ9My)_O~@Th#X&$nr|Gg*`Ge^}E5 zd?nmI>VfLKL9#hb*2KbYcg|PW; z;N`(gSY?w zN)D4XNcQsdFViE~_+^w1A2h{g2Qw`hd3l(0#|GIKMH7(-ywYNmmI56Hx5uta%&_Kfg0?MzVOa++b>q@ zH`7l-LPMjP55U~6==WMg%1Lj6lTb?hm<3hTwlh2C*`__0hJ)3Yt=|V>s$X* z>xl2vozxyn&_oZnhT^N2EP8{yUAVK@qX|noIzm zoQ~zN`An>03J8yZ<-Z&|1qfiSwhm|kethJ{yOqq5G^-FE7jaDW?2fH7ca~+A_BS5V zNEWpk=8Nh-NwAs;iEhF19lf%OV$i^Xs3DZIW`gigQ0QOL!d7rNkEriph_^rSKjEFC zq~(-8-br9*^+OXfRMn@TyS12);Gbc_U+SW0HDqh`3la z2anY|kSSK}@k*!RlzHd4odg{&i58EYc}gif`XQt8eo$4~k^0*z%QoBohW#3!d9tRu zC5vb4VMCqcuAw*Ynuo;C+{y13=RJBjTSvI!Xjr|m9VK#Ep{|D;i`klnvQs5lh{%@} z-59A?z92nnpBg=$EK%jPxERjp`3HNjH! zLwIUXNg+_67+VBIk?G<01OhSP53)Y2kvKJn^|32<={xwvVe~|iJq>rVx*tmrFbzMo zYyd^KNbx(Q1?pyp8NF-?e>mmp1tR|ML66H5A#(tw74|hHfhQKeq980%s{~zqseUsK zrpbxyY^e2Q>uC1^Z4b@{B3>iKFN%&%AL%_K(s1p7y{&B-WOpJm)L~JA|4e){8a?Zf zrsJei?Cw>$=n>mY!GFPEqRaXn6V?M&@*}yF>PbHwYBTo;p zotTT_X&F&p)|%g>kC}h&#WUK8nd!Zn_cPHi4b_F$6NYpeon5b^xzx+V16p6TeTIC< zrvtr7+eh4&3`AugDs5&|J~%u9n+a4rs+M~oXNte)v;3(h-OJc9=X!${*X3ak)yy{8 zN;2+O%-_3$!^{>Exf^HP?&i|YdVa0{s?~_acqWOf99gRQe(HUHfr%j(ppUVb4DCiy z_N|Rp1?}XhkOSiVBX&u_X$Nu+a7(p~i4BRB77{msnX6i|c5IxK@hyv%HB#_(A9>^a z{_INSCHrlv_{ER!u50xLCIw7t6mLttsQI*bV~OO_g^s46UUXzPR1405*R|);G^z}oXn^9w>pOR_;tHbJiu?xNo}2tKfNGm-YvTQGbjx7Sypbv2S=Di!ch1%Rt~noH zq*3|6mUQO^>F@7m9}gSXGfhoxZi}wR3Tr<6o`{sOZBt{jKXFS*l^wH2lb5SpaB-oo z7JvYrk{mMieT?S*q^VNLg9mE)LW}9Nc2{WRQZKq)YK&%e87}l1y=Yd_Wk0d?iAv_2 z!H%#bHX5jc60Q9 zbVG@qEw|{CbKMcNXN}Y4C*$q+Rd+3I@eG(Oeyewfz7LFc3)h&}H%(s{Je}2ci!qV^ z65lB9FO`XplxCzD1jR%h77 zuX$bwbX|nCXb&`8=JI)pmOv|Yq2y{*=Po{?kX9%f48BcU$~}Y za|bEvDLrp*Uzbh;=udumoRP6FVScHE<@wukB0DJHmR+ENgx2W?cVPAm0v@;^I9e`nf9Yu7}V>2SnaH31TH ziC1#_hyTp8CX|v>pzM4>UEQ(+$^Y+?0&y<=?XGB>_3fy?2fx`4MqTS#{)e>uPvsAT z-K%E1^;+&fh6dYJ|5^8q{B_tBE%={x9Ef^$)}W+dm_6F{dvUp1`~K5Gl@klrvU1P_ z+y{YI{->%JMvBYTs&{SsZoL|pC;V$Y0_o)_PuHpGsm7^>mg9^V4#rwJjBF~cRz~Mv z;wQ2AIcJ>x^}C>EjQUU2YTH35JFJUkTE46Nn`6iTW{;36Yy!T&R-#&CF*DU+Mg-|g z3U91+6v4jlKiifiwh$3)e*~z{9ihJfWf+*ub>xGNRW8ln$>AX_hGR9bnrnqDk%E)D zBf(~|5xxuW%0@qbQ3x^(KR*~RC{5Zd=b3M(T93nsW*IWa#vgo%qLsq+!=Kc5L^dX0 zr%2{7a+tya^`7Z%(cGMt0;}(thZmpSdaF-v)M(jb#^56yQHZ#O1@-7XT4~7S+1Lwz zEhMmZzbr?|C)lTl^9Wux0GqJ_h2=yJ`H%K@BQtt>VX&ooU^V;nZysS0^`=tc2j4>s~ zQRZdRwv{}M`hWrNwLhdLnua1D9NGKgN9fnDsr9Cg0hY4+7Vrx*rWNB2?lq-w{Gx=T z7@yBg*=<;S0O*|F(BT1j+g)j>c3ED$UQUOc3mCz}XIE>(?t?O7rF0m*XvuJy9OSZn zA%Na63A5t8m)cxPPuz^~S{a_f%oxZQK{+d%?>`6rD4IsxLoydfX0Z<-?SJKG>T8UV zo=l}FkA^6k=n{192ARGoCoau4s!J!@hjl>dSAk~&#zZuWCd{r))RepRxogn*0k8R- zn!x)n=lHI@?y2qp9b(9a6L{epVD`l{;>_bipl$mDw3ZTCw6Uu{Py6`C=REJueOj_h z*8wQi{dN0PLz`Z9X;DA*GiA9+S-=A%&jC@+6W6(F=ArlRMJksJ3bXEFF$?264Xp$c zBa(Bw;%()?o;~RM5K`_uVEnZ_FiA0wndQpl2PMhYp9G_Ny6}o|JjU{BR)1b5JMwWp zE7=8wVKIKL0h!W4l@7`T-W>sdahAEnu>z+t{gd3(-xJSsJUudk^`*BsSuzK_KP%5_ zQK@?d7c^v@|KJK16C47Ks#?RxUj5BLyd1DAjMq-jrh`jI+Pa{ViSXDqEvsIacs<$q zueWFa=z_=z?PDKdw+dMloDm#Ji(y^kBIGc zb5I?@#=9<}O?iUK+6ji7J7Cz?E^)bp66H{*yu?U2XNxJbt0&G7gJri;6pDF~nbmnWr8nXicjT$>-o2TL{H3>cF9HYZg8N_B;vZT9C7nG}k)2r`2 z3;2ac2ItAavJZi3sfrsgSe38JF!Tz|&1Yugm#tAs$OP&abm`FW>ID6$JG{*}_ zkQz*3x7yuu;z<#C{VNnnA<#X5&~@QYvgE}>WgiQn|VpjRna-z(yyfH4b zMgz5#m!m-3MZzI~3CP=^y+))lVU|vN=5GewuWT;fCVw;HS!;KXjdviOKE?Ga}I2>Y*PIONdDYRd@}2#(!k0$3f>I|S6+h5mK9|4RO} zE9Don@vobUDugG{RoBk!(@$L|tk!J2cG?BRqJyqzaK^{4A_**h-LfF*gXR4R_%nnH z>wxBf_EM?2i6B)MqQup@AkQS%hMu*K9zYAEZos)B$8&P2Qxj4E$%xV?U@u)UWQAg@ z8GB0^4Cr)2u607}Z<270*+JM25HbMx)(zo^ki2Vp{+G&1J0Us%&tBxz3V_Dba^jDk z@tqHfZk)RZsJ-+v3kfcxGKbdtDBv~+)Iz#uQpbs2GIP$uR383GXF&03V}95`D3f9` z!ti_R9)cd^;?-C68)Vhb0GzudJJ?MC7`_ZJ>@djv4grVxqM0!`Qe@7t9wQ@kF-f)X z$aq8TZsKW-G2eJ5Ui~61;$|3dgh+hcDd1GBHW*6DXI?V<)?1eb%?a)DN<59erkJ@$ z6Y48R6j(|-;o+PpHx zZa)mzs~2g=iLG;~?j6uposB1Y3YYVHHCEm6%k3jNhCTy}?zRE_o16$mk#t`V;4@k{ z_51htUfqNV=)<^hk2~($+5x>t1UoF!4``Z$+`4AxnGZk6yn^G0AkJ2_AmFj2e!FqF z0OsxslqW0;MZsk9>p|fulQj_yZ%GbkyO1$?lF7cHj3+ zLmdV)ntZ@vjy?ur{4-K!xHl*?HZ-MDo2vJc01t5F1(@}h7+JKBhI<|ZO2rV&Pbp#9 z*<=4!mBh`V0ZMkTI!&-RD+K8%z$mf?nM|{5B9pDU(K!&~ByOcpgCWk(8^Ge%GzSQF z3G3tw`)d*|tY~Ajcdcsz?6&;=)-?zaiKk}4WWTKmy!yntIcr^G2(kND?|2ljmeK^g zC1^4NlOx7hez1#dtJ_Z3l8beXHtAD;L=GFCclg5ndjjAw>;aEP0 zvaNKCgWe@RQ6CD0QirNcFOp=+=butXAY?-7=VW=Vllnu>%^*|;fvf~sAFVLT<4owE z!r~Pt-YpE~4OmPi4px7;Fi4#+a8|8Zn60KaFSZPl-DtNj;WF?(Wb{-m=4ad7L9MO_ zj?ziz98$)8_c8l@hOkCK!Xte7q`sgPi(XW@;zia}P|?z*V`!`vDV5Zz$K&NF_la($WZf&^K<8?-K)^?Y zxwTI32R{A8n$oly0(P^mhJatuWzT7_YqI8{AlTG(LJxcnyZ%^f1fGC%zdbC-3L1G=;g8;Qbrt^We=6^$xGB7_+ETCq@%h!w z?L()j8eypiw}S|VcqJme^&fkGU*)$P@h=*?+(EEPJ#v!K;*N{7DROfqjh1nqNJQ)L zTK(AV88<-i6bjvX?(YcwuswdH0BlnF_<9s{^KWMj2r#Jg0|%64d3FSOCo2gUv@f{$ z9Bdh>l=a+u_04Jox}4{01l~GPf0bh}L|BW|uG8MuDomWY^W$0Ecl2yrL^hPRNaKW5h^nY&}LN5c%-3e4`WTSE*zJ1#dF&`Ha<=P-9cycTwMAyq0> zY5IQ%Jh-YCyV!~|v6JETw2U(tCn>eI2EaLJXnh8YuCf$Vvjzur5%2!yvUK7Z;If~k z8RMbh11}jKaj>p2TIh}4XL0t%Lze;qfF;4U`<100+B@%9v`5}HT1jL| zQ>)L-5ap=p)STrL=wH^F&l`-CEeK>%8tE;!aEeVW7ufJiJ|53c-ZtyXuyKKW4EVbD zqm=e@cH<|Rc+weG?=Lrbex=UX$>c9I_c$xb=w)=QmuqO9>ZnabWK7zE_-kqTI8f5g z58yDr;L*{`5ub*#av-3t2!Uvfz%a9>hg*biISfEs_|#h70BZ-x)i&5PO*zV7)%zWd zdrm(-x@M(9)N0<^^L#ZHH(<*W?%Yua=zi+@XAttn6KD+0?& zyM1$k4uN#_WIbJ_fBYTZFHM-5`IBtxj*BRN-H(4Exovc{miZsNX+1O~YdSN|ST*g!S7X9UE;&wZKt0Hs^6u|**nqMIb^G{8{vhSaq$08>1 zcYbHNsIi`-JD?4jk3dL60$3(~f`-c3iq zCo*2w3{(gl)bHQ7k|Q*~7Saxq+J64r$s%tT1ENm)W+&Pu4dpu-T$=d7oPa18Yip}j zZc4TYO2#JI%EpvJf`eH~7x)rX=N8-TJh>cXoVs1oP$ohcEO}d+{Wm(k@ATe<&GNe% z{LJLVL#M}WAG?Sf#Oz3+T|3#RV{q8&$as|H%88pOyD+B@!)iEE%GU$d?Vs2>1lbKz zqWzDe1W)&Y6PeDE=fI(}_{6RJpWa)@#$N?HiDeCCL>U{ql^Ih{!Q1Hb0IkCHib{&J zlkV8K2!^POlI!%MgM+WLTohZnN0_B%Qik8$%*br>_mK*azmwCV8+6XlUHIkS?x)bP zH%`4S+j#5AxguYq4(%sKw-?5hjkYC6wPnZ+u?WQs;}4BbHk-ZHc44~u`YC`x`JpsQo+-7(`QOWl@|1kp$TN=tjIM>14GtlZU*L+2O zk*>C(_DbG7uNk`}c%(irYjShe*b3Xtic!-=j!IhboSSoR@tsZq`<^9O=MbbrP*$+; zFjde0czmiDnD4BZ<^D?~d+qM$7<`jEwMceW5#_nq5)x1p2}e{L#h^L&{5Q zYe*#32-*cxEvx}<_l$f{&;Db?X6ZqjsgIZdf73GC-Gm*?@}v{l7tOaX-Kx2L?2e92 zOgjhasKkl|pNP~{!$Dq*Ej}RH2b`;UoA&6L4_Kbj2ymqeY_P7R01RW{w5Rt71jzd7j}{QLMO=n6mbblB4cd(K}t7DjiiykC{O?zBnA? zba`j8*{iuLY9G`pfV zw`!_LXX~KT4+=O=QoPW*pXhQ1llVa_dBRO$hId4z=JRWv#*?vNUrOrr^Oa9#BbGzn z?%1qWd9uFo)ho@SN{z9W4HL%)?-tO?YuvMok2RhsN@;O@EEjF$8PPARhV#4X#H&qK z9BbE`eSg(1nbVk=Azq$HyqT6pq{uj9d{tLkdAXi0`NzL-%)fI+XZ*moBM+ozjg)j+ z3sK!Fq0QAH(mQY1e6qKHvqAM?8Og7)v;MUP2u4EUPnu$Pi>jEIsdIeS(W)CbcDD8j zJ^8)g)hrHey$2Q+;@-KbL;e$IHPpJ*q?I!-+z#1qXwOP!*9reRa8pK%E?Ct2g!b^9 zo2RTK2WcC#W*?_6Jyt$izC`^0 dHp9xsR{PKN6Ac>C;3G*Eoik{SJhdzL{|}<(q4xj) literal 0 HcmV?d00001 diff --git a/docs/img/registers-image-0058.jpg b/docs/img/registers-image-0058.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8a5547f084135ebb6e041fc2412a2fb115400ce0 GIT binary patch literal 34921 zcmeFa2|Uzm|2O^}BV!jK`xJ^u*^_NZ2$g*g6|#(dr!=BfmJmu9CHoc`OGql&vS!Ji zCA;i9<9|(c?vu_r_gS9%dG6=+{C}O-ymDs7_j`S>>$AK+@6Y?X+Wxrx3EHiyq@o1D zU@+)B_z&9dh7=%D5)uT-E>Z*nK}JSOPDxKiNkKu$Ot*)Io}C5B!Op_QwvYP=&pyt> zTx@K-#||A96cQB`Me-b%kr0+XA|fhGxCo4ljEs_kl8K6nNq9foe&N6UXZsaIOGo;#J-&IxD)V6$RZENrN*x5BOI5hluWOQtN zVs3t6acOyFb#0ySTrdd!?Xmv#WIsI@EqE>>Vq!Qkg7920B2Vyw(-QC6FGNBouZg(e zyoXcx8Y%sWu*bO-WLzRzvkVtqn#mctMF$Sd5gzU9ll`>^^Z!Rr_OA!~^|`trN;nKG z9-J0JK`ZOLPyERL!!PFGSC{;qb+c184#ci7^?v4(ZE}t!az0ld8gwKXEne2#)!x4J z*6IBbMmdAU+E2TA;ki$qH)N$`HRT^$eli^QWDnmA&j$zB817cPeX;Ta!(`&0)di9? zx*vTB+7lb=JQ)ZzEk7N6{z3P{7asAZ{%#c~8X@l5bLKhFNb&fl8fI^opPK9E3G}66 zZG7g6^w!MP_*Pg)d{LrfJ=QjLJu*oDu8qLw7bddeCt6ZH8lQ|FOf{PD+_M_94V`uJ zr|=rGI8Qxj;2IHo^**dX@rW=#oq#=eM~>U*b)~4>!@(jd6~tCyAwrWeIL4F5yxDY;zx0?;XglwY z&7Py4E6q85V=`zZf#Ux4%X$YB2hSWk{meL}SS8U$I%#)xkeU^O?OGK^wWY8)aH98; zzfROKW_=~ftVON>ImWRkfe`QiW3T!)g@S0W)TDe0$ww&82o zH}@n{^Ye@6d^cCbITb^AgXmNk^_|$Jd4l{AEie2;#(c+LLDulvsVOl;duB?+>6p&& zY0sxVKHSd1y-&>^M#IxSS>>p<7Uz`-c;8jSK02o&aVNx_-N1RpcU?b$cJb+_CPbud zm+tz6(RJ6ZKFNA1)x2(-I_F3pf3|0qG6f+Iva8M(#-JGbOP)SrVT!!Od!m@4f#!6F zS_mqO$p{)gm|yHjH`yXk`tG=$E~hhd*K}cmOud!j6AH8$Rgdv_FneQcxpmlu{jIbO z3qjYG#-~lTp>m&dF220*x-S<4jpMxco`_i*(l#rJ9s^_(4%S(CoX;OZ#dgou9` z>B|=j>&P+trRv2`gC%G7Kk+?6auv=|QBOm6rrZ0_6LSO14A0wAJFd z_VH^DqK)~5QGp&W^}~vg+7$^@-Dgg8YuxB-SGH~)dM_lLaXD=t3C9p;wiPzZ8F?wP zbZ^o&l+uWMisKWnn*V$cl0Dn2!I22uSwRLmWG}kmpl$XzpZXv+$&9s$oLoA6q zudP@9S^2XZGqUp-c99)?Uaq$dZQ6!TJ!)L12KVmIz~IG8(M8c<8}ULQ2*y=YLNGL4d(JrgPBj9PoM;=+W+gS{$v+fnA*@-==aQcWv3bc!Ki+)H884~AFTBcNA;t%{+m(#1K;{(s&)?Q zM;H2^L4Aut|1(p6HC_{DiW;qxU;bec-JRcYbU2)eLy?C4Ws9x~^IL_Y?69lT7OVHS zp-Q0)Oh;__I)z^01v#20fxOV)e8Cd08LY6d-)OBI4ZPG-5qEN)v?s{ig`}$&q2U^W zz7%s-s%e*KUSXhjwx$qwddB{mVcvbt-OMt4yl!`;Fg&%lY;N{X)hy(`#q>@#$~PJ{ z@hf#fjgn^`e$ZAELgsO%rz6hm9NOj7*&q*%9?#H_D|mMJlqFvPRKq2O%n;(nA56K( zpyosV>RJ1+IAe#=eWaD)pbGI^cr(>2Hlc~Q%X2d^&{%3RKZ!=IJmQ|Btlu66)rt4# zdJNv1Id&!s4>9*X=oOk?Sy@l?!65hqwLBx&fBjN4mnR7vr zL(PBZnokqOu$R5sJAB;Km@c?FUA&07PWtppXeG314Oa@}O(6PPuZFf9mN&{}rHYj8 z%k!$~K6L44X+G;$ary~MD{n)b$sp;PYGcMoaE(Seu8s_CvF*=~tR^Y2=A?)Dqqwv~ z6d~Eab-(Z9yVuf&zWp?cF+}R&zDu7}n6&B^dq_7c63FJI@cEc{G;)J)0X6?CR7k6I zyt-alk6rHl6vFRiiKq=Y?s1O|KeKgh4f_iI_{y67qFCI(%-UYj#`VR!AS=-~PSsUv zoh?ZfhkZ&aN8Q)ihG^KlJWm6NWKh0HD@W-oHqCnV;l6;_N1n_#%BfR*J0|2)l+zAH z+Rt#JjiV%V?tMPD4L#dp9j~a;;5TSjN^`cma9Ksu;XovHos++k;|ZO)prHsq8Ed%C z`6i!^Ll-U_nPj-xHP|pB#yLMQ7tLXa^cp_p8F}7GuFBz-!MNuey!m?Q5aF3v5~%C~ zh4~2&2{KpzFZe6+EB>1K2ES5aSH!>qWJiDnaN35(D2zI{A(_djrm3UIH|yxCjJ_vg znUq zyo&McID(q^yJLGZ!Up4=__0^E@JfzYzRPYpI{HZBd2^m}7n|sH->yEJ z3%JYeN8MgIoN`I2aSgjZS;02!a`}@VbqZ%tU=_Z$Y)9R6H-)rp+B+t5zeM(*@! zKXGB+>P*bk51y^O!>1Fdg8npi!Og!7W=EXC{J%e#(5!>r6`2x>pX1By(FY&9da|{D zICXMzcUScsEckX=+>7=Z9Ax1YjaSUl$CrKv)yx^RM<$jIRPTl9zybg$Bg?wb;)hhE z*MR-Q7aVh4PqA<_sAC&i8nxes9@m4)`ZYgiH(m+74XrN}fV++)P$_Q&FN)nsGLg3$ zuopKRp-t($2<1+AP(ldpDarN$D2U@`p4&7>|C!z9@8b>boeY2PDV)mmYKUA=%3NmN z8m0^Qxa(Aw_FF4Z?NFx=&rrk^Ut3minZIy%)lCP(n!^pghN0YBXG{`P6A+q;^pF1$y1T@CI_&Xro0+{sVKq7b$KEi|0;Qe^p%7=NLU^*s&Pg}!H|HdOx zqI1@mr!bQZqubDlo4Bgp%)2|@*oJP+f-3uGo5{PP2H-+p27n7L04^NF-BN{o{}-q_ z$|}y{(bmlTZHT_lw_v&Jql%T|^M*)m4-UH_p7_Lt?2t#-VxXFB=z8=?5ONx@+t9T` zcu~x2wI`S+EA?&Y)pY=L+FvCS`3YkN4m6|2L{he)PbV>~4rZD@8s>K*PG z?<+8`t152l>y+bw;@OQIQr_f#!Lvo;21p`O^|>rNazS1=51!6s4?aK=gD2)$ZQh2+ zj(~ZWk3(%kM0+;T#za9hM$m|;jOVGsS^Oi)uB`hm2QpR17E6fT3;?KQ2guV{D6$UC3g}aSgaxNJN;P?-XID}P7imaL3-tw*Ll*%K)P)pSdBAgj0m@Zr-vr~1WYisMW^*OTDRXp6T6;q zK7Qw9-hS*2~(|27+l*n)J&_@1JK(C07E(=8}YYxLFi^B>ja{Ei^Z1nO2be}OMaXV2PQy2OPgNXq~S>!wwSfQ_rUw@?h-6Q0dZ`Z;$WIvR}#Z_PU|6c=*qY04EXn05sXV`bUJyT|hs9E3I+V8|Uvj9i z71%USA_ml*qU48omCcfVM^czU74pYHK1@-eeIzs`qm6 zVo_KGm|8BGTU*{>I>$8Hi!u#A-^J6R85gvPT0ZS5!uTL(voxI%o6Dg&D>tA^J1OU9 z;|4D|qj+Z9(;0QRyHp`c)Qh%Ep4)!JsVd_)>0vVe3y}3Uo$38!Ha0X0a!h#EZ79r2 z1+1V%`6A3{Ya1f3p6%bfE2+Na4XieSyklln1D^UPN()DyY5?lFz=U-JXOhO{^yP^; zu8~^zFD-+*iqi<{0N1T-8(jF}*6k6c6Ji{tKcD1v7e*f(l!iz}G*&dd&o;fCVlJz-wju(PM zxoLtj*@i-m9oM&jn>XJzvRH_n1))QD)uV#F^US9s-4E`ry&LiqzIyd6g!Fr=PHn(d za;Qwtqb|%>qQ{9uy|LyMT=sRroDwgbysPc-6?rzHGj7c_4pVhv@56&`$&vO|v8${0 zFhuig28#nO7HUVi6!(g#`mdZ-yK|{r_>@7e@meeL!6NJx@`jsoO3QOm+HHvL5oQ&MziCax zFgxupmG|hCNuki*h2Sd4VskSVLcva5Iey;4P{hkZmoL*XrJC4ZPHN-RRfU$X&+(`= z|H0uY?s(>$w_($KMtBdTm;0`2)a~pbx6FDk%-iH4JPbsfzz^&oN10L_jLU5m7OQ*_ zdEuUXB#c;R8~PXn4Df+HHf8-fu!Bn_+YkpIYJ3FPlJWtYYn6}&{!I&JjMWNIu6s5Q zQ3oW(V&3M}gD(wIA72h_H1Jq5{Hym1?Xb!_r0 z1w!w^Q-J5ZXOCqIU33Ev8gRDIzr&!FtH57E+d|pSn%>P(wKKEi1gg-TW_^@q-WF=h zwD*x1!Qnzq>IY{(p$tjzKjyZtz1|XyoyY_*Z2ssLxgT;X;Hec}-ou+6-|&04t(RoH z_r~VK;l}n})a&Ov9k^1N2bFE58uEHIFQGF@|W7} zLb}A@#MVSaTW@Jh*T>n)x~fOIXO2V(l}KJLn%n();Zy~e;^8SD==kw;mXliCdwLp@ z4wl@1U+u*w$be|&%=TT7Bg?r`ASf%$_@$exyFq^43Uvcbo|f8mx0~XwN%!eg9l?nd zHsq^MtwxsJCY^Tm{zxqtR6Ak5AD+Tes`IeZNs zfN%R(@bD8mwT2PMwd5$#QOU7tg>=8y|0EIqrPno4%C>e`i z$_2#89MqXu`smp{uVnKvIz<=E0kKRe9qkhluV^DLo=vPd>tm zuuFHE&-n~H`7$9d%83(Hf0c72tZ!cUW9Xkrt9@w@zqV9ERG_R{RgCJA4AQF>akS^G zJ3e*J<<1g<(pT^$E}KU54-u%a*vuLUsL0Gb%GYHj9TGfjU!0B$`f_XTPUC`Edt5ev zMdGOSjc*}giC@3ux%>4SaZD;?GVruruG3)wQ)eKXW}T@oE%Tk1G~~H&DZJsLPWP=C z%+?^H4KozCy81l5Xe^6Od1ANF7MpHK-GiyDJ{#c^i<&hTeR$bxBp@A0ljmKXSJ$o; zJ?t{PJzf^#ya*?k@k^lMtLbn#Jl)Ss+bj3>vUecarU>>mu8c3Px74#B!dL}+M2GjI zgalVAK|!f^>7nc4W~S_CN6)`Ljevz(!8fv6eOx31jNG7$d16f@v1yxH>g2pD!bd@_ zpUtvc%ydEJi|N>nwAbIIlN9~<4w0&1ER(0~E(*(+{4vS=ul}sXaTD-^05%VluQ9q| z*B3y1kz>dK<`_y~44;E2*%52D4rN^h2KlE9C&@}?uo?b@xtLR7Icv`{9^!hLGhj!v^iB$p*76ScY-=fT1im05|5KEtzv1vq+La zb*&|}r&HORqy1u%fv{w(u;AFM^=(M-)Nzs=cqO|vob`R1J%#dtbknL$;nxFf+fZrn zjm9De-(3o5OzK2|_zGitjSbaX^u8#4>Q{oq_L>!wJ)w`YUQtVkMGm}DzabhMPjz2< z{ffVzfKjKweMN?cg>(%KE)7-1vgKRn1tA8XK+{$z^2A6jf2H0fw=goH8diIE*{ZdG ziZ6WIkgbp$(`T`wtGZ~Z%BdE{8@V%nudq&tmJCDLq)eOT4ttk^s1?$@+n>(W;QaRO zbwHRp6)$oY7MUekdf9k-X{#XcR|Y`3W%Lv1>aMh>t7O?7D1qpYh@DqC&K;keqopZF z@dr%<5){aLNJ0q#Ap7d1KF(rt8=9vH#lM`!EM9TX>hcqDu!~DZKQgQ@tARW77jwfm zCc|QV{AtcQS85fPwF`U^u#cx8LEky8!W%hDs(0mn_t>q~7aa-)>yJS>7=J6i+zzuv zD+bB-t4B;zIC~#2igSHVkteZG(N!$H5q_48xuVi`@E*C**T5}uWGeh~Sb!S+cwEFu zIm;!s;oZKZT-SQY8;VNWrjl`m?$2LroQyy1pr+tYi#;s(<_SmKmXsSavqWZ@HvWXq zceC-^-8rGJyd^xn|5mBqwE$%1tys(p`i=J3-Bpfsm2`YciR9^mP?~kZuD_$O__Oam zm7x;YKV}U_?r2;9d*t|SodLQ3NkKz3W5`mzdEL!%eFL+_6NTGoSIQw2=&bmcpoOpU zIe{t$Bsx~Zy_k7n158I;BmM>zx?QngpKy1@jukBz~TT{tC{23yvtJc4M&QhJvaGz-eTtuqrshy;{94- zc>pYpD?);cnOG{!x2SgCY@IaAye03eTA>|aL{m-77^k1O_4jJ(!nmO<%P-{@FOXgs zRV7)h0#QvC=m8P9UQjJJ{{;Deh{mvBFdNmfza*#jXB*|gJ8d^pc4kP90@PxdHPW$f zwV1j9dS?Xvb%u_${Pe{TTv!IUgR&BcBB0m-;#v5mztOGvOKKaC8S-yEPN2m6HWyfU z{nQTQg|+?;ck&~jaty#g;JmcoaUfXeohI~c?j2Y46stCaSuk5Z=P+YV?d7X_>?>XS z+wHO+KaUxwBYWaZp~S2vYT>(VDyuXu$9nnYeTT**3lOFHLf4{~kQ-|t(^T2C`#dr| z*J!uwDIRh1&VIufkw}+UyEPxUD^1F=EP7;aomjM4zBKS8$}EmnOWNU*2Wf)CKKBc) zf!Si{{&i@=Y-Ju;aYz%9?G;;}V_R2IU(;E=YhIlvCT%<^KcmE=FfPgS;Q$xOq20cn zOiRvPFZQ?lvHw9BgG&)AKlL9fzxJ_t-`Irwb=QSF>#RiOa{;(WIWDe%dO%72 zbzdm7t?2+1&aYwJ7zZIz*Y(Z=bw`%z4Qk__}X~$SwKaCzesT0cjFwUQs^)|bKJEz}~ zg|Mn^$SBVpwMI4;fRFDF-MAot?D7N(rhC8z3TNyAqdBb;qgFSqpnmDYk*p^KBZxY6 zsv__tey@33l`S+*K*0aW*C84OHNfUVRX-Cyddfd@yMJhYztF?*%RdF_tgB0Z5JmWC z#E4&WPH^wLS5nM1E(f}{q?vae*O)<6n`{8Jzd{TE-9I<%IMFW&+tww(*It-we$+W%g+G_+na+A4Z$h*0;wU78Cc9Kn57jo zfg{LrXp7hxs0(fXwEfHkz{qC65D2^_W?M*hpoECS{CJ-d4fq(a0>|0F>H?5KV!-}| z(&_TW;~8eFiWbJ0>Us?DE|30owpJM6;-mpBy{{Tf>lfe@s$7I68KhYw0bHjU_>Lw! zWEXN@i~4^44sz*-O!JT5|F2+wvUB)Et6lxK?V<(`xQjpk6rW!4q(ZxujdA#LhrA(6 z)fc0N4IJ*(5zI#?4Lsb*96t^5d~|0uTU~6W1o=)nyW=V%!TIKrUS1&Vs1G5nf*#bTyDSE%i};r(h|wh3TvD|=(O5j7qWRx$8*!J-YN0RshoiP zVN~dPd`#ToJkyZQCyw*odu{ z+f+n{+=uf24Vo|qzWZwtKmbyPl0Ug*0z%It>GD>b&2j*1{YLc=88ejYNh%bM&|^Pi z-L6*-p3nGB`RGj$+Gn~EKp<)jvv)^J-6_S2)V`<^R22v5_e%V!6=sel_Cf$hZsmN; z0xmqURhfhvHZ^%m+ekZVcbn-dE=H8%)QF9J@{7VqKdl<4(S3AsZ|DRmID~rEn@Fl< zmm+gQSEH3*p~edc6o&d##*-p^S1b8ClGhyU=snfN5EQUiK&FY?(t*cQA;kNH2mU^^ zwp4fWD4SGv^64*u{nYx%zT;ixvz&aNBWwE%vPEOEty$SBRycOlX(OO0I>Wh8?|A}Dn<2C*(frFHM z;j>$bG=u($u2vp8f>dQF@6vui6- zSAv=2O%X|YL87z$e81s)p>0UOUNad0Xus4x*#}j{2~+_q-j%u~MQT!>F{h_ZiBju? zq#=aMS%_mPj^jnN$|F{_j1=x{>6?ngY`cx*63+Y6Xjh${(^jyNex6morocyc@usk< z^2gX%MhEr!Ykp3MbABk*!YB%ls8OVCTxm^iXDF-u7kVhMgL%g2{Kcri-(>>{o1TAS z1mSvxpm%!(7$xVsT#!8oQYk7qR<0y^>iZf*dZxK>^%SXBB`G(etfPx9j#I3D${8}s zzeNu-?efabN-VljsLYAbo}l4OA*MB`R*GRG>6l&EMM!B|qjD3!DQQ?`?cYenU`=y@xJxuiOXBfD%LITx2QlpOU%$~Os z%xnzjF9%elr6y1Y(wFhzz~V7PVs5C0^S&zvfBH zTjPe<@AsuHqRQ#iWME66b@qP4$L`_BnDw;pDdV6siVRxw6H*eV!y|$QbiJ({Y7>iP z@57X}kv1iH&rB7n4@R>m2Ho5;JHJA_u2+S-WMEXCcgEd)8$xOixdeo<(7f0N|o}Xp+7#Cdntg=5k z?7?%PCGL6OGD%7u4&=G<=QQiSFF=)ihu?sb^3PkQf59+8rAK5QSo26t)>Yly{Xph> zHQ^imd$4*6o2_ZGxEvKZwxPPLgK72`JB+-96%O}VS881SO6MUmi&;IzFd_1s?8+T4xi>`bXQG{&ZW^e}M@4dXNK+Dz6ML zob@MTGUPx4NN$H+S^>_LM5kBo^KZ$gzk#8?PDH}ulYkaKp;8n``JJfAFOvXea}!WD zpd{BwY5>gkG+Wm^fL{3~_x&-^{q*zCCC2a7vA^sp`l^P_{9`q2RqvnP?jHgB|4MHD zDquh)(R18~1^+y&nV~d?6a0$KuTRH1i2PinjJEkNV2@@)C`V#ZHK=Jfqr0^;w~^8^k>=Iic8 z$DggoVrOa^`%~I+XR(mgl?S5C8UpY<-*)pa zgP%vYuIAux-wgiYeZQ~W?XOodS&=j;_6(G zM+OO%+n#M`4?oQ&41iM&bdpDbHr)}PGc_eV$WSSX}L zJ18HxE`Y)ZcvltL95qT0*3M4|zjM)>m(Muj{l$^15P|tE5WzctoyY&k#ZW9FGjePr zi3dwAB$@QdEMxZ--ucXXIL^~v%NZ*%lwwo@=yC>k$MwZW1o@5^&{3Hf<4Z??QBoZR z{Z!qy^2r9rEvSj4=%1tm5`o2zM4f`?dEul+29}XeWA^M@qdX76kFGRcZC!B8frn1Z zpyIco^BBC0;;U^aFhw0C6RB8Czms0J?1k}hu%%+>ELv|I1Z^03R+>!+ET%DYBA8TI z=#Ci--QkD0+|}m)8`n=Z`I{4;D(vthbm8JY;IGYN<|a%y1}~S;2oGI|w2Wc2ZcUXs zU{OsRE>b9S#@44@|5oFs+V^~mJOCXm2ViUUJEsWv3e>bY zQrInWmJU4fb>5Mm-|-W2OfJK>{_k9`ZAc;!YXnv8SVrX?G4bQ3^=SM5Q7`$6E;pAb zy9f&xSo(w-K9B-hH3?U+n?BLXMe$$Wyf6A_X?W>IkgX1Tc%B833UhaSGEN4~|7H|_ z;9G$g8va9I`#a4G8L)strDE7s#eCo?V@`l*hm1N#+4*BXn71!Z^R-tS8lXA{P8Dw9 zIy~AaV!f5w`38zQNP$t=-@+q+i4gXa>zz#(N*ya-TJB#zXtb-LBy^1gzsB17W-+`u8H2Q% zf45#jUBfjHopcc`8C+jhUlxKTZ}fFyzIUq9JXk-Ym~ANbynEIYSaoAxwz+PMz|nV% zKEZWs9(i53+^_~(q{l!+w=`Y{nwgd{Q!BpaetVj`AYPul-kSYNfy^=fJrzp~E#Y!p z>>2mxc1PE9F_9}$1+Lh>=tlTqd}^%jPF5}_c+Y^1+=9ap}-X|}}^NJv}X zBq|YgYCuZ(%z?NvUn zn^^{C#LS)9H0zgfJ-Me$l)qdYt5ot@W+;(k!{??>XxK6`8hPXNi|Ob(NZ-q9(6Ac# zw2F6SKekU-NJj7OzEw=!q;6+y&M=%tRc+_mLI2`?8ckrna+Gc`u_yE4kMPfCMmfHo zj_GJ0ldRYp=Vfme@8O`Ew0=o)+|J>btqNQGB-eS_cOEN2DWGgtkXN$7so?DCMKa>w zB{OyRW8ps7lFazJ1I{r$KTIjS!j)#dn#UxZ=P_5)dVtQA;{j>t?z!dAg1EO!o%wc~ zLa|ysH$dxazCMwU%}`}zD7*fR1BR_>7trZ~LMMxGL`nZTENSlOW?&akw$=l8WKjp3 zJu-g$GwpgvBZ^o8T)TRgX+GoW5-p4Thj|F`Q9v_3bP{M}(m{Xr<*aDxz!;0=XiLB|(+*aSz_DR|D z0floyOw}2e*Hy1)M@AU2Q|V!^f|z@k{pfjz=jFyLAvbYPjs7lWSGYrnF7>Tsc?O5v zQYotgH?LXROS}zx`?zAN_tYl81^EsNR8TDLEc)`@%ly2=D;=<)6H1(-x)WcNC5D|O z+tjDo%~VSYS>Aet+DrQ%h-s2sbMSG{;|ch{m2@!Uu#e~6!#Q6p=BF>=E@OmNPx@Be zRJRKX@#T}bif>r5%da!OJz7*$6zu;1PXF zLX31O{S?sm9BkNeRR&B*+l9QM+-gIM0)4%pJ;9X*u_19XwjDtRnAbwbB({dZZscyo zbUasE=5X^Dx36*Wh1o%-M5p1XnQO7InwrG~>W9o0uxMi1d&)kTEdBgjQ_J@%W7n+H zunCn0J2(#5qf}eQF8hdcz13Xuuf7<>`%#Z}J>NGcQxwl#{H#284||%xd!`dr3EX@9 zedo^1K~!1R93E8VU2L(73*uL>A+CYg%6R=v&K0~z%S-)Qnw329p?--3s)htTt6QM5 zsUQFjusF(P#<+!DPdQC3NFUcMRfz>8oyj;5JJ?cNGq_>d&=Ee@d}pEmIMG3P?20I? z)qHa#2ou|m>cTayBY-CFTker<%f>WGR)Or%|MftUcc=#Is8vQe#?c4(?($1R3_%zwyD2!tX7)tgdCt+9?iSw1vP7q9E^r{}A({+Y-tFvHc}(HpMdN~FHWckHu9 zt@8v8NtUJ@y}err1(8uhZCCH3w7>%=F#GjWE7?!Jn;cOPr>$aA#(1xI>2Pvk)%W=csxJ_KjJz5UA?8@BzQAJu7;e70}+_@$~iL+7d} z?0wgG`$|l~v-z?XERJuGHSHpkpkgNz<;GG*^H`KqPlXFrT@A(F(1wyIxu4osBur_VcWT)H~f_TGNkG4>*5bBc^=xN zbjcr--PGViz9%nVZD}HE-l+kC5zkgS(*|0H8+>#LNeEbFsl~pDIav^FdwAbXaj9}= zrW0A6*E9qb?OUw+{P?!Cnlv4+@^ z>BWq#@%~2f_R|>zgd+!j?-T;^4!!o3d!ok#l|@g>T0|-ws!Ek+3F%OM_Uv%GhhF%*7jb9j>(ZJzk0jgB2!T#O5hY%!2~^HXHcXeEEt%1Fj~_c^ThQU@dE}*4B${zqXS^sVh_Z=fT0kqW zG-SPn^>zSpm;yBEFLQg!_A^9}i+7w$KM>uSeReD;-YaxPA=X}?vt%$QoEI8DB}bII zXm7S*e0sw!m%?4&(o)y~IMic#1LcTDfqvI`PCHziOb!3LdyteaKBLh?1BQx zG75F%*IiyqW?y%C$%|!5eiH=!NbtS`=q@b23SasKv&f4+=i62N^BaHI1fiTZlqC4Y zH+CC&fS^ADm~sAVyN*!JS^reU{nLA~r-D8-IJs$uiB22J65!I6U*Hlri)2t*TRf6F zi|vD1pUwJxC;W)l&?UpY+|&Drn5+uf(M9Zh;sP^k8i-hQ2g`jtd-HIsCTF0cs|)u6 zqi}!42=UrYxkmO0rrSlcnWv5nh3`o}8TQEY9_h8J+9baVLBsBorjOz!wT8y!*aYe$ z3qrH9aFL1mn&aA%uh|QQ4y(ZOPH{(k=m@Ny&9At8$`WQ8?aBneibyzIlm3Onngfq>Hoyoq@iDxUm4EIUS^?z$C`oJ#k6z=wUh%=YGw zDztm*C~tf!^BCT3t~KPL%wFbmSwAUeLmy)vTKQg1|D-QPE zqsiWz+R44ob38rt?9+y8U-7j5>Ef0v0=x2a_QrMv=9PM%FS%1u0S_BDj`0Xh!#Knl z>&J8^ox1WMyxYm77$xE`Q!^C*vK1C~^+?XkeQ)Ty&}x)I{3pt7x^^RwFCpX`$1CI! zC)>=MH~AJswN&R#OPv*wePNwN5dO->Rfe*yXlHONj@5GZ*p1@n8?wTlU;0aug+kxu z>A%*$laN)|#c5paP~S=|T+J#C55qR$MPar`y2j-@)9&m-Q`w1y=-WdJk?o4i_c7bD_@rL-+u+&NcbvU9}})!Bo>lo?X(0|iyM z3y+U3rAz7sV=`@6BM0IJQf+ zs8OuJOG%|`(jahT;xd+VI#KE2%5D%7!320d(Esm2vL3-OzVC z6RS_V(obN!-H+2sXIGD3y0D4_q<4j-A=*>&jbmD8y@-{a^ZTHxa)@m)gCz{Sy$ziM zMU=eQJ1;(FV#cFDro2@i#gLme6N;I`P#?oQ?*J8Q9=oG@alzh0?o5UK&)-MzQut7P z%%UzUUtby(pD&fAy?!Gow@v)sTt*P144g=0rlYSQ`-po+Bb}K|fswz_Q%&W4mu{R( z+vg-Q8L!eHrGYRatCB$+&*Yv{y1OqjYR$wv@*=m=u!Ef+f^8HLRw@5vVsA|UjV=Yr z3NMBI5B8lWMO}708(Gs`dH5>%vl!!0;XbvGZ#Aajb#Ek4f}iueN0T6*z{1%@(T5aK z?ELpjird9Ze3svwZRL6vSik4!aAq)xhPou z@fFo{&}cx-@}Qs8C&ZBMk(sHfd#rU2?B6)C=<6cJBMc;W;ghy-sWvQ;O(o$yTu&$I z9$TKLCJ&JcZGSp)14O&=7dPl9($~e6gQxa?+=FDhxBv9Cn!XV z_2UPRitqEwdx7y196|;g+#I1OdLQ+?QrKT7-+{@hsCwpHZK@gbxZ1Elv59m5={v5; z`5@tJAv{BtE~bso}3q%y+HP<@ibhjhuXlvthJP{f!%~jM2yV z+3PEaBxc{vJBeI-r|ufsl6Uy1yD^GBJ2KTwV6fi7fi|2L8ReoRF(D!2;&Zu84_69y zR^IL(|5#rbgKbJoD&qdZwC2P*F#qsH3;nWAx~qZ)ze%MZ2o78no>vjum*_#)9j0?D?GogWRg zg{~e?2sGk_#ZB_d0n~S{e`)PoEvEEISLTSQn({{oY5f3yP>*LRf$FQq=LcR~D4S+e zXIZxWD;t?}?sGw+P5Pvfd)xgw>7zC-@KmmQv;KnK49$U%Jl^ZE-||!Zk< zPRrg5vN%6jWU@y$RAIKHcD9CJW>S$}b8DRak)Mpzf{~!tlTRk<=v135D|a?3XEsxx z7u5;KL3lvL(zqPE#=Appm6b!snOqZvp#`YT;MT|0i|RAIXhip{`j*hCN&H`f*95-27Cf;R7oB_T-)w*1;=11j+Bf zEz6fcT0jOQ0D-> z`d059B8ds;hC&Hf3SDu;%o1VnoZx`E!v?d`gs|I zX3PXXnPqO_UVT-RC+$7X+(s84^PGWm!SAklUrx8t=in|@D~uW#Vhp2tR=H7(gq9pM zeelN_{D#q_{6;?!BWMf^jai^zHgk3ebzFUvo#7X$=`}xG0a1wV%)={e11T>qpX7*TyVQ zhT2s%C0w&b>-6;Io4n5#d-vDyaq2@uA(X);`li-IWYg_v@BJ4~yols>uV6}laWWh;5K&Nx(BT;F4A6zR#d$##$KSG-|2m zhyisfY1xBj0k@?1q1u86r-LJVjGd)7i{7bBn^3W*!m+KJLg>`Kty~9(=_AbIQe8FA zs$T|IG^#eXZi+-TPfZE*PwBPf-p?#CguzFMV-c^gO*DDAoA3z|zulw-V; z_b0`8_Hqp+pGBw!7|fQv%t@`fvJzdeP{r|9eE#~Yni{q}@#x1@Nlew?2=}9>@$Yeh zzIpSL0wwQXM89|b5cJ7;+Q>~4oB^~mgT4WqPDOTu)V)HV}&nZw`tY><#%QTqcB?iW-4-XjPc67 zWt)cy=EVQ74EKDmtJ&CkR8DfQ>N^#p&K~I_RcRI$Ko!Ja%HMtMTwhs6pS&|iaH8VK zL^Pk-d&{lfQtt{6R5fPl8dN*Gj7znZr#_^HB*BZ`=n`r9J7{R-h^}-aE7f9Dg zFE}pyK4caD(i>}jys^xBbOfoY(H{r7)(uF}OnH9b7OB~zYDxY6SVlzKDLB)*w)yOP zJ-x!bO0l-jiv=@u^{z)&D%g0XArX`POHU`P;lWLtoM9Cy=WLNShSTpBY%QtiRIsJ= zR`Hu``W8hpX{{PxY1DX}+F5Xvkv>39cgh#hy8T8CEj(&>kF!eCwyto16If~{243OW zw!nEmcseQCE<)5e_(Rm_Up-0)GFca8%J~x0CK%VxK61vmtOovn?VWd2lgS#!Lz60q zibfGpVnHcVr7Rd&L=XfEiYCZrC2}dD7!+x`Va2r|T?5JrvLXwJAyPsVWdRof3lbo- zKrB?fY($DdO2W<;5N|+Kb~$^l_uN18os)Cso0)IsnRlM|_X?{%O{K~B_$#7eE&Xv+ z%DadvR6w_9fN=Ijv}ojm=+IavxD4^`P3?cb=6CX(c5MjTNVs5QUgWOxF@DtWNr+kFCk>sCBZOclnz-9-5zCRk%_jk^5(ql`rC zu#r7$fuL<~Nn!{%7g8$R^L^{0UpNH0T??~i#1Q>^i@er~Mj0$8zT7O`fAL{dpaiVr z2vgq9a1_SQBQ?t zzVLiohs*WOVbtmiZ}43v%L7te^JB>=u3fqnRQ7IFs)V2{2&=ARMOc=1#{WU?Z}POS z`6KS;VAOng`27F{1L382Vfsj-J~mNVDCXvyV;h&RT1+#ak1AWFWQvGG1um%EdBOs7 zFTc3!m921Km5KPQ@9p8DhjSZXJi}Ira1ctg#&l=2nhdz?1a4(XIIFC z!OOG&h@t!AVY-C?b<^5W#=Ucev*@i)PWM6cJ2fG)ako}#HxJtSj|h;!H98qDthXaq zPj*$7-qWoMwGu}{?17!&EUDdl9;#XSu_oh5So5LBJ#z4_D*0ZL$tJ9A%O~=WrcSlV zabJkbGXnq^V`US+Q3e16_ubxu}OzbsyG<|KpUxa4-b3u9F2lu60kCEEjU{x z$qjHI8eD5G!5tJ6lNjc5K`^U_(Et&iHAi6-P^L@d_$Z9v33*r*8PqHNf_q`z+X`P7aJ**v%^Bxo089v*JJ4nB#I@M$9ci=TM&LjyB8a z@^S~)P@M-2j}6ni9dx92(PrvU?^KHE+g?aV9m)9l!tGnAovktRG?c;(wbMnFgZ5lu zebWj+G-_t=JMBJvfY%?u;qqV7AAf&vfVI7Y;y^OnSw+6lO6!i<%4$0+`M^6YJ4*ZP z_GR@}n^-#dVuO@1%HPwsMBgU`Se8^Lm~X(rR@;s8BlId{jlwd)QH5prVO0=(=V+eM z$ZTq~_FZbKW2@v^ysJW1w9~n@&5NkVPGJ+;gwl>1K~oA{1T$6!sp*J+a2oynZu_DS zOp8GA4kX+|rV*Y!0&i-4)l6q8e(}8A`Nk!^gI>F{PZOJ6+z|Q)Xz?}R7IaPA!Vk7k zz^IgX3DB(&YS&|6L`B{n>=>D6!Hy9Qc8oszO2WOdd;>=I>iYhMHvjmo=aS{VkFDM$ z_PpN?%}Kun;n^)cPLE{MWoowHLS{ZhQc2~5s&5wol!L+I!5`5LI^TnH47-NfT&)Wf zP@Yv`#ZN2iyfu5@5$wF*$=%}mgf^5>;OrzR3=2dVhZY08$S_zZ%G?N)4TUd-z*|A% z=b*D=jvku?P#W)-g=D^wtSg21>5=?vlq>)0M9G5a>EI%sZ;?XsuTCCgEO!RA0Qo|Z zj_huSmY|fXmGN`+&z(VwFK-DrMqQ6Gd-z&AH~O;1OB*+EM|j>k^s_*N$=9cF+IvVr z;n1?$Os0yG%sBa>o=1LYN||D5_#97W20Qz7fe60mRdv~!gtmj=yCdTwfp5500k@{D zwImk7P4JT88q0tK>ifNO^4m@K8=jv!a7P$MFAW(laX|qdo(|H{S15qG9D_uR^}q6r(@ywS{@5NZGJkxA_8Hx8jJaf}{z_pOal}q+ zqn3bJkm)?%KFK?&zde-@2pi~fbYZ6eZ@T~;xkL?8`7o#&@1AH52}GDpR3@g?DYLzQN?54kk-^g>MfM_~-hh`7qHa{<;1?)u=6-h@jUezaY37NRpda z5d^M_OE&raiqizX=3;KeH(^<1gXYi!?hA z-t4~PmcndWeV3(j zw^AIgX&LgmyeuQ4wn`c*`!KUG#B?DFgy@>MOdP_YAifTcr7+ahT^#%yOZSLB*%ub} zToC^(^eo9+O*Qk{ajTa0%`zp|L-z#huiv>>VJM_Y7#lUWIMQm{;p*F63aG0!M;c;P z&NZd0?b>3=jxDX~)AUI`-yQSAsT=AA9(78rUArpQUQlDVY}eCYcjAzkL8X4HL79#~ z9zN78v{TpSTQ^VAesf>fE`pTLs*~$hL^HQaU6Z9n)0dmdCA*}lr*s&JZ-^nEBnC@v z$nT5!ZNw$d9JX)cBGM76H%`b=`QBr!2t9C4se3y-W!e%B)9H1o11~s;VTWP6Kdjl6 zgRd$vj<-vX_r%vD+V2Z>S6RV+N|Y?l7g#8|8xiFRL;;Vb-xY3AldmRupbtvA+5L)uE6w&}D1 zyA!u?hv)|BxHPxY>Y$z8M(HjJxmlL1rR_duDk9kCFwg#1R*cp3qwcR(sijHg1Qwk@ zxEP3NpD@iH%B7K|!HGL}&?$-9*Vj zKmiG@(&QW@=bTgb-7o0;X6E}&-MV$psq_6YQ#CaMbiePDc3Nxg=gAFaS*m>}_EAt! zP|3?(RimKTSwTUu{mq{3@Eb!@1#0-uURyaW2MP-6GUVTF7*1+>3JPsq`Kwpd@4p$} za1D(@Me&d_nr2XyaN32njHn>t`Wr@qM+~|l*hoY zE~?;n!pB|J*x-jBAspK%C`_aOZ+_*}ts;+=wW;Fb`!l^X;>&MGvnV8SF#6+3!KZEV z2Eqy#+r}Dhs(7wVd-Fkd!>$r|m#KH;7>E zk<%@1Ob8vRPvE(opGl4?-dJq!G4DCkBaWVn?6&+gQ(o#%BheSgziZ{S*fL)R zpDtZ=gzpM(%-0Y7h}2xLf4gw;HHUP+2zhX4(sGNAeu&F%xf?KrCa>*gu>I^dmP~6c;rg5Mm7DZPp)=OdF90LKRm)TsWns` zVeq004L66rM;spfu;_eu zPek#`4;%Al*Oh^AXD!5q6^ub*i!HFlDJb1>r0#%5 zMIhZ_#<5q1&s|}2JW9!Q7&C@ zcn(co!N-RPyyj@WW%%p`t85pXt*q4VuPzvZrRaJtG$N+bTPk_K!>+=YywQ|X)abAe zOTzK(A5<2ZIHET2E?lo|h^2(N+qvDU-KKa$+alR%xyL`XU(;wCvyK{Dr~!t)6}Em! zh_w>z$pPNGNF8SINCeFK$E9wM(FWXCe-{36-5fZx{MDEHzUh-@VPEO33%+p|blmTI zwn5yJJ}%vJX7@?QV0C$Ri?b-di@BZ7?a6tAuM?u2+dA?bXnFKJN~ta(hGifH=f0bk z$?rQ%P)Ijs$pquBHeg*aaqN9oV&d5BHy)Z8ySQh9uSH$$vHthxYh_Ct{b-oH8dPO{ z-RHR3D3ayim?e3z>WHvb`q5jrI9v-(dqJgB$K%mM$!}6Uo>#q8Ox{RaSSM%}sfn=4 zO?(%#Ncvd5I+iM7P~tPaV3pl^Z@4DLef*;=(WZBS1tleo;|u(bONh>2Y_sr}%muf? zU|-47Ze3t?JYSkdY(6=sYjkq5XqLcP+VY%9qG-uIPz+~Z_V^%+gI^3i|Gn$4e!v-V zX-d*6oI=;e%5;+!bDEcLRECikU%-mR5e2p}n;bZSerrGV=w>57&HM%k7Pv(#9@?gdtI-!7J@|gYt$52Il zrT@Sge;OvndnWV4wX!Fj8v24H^h9v8J^oDMmMv*hUP}dFaC*dKH#Or|t;oW#==kZb zrjl1vVVwHdoKqIXcD(Ue*c`Lf`H>e`A&S$ia2z#?UQ7tF$`P@LKX^J@z#?T4t$m}0 zsV;RK5X@rn@Vl>7psa#luXZWAxi;S9KorZFs}}Ls*%&vGpr3vauVC8SpvA)Gcia{H zg;E6H02pfIB^y012EVFK%Zwi-{fi!>=|ihoN%u?kvWu>bXXJEyt-&#%t1~0+=5hB) zMZCbxRo9;ncAHC6QE**BcA5{>RK2IpA-XD>|KWN+yTo2{fl<{<8}wRx4>|~Zdh|0h zviiz*e&Tp;-*XleX?;k72^q}e1rx9Qf&#=o+Jqw3)>(q1)#>gz!2Ue)V5X?Vs_!+v zwQ?<+Om`)vn6%nPcg4DBWzg1FQ_s~HPOUaL5gRzJ(K-w9gtqRovC+|e2IXqdPl0J-;5+v;@CgFrKbBRX;r+Pk;atNPj5>J_x>g93345PI?mNMCr0z zrQ*fcVbovwg1l%$UVOx~{u4~|`f?_V#78ybnI4{lj!sToI@w9YX0S9-h-|?^h{q*` zZ4i^&l%oa|0rY@~9!dZq>(GA-vovmvUAA_CV!wecR`vud3_m=M2dcCC)%VEER6tNDseEC``rOxbUrMNl- z^G|!>d;R#et*P8$rHtSW+irt?8HP z5g*XUrSbE6Y!nTpjI@LU7f{@{((X*VY(JSuG8iX;BV1EN)tl%_ufTy>$)! zRgvP>!C&7${+-urI%ZgLySIi(5T$W22g~6{DwuROig|-yKYmnuXStU`?Dt56OIsvv z5yB|${Q9%1pKA6_@2+2eJ~hePM4FdVEc@2T{1NZY@Zn`R#o0%XxQ$M&@=`As8T+-S zKMlQNg3GUXz~Q>roA2_l)R{w6hNQp!`f<`|xFcR&#W1w#>1S^>8pG_Fy2JxdOu60$ zbHs777X~M|orufSu)KZLYqy!|4}n{;yHcunZ-vd3jYw)!o{c9AD|E`gi1;rbn&e8f z)EYdT5V!TDxwf_kqbu(%0$1NDFlMF>o;a_%gXXONeXXj)35Wmr5!_KH^B8q4qiI*g z5Sde3Z~2t%%#7pX&Wcq|#&B3@gmNi94nGrcHS^dFIZUmq)r6#)v97sHl=cPG;#mou zd$VO`694?-BY8~oZI|1zD1rFzcg)h|u3BvKRi}$;ZlXSoDu1WZV8)A^1%pz~#GeU0 zd|v6;uSGzH9#6<^cq&YF2BjRq$5xBqC5?|iYPPvVfBWlT>m4<=WVwGlR~~OESFQ3U z?0uHE6h5%p!eaMJjy^Tc(bFiw(?jgaiuKx(EU0TkSy?;eF?KNbmmKsj=ME>l`{xVf zF;rD6PwMN0W3s|{^hw}Mc=SG99$~`xhBa05Y{LxuG;6E||LxHhYfbxDg}_lF#n61c z3Kqgt1ik{eUKoFFVBF`ytnf3&y=t;TsIb)3)|%j%-h4g@tGSIoEv{1dzPn#Qk1Y$!v|GX+2^0eQVLF-Ab#U1 z_JEAe0;-D|8mrtMKYvEIVMU(8P;1!i#q_sx zG<2mV@0Y^|Dkg)UZ4G{dz08&TPRC-V_v?RCQC&!1I(m#-_xNmonn5Xd?$3wQ#oxlX zBB7u<>C$n*r1}jcF%ygJHdsDm!u&{mIQQ)~ay1l4v?D=NHDhUeuinheCaYvfM6qbnipWG}{o{kIe*5XtN8U$HW)Q`p zkTaROvr;2X^Ndl@xjX!T*A z>8*2ncF|k%+Vz$}T=OWH$fj(arPN<8fz-@BWo}~%jb5Ja(O)5GO6}wl7j__?ut++1 zs@G@l;gaT@)_ajL(*Bc>7;Q-K(ph^!Q4FbXcHFgR+DPO*RtWW#boKc)oYXn(aW-Z& z*J>N#j?pU)tk>#@UrM*b&L0n8P+Z|0nsl`96tq8E8#(x;IsP&rZ%iv2NsVv6>ZG~xxY z{(F{ONh?GCa(PhO`HHj}g(y)A55I-vrN-=znIhNXq2S_Uo>s=ZeAh8Ok}Wk08LPE8 z7?kmQ!k4M-YZYIQddlGAvocLB#I|ZE$``3anrbHBUmZ;Et%;G|Dp*`U_4v_4Ue8!! zLR8ISM|lm2bmLA6W8Ek7kh-8_)I?%U3mHq=oi7iyGVX~%mE9wLsogRIPzBGrczMz= zn-%V>-uBt%SUAns{BX07@K_A5?ufqkmc#F{aFzys9L?^zy?=M%e$vNPey!7WvB^i( z24FWX*!Nc=sm&rr8^8Zcs8tQ(e!X0E<&VGZ5k02Srq4)^b5_+JA2{ng;O)}h&CFyf3Eo&c zGwZzyw&*`ak@m!;JE@R%KD*R)9}t7WmBGl;qk66b7$ha1$Z9T{DW`Y0ZVcgAYB3C| zV02GPOyu;b0wc13(g>;jo7YICC&gEX<6vyqQVA(ZPblhKS`7T#h6ME?cTLA~#W&yO z*|uU)+pMuGj!Ml~0~|Fbf+H@N12(Zu8$lu<+m<%n|G*aOxhMpg9H1@j0!_E#obN_M z{O3%`z59qtu*Eq1wj0(D%G>A1FWl|OwSzLR2Fg!A>XX7?0^&nel+B_rj?y2cVoYws zF<7Vu{Hf@VF^(ljY>cEEe^Wr`2kQnD?(9#M(bu8d|EI}cKlTL9i0_q1A&0>#b}U0l zKj0A3H#z_hk*4#U2~Y9*Y%m8L;ZEC) zHX%dgm_d0_Fh8`<*z{w#_j=Z_1?MA{-vKTCrNmWkR9%>Me%7(`x2Bi-f{+6F=KJfe z;~y&q0pFqjjHK%sKLh~+P$wpETeztWJYkPs9?u|lfxiV?(v(XOWBN2c0gi+`hb4P} ziTR5ZgH7q(@9{k#py+n@M*crt9faC&U3>XhRAbUv$&`LhH8w8%hIZoLGT~y|o{}zF zC_6$_#9g6WK_wsHYY^wz7GYZ4tf3*l>9_0es0|E+>qncS1QNdoZxo+G)y1}@BH_<# zFv3Ln6>ks3q7jGMhksBOEoP-A7p;yWMNM3Sj{joQfAcJq=Ix^{7Z%o?Ey#+Rjv=`g zi5I|N!jiMVho_{ZkP;fT08T>ifF>;y1Z-2z-%*&=s3=L@Hk*aPglq=a21h(n)Inv| zeMY)1mSg!z4Wne3YTl8i*wWb>*ruK-*6;5y|xjKSB zp`bvdQ+V%M_Kp5X>--TY38F9C^+K3&g7Y2aP%RWg0_ev}+Jy~OF7Qe_;{EeFNBnwi zke6epQ#0GlO)J1#Dq8Y1Y`_gI8*@60ro;&frHTM*!9U3m72nn{KpYBV@IV= z*+l@-6+o>W9JHTa&=Sh(kTXjA9#o``C-6HAem4vfbB3^i91-cP(&D976D)k*27)$J z=_pN2&5b|D(!^Jpb9dLAp7H&fU9<%yf9xlDx%G!|tgU;O6HOJIAqI_Sw_EqzpI=Bt zZvfg+44?y1g1jEgfrK#|k3M=&68GH!hM(Sdr*ZZI8gEG_ir^ziL~QwJ$Wu69XWO3( zP=t!`NIXPfyKh`M>wpukL#abO>wXn&Fyscd<0t33K2sq91`alKJJ;6Wvabm&3>&+TLd|);r`6Zw$PzVTI*uXf_RqK*FJ?VGYxDJD zGkedf!6R|c)%kMGlRdSX=OAww+I?{YeXXzJ;>ah+IuI}pK{EBexS{Q*;GiUJ{P7h4 zqNM!Bjc0r`yXQ3*mY7I>>JbVX0!b5(is#?)Y`_X6d$L)cV+1S)YG@y;CRh>+rrkl4 zwX#f|03w$h6FgG9)GR%an%n!dr_`Ux;rj*7bMB8r4HQ=s0SN7YkUsRrVRY*00pog! zJTiLEezO(u*{_71Mgg=4PN`C?8Fsj&lzfVyb3tjT5y_SWCm(5{%g*rVi>ah7(U|U9 zr+da!fBKMKx);Dw{#PzTJ^`S_gm}0g?IJwGw%c>*q|pwV4`8Ccw0if)l5=+HHhN#e z<%f&ho@ey_63lTESM0a3^yRC+NmkKBc6)Z4d7|y~eK;<=SFB=lAhfJc`%CQKJ(z|X zC7T3D>+bJ<4v@O>;P(j5e1F@^#gO3?tv06m#gRr5uzG0C&=8K&48`UaHjDYZ$oF9f z#*$yXU*qU+`NAIi*i$dvE&BG9>WOKSi(c15^s8QM6(@AM~psu+RpTpTOb(0okvti-QMzT+;nd6 zhe+>Z)@wnG8<5k^7taGs9(~cQEZ1pTs{yLhXGt3lCKLmZl+905UI$YFlcaP5vvo?TCgFA{at_ZmT-eEu$87bq1i zlc=MMUoLez-W)e}&b+mW_3Uf!g_LX2qkamKsYj3}W!5XZ&wQojT>qM;fh8Ql)m&rV-yrBP%B80T!mS;@t_9h!eumGa#%>j%^1GEdW=ik5%M0Z{E_#7 zoKCIjufm>9W;N>>Ry_9v2>zRX`_s(TE4v>d9g#xV&2su2LAT7fr5YT_pA;i|Z z+ra;1`7?T#k$2&X@dP9_Ug}PK17Pff-Hi7q^M?GU%*Ywv!rnI~@VVhHDrr4g)(C|1 z=oT)0$N>Y!RvAdgUmnE7bgv(<yW{ffq%UGQ+`{CpecLbzu2+)3G2z(xQgTy*31HKduG)KnQV>&*B;`uto09qdEW?h@Y1&uMbcmkky z>w}kyfoPI0nGqika_8PBFW|8T#;!WiZELZBWAK1gj|f=UTy@}UhBjTC`sG!`%_Fpz zv>TJ4WlJ!Nv{dCASPY=~=t(Dwcz^-?w!Sjwp2GB8KQUSI^1XL@R=+pn_1Q`wBD0{* zre3Lpic%bLTTTtCkijf@qZe&gW(OcQq0(FiU|Gmxc{)j%r^`MXD$vB#qSei~HlC3R zJO+6F9>5jIK#0*6Q5rPU^T}i7v_z!*ZS5;gx-dWh12(n$%I=d54yw5mw@||0mH@ zW8Uz@sn;SdlL+ZL4P_?y#~!90G!#)YP{Q(jGO~h#RtzhKq@tQf&b?E3dl5W_(vj0X z!3d-GB?zgDSw`o{eLuzYyjBK)dm9H@PxPnvj;33NR5-qr18ve9NX2-;u-s$4kBMn| z&&eWV7BS!!LTEfc48_6$nRhHSOas96jf{8F5|FnK-s)s)AO2xqZt9{<{$#f2PbVY&5l&as2)wAQ|85saWs6^7as{-n*lx8PvUCEfg_NbfFaE z6qZ^d=h-~9S-q2ufxR3^LPHEL@q$CKGDP4B;b@a605ovo7T!SCJP>-b8rX_Rn1!tW?9HD@c zU$3N_)@C!+6B@aT&f_Ihx0R!Z>OW@NVuSq6#u=q2i z$KvRwE03!F_6Z7{kJTfTi8cp3#|@dr$cbrc#^%N;WPlx3ke5QzH;pB)V5QSCX{x^{ zoEk8PFDoOk4tUF^Jl5WLyW=V# zmm1nv;t7uC#iSFbj4#yeJ|CArh!!)3l6s5!^jdEwIxs*N6!V64IttS*fzQ|XUigi0&ytu*$ah$+SA>!#i(n9Z9%#Rvwnr= zB%g<$$fPxZx1a{4RTiBo3+6y@23mcOTJ~FaaT}`^XDuhtYY7ArlQG@*ONB$@>phW| zUl~_ut56fP$WTYKt3->MH+%p#%41BYdvys-c7gE_JqGy;O{^eHjW|esYt$A+X&gTn z5IV3=rGGdss0#`s#0^7^9&}O!srq!YEw$!hd~UFQYHSGgrnUDESEx;$q5M!1Y&8Oq zgOSgu5|Fes0L5VOT}K!{#?1@;A%#_MCHuI`WOUEtZYFd=27u6{2L;pw3!^ww0NUE_25>>tPM!{eYGVw6PYlHlaPk?J2iC^PlF>{nEfwO6MDjXugIx4jeQ&5F zJ`h$F(M16F9#seRR!8r0l-!ikg!hYd)5S==f~pG@42RPP%x5lcjgXg5WIU~uU3})r zrkj^oI3#HF9(QO*wKn(z^Eo4>I{P0H{3gH`*S}X1>G3(-1fT^h9oDHy>m1|bZN7b# z@TyLS*k(8Jf`fma+avKm<{2Wv+XsAkM;IQ}B|@It?5Cmg-rmm?U;;Gwj)eI+z>C;C zbv2AWYgsI)YfYqnT2xiegT#w8qJnmVzIXCB#xxk|WupnD$0R(5ayJ+TPWg@yVz+f0(t`0+xalx&p7%CMFU;gnV$ zfF&;EjU}Xxg`^A(C%|?$z4V7b-hG55oc=`qPomhcniiq#lncZxrckN!QQ2lk4XWM!@4892{NoUIHd zksKNOLd3v}fFy`z9fT2!7Abl6Dnsaj^+j|La7>{(a))P%uU;i=!yGslfM-8g=>tCN zp(Db$7{?6uF_D)EmnxoRD|EcqUWpq5XBZl?0H`!z!q)S+zvhm%glo6a}6) z#c;~FM}~em_%F{{ZkJm@hSf)E0tVlUa4x|J!?9*m*>TF)AiYhQta6e}B(F$Rc$oqN z43*4~qRx}1?fYos|1xQ=L29DZD{8F6BE0#5WEvxq~_;n_7A3Btd zb**NTOi5rqi2(mv!W|~!a}jQ*jBD>C#w%jD4xXb7X>r3e*hPUQn*|(_-xzr4w?$Ij45n_FzjQliznqq^7CGqYLhTxj zc2hS;BAX(HMXfsW5|4pQFJMZsn_Q3b72zB8-Hx4n%*G=|S^A@6HUKOFR-=HGFx5gQ zCWW0rkw8?ShVDR%YWkN&#?|E|ElEAYQ<1+uiRgmLLCg1Sa@`2|vstxo}P0;(xZdvM%g z2thE~h8l4cMm8-$>VKzZdtzH+p46qvkD02=()lR@;$-=ULx@oGH;^o1f*$Q)oa z%LGk6_r-C@?QH?^>w=60@#mF92iq;L3njDa&O^1Efvx%8AFhy6N{KUwIFAFY;RZh- zd>VU{V;vwKxdUN3(cqyGZLoKa8$du1{)iyAnk*)b6{l=e!U!@DzIp^wu?3J@+4q(` zDF#&5jhjlQN z?&$)!1Gjn_>#s=|V<6=+37 z>kJfDntJPE=sWUI1VSOByrT1n$>z}>vsG0yT zXHa`44L4V>b>r`?FV8?ubuIVe^&c>|?x8x~ublZgG6BbK0|)@LLx*F%QmH^G8h}0! z0_hn$ zREOlqG8XqoaS0{CjCN%Q5hkhh0|M27L~jq-6XXXs#U{%CasISa@u2ypE@%(tfEucU ztdRvI$@x?RckP1AFb;S96V8cHrvz!{9ml0hpH<{yLbk1kNlgC@h$#>i0K$j|3;i65 z*!>BGoQcI2Lt1X#Tf`G3l@dUMBAnL*8j(jJ1oW*ne~I-N+)${`Kh_HKNs}%+fdz%s zWE(apMGTTLCR~rGo7tOgDq0A|e>=^*3# z=DotZzY}0)F%Satc+CU=AZ8wwGg@nkA2&pF=xc4wf?fCf5Y_sVNcL06VYyq?A3{_N z=ceNMBS?aDe*o*z^xHVKR)#oAkMT1cgcFfRMEULLN3fj?344GT#lg)KrZS_P_ZVxb zihDpYu>?wGAiFuQmaW>L_$$>n(I4B-+YrqRnDFad*HcK6#1h6JzQUkKl>#LI)DxTx zk1ensh;kbNp--#aM{VV?;N>(Nsat>sEj+eJd`-vK0cZ=cmtmH3Hmhg#^h? z4r5S|7pt@2n%W24OVVxa_Kd~6i-87WV_KP}waq}{8kG8N%!U^;bnpiNKJ)lg*~=bw ztUkCn@K{cWK>wTRs1ViD%@i|VkW-Ee^&CoZP}nDLTwRP0Q9g0Wx?{LDjwm$rCasxa zTBDY%XPhg4e;!dEL5~NWkbOUJ81y8hNSPQNJ<(7fa1|*PG}71B7jueF*8M~}7LImu ze6Iv?NyA)T(hwG19Ga@^ z$)nGs#3W8lO!0t*1<*`eU^zZP+r|b@A)JU3yQSR{%U={GV{&ZM5CN+H{9)(MSwV5? z%A0c_5P!%@ND>|G$Li31|LtK;&u?hI!qCs2H&5RE=G z;;aBQd}&Gd<*#L$tr|ky6;d8TnTH6LL746cjSD?Ym^ZsLwuE)R3J5w|;wtp_Ou%je zXtV-&7VppU3vPIX>Il=ZMzrR6#B*=wSpGEGo--E7SsD|s5d|9X4l^K#lXZLgY%8+< zE*W=;mi8~t^vOPFSczlWFqJ{)Gh5?5U^L=ut;7_FjqJc9W)*gT+u;=cYP_ba-$u&w@pvi|OY! z;!6Q&;!*J&y~%PaW%#s?r>@7$*B-kybhMCVfIj^HAXZ0x%PV+@uXGqzy<`KaMHM1` zgWdrQxkBdeRV@i?;swq}W5snaEg1qLyFNf>+a!nxlP*qL?t_T%Va_uUP)&YOYci4F z-@mfIVQh#(x*Z=JWzp|mbxb+ZX52)Y1XrR?sv3e6D;hxBo-V{jriTIL`vEX$Cgd%M1{|y@m5ADo z0hR_T?XA)kRvz(~&0j@) zHzm{J%Z>HA-fT~kt%qL?P9 zm4V})e*hKo7=gr%WRza=_pI8z|K)p&*@Qc-du84p+ENJr<&>`7ny^V8u2X2bM!%)X z-Si5Up)Tq`3Xj{ceMxtCDp~cH2hwN7-nPLie$zjmEQ4pLdHT>;_UHq?P1O&*8Zl(z z!^0auTPomB@59;4?Ajj|rLj|C)Aw6e5^_pBQ*I8He1I&_2Xp-EHr(bYA~Rl=I+z@bFO|nUsztvB5Jw&Z<|wbQ`TWeICu2minWL`b8REt3 z+1wt(;e7tHz0%03k z<0XbK@d|ytDhQgXqvHgW(DhD=On#638oD_!7g0B_q^cWhJQ92#=N;>G)A(pb_Zf^X z9ie%CN!b5Pl>t>@#K}=jbfS8ly8QFAsu(S*wpY&q|4ZM=rs+2;uu)hYBX568nyK7h zxUriZ<6 z6fqaC;B~7W35%+p*fOhD?m)+Q!WHp(^Dfnxa}axI%zvxrZ)a1op2XSn2DOu89L`cg zE0bjmPx_!&NhfkEq$>IY!OW9r^b?AS2n5LiNDHRx>y$+4l z=FI4}#>bbkKm2MmMC$%*#x}pdj!c)1`-v#5ewCG2Ce>eOo6Dzr$Q8oY%XT+Y`Yk_^ ze*5@{9LCN#_w+0x-OpL55!~8K;{x8g7aV;ATls!O>;LaW{zTE@g5|5MoZFHW-E1968F>|1q6M zRi`ua|6d*@l9(k?1|F;2nsr#X`& z+n+Z6=l5pPcSXgL-gCq}Nc611eCQRC-s*jl$J~fuXuIq$Ft1uY>F=zDzKN;%+$cV* zpgt27lcC<(Cy9wy_;8)t>P_hh<}8I((VQLy%;}%3sHMv{*l>q9LZs=3(ss!uzZAcu zOW{cUpWi6KjRNui`KkcimH>rJ44+V@9kqpb1M~pOL0crDzouL$X`32c2*7;q-!K2W zVE-PH|HFo%pnyr-Cb^rwzxEh~{TbADN&82lZQCWQ5rec2merxC)JpV9In*Xe-4_BT zFW^Z{A;0M)%Gvz|B+XYDe(g}83S!3d&^6A6k}?jY2`x?9CaI>zre3}*DfIoszrX(f zuqKUsxLIh}10_Bw^xRESp9HB7=$KC+!3F77YiH+4ZUi>r$sdk|&XN?O57taxft5guF z$pP7df&##?Nr>1AF2fjc9XrHfl0Z4YYe5mLP8pA&{QAHOfCTmj&^<^8VRR}FT#XU` z<1Pv}tAbvw2OxFkE8x+fio}8h6$TM(jjK5za{H{m)(-D@ZDtgR4W=HmK0gk4CkSkJ zeJUZ`8~_r^x&MW%*Q5g$S{JqRWuy*|JH{~FqoR0e{y<#_#6L)r7cv!KRd#2?*4-Gn)qw3k8 zdlAe*2I$tusHMXlg8+QU?FB#%DHZ^92_!(I^^2OFe|dOyl!yzoxFJ0spgD#aa1fgu z0OGX*@C*?84v>=6Cm%jx{FjA;hAjkE1Au%T*sHq6#>P3&W-g?HR;B}*uryY}WlEB{ zi zF#Q|1`vfaAl~8GAvZMTv4iXX~e|k6o`d3D7g?#M~A-|`c{DK1!t^!ED3aX@&K+Jtm zjgz{Jz_UOPEJItOknVgz_l9)rXH_GlqSNv_jHTt)97@idJJ$HB(5Sd zXP_(=x_jV$rEuihA>i!tp^E~!?kBCADzX!4kxQ-67t0JC?mI@UhbZBJbaSa<3-@XF zlcOu2K)Re@BGGqNC~B0JhK{3_f-FjyUhgg8H|Nnq@zp^-CeDT!IJwt}le{&)@$ zTI>vVUGOL*92#_hdYcTrI`9#Sx5i(uV~};8@GpZ#%-3S>&cx=@E343^-UiJF&^;Fp zTpNxgvOjXfR-z{x>D&ZiMIO?6LUpC=59zB}QNz&bZ3o`oAKhGZ+-(_dnxSd0hXawe zNS6%iTZ9}XH+O9mX=p8NV<1c0e^+WYy?0F5 z<(=3QK|O-Jyfy9Z?e+C@AaH~z$E=}O=;q`&65(YO>Mk^stoX6FVl zpR=dZ(x&^XLO`*rIKB?ov7JAEPFKMQt~dKA0T*d3gM8)#4tI`+CrI{L?r^0ow57b^ zH&Ol`0}363dfnqeJCxL16#kih@7>rBqkA8gRGK^msZoVvKF8>_Tx}aW~REjdP8F)tT4t}P|$w* z=NC8{obOAZ>v*B7(49D(_;9b8s9!sBB?2UDFckOlKsspqe$ta|-I?E45riFS-bFF= z7RFsvR5Um^_-aiYT4_`ReSPiX(a_n~*Mf#tAKL1hPhSR>t|MY5Am)(_Iz2u8{rmT@ zP+>tWcSHqC_^d74vz4}njfQT}SKrD5oxeUk`jzI|Q>N%oKu7zs>Eu{H^DMyQ%^PU0 zJ!cflw;v$fk~mXp))JaUR&kRWB_Jih`e8^o1@dLAS|88D5Q7#8a3+Axde6fSY|ov5lZ&3v+p zlhY6AwSc?EE?nU9dSX#_@lu!#7(XlrG&>oL7~cmA$-ejFb*=@dX%I=bgzy7~YQw$@ z9Z&CO{fNAzZ`%g9KaRd}pja+0FXUJnZ-rfb1EtAj>my-D@aaiOmW_B6TvLWz$fB8a z>tL%ZIKGX>#zl3u!`+WJB+k5t&IGsd7HG1S9v}MJ_%xwED>IWp$o_QxgyuFWTxah?>I2xTiYB-|Wm!{}jp9!3Ofmry^~=hdC{!WzaKq4j z8=s<9fb2dJ24p_#;15XL5hd{l9SzKNkFQu^Q!}$C?Vz`Ex^4mnk?*;-*pqlvLe$LC z@(o;B(~;Z%Qt@?Uq`RBjwe^NCP&ZguSn!40_Zp@I^oT`tW%@nX`x@rWETSD4SoW9{- zKC!X2o&Nk_2PE;sqobo!Q{B0ChA32Hi7zG1N#TngS-rG{ZEbDha62Z_IW}t7V8M*N zY!_Qt=&AGMxDq#0NpT0PPzjNBsy&A%o6hXSrCt^Jy%gyB%NoWEK|0#n;O6HcWKfe= z2vVqH+^IR{jrhhyO- zLZEzm`Sj`2glISOGB)R@^&OCryh%>jJ#@H~ilRCn4Ek&p7$}%2STz_0+}#N4x`4r8 ztgNg`H97T?6TnW~_^j16HIYjl;UCtn<0M!ijTBuiw_SIxpY%u#d@}y?%cBcF3ZbA@ zOy!6ATnt3x$7#Wk#W;yn)%;+qfna$ZlWFfFoP!mPS6W= zeCnpJJ#H6{+*EtnCQuV@$(tj(FHKI2kDuQdSp;RKpn!mYpddJ3^c#{3e7!nw29I2L zOQKRo?zMHrBNyI^qL7<#qmidDs~{KQ1}7lb-B#X2?!oQHA)lZ9_v8Pr%>VF1(1oTb Y-nI5g|C(troLCBZ8Re@fQg Utilities > +Terminal. +9. In the Terminal window, navigate to the directory where you placed the +"mars4_5.jar" file. For example, if you placed it in the Applications folder, +you can use the following command: ``` cd/Applications``` +10. Once you are in the correct directory, execute the following command to +run the MIPS MARS simulator: ```java -jar mars4_5.jar``` +11. The MIPS MARS simulator should now launch on your macOS computer. + +##### **Downloading MIPS MARS Simulator on Windows:** +1. Open a web browser on your Windows computer. +2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ +kenvollmar/mars/]([http://courses.missouristate.edu/ +kenvollmar/mars/]) +3. On the homepage, click on the "MARS 4.5" link under the "Download +MARS" section. +4. A ZIP file named "mars4_5.jar.zip" will be downloaded to your computer. +5. Locate the downloaded ZIP file in your Downloads folder or the folder you +specified for downloads. +6. Right-click on the ZIP file and select "Extract All" to extract its contents. This +will create a JAR file named "mars4_5.jar". +7. Move the "mars4_5.jar" file to a suitable location on your computer, such as +the Program Files folder. +8. Open the Command Prompt on your Windows computer by pressing the +Windows key + R, typing "cmd," and hitting Enter. +9. In the Command Prompt window, navigate to the directory where you placed +the "mars4_5.jar" file. For example, if you placed it in the Program Files +folder, you can use the following command: ```cd "C:\Program Files"``` +10. Once you are in the correct directory, execute the following command to run +the MIPS MARS simulator: ```java -jar mars4_5.jar``` +11. The MIPS MARS simulator should now launch on your Windows computer. + +##### **Downloading MIPS MARS Simulator on Linux:** +1. Open a web browser on your Linux computer. +2. Go to the official website of MIPS MARS at [http://courses.missouristate.edu/ +kenvollmar/mars/](http://courses.missouristate.edu/ +kenvollmar/mars/) +3. On the homepage, click on the "MARS 4.5" link under the "Download +MARS" section. +4. A ZIP file named "Mars4_5.jar.zip" will be downloaded to your computer. +5. Open the terminal on your Linux system. You can typically find it in the +Applications menu or by using the shortcut Ctrl+Alt+T. +6. Navigate to the directory where you downloaded the ZIP file. For example, if +it's in the Downloads folder, you can use the following command: ```cd ~/Downloads``` +7. Unzip the ZIP file using the following command: ```unzip Mars4_5.jar.zip``` +8. This will extract the "Mars4_5.jar" file from the ZIP archive. +9. Move the "Mars4_5.jar" file to a suitable location on your computer. For +instance, you can move it to the /opt directory using the following command: +```sudo mv Mars4_5.jar /opt``` +10. To run the MIPS MARS simulator, open the terminal and navigate to the +directory where you placed the JAR file. For example, if you moved it to the / +opt directory, use the following command: +```cd /opt``` +11. Execute the following command to launch the MIPS MARS simulator +```java -jar Mars4_5.jar``` +12. The MIPS MARS simulator should now launch on your Linux computer. + +### **MARS ASSEMBLY AND SYSTEM CALLS** +Before assembling, the environment of this simulator can be simplisticly split to three +segments: the editor at the upper left where all of the code is being written, the +compiler/output right beneath the editor and the list of registers that represent the +"CPU" for our program. + +After assembling (by simply pressing F3) the environment changes, with two new +segments getting the position of the editor: the text segment where + +i) each line of assembly code gets cleared of "pseudoinstructions" (we'll talk about +those in a sec) at the "basic" column and + +ii) the machine code for each instruction at the "code" column, +and the data segment where we can have a look at a representation of the memory of +a processor with little-endian order. + +After assembling, we can execute our code either all at once (F5) or step by step (F7), +as well as rewinding the execution several steps backwards to the back (F8). + +_**MARS accepts and exports files with the .asm filetype**_ + +##### **Pseudo instructions** + +Before looking at the instruction set , let us look at a few pseudo instructions that’ll +help you understand the IS better. + +_**Here's a list of useful pseudo-instructions.**_ + +`mov $t0, $t1`: Copy contents of register t1 to register t0. + +`li $s0, immed`: Load immediate into to register s0. +The way this is translated depends on whether immed is 16 bits or 32 bits. + +`la $s0, addr`: Load address into to register s0. + +`lw $t0, address`: Load a word at address into register t0 + +_**Given below are some standard arithmetic and logical instructions standard to +the MIPS Instruction Set Architecture.**_ + +_**MARS provides a small set of operating system-like services through the system +call (syscall) instruction **_ + +_**To request a service, a program loads the system call code into register $v0 and +arguments into registers $a0~$a3 **_ + +_**System calls that return values put their results in register $v0**_ + +##### **Arithmetic Instructions** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| add | add $1,$2,$3 | $1=$2+$3 | | +| subtract | sub $1,$2,$3 | $1=$2-$3 | | +| add immediate | addi $1,$2,100 | $1=$2+100 | "Immediate" means a constant number | +| add unsigned | addu $1,$2,$3 | $1=$2+$3 | Values are treated as unsigned integers,not two's complement integer| +| subtract unsigned | subu $1,$2,$3 | $1=$2-$3 | Values are treated as unsigned integers,not two's complement integers| +| add immediate unsigned | addiu $1,$2,100 | $1=$2+100 | Values are treated as unsigned integers,not two's complement integers| +| multiply (without overflow) | mul $1,$2,$3 | $1=$2*$3 | Result is only 32 bits! | +| multiply | mult $1,$2,$3 | $hi, $low=$2*$3 | Upper 32 bits stored in special register ``hi``. Lower 32 bits stored in special register ``lo``| +| divide | div $1,$2,$3 | $hi,$low=$2/$3 |Remainder stored in special register ``hi``. Quotient stored in special register ``lo`` | + +#### **Logical** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| and | and $1,$2,$3 | $1=$2&$3 | Bitwise AND| +| or | or $1,$2,$3 | $1=$2|$3 | Bitwise OR| +| and immediate | andi $1,$2,100 | $1=$2&100 | Bitwise AND with immediate value | +| or immediate | ori $1,$2,100 | $1=$2|100 | Bitwise OR with immediate value| +| shift left logical | sll $1,$2,10 | $1=$2<<10 | Shift left by constant number of bits| +| shift right logical | srl $1,$2,10 | $1=$2>>10 | Shift right by constant number of bits| + +#### **Data Transfer** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| load word | lw $1,100($2) | $1=Memory[$2+100] | Copy from memory to register| +| store word | sw $1,100($2) | Memory[$2+100]=$1 | Copy from register to memory| +| load upper immediate | lui $1,100 | $1=100x2^16 | Load constant into upper 16 bits. Lower 16 bits are set to zero| +| load address | la $1,label | $1=Address of the label | Pseudo-instruction (provided by assembler). Loads computed address of label (not it's contents) into register| +| load immediate | li $1,100 | $1=100 | Pseudo instruction (provided by assembler). Loads immediate value into the register.| +| move from hi | mfhi $2 | $2=hi | Copy from special register ``hi`` to general register| +| move from lo | mflo $2 | $2=lo | Copy from special register ``lo`` to general register| +| load word | lw $1,100($2) | $1=Memory[$2+100] | Copy from memory to register| +| move | move $1,$2 | $1=$2 | Pseudo instruction (provided by assembler). Copy from register to register| + +#### **Conditional Branch** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| branch on equal | beq $1,$2,100 | if($1==$2) go to PC+4+100 | Test if registers are equal| +| branch on not equal | bne $1,$2,100 | if($1!=$2) go to PC+4+100 | Test if registers are not equal| +| branch on greater than | bgt $1,$2,100 | if($1>$2) go to PC+4+100 | Pseudo-instruction | +| branch on greater than or equal | bge $1,$2,100 | if($1>=$2) go to PC+4+100 | Pseudo-instruction| +| branch on less than | blt $1,$2,100 | if($1<$2) go to PC+4+100 | Pseudo-instruction| +| branch on less than or equal | ble $1,$2,100 | if($1<=$2) go to PC+4+100 | Pseudo-instruction| + +#### **Comparison** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| set on less than | slt $s1,$s2,$s3 | if($2<$3) $1 = 1;else $1 = 0 | Test if less than. If true set $1 to 1. Otherwise set $1 to 0. +| set on less than immediate | slti $s1,$s2,100 | if($2<100) $1 = 1;else $1 = 0 | Test if less than. If true set $1 to 1. Otherwise set $1 to 0. + +#### **Unconditional Jump** +|Instruction | Example | Meaning | Comments | +|---|---|---|---| +| jump | j 1000 | go to address 1000 | Jump to target address| +| jump register | jr $1 | go to address stored in $1 | For switch procedure return| +| jump and link | jal 1000 | $ra=PC+4 go to address 1000 | Use when making procedure call. This saves the return address in $ra| + +#### **System Calls** +| Service | Operation | Code(in $v0) | Arguments | Results | +|---|---|---|---|---| +| print_int | Print integer number (32 bit) | 1 | $a0 = integer to be printed | None | +| print_float | Print floating-point number (32 bit) | 2 | $f12 = float to be printed | None | +| print_double | Print floating-point number (64 bit) | 3 | $f12 = integer to be printed | None | +| print_string | Print null-terminated character string | 4 | $a0 = address of string in memory | None | +| read_int | Read integer number from user | 5 | None | Integer returned in $v0 | +| read_float | Read floating-point number from user | 6 None | Float returned in $f0| +| read_double | Read double floating-point number from user | 7 |None | Double returned in $f0| +| read_string | Works the same as Standard Clibrary fgets() | 8 | $a0 = memory address of string input buffer $a1 = length of string buffer (n) | None | +| sbrk | Returns the address to a block of memory containing n additional bytes (Useful for dynamic memory allocation) | 9 | $a0=amount | address in $v0 | +| exit | Stop program from running | 10 | None | None | +| print_char | Print character | 11 | $a0 = character to be printed | None | +| read_char | Read character from user | 12 | None | Char returned in $v0 | +| exit2 | Stops program from running and returns an integer | 17 | $a0 = result(integer number) | None | + +The complete list of syscalls can be accessed at +[https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html](https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html ) + +#### **Assembler Directives** +| Directive | Result | +|---|---| +| .word w1, ..., wn| Store n 32-bit values in successive memory words | +| .half h1, ..., hn| Store n 16-bit values in successive memory words | +| .byte b1, ..., bn| Store n 8-bit values in successive memory words | +| .ascii str| Store the ASCII string str in memory. Strings are in double-quotes, i.e. "Computer Science" | +| .asciiz str| Store the ASCII string str in memory and null terminate it. Strings are in double-quotes, i.e. "Computer Science" | +| .space n| Leave an empty n-byte region of memory for later use | +| .align n| Align the next datum on a 2^n byte boundary. For example, .align 2 aligns the next value ona word boundary | + +#### **Registers** +|Register Number | Register Name| Description| +|---|---|---| +| 0 | $zero | The value 0 | +| 2-3 | $v0-$v1 | Values from expression evaluation and function results | +| 4-7 | $a0-$a3 | (arguments) First four parameters for subroutine | +| 8-15, 24-25 | $t0-$t9 | Temporary variables | +| 16-23 | $s0-$s7 | Saved values representing final computed results | +| 31 | $ra | Return address | + +#### **MARS(MIPS Assembler/Simulator) Tutorial** +**1. Input the Tutorial program** + +1.1) Open the MARS program and click from the file menu choose “File...New”. A +black document will open which you can enter your assembly code into. Click +“File...Save As” and save the file as “Tutorial1.asm ”. + +1.2) Enter the code as shown below into the editor and save the file. + +```v +# Program File: Tutorial1.asm +# Written by: MoSaad +# Date Created: 10/05/2023 +# Description: Tutorial program to introduce MARS simulator including: breakpoints, single- +stepping, and register and memory windows. +#----------------------------------------------------------- +#----------------------- +# Declare some constants +#----------------------- +.data +string1: .asciiz "Welcome to Hardware Lab at NIT Calicut\n" +string2: .asciiz "Hello World \n" +string3: .asciiz "\nLoop #" +#------------------ +# Main program body +#------------------ +.text +main: +li $v0,4 +la $a0,string1 +syscall +la $a0,string2 +syscall +li $t0, 1 +loop: +li $v0, 4 +la $a0,string3 +syscall +li $v0,1 +move $a0,$t0 +syscall +addi $t0,$t0,1 +bne $t0,4,loop +#----- +# Halt +#----- +li $v0, 10 +syscall +``` + + + +1.4) From the menu, choose “Run...Assemble”. The “Mars Messages” window at the +bottom of the screen will indicate if any errors occurred. No errors should occur. + + + +**2. Simulate the tutorial program** + +2.1) From the menu, choose “Run...Go” to execute the program. The program will +execute displaying two lines of text and three iterations of a loop to the Run /IO +window. + +2.2) The buttons at the top of the window can be used as shortcuts for the run menu. +Use the “Reset” button to reset the program, and then try tracing through the +program by clicking the step button. + +2.3) You can adjust the speed the program runs by moving the slider to the right of the +buttons. If you have an infinite loop in your program, it may be necessary to adjust +(slow down) the speed of the simulator to prevent the MARS program from +crashing. + + +Run the program. If a breakpoint has been set the program will stop at the next breakpoint. + + +Trace (Step) Into. Executes a single instruction. If +the instruction is a procedure call (jal) the simulator will stop at the first instruction of the procedure. + + +Backstep. Undo the last step taken in the code. + + +Pause the currently running program. Press the run button to continue execution. + + +Stop the currently running program. You will need to reset the simulator to execute the program again after stopping it. + + +Reset. Resets the simulator, reinitializing the registers, program counter, and memory. + + +Adjusts the speed that the simulator runs at. + +**3. Using the Debugging Tools** + +3.1) When a program does not work as expected you will need to use the debugging +tools provided with the simulator. + +3.2) One of the primary tools used to debug a program is setting a breakpoint. You can +break before execution of an instruction by clicking on the checkbox associated +with each instruction on the far left of the execute window. Set a breakpoint at +the instruction: addi $t0,$t0,1 + +3.3) Run the program until the breakpoint by clicking “Run”. At this point in the +program only the first loop iteration has been printed. (You will need to click +back to the Run/IO window to see the output.) + +3.4) Now use the “Trace Into” button to step through the loop that prints out the next line +of text one character at a time. Step through the instructions until “Loop #2” is +printed to the output window. Stop and find the value of the registers “t0” and +“pc” at that point? Has the line of code that the program counter points to executed +yet? + +3.5) The simulator also allows you to view the memory contents. The memory window +appears in the middle of the screen and is titled “Data Segment”. Remove the +earlier breakpoint and add a breakpoint to line 33, “syscall”. Click the run button +so that the program executes up until the new breakpoint. We are now in the code +right before “Loop #” is about to be printed for the third iteration. Notice that the $a0 register is now a pointer to the address where the “Loop #” text is stored. What +is the memory location the register is pointing to? + +3.6) Now look in the data segment area, and find the address $a0 points to. This is the +memory section where the characters of the text “Loop #” is stored. Using an +ASCII table find the address where the ‘p’ in “Loop” is located? + +3.7) Exercise: Can you find where the word “Welcome” is stored in the memory? + + + +## **Integer Handling** + +Unlike NASM where numbers are stored as characters, MIPS can store single or multiple digit +integers directly. This chapter describes how to read and print integers. Basic operations in integer +handling (add, sub, mul, div) will also be covered. + +**1. Declaring an integer** + +Integers can be declared as constants in the ‘.data’ section as shown below: + +*Code to declare constants ‘x’ and ‘y’ with values 30 and 40 respectively:* + +```v +.data +x: .word 30 +y: .word 40 +``` + +**2. Loading an integer value into a register** + +Another way of using integers in MIPS is to read their values into temporary registers +using ‘I’ type instructions. +The two commonly used methods of reading integer values are: + +1. Loading a value into a temporary register. +2. Adding the value of the zero register and any value into a temporary register. + +*Code to enter integer values 5 and 10 using add and load instructions respectively into temporary +registers:* +```v +.text +addi $t0, $0, 5 +li $t1, 10 +``` +**3. Reading integers as input from the user** + +Integers can be read from the user using _syscall_ (system call) instructions. +The system call code for reading an integer is ‘5’. This syscall code value must be +loaded into the register $v0 in order to perform its designated function. The input is +then stored in $v0. + +_Code to read an integer input from the user:_ +```v +.text +li $v0, 5 +syscall +``` +**4. Printing integers** + +Integers stored in registers can also be printed using syscall instructions. The system call +code for printing an integer is ‘1’. This syscall code value must be loaded into the +register $v0 in order to perform its designated function. The integer to be printed must +be stored in the $a0 register. + +_Code to print the integer ‘5’ after storing it in a register:_ +```v +.text +li $a0, 5 +li $v0, 1 +syscall +``` +We have now learnt how to store single and multi digit integers and how to print their +values. We shall now combine all of these into a single program for a more robust +understanding of the covered concepts. + +_Code to declare a constant x with value 10, load values 20 and 30 into two registers and read +an integer value from the user and print all these values:_ + +```v +.data +x: .word 10 +.text +addi $t0, $0, 20 #load value 20 +li $t1, 30 #load value 30 +li $v0, 5 #read integer input +syscall +move $t2, $v0 #move integer input +lw $a0, x #print x +li $v0, 1 +syscall +move $a0, $t0 +li $v0, 1 #print value of $t0 +syscall +move $a0, $t1 #print value of $t1 +li $v0, 1 +syscall +move $a0, $t2 #print integer input +li $v0, 1 +syscall +li $v0, 10 #exit program +syscall +``` + +_Output:_ +_Assume the value ‘5’ is entered by the user as input._ +```v +5 +1020305 +-- program is finished running -- +``` + +**5. Adding integers** + +Integers can be added in two ways, either by adding fixed or immediate values to an +integer value stored in a register, or by adding two integers that are both stored in registers. +Both methods to add integers are demonstrated below: + +_Code to add integer values stored in registers:_ +```v +.text +addi $t0, $t1, 5 #t0=t1+5 +add $t2, $t2, $t1 #t2=t2+t1 +addi $t1, $zero, 5 #t1=0+5 +add $t2, $zero, $t1 #t2=0+t1 +``` + +**6. Subtracting integers** + +Unlike addition, values can only be subtracted if they are stored in a register. + +_Code to subtract integer values stored in registers:_ +``` +.text +sub $t2, $t2, $t1 #t2=t2-t1 +sub $t2, $zero, $t1 #t2=0-t1 +``` +**7. Multiplying integers** + +MIPS allows you to multiply the values present in two registers and stores the 32 most +significant bits in the HI special register and the 32 least significant bits in the LO special +register. + +The value obtained in the HI and LO registers can be accessed using the mfhi and mflo +instructions respectively. + +_Code to multiply two integers values and access the result after multiplication:_ +``` +.text +mult $t0, $t1 #signed mult +mflo $s0 #s0=t0*t1 +multu $t2, $t3 #unsigned mult +mflo $s1 #s1=t2*t3 +``` + +**8. Dividing integers** + +Division in MIPS is similar to multiplication except for a key difference, the HI special +register stores the remainder while the LO special register will hold the quotient of the +division. + +_Code to divide to integers and access the remainder and quotient after division:_ + +``` +.text +div $t1, $t2 #signed div +mfhi $s0 #s0=t1%t2 +mflo $s1 #s1=t1/t2 +divu $t3, $t4 #unsigned div +mfhi $s2 #s2=t3%t4 +mflo $s3 #s3=t3/t4 +``` + +### **Points To Note** + +**1.** Entering number of size larger than 32 bits + The largest integer that can be entered in 32 bit space is 2,147,483,647. Entering a + number larger than that results in the following error: + + ``` Runtime exception at 0x0040002c: invalid integer input (syscall 5)``` + +**2.** Changing the value of $zero register + It is not possible to change the value of the $zero register, any instructions that attempt + to alter the value have no effect. + +**3.** Multiplying numbers of size 32 bits + In MIPS, all integer values must be 32 bits. So if there is a valid answer, it must be + contained in the lower 32 bits of the answer. Thus to implement multiplication in MIPS, + the two numbers must be multiplied using the mult operator, and the valid result moved + from the lo register. + +**4.** Division by zero + If the divisor is zero, then the MIPS divide instructions do not compute any result in the + HI and LO registers. Division by zero is ignored and no exception is produced. + +**5.** Meaning of the _.word_ directive +The _.word_ directive allocates 4 bytes of space in the data region. The .word directive can +then be given an integer value, and it will initialize the allocated space to that integer +value. Be careful as it is incorrect to think of a the .word directive as a declaration for an +integer, as this directive simply allocates and initializes 4 bytes of memory, it is not a +data type. What is stored in this memory can be any type of data. + +## **String Operations** + +Strings in MIPS can be declared as constant in the _.data_ part of the program. They are often stored +this way so that they can be used as user prompts or to format output of a program. Strings can also +be entered by the user during the runtime of the program. Both methods of using strings in MIPS +will be demonstrated below. + +**1. Entering string constants** + +Pre-determined strings enclosed by double quotes can be declared in the _.data_ section. + +_Code to store the string “enter an element:” in memory under the name “message”:_ + +``` +.data +message: .asciiz “enter an element:” +``` +**2. Enter strings as input from the user** + +To enter strings as input, the syscall code to be used is 8. Apart from that, the address of the +memory space into which the string must be entered, is loaded into the $a0 register. The +maximum size of the string must also be loaded into the $a1 register before performing the +syscall. + +_Code to read a string as input from the user:_ + +``` +.data +input: .space 100 +inputsize: .word 100 +.text +li $v0, 8 +la $a0, input +lw $a1, inputsize +syscall +``` +**3. Printing strings stored in memory** + +To print the required string, the syscall to be used is 4. The address of the string has to be +loaded into the $a0 register. + +_Code to print a string named ‘message’ stored in memory:_ +``` +.text +li $v0, 4 +la $a0, output +syscall +``` +We have now learnt how to declare strings constants as well as how to store strings entered +by the user. We shall now write a program combining both the concepts for a more robust +understanding of basic string operations. + +_Code to read a string, with prompts instructing the user:_ + +``` +.text +main: + # Prompt for the string to enter + li $v0, 4 + la $a0, prompt + syscall + + # Read the string. + li $v0, 8 + la $a0, input + lw $a1, inputSize + syscall + + # Output the text + li $v0, 4 + la $a0, output + syscall + + # Output the number + li $v0, 4 + la $a0, input + syscall + + # Exit the program + li $v0, 10 + syscall + +.data +input: .space 81 +inputSize: .word 80 +prompt: .asciiz "Please enter a string: " +output: .asciiz "\nYou typed the string: " +``` + +### **Points To Note** + +- The _.space_ directive allocates n bytes of memory in the data region of the program, where + n=81 in this program. Since the size of a character is 1 byte, this is equivalent to saving 80 + characters for data. 81 was used here because in MIPS a sequence of ASCII characters is + terminated by a null value (byte containing 0). This is known as a null terminator. + +- If the string the user enters is larger than the maximum size of the string, it is truncated to + the maximum size. This is to prevent the program from accessing memory not allocated to + the string. + +## **Floating Point Numbers** + +Floating point numbers are stored according to the IEEE 754 Standard. There are 2 types +of floating point numbers, single precision and double precision. + +### **Floating point number representation** + +According to IEE 754 Standard, floating point numbers follow the given representation. + +```Sign Exponent Fraction``` + +- The sign bit is 0 or 1, for positive or negative respectively. + +- The exponent stores the exponent of the number in scientific notation of its binary +representation, plus a bias. + +- The fraction stores the fractional part of the binary representation of the number. + + +| Data | Single Precision | Double Precision | +|----|----|----| +|Size | 32 bits | 64 bits | +|Exponent size | 8 bits | 11 bits | +|Fraction size | 23 bits| 52 bits | +|Bias |127| 1023| + +Note + +- Since there are some numbers with non-ending decimal part in there binary representation + ( For Example- ( 1 /3) 10 = (0.01 0011 0011 0011 ....) 2 ) and we have only limited bits to store + the fraction part, there will be some slight inaccuracy while storing certain floating point + numbers. Therefore, it is recommended to always use double, as it has a higher precision due + to its increased no. of bits. + +### **MIPS floating point architecture** + +In MIPS, all floating point calculations are computed in a separate processor, called co- +processor 1. + +The coprocessor contains 32 floating point registers, each of width 32 bits. The registers +are numbered from $f 0 to $f3 1. + +Each register is can store a single precision floating point number, while double precision is +stored in 2 registers in an even-odd pair. For instructions concerning double precision numbers, the +even numbered register is used in the instruction. Using an odd numbered register will throw an +error. + +In addition to the registers, there are 8 condition flags, which are used in floating point +compare and branch instructions. + + +#### **Floating point registers in MIPS** + +|Registers | Usage | +|---|---| +|$f0 - $f3 | Used for results of floating point procedures | +| $f4 - $f11 | Temporary floating point registers, whose values are NOT preserved across procedure calls| +|$f12 - $f15 | Floating point parameters, whose values are NOT preserved across procedure calls | +| $f16 - $f19 | More temporary floating point registers, whose values are NOT preserved across procedure calls | +|$f20 - $f31 | Saved floating point registers, whose values are preserved across procedure calls | + +Among the 32 registers, only $f 4 - $f 11 , $f 16 - $f 19 and $f 20 - $f 31 can +be used by the programmer for storing values, as the others are reserved for special purposes. + +Note + +- Unlike the general purpose register $ 0 , $f 0 is not hardwired to be zero, and is used for storing results of procedures. + +### **Declaring a Floating point number** + +``` +.data + num1: .float 3. + num2: .double 4.5 3 + + .align 2 # Since float has 2^2 bytes + float_arr: .space 100 it has to be aligned to 2 + + .align 3 # Since double has 2^3 bytes it has to be aligned to 3 + double_arr: .space 100 +``` + +### **Reading and Printing Floating point numbers** + +Reading and printing a floating point number is similar to that of an integer, using syscall, +only difference being in the $v0 value and parameter registers. + +#### **Single precision** + +The $v0 value for reading a single precision floating point number is 6 while that of printing is 2. + +``` +main: + li $v0, 6 + syscall # The number is stored in $f0 + + li $v0, 2 + mov.s $f12, $f0 # The number to be printed is moved to $f12 + syscall +``` + +#### **Double precision** + +The $v0 value for reading a double precision floating point number is 7 while that of +printing is 3. + +``` +main: + li $v0, 7 + syscall # The number is stored in $f0/$f1 + + li $v0, 3 + mov.d $f12, $f0 # The number to be printed is + syscall moved to $f12/$f13 +``` + +### **Data Movement Instructions** + +|Instruction | Syntax | Remarks | +|---|---|---| +|Load single/double| l.s fdest, address l.d fdest, address | The single/double floating-point stored in address is loaded onto register fdest | +|Store single/double | s.s fsrc, address s.d fsrc, address | The single/double floating-point stored in register fsrc is stored to address | +| Move single/double | mov.s fdest, fsrc mov.d fdest, fsrc | The single/double floating-point stored in register fsrc is moved to register fdest | +|Move from coprocessor 1 |mfc1 dest, fsrc | The 32 - bit data from floating register fsrc is copied to general purpose register dest | +| Move to coprocessor 1 | mtc1 src, fdest | The 32 - bit data from general purpose register src is copied to floating point register fdest | + +Note + +- There is no load immediate for floating point. So if a constant is needed, it has to be stored in + the data segment and loaded to the required register. +- For the move to/from coprocessor 1 instructions, the first operand is a general purpose + register and the second one is the floating point register. + +### **Arithmetic Instructions** + +|Instruction | Syntax | Remarks| +|:----|------|-----| +|Addition | add.s fdest, fsrc1, fsrc2
add.d fdest, fsrc1, fsrc |The single/double floating-point numbers stored in fsrc1 and fsrc2
are added and stored in register fdest | +|Subtraction | sub.s fdest, fsrc1, fsrc2
sub.d fdest, fsrc1, fsrc2 |The single/double floating-point number stored in fsrc
subtracted from fsrc1 and stored in register fdest | +| Multiplication | mul.s fdest, fsrc1, fsrc2
mul.d fdest, fsrc1, fsrc2 |The single/double floating-point numbers stored in fsrc1 and fsrc2
are multiplied and stored in register fdest | +|Division | div.s fdest, fsrc1, fsrc2
div.d fdest, fsrc1, fsrc |The single/double floating-point number stored in fsrc1 is divided by fsrc2
and the quotient is stored in register fdest | +|Negation | neg.s fdest, fsrc
neg.d fdest, fsrc | The single/double floating-point number stored in fsrc
is negated (Sign changed) and stored in register fdest | +| Absolute value | abs.s fdest, fsrc
abs.d fdest, fsrc | Absolute value (Magnitude) of the single/double floating-point number stored in fsrc
is stored in register fdest | +| Square root | sqrt.s fdest, fsrc
sqrt.d fdest, fsrc | Square root of the single/double floating-point number stored in fsrc
is stored in register fdest + +### **Sample Question 1** + +Given a temperature in Fahrenheit, convert it into Celsius (Input and output has to be floating point values). + +Temperature in degrees Celsius = (Temperature in degrees Fahrenheit - 32 ) * 5 / 9. +``` +data + # Constants used for calculation + const1: .double 32.0 + const2: .double 5.0 + const3: .double 9.0 + + # User prompts + msg1: .asciiz "Enter the temperature in Fahrenheit: " + msg2: .asciiz "The temperature in Celsius is: " + + +.text +.globl main +main: + + li $v0, 4 # Printing msg1 + la $a0, msg1 + syscall + + li $v0, 7 # Reading user input + syscall + + mov.d $f12, $f0 # $f12 = User Input + l.d $f14, const1 # $f12 = $f12 - 32 + sub.d $f12, $f12, $f14 + + l.d $f14, const2 # $f12 = $f12 * 5 + mul.d $f12, $f12, $f14 + + l.d $f14, const3 # $f12 = $f12 / 9 + div.d $f12, $f12, $f14 + + li $v0, 4 # Printing msg2 + la $a0, msg2 + syscall + + li $v0, 3 # Printing final answer + syscall + + li $v0, 10 # Exit + syscall +``` + +## **Comparison/Branch Instructions** + +|Instruction | Syntax | Remarks| +|---|---|---| +| Compare equal | c.eq.s cc, fsrc1, fsrc2
c.eq.s fsrc1, fsrc2
c.eq.d cc, fsrc1, fsrc2
c.eq.d fsrc1, fsrc2 |Sets the condition flag cc as 1 if the numbers in fsrc1
and fsrc2 are equal, 0 otherwise.| +|Compare less than | c.lt.s cc, fsrc1, fsrc2
c.lt.s fsrc1, fsrc2
c.lt.d cc, fsrc1, fsrc2
c.lt.d fsrc1, fsrc2 | Sets the condition flag cc as 1 if the number in fsrc1
is less than that in fsrc2, 0 otherwise. | +| Compare less than or equal to | c.le.s cc, fsrc1, fsrc2
c.le.s fsrc1, fsrc2
c.le.d cc, fsrc1, fsrc2
c.le.d fsrc1, fsrc|Sets the condition flag cc as 1 if the number in fsrc1
is less thanor equal to that in fsrc2, 0 otherwise. | +|Branch if true | bc1t cc, label
bc1t label | Jumps to label if the condition flag cc is set as 1 | +|Branch if false | bc1f cc, label
bc1f label | Jumps to label if the condition flag cc is set as 0 | + +Note + +- The condition flag can be omitted in the above instructions, in which case condition flag 0 is + taken as default. +- As there is no comparison instruction for “Not equal to”, it has to be implemented by + reversing the required branch condition + Ex. We need to branch to label if $f4 and $f6 are not equal. This can be written as + ``` + c.eq.d $f4, $f6 + bc1.f label + ``` +- For greater than and greater than and equal to, it is simpler to reverse the input registers. + Ex. We need to branch to label if $f4 if greater than $f6. This can be written as + ``` + c.le.d $f6 , $f4 + bc1.t label + ``` + +### **Sample Question 2** +Given an array of floating numbers of size n, print the maximum and minimum element + + +``` +data + # Array + .align 3 + arr: .space 1000 + + # Characters + newline: .asciiz "\n" + + # User Prompts + msg1: .asciiz "Enter n: " + msg2: .asciiz "Enter no. " + msg3: .asciiz ": " + msg4: .asciiz "The maximum no. is: " + msg5: .asciiz "The minimum no. is: " + +.text +.globl main +main: + + li $v0, 4 # Print msg1 + la $a0, msg1 + syscall + + li $v0, 5 # Read n + syscall + move $t0, $v0 + + li $t1, 0 # $t1 will be the loop variable + # going from 0,1,2.. + li $t2, 0 # $t2 will be the element indices + # going from 0,8,16.. + loop1: # Loop to read n elements + beq $t0, $t1, end_loop1 # Termination condition + + li $v0, 4 # Print msg2 + la $a0, msg2 + syscall + + li $v0, 1 # Print position of + move $a0, $t1 # number to be inputted + addi $a0, $a0, 1 + syscall + + li $v0, 4 # Print msg3 + la $a0, msg3 + syscall + + li $v0, 7 # Read input and + syscall # store in arr + s.d $f0, arr($t2) + + addi $t1, $t1, 1 # Increamenting #t1 + addi $t2, $t2, 8 # and $t2 + j loop1 + + end_loop1: + + li $t1, 0 # Resetting $t1 and $t2 + li $t2, 0 + l.d $f4, arr($zero) # $f4 stores the max value + l.d $f6, arr($zero) # $f6 stores the min value + + loop2: # Loop to compute max and min + beq $t0, $t1, end_loop2 # Termination Condition + + l.d $f8, arr($t2) # Load a number from arr + + c.lt.d $f4, $f8 # Compare if the number is + # greater than current max + bc1f not_max + mov.d $f4, $f8 # If yes then update new max + not_max: + + c.lt.d $f8, $f6 # Compare if the number is + # less than current min + bc1f not_min + mov.d $f6, $f8 # If yes then update new min + not_min: + + addi $t1, $t1, 1 # Incrementing $t1 and $t2 + addi $t2, $t2, 8 + j loop2 + + end_loop2: + + li $v0, 4 # Print msg4 + la $a0, msg4 + syscall + + li $v0, 3 # Print max number + mov.d $f12, $f4 + syscall + + i $v0, 4 # Print newline + la $a0, newline + syscall + + li $v0, 4 # Print msg5 + la $a0, msg5 + syscall + + li $v0, 3 # Print min number + mov.d $f12, $f6 + syscall + + li $v0, 10 # Exit + syscall +``` + +### **Data Conversion Instructions** + +#### **Conversion within floating point** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert single to double | cvt.d.s fdest, fsrc |The single floating-point stored in fsrc is converted to double and stored in fdest | +|Convert double to single | cvt.s.d fdest, fsrc| The double floating-point stored in fsrc is converted to single and stored in fdest | + +### **Conversion to integers** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert single to integer | cvt.w.s fdest, fsrc |The single floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point)
and stored in 2 ’s compliment form in fdest | +|Convert double to integer | cvt.w.d fdest, fsrc | The double floating-point stored in fsrc is converted to 32 bit integer (Ignoring the part after decimal point) and
stored in 2 ’s compliment form in fdest | + + Note + +- The output of the above two instructions is in 2 ’s compliment form, which should not be + used with any other instructions other than mfc1. Other instructions always assume the data + in registers are according to IEEE standards, which can cause errors. + +#### **Conversion from integers** + +| Instruction | Syntax | Remarks| +|---|---|---| +|Convert integer to single | cvt.s.w fdest, fsrc |The data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to single precision floating point and is stored in fdest | +| Convert integer to double | cvt.d.w fdest, fsrc | The data stored in fsrc is considered as an integer in 2 ’s compliment form and
is converted to double precision floating point and is stored in fdest | + +### **Special Instructions** + +| Instruction | Syntax | Remarks | +|---|---|---| +| Ceiling | ceil.w.s fdest, fsrc
ceil.w.d fdest, fsrc | The smallest integer not greater than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form | +|Floor | floor.w.s fdest, fsrc
floor.w.d fdest, fsrc | The greatest integer not smaller than the floating point number in fsrc
is stored in fdest in 2 ’s compliment form | +|Round to nearest integer |round.w.s fdest, fsrc
round.w.d fdest, fsrc | The floating-point stored in fsrc is rounded off to
nearest integer and stored in fdest in 2 ’s compliment form | + +### **Sample Question 3** + +Given a floating point number and an integer n, round off the floating point number to n +digits. + + Hint- + +Multiplty then given number with 10^n, and round off using round.w.d instruction. +Divide the result by 10^n to obtain the final result. + +``` +data + # Constants used for calculation + const1: .double 0.0 + const2: .double 1.0 + const3: .double 10.0 + + # User prompts + msg1: .asciiz "Enter the number : " + msg2: .asciiz "Enter number of digits to round off to: " + msg3: .asciiz "The rounded off number is: " + +.text +.globl main +main: + + li $v0, 4 # Print msg1 + la $a0, msg1 + syscall + + li $v0, 7 # Read number to round off + syscall + + mov.d $f12, $f0 # $f12 = user input + + li $v0, 4 # Print msg2 + la $a0, msg2 + syscall + + li $v0, 7 # Read n + syscall + + mov.d $f16, $f0 # $f16 = n + l.d $f4, const1 # $f4 used as a loop varibale + # initialised to 0 + l.d $f6, const2 # $f6 used to store 10^n + l.d $f8, const3 # $f8 used to store 10 for + # calculating power + l.d $f10, const2 # $f10 used to store 1 for + # incrementing loop variable + loop1: # Loop to compute 10^n + c.eq.d $f4, $f16 # Ternminaltion Condition + bc1t end_loop1 + + mul.d $f6, $f6, $f8 # $f6 = $f6 * 10 + add.d $f4, $f4, $f10 # $f4 = $f4 + 1 + + j loop1 + end_loop1: + + mul.d $f12, $f12, $f6 # $f12 = $f12 * (10^n) + round.w.d $f12, $f12 # $f12 is rounded to nearest + # integer + cvt.d.w $f12, $f12 # Output after rounding, + # which is a word, is + # converted back to double + div.d $f12, $f12, $f6 # $f12 = $f12 / (10^n) + + li $v0, 4 # Print msg3 + la $a0, msg3 + syscall + + li $v0, 3 # Print final answer + syscall + + li $v0, 10 # Exit + syscall +``` + +## Integer Arrays + +An Integer Array is continuous storage block in memory in which each element is an integer. Each +element of an array is accessed using the base address of the array and the index of the element we +must access. + +### **Declaration and Initialization** + +Integer arrays can be initialized using .word or an empty array can be declared using +the .space keyword inside the .data section of the program + +``` +data: +arr: .word 3,4,-5,6 #initialized an array arr=[3,4,-5,6] +arr2: .space 40 # empty array with 40 bytes reserved for arr2 +``` + +### **Array Traversal** + +Array traversal refers to accessing each element of the array using its index. + +Each integer element of an array occupies a word (4 bytes). Therefore,4 bytes needed to be added to the current address to obtain the address of the following element. + +_Address of arr [k] = base address of arr + 4 * k_ + +Eg: To access arr[3] and store it to $t + +``` +a $s0,arr # base add address of array is stored in $s0 +addi $s0,$s0,12 # $s0 = address of arr[3] = arr + 4 * 3 +lw $t2,0($s0) # $t2=arr[3] +``` +or +``` +a $s0,arr # base add address of array is stored in $s0 +lw $t2,12($s0) # $t2=value of (arr + 12) +``` + +### **Array Input** + +The elements of an integer array can be received from the user using the syscall for +integer input and a for loop. + +_An empty array must be declared in the .data by allocating the necessary space as shown before._ + +_Each integer element of an array occupies 4 bytes. Therefore, 4 bytes needed to be added to the current address after each insertion._ + +The following code segment can be used to create an array of size n by receiving inputs from the user: + +Assume $s1 contains n, $s0 contains base address of an empty array and $t0 is the loop counter + +``` +for: + beq $t0,$s1,exit # loop termination condition + sll $t1,$t0,2 # $t1=i*4 + add $t1,$t1,$s0 # $t1 = arr + i * 4 + + li $v0,5 # input integer and store in $t2 + syscall + move $t2,$v0 + sw $t2,0($t1) # $t2 = arr[i] + addi $t0,$t0,1 # increment loop counter i + j for +``` + +### **Printing an Integer Array** + +Integer Array can be printed by traversing each element by using array traversal rules (as discussed above) and integer printing (code 1 is loaded to $v0). + +Consider the following code snippet to print the array created in the previous example in which every element is provided by the user: + +``` +la $s0,arr # store base address of array in $s0 +li $t0,0 +print: + beq $t0,$s1,end + sll $t1,$t0,2 # array traversal to access arr[i] + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + li $v0,1 # 1 is the syscall number to print integers + move $a0,$t2 # print $t2 + syscall + + li $a0, 32 # 32 is the ASCII code for space + li $v0, 11 # syscall number for printing character + syscall + addi $t0,$t0,1 # increment loop counter + j print +end: +li $v0,10 #end program +syscall +``` + +### **Negative Numbers as Elements** + +All integer operations in MIPS support both positive and negative numbers. No changes are required to the program for the input and output of positive integers. + +``` +enter array element: -5 +enter array element: -6 +enter array element: 8 +enter array element: -2 +The elements of the array are: -5.0 -6.0 8.0 -2.0 +-- program is finished running -- +``` + +### **Floating Point Numbers as Elements** + +An array of floating-point numbers can be created by replacing all the integer +operations with floating-point operations. The following changes need to be made to +convert an integer array into an array of floating-point numbers: + +1) Replace the integer code loaded to $v0 by floating-point code +2) Replace the load, store and move operation by l.s , s.s and mov.s where floating point data type is used + +``` +# program to input array +for: + beq $t0,$s1,exit + sll $t1,$t0,2 + add $t1,$t1,$s0 + li $v0,6 # code for floating point input + syscall + s.s $f0,0($t1) # arr[i]=$f0 + addi $t0,$t0,1 + j for +exit: + +# print array +print: + beq $t0,$s1,end + sll $t1,$t0,2 + add $t1,$t1,$s0 + l.s $f0,0($t1) # $f0=arr[i] + li $v0,2 # code for printing floating point + mov.s $f12,$f0 + syscall + li $a0, 32 + li $v0, 11 + syscall + addi $t0,$t0,1 + j print +end +``` + +### **One Dimensional Arrays** + +#### **To calculate the sum of n numbers in an n sized array** + +``` +li $t0,0 +la $s0,arr +li $t3,0 #t3 stores the sum +sum: #for loop + beq $t0,$s1,end # $s1 contains n + sll $t1,$t0,2 + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + add $t3,$t3,$t2 # $t3=$t3+$t2 + addi $t0,$t0,1 # increment loop counter + j sum +end: +li $v0,1 # print sum +move $a0,$t3 +syscall +``` + +#### **To find the largest element in an n sized array** + +``` +la $s0,arr +li $t0,0 +li $t3,-1000 # $t3=max + # initialized to -1000 +max: + beq $t0,$s1,end # $s1 contains n + sll $t1,$t0,2 + add $t1,$t1,$s0 + lw $t2,0($t1) # $t2=arr[i] + ble $t2,$t3,inc_i # if arr[i]<=max, jump to inc_count + move $t3,$t2 # if arr[i]>max, max=arr[i] + inc_count: + addi $t0,$t0,1 # increment loop counter + j max +end: +li $v0,1 # print max +move $a0,$t3 +syscall +``` + +## **String Operations (Advanced)** + +### **Null Character and Newline** + +When strings are created by using user input (code 8 passed to $vo), it forms a sequence of ASCII +characters that are terminated by a newline character, followed by a NULL character. The newline +character is triggered by the pressing of enter key during user input. + +_The ASCII code for newline is 10. Hence_ beq, $t1,10,label _can be used as a comparing instruction_ + +_MIPS treats NULL character as a zero. Hence_ beqz, $t1, label _can be used as a comparing instruction_ + +### **String Traversal** + +Strings are made up of characters, in which each character occupies a byte. Hence, unlike an Integer +Array, each character must be traversed byte by byte. + +Eg: + +To find the length of a string (newline character need not be included) + +``` +# assume string is input to str1 +li $s1,0 #loop counter (i) + +len: + lb $t1,str1($s1) # $t1=str[i] + beq $t1,10,start # termination condition + addi $s1,$s1,1 # i=i+1 + j len +start: + li $v0,1 # print len=i + move $a0,$s1 + syscall +``` + +_If the newline character needs to be included in the length, beq should be replaced with beqz_ + +### **String Concatenation** + +Concatenation of two strings can be done using 2 loops. The presence of newline and null character +at the end of each string should be considered. + +Consider two strings, str1 and str2. The following is the pseudocode and the code snippet for +concatenating str2 to str1: +_Pseudo Code:_ + +```c +i=0 + +j=0 + +while str1[i]!='\n' + +i++ + +while str2[j]!='\0' + +str1[i]=str2[j] + +i++ + +j++ +``` +_MIPS:_ + +```mips +i $s1,0 # i=0 +li $s2,0 # j=0 +loop1: + lb $t1,str1($s1) + beq $t1,10,loop2 + addi $s1,$s1,1 # i++ + j loop1 +loop2: + lb $t1,str2($s2) + beqz $t1,end + sb $t1,str1($s1) # str1[i]=str[j] + addi $s1,$s1,1 # i++ + addi $s2,$s2,1 # j++ + j loop2 +end: +``` + +### **Palindrome Checking** + +A palindrome sequence that reads the same backwards as forwards. Palindrome checking uses two +pointer method. + +Assume a string str, whole length is n. Length of the string is calculated by previous method and +stored in $s1. The following code snippet shows the while loop necessary for checking whether the +string is palindrome + +``` +addi $s1,$s1,-1 # j=n-1 +li $s0,0 # i=0 +for: + lb $t1,str($s0) + lb $t2,str($s1) + bge $s0,$s1,true # if i>=j,exit loop. return True + bne $t1,$t2,false # if str[i]!=str[j], return False + addi $s0,$s0,1 # i++ + addi $s1,$s1,-1 # j-- + j for +``` + +## **Two Dimensional Arrays** + +2-DArrays/Matrices/Tables aren’t stored in memory the way it is normally visualized; rather it is stored as a single array in either row major form or column major form. Let’s see how a matrix is represented in memory in both the forms with a starting address of 1230. (Remember:In an array each element is 4 bytes long). + +a) Row Major form : Here elements are stored row wise. First we store the first row, then the second and soon. + + + +b) Column Major form: Here elements are stored column wise. First we store the first column, then the second and soon. + +Row Major form ( starting address 1000 ) + + + +Column Major form ( starting address 1000 ) + + + +### **Sample code** + +_->Insertion of elements and printing the 2D-array_ + +_**We are using row major form to input the elements as a string_ + +_**NOTE: all characters need to be space separated, the end of the sequence also need to have a space_ + +### **Pseudo code->** + +``` +Prompt user for number of rows and columns +Initialize 2D array +Prompt user to enter matrix values as a string +Loop through input string: +- Extract numbers delimited by spaces +- Convert each substring to integer and store in the 2D array +Print the matrix: +- Loop through rows: +- Loop through columns: +- Print each element of the matrix +- Print a space between elements +- Print a newline after each row +Exit the program +``` +### **MIPS Code->** + +``` +.data +newline: .asciiz "\n" +space: .asciiz " " +msg_rows: .asciiz "Enter number of rows : " +msg_columns: .asciiz "Enter number of columns : " +msg_input: .asciiz "Enter matrix ( row major form ) : " +mat1: .space 100 +input: .space 100 + +.text +main: + li $v0, 4 + la $a0, msg_rows + syscall + + li $v0, 5 + syscall + move $t0, $v0 # $t0 = number of rows + + li $v0, 4 + la $a0, msg_columns + syscall + + li $v0, 5 + syscall + move $t1, $v0 # $t1 = number of columns + + li $v0, 4 + la $a0, msg_input + syscall + + li $v0, 8 # syscall for read_str + la $a0, input # Load address of input buer + li $a1, 100 # Maximum length + syscall + + la $t2, input + la $t3, mat1 + + li $t5, 0 + + # t2 - address of input string + # t3 - address of matrix + # t4 - used to iterate through string + # t5 - used to calculate number + +input_loop: + lb $t4, 0($t2) + beq $t4, 32, store + +# when a space is detected add the number calculated to the array and continue + + beq $t4, $zero , end_input + +#In ASCII encoding, the decimal value 32 corresponds to the space character (' ') + +#This loop exist to calculate numbers that have more than one digit , since our input is a string. + +# We need to iterate and add the characters until we detect a space + + sub $t6 , $t4, 48 # converts character to integer + +# for calculating the number + + mul $t5, $t5, 10 + add $t5, $t5, $t6 + addi $t2, $t2, 1 + + j input_loop +store: + sw $t5, 0($t3) #store the number obtained in the 2D-array + + addi $t3, $t3, 4 #increment the address to next memory block + li $t5, 0 + + add $t2 , $t2 , 1 #increment the index of string + j input_loop #loop back to the input to continue iteration of string + +end_input : + li $t6 , 0 # Initialize row index + la $t8, mat1 # Load address of array for printing + + li $v0, 4 + la $a0, newline + syscall + +print_loop: + beq $t6 , $t0 , end_programme + li $t7 , 0 # Initialize column index + +print_column_loop: + lw $t9 , 0($t8) # Load number from array + li $v0, 1 # syscall for print_int + move $a0, $t9 # Load number to print + syscall + + li $v0, 4 # syscall for print_str + la $a0, space # Load address of space + syscall + + addi $t7, $t7, 1 # Increment column index + addi $t8 , $t8 , 4 # Increment base address + blt $t7, $t1 , print_column_loop # Print all elements in the row + +# Print newline after each row + + li $v0 , 4 + la $a0 , newline + syscall + +# Reset column index for next row + li $t7 , 0 + +# Increment row index + addi $t6 , $t6 , 1 + + j print_loop + +end_programme : + li $v0, 10 # syscall for exit + syscall +``` +### **Output** +``` + Enter number of rows:3 + Enter number of columns:3 + Enter matrix(row major form) : 1 2 3 4 5 6 7 8 9 + + 1 2 3 + 4 5 6 + 7 8 9 +``` + +### **->To find maximum and minimum element in a 2-D array** + +**We are using row major form to input the elements as a string + +**same process of taking the input as the above + +__**NOTE: all characters need to be space separated, the end of the sequence also need to have a space__ + +#### **Pseudo code->** + +``` +Prompt user for number of rows and columns +Initialize 2D array +Prompt user to enter matrix values as a string +Loop through input string: +- Extract numbers delimited by spaces +- Convert each substring to integer and store in the 2D +array +Find minimum and maximum elements in the matrix: +- Initialize minimum element to maximum possible value +and maximum element to 0 +- Loop through each element of the matrix: +- Update minimum and maximum elements if necessary +Print the maximum element message: +- Print "The maximum element is: " +- Print the maximum element +Print the minimum element message: +- Print "The minimum element is: " +- Print the minimum element +Exit the program +``` + +### **MIPS Code->** + +``` +.data +newline: .asciiz "\n" +space: .asciiz " " +msg_rows: .asciiz "Enter number of rows : " +msg_columns: .asciiz "Enter number of columns : " +msg_input: .asciiz "Enter matrix ( row major form ) : " +maximum : .asciiz"The maximum element is : " +minimum : .asciiz"The minimum element is : +mat1: .space 100 +input: .space 100 +.text +main: + li $v0, 4 + la $a0, msg_rows + syscall + + li $v0, 5 + syscall + move $t0, $v0 # $t0 = number of rows + + li $v0, 4 + la $a0, msg_columns + syscall + + li $v0, 5 + syscall + move $t1, $v0 # $t1 = number of columns + + li $v0, 4 + la $a0, msg_input + syscall + + li $v0, 8 # syscall for read_str + la $a0, input # Load address of input buer + li $a1, 100 # Maximum length + syscall + + la $t2, input + la $t3, mat1 + + li $t5, 0 + + # t2 - address of input string + # t3 - address of matrix + # t4 - used to iterate through string + # t5 - used to calculate number + +input_loop: + lb $t4, 0($t2) + beq $t4, 32, store + + # when a space is detected add the number calculated to the array and continue + + beq $t4, $zero , end_input + + #In ASCII encoding, the decimal value 32 corresponds to the space character (' ') + + #This loop exist to calculate numbers that have more than one digit , since our input is a string + # we need to iterate and add the characters until we detect a space + + sub $t6 , $t4, 48 # converts character to integer + + # for calculating the number + mul $t5, $t5, 10 + add $t5, $t5, $t6 + addi $t2, $t2, 1 + j input_loop + +store: + sw $t5, 0($t3) #store the number obtained in the 2D-array + addi $t3, $t3, 4 #increment the address to next memory block + li $t5, 0 + add $t2 , $t2 , 1 #increment the index of string + j input_loop #loop back to the input to continue iteration of string + +end_input : + li $t6 , 0 # Initialize row index + la $t8, mat1 # Load address of array for printing + li $v0, 4 + la $a0, newline + syscall + +find_min_max: + li $t3 , 0 # Initialize max element to 0 + li $t2 , 0xffffffff + li $t6 , 0 # Initialize row index to 0 + la $a0 , mat1 + +row_loop: + beq $t6, $t0 , end # If all rows have been checked, exit + + li $t7, 0 # Initialize column index to 0 + +column_loop: + beq $t7, $t1 , end_column_loop # If all columns have been checked, move to next row + + lw $t8, 0($a0) # Load current element from array + +# Compare current element with max element and the min element + bgt $t8, $t3, update_max # if element greater than max element , update max + + min : + blt $t8 , $t2 , update_min # if element smaller than min element, update min + + increment : + addi $a0, $a0, 4 # Move to next column + addi $t7, $t7, 1 # Increment column index + j column_loop + +update_max: + move $t3 , $t8 # Update max element + j min # control goes back to checking for the conditional statement of min element + +update_min: + move $t2 , $t8 # Update min element + j increment # control goes back to incrementing the looping values + +End_column_loop: + addi $t6, $t6, 1 # Increment row index + j row_loop + +end : + li $v0 , 4 + la $a0 , maximum + syscall + + li $v0 , 1 + move $a0 , $t3 # printing the maximum element + syscall + + li $v0 , 4 + la $a0 , newline + syscall + + li $v0 , 4 + la $a0 , minimum # printing the minimum element + syscall + + li $v0 , 1 + move $a0 , $t2 + syscall + + li $v0 , 10 + syscall +``` +### **Output** +``` + Enter number of rows :3 + Enter number of columns :3 + Enter matrix ( row major form ) : 1 2 34 5 6 7 300 9 22 + + The maximum element is : 300 + The minimum element is : 1 +``` + +### **More Questions** + + _->Searching in Matrix: Write a MIPS assembly program to search for a given element in a matrix and return its position if found._ + + _->Matrix Addition: Write a MIPS program to add two matrices of the same size_ + + _->Transpose of a Matrix: Write a MIPS assembly program to find the transpose of a given matrix._ + + +★ **MIPS code for if statements** + ● Iftheconditionisanequalityusebeq,bne + ● Iftheconditionisacomparisoncombinebeq/bnewithset-on-less-than + + +**Why not blt or bge?** + While blt and bge (pseudo-instructions) are available in MIPS, beq and bne are favoured inconditional statements for their efficiency making them the common choice. + +**Example 1)** Given,f:$s0, g:$s1, h:$s2, i:$s3, j:$s4 +``` + if(i==j) + f = g + h; + else + f = g - h; +``` + +**Solution 1 :-** Corresponding MIPS code +``` + bne $s3, $s4, else + add $s0, $s1, $s2 + j endif +else: sub $s0, $s1, $s2 +endif: ........... +``` +**Example 2)** Given,f:$s0 ,g:$s1 ,h:$s2 ,i:$s3 ,j:$s4 +``` + if(i + +➢ Stack allocation (refer Recursion in MIPS(non-leaf procedure) for detailed explanation) + + + +(a) before, (b) during, and (c) after a procedure call. + +There are two types of procedure calling- + +**1) Leaf Procedures:** These procedures do not call other procedures. + +When a leaf procedure is called: + +● There turn address is saved on the stack. +● A stack frame/procedure frame/activation record is setup to store local variables. +● Arguments may be passed in registers or on the stack. +● The procedure executes its code. + +Upon completion,it restores the stack pointer and returns to the saved return address. + +**2) Non-leaf Procedures(NestedProcedures):** These procedures call other procedures, eg.- **Recursive Functions/Procedures.** + +In addition to the steps for leaf procedures, non-leaf procedures must manage: + +● Saving and restoring additional registers beyond there turn address. +● Managing multiple levels of procedure calls and returns. +● Ensuring that data in registers is preserved a cross nested calls. +● Properly handling there turn value from called procedures. + +★ **Function (Procedure) calling in MIPS** + + +In MIPS assembly language, passing parameters to functions involves using +registers. Unlike high-level languages where parameters are often passed on the stack,MIPS typically uses specific registers for passing arguments. + +● $a0 to $a3 :These are argument registers and are used to pass the first four arguments to a function. If a function has more than four parameters,additional parameters are typically passed on the stack. + +● $v0 and $v1: These are value registers and are used to return values from functions. Functions can return up to two values using these registers. + + + +(Note:-i) Register 1, called $at, is reserved for the assembler. + ii) Registers 26–27, called $k0–$k1 are reserved for the operating system.) + +➢ **Steps in calling a procedure (function)** + +In MIPS assembly language, procedure calling follows a similar structure to function calls in high-level languages like C. + +The following steps typically occur in both leaf and non-leaf functions but there are some nuances in how they're implemented, especially regarding the handling of the stack: + +● **Arguments Passing** :.Arguments to the function can be passed via registers or the stack, depending on the calling convention. In register-based passing, arguments are loaded in to designated argument registers like $a0-$a3. If there are more arguments than available registers, excess arguments are typically passed on the stack. + +● **Jump and Link (jal)** :jal makes the control jump to the given address while storing there turn address at PC+4 in the $ra register This effectively sets up the return mechanism for the function call. + +● **Function Prologue (Non-leaf functions):** Non-leaf functions need to setup a stack frame. This involves: Saving the return address($ra) +on to the stack, saving any callee- saved registers on to the stack(these are typically $s0-$s7),setting up the frame pointer($fp) to establish a reference point for accessing local variables and saved registers. + +● **Function Execution** :The function performs its task, accessing arguments, local variables, and performing computations. + +**● Function Epilogue (Non-leaf functions):** Before returning, non-leaf functions need to cleanup the stack frame and restore the state of callee-saved registers.This involves restoring callee-saved registers from the stack, restoring the return address($ra) from the stack resetting the stack pointer($sp) to deallocate the stack frame, jumping back to the return address using the jr $ra instruction. + +● Return: Upon completing its task, the function returns control to the caller. If it's a leaf function, it typically involves jumping back to the return address stored in $ra using the jr $ra instruction. For non-leaf + functions, the return sequence includes restoring the stack frame and registers before jumping back to the caller. + +(Note:-For simplicity we will only use $sp and extend the stack at procedure entry/exit) + +**Example 1)** Calling a procedure which prints a string +```asm +//code +printFunction(); +a=a+2; +//code +``` + +**Solution 1 :-** Corresponding MIPS code +```asm +data +hello_string: .asciiz "Hello, world!\n" # String definition + +.text +main: +....previous code +jal printFunction #jump to printFunction +addi $s2, 2 +....further code +li $v0, 10 # Set syscall code 10 for exit +syscall # Perform syscall to exit the program + +printFunction: +li $v0, 4 # Set syscall code 4 to print a string +la $a0, hello_string # Load the address of the string +syscall # Perform syscall to print +jr $ra # Return control to PC + 4 +``` + +● When jal is used, the control moves to the address specified in the instruction and the address of the next instruction is stored in $ra. + +● When the procedure ends jr $ra is used to return control back to the +next address from where it jumped. + +● These procedures are usually placed after the “main” procedure to avoid instruction overlap. + +**Example 1)** Calling a function with parameters +```asm +//code +result=addNumbers(5,7); +//code +``` + + +**Solution 1 :-** Corresponding MIPS code +```asm +text +main: + li $a0, 5 # Load first parameter (5) into $a0 + li $a1, 7 # Load second parameter (7) into $a1 + jal addNumbers # Jump to addNumbers function + move $s0, $v0 # Store the result returned by addNumbers in $s0 + # Further code + li $v0, 10 # Set syscall code 10 for exit + syscall # Perform syscall to exit the program + +addNumbers: + add $v0, $a0, $a1 # Add the values of $a0 and $a1 and store the result in $v0 + jr $ra # Return control to the next instruction after jal +``` + +● In the main function, parameters are passed to the addNumbers function by loading values into registers $a0 and $a1. + +● The jal instruction is used to jump to the addNumbers function. + +● Inside the addNumbers function, the parameters are accessed from the $a0 and $a1 registers. + +● The result of the addition is stored in register $v0, which is commonly used to return function results in MIPS. + +● Finally, jr $ra is used to return control back to the instruction after the jal in the main function. + +★ **Recursion in MIPS (non-leaf procedure)** + +In MIPS assembly language, implementing recursion involves understanding function calls and stack manipulation. + +**The Stack in MIPS Assembly** + +● The stack is a crucial data structure used in MIPS assembly language for managing function calls, local variables, and return addresses. +● It operates based on the Last-In-First-Out (LIFO) principle, meaning the +last item pushed onto the stack is the first item to be popped off. + +**Stack Operations:** + +**Stack Pointer ($sp):** +● The stack pointer register, $sp, points to the top of the stack. It keeps track of the current position in memory where new items are pushed onto or popped off the stack. + +**Push Operation:** +● To push data onto the stack, the stack pointer is decremented to reserve space for the new item, and then the data is stored at the memory location pointed to by the stack pointer. + +**Pop Operation:** +● To pop data off the stack,the data is retrieved from the memory location +pointed to by the stack pointer, and then the stack pointer is incremented to remove the item from the stack. + +**Stack Usage in Function Calls:** +**Function Prologue:** +● When a function is called, the current contents of relevant registers (such as there turn address and callee-saved registers) are typically saved on the stack to ensure they are preserved. +● This process is often referred to as the function prologue. + +**Function Epilogue:** +● Upon completion of the function, the saved values on the stack are restored to their original registers. +● This process is known as the function epilogue. + + +**Example)** Function Call and Stack Usage + +● When main calls my Function using jal, the return address (the address of the instruction following the function call) is automatically saved in register $ra. +● Inside my Function, the function prologue allocates space on the stack +to save the return address. +● The function body executes the desired operations. +● Finally, in the function epilogue, the return address is restored, and the stack space allocated in the prologue is deallocated before returning control to the caller. + +To understand recursion in MIPS, a good understanding of the stack pointer and how it operates on memory is imperative. Recursion involves careful management of the function call stack, ensuring that return addresses and local variables are properly saved and restored. This example illustrates the process of calculating the factorial of a number using recursion in MIPS assembly language. + +**Example) Calculating the factorial of a number using recursion** + +```asm +//code +result = factorial(5); +//code +``` + +**Solution 1 :-** Corresponding MIPS code +```asm +text +main: + li $a0, 5 # Load the value 5 (number whose factorial is to be calculated) into $a0 + jal factorial # Jump to the factorial function + move $s0, $v0 # Store the result returned by factorial in $s0 + + # Further code using the result stored in $s0 + # ... + + li $v0, 10 # Set syscall code 10 for exit + syscall # Perform syscall to exit the program + +factorial: + # Function prologue + addi $sp, $sp, -4 # Allocate space on the stack for local variables + sw $ra, 0($sp) # Save the return address on the stack + + # Check for base case: if n <= 1, return 1 + li $t0, 1 # Load the value 1 into $t0 + ble $a0, $t0, base_case # Branch to base_case if $a0 (n) <= $t0 (1) + + # Recursive case: n * factorial(n - 1) + addi $a0, $a0, -1 # Decrement $a0 (n) by 1 + jal factorial # Recursive call to factorial function + lw $ra, 0($sp) # Restore the return address from the stack + addi $sp, $sp, 4 # Deallocate space on the stack for local variables + mul $v0, $a0, $v0 # Multiply n by the result of factorial(n - 1) + jr $ra # Return control to the caller + +base_case: + # Base case: n <= 1, return 1 + li $v0, 1 # Load the value 1 into $v0 + lw $ra, 0($sp) # Restore the return address from the stack + addi $sp, $sp, 4 # Deallocate space on the stack for local variables + jr $ra # Return control to the caller +``` + +● In the main function, the value 5 is loaded into register $a0 to calculate its factorial. +● The factorial function is then called using the jal instruction. +● Inside the factorial function, the base case checks if the input value n is less than or equal to 1.If so,it returns 1. +● Otherwise,the function decrements n by 1 and recursively calls itself +with the decremented value. +● The result of the recursive call is then multiplied by n to compute the factorial. +● Finally,the result is returned to the caller using register $v0. + + + + +### **References** +J.L.Hennessy and D.A.Patterson Computer Organization and Design:The Hardware/Software Interface, Fifth Edition +“Digital Logic and Computer Design” by M.Morris Mano +“Digital Fundamentals” by Thomas L.Flyod +[http://www.cs.missouristate.edu/MARS/](http://www.cs.missouristate.edu/MARS/) +[https://www.d.umn.edu/~gshute/mips/directives-registers.pdf](https://www.d.umn.edu/~gshute/mips/directives-registers.pdf) +[https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html](https://courses.missouristate.edu/KenVollmar/mars/Help/SyscallHelp.html) +[https://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.html](https://courses.missouristate.edu/KenVollmar/mars/Help/MarsHelpIntro.html) +[https://riptutorial.com/mips/example/29993/mars-mips-simulator](https://riptutorial.com/mips/example/29993/mars-mips-simulator) +[https://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf](https://bytes.usc.edu/files/ee109/documents/MARS_Tutorial.pdf) diff --git a/docs/public/NITC_Logo.png b/docs/public/NITC_Logo.png new file mode 100644 index 0000000000000000000000000000000000000000..4795af64edc12a304e6abd64057097195ba7782b GIT binary patch literal 105936 zcmdSAg;$i{7cY!bQi4dQfFm%Vz-HnpcFm%JvA>E;O`2ODa z{ttI8*2B!1v+J|>IeVXY!j%-HaWKg+k&uvZWWGqKAR(b-At9mGzd}K@u>qys}Fq>m{`NW_jAt;#}(A6^>EN=qR93yU4; zLVQDam64Z3-$O$~W9A;uJpv<|UN|@_sJ$4^^GHK*L6VUWRr6dt%5+;M?3?@9HGVO% zg7Y#WB$}0psd4_%*4DPBrmx@c`5{(QBl!8V=m)|d$Dv{LtDn9NuV$@euGHPEUI=He zJ-j^56nHDhCFrt4p+q35{QvUbAZ0`Wp%MWgwiqORpcK-IG+juhBtK}a)nw)|pcR+BM6^w2Y}ChTbaP^z zTT{y`L%uO2X?#|OWE6a#JGb9Qi7uh92+U)clqOb;$n)HgxK7Y`kM}>Gmy^$e^qb{% z0=_AgA=uaEJquZ`<4Kn(!^1l$N3bd1gUcur+#CTbJ{vxN{Qn#3lQrJ4KWh)t_|FD! z1WP=UR!iL_d7dDe1s_7xtvT0}>9w%da|l#wny z9jcBMUeQO)CpsJpQ>UOouAS~%yM%_<$3M$GhDCJB$q0_JlIK8bOiLZoD zSPobR-A@QJAWY~(KD)55-Iq-b<3Dnf>a138MR8M5{xu_EV4g
ZymK2~qrqac-AKkt4yN6Sw?+YjkB zcDd)8Tjb_UGTwiQIz;`fk6Dc?09`Kjv$Y+L_`XcI>jpv?#IUAmZ3~iL5J||u)tk&~ z^o4fc`t=WEDKssYseMJ=eHtc&>c6T1PpQPeFF9zcSU+oov)Ok40K#S{-W8$fdz)cT z{U`A;kJsYdBqw3u7fcd6Dh)oI=2%eVDv2(oP8X^crrMm91nW~rNPMj0dD6zatv`!) zH?Bi%ErET!1hD7;h_ z_c-9!cdo<+v%$2RQ09+#2V4ft`u{l(0CzQdH{4qo{&=b4TmGz&c4o5oho;Cm8$cqY zj1q$2LSXgTK?+0h4WTVF80Up!;q5eeQhidT^&vOL-QLH#k^iC&vNsVDP>z>P##FLJ zxL^9}+i}(kSLo@R7P0uu1x1Sd{=Dk;b2eCGTidltvDb#1C6x|5L$ogz;_2J^ z_CE`1Ks;a18Mom*Z6(XJU?U9--W+F0flw{4*zw>fpz8jECV^y4H_`F{#hK_zCJQvq zwL#mo+|xIdKC^&%3I8Etu7EmqNZxDDMHZnF!E-bVc-&Si*vC~0MULQAjh=43+aGG| z#f9_iYfisL=-tP&#Q7}*j@;~_{TaN^RNwD>wur4bmQZQSe2G^jt;?C1{y$d=^6U+= zpNTGY>9K<2l8Wi{6O2Iq`v;AmYdlkE+VcJzh@|`7NJQUpXWdPCd7x!enDTu#oN`{` zt*xw%X`ZZT@4pf&BGd~1dTAbfi)5z>OsZ64cEzt6VBgl`wB6Ja;zzCbwpg8 zB60_fJk~vD+{R^LQa#g)I!0lr`l);_Yt}~)^Lmh!9-u<3xIT|9!An&K2g?53eW3NE z&+5{G%Sv4g-k;&WNd{uZHT}H;hbtvK!~@FW`*hl`>Yf2WB*;nHw*ir zx8ugg48v!!ZvhtnNyf86MVSW-cr1}2k|bwKtLH)Q0*vYVsXSG4vXb*lMEY`$f*V*7 zqBLvkyrAaJ%dE$IKb1veMJVLNtPWMj6UF@l`SE)+Ky;9p3eJh>$Nys-s_rO>p$iM? z*c(bhy=|GvXn`>`n+r-28On;H+NCm91gnrBGGd&mK_|klZw?EZI;ga1HRNq|=xs{U zI)tKyoPo+w#spJPb&eg{*NF(bsP~vE0#z8EsS1J@xZ^a$zCF>jTe6swUmJP2Tc}#8 z)AYZT;*3X-32noMObw|!5paBG_WYuQ3RQZxbl4?>u_6%3U;?ZY@&Pm--?2CLmpe%V za9(akLre1Ol~k>mPU;6gLq#h>iQ)oeRcN9T=5r3OrBMIjag5-XpkX8oIQp!44M!rA zDT@z+a3yvj==@9?>p77qw5yY^{LT2R2qE2~i28;qX?gt_!C=hkXm#Ii%geNw{V_pHM!R#_B{U}oG zfi+R4qU$Z{W_rKU?qbc+5sHb4Ar#||PEq~ALBzZe@+JVPA4|Cqo;e@K>h_BOgDG`{ zL5eeC4Y@9+^}94QlCht4nGON^muJv-v96O5ZnzN`a*l{zhyxz|R7*QA`IqF8D}X_3Cmna$Xp1QFTg5jHhn`o z$5udhdrj#k>;WQ{j_O(fAyBA(MnTc0kl0c&qu0Is{P9rZNK&)48f&HiEAO@tB6H{~ z9ep}YPsW)V3Jby8d~F|(fPe+lWk@Bj-?8;e*}LAu3^{0-!L+$_Yc=r$OisrOfX7Rc z+UD}hi%nCO8TdOU>OT?$Y7znDEnpo~j$=4l{w`T9?#g_!9Tu#;lbz8*>`2Q&xu0H_ zBRmau5WxX8JRxB+MxYS>a_O|F9y74LU!Yv>!Qil3aAkiihCr6N^cAPgll}BO1jvsL z)723bJ>DdH23(w25yYMH+Z@L9>KvDkD+Sia;xEgt1jTe|2o!y(MDIO}YIfwtV+=baIDf{F{ z(gVnj`fgxMh%zn+dmS+ZA>HD*C=kojw{->Th-C!2m#)qWC?2B1#>ZhIK@6ij27v(z zKL`RkiDw)_ZvLzFcRlBtEZil}z5%l!#iyvU2qzK%1}4M>B~cKgK-mZ<`%4+3`d6s= zR`u-Pw}{3`qwn=E5fvK2iSl!xq&!3Pni|j?u7+4&1XC?Civ8Cjdy866cN?_v%Wt;X*FUx7*M6j zOnQJ0cHDoJY7h#>-BnaOIvAMq(9`WS&uzDkEMqF++B z+kU~9XFo;k{X#53_Mb7O5N=e>`+~t`MOP7=#}H?VMTbC_;N!EFan7rVp{5M^!l{$2 z5Fw~}cBB81HmWNoN;j5Q&grOl6%km01fw4Gi)M083k_`(GDcf^ru|hE$3d*F< zeqBGlM}WOImVhr0y8HG#0m6$-Ij^woCjHi1CK`0bae{Wy9JwmyqekalpZ--)*A_-l z(;>hNe~DQ9$iRYxGY(|*vm{E_9+MhQcXhlKDm`!4qqQdjy&uDouoyFZr|!J5f;x&WQkN388Ap$={3~lxSx^)v0C;omn*VvQzJ{q15lXhb<27gkxeHmRaIzi}f}*FE)9{DtM0he$xyR1s1n*VkeBsG_GsQTF-5R9? zvd{GSLG^+QGoZ|UIHM-xrY}P0zHr2xw|5Z(%u=Zh+JFyc=-3XS1no+hbs#T<{*@9) zB4N$4ZIQyhp0C8XLYD9PaTgKtJUzZ`K=@m6LrYlwl#q|?Q%BIG>akqE-NzyBUq=_L zdiBFtW(0GKq>;ygmhQ2X4ix8lANi zh`j$f{P5E4jDUdf8Ou|d>MHur7IWkuMs z3dstmA`b&p3faTM(Ej0|b# z=){~_I?+GoqY@Spw%do74phO)1WolDTuc`lLw6ZdCFzAn;@GMS1I2Vz9#Bond~W|b zHC%Ihg4MeCx%^P!lksxK3L}b>i+9Aml&8L|t7Yf9`ng7qJ@OL8LzLy=iJnB#Uzib_ zNcNMFlJFomrE~hZ2|APgSRT23mHgJD4N%)kG|XpF1zgWF^v90b3v3V3#3%z7w%IdY zAzk!(Yjh5~zQ%SOi7T>-K)p>Mv(-^1;7U8Iyk)118rgb5D+{$A;RBrr>A-qEZ(4ltvsv(fXmF8!m97uZy_oA^^AbJ&%D*BzGHY}|G z<#mC{0>O=XR;IS#AseZZW5dg7Zrb5uoM0PHd-Ds(iq;YeS9%dEOQIY`APsQGLl&r- z-xuSPy)*R72%GsE@^Hp;O#&$%|GbLW{UXySSw|ns2Na!^L`wdAmtXWzb#%Q1q#460 zAB4Q*L~XQ#_cQdDC#q^x))H)wQ8I@0HsG(6@Fx%c?^RZD*Imbh#M-|1%Q08lP(6-sVTQ3Mt-?TB+qjj5tYEJpH>Ihy+u`*RYqYcFpP9){br0^ttRWvc>UOJZ zJnzR(cjAwQB(s?=x5I>VcCyC9riX8PV|+^66eq>Ngj+9p_(Qqo9iAlW0&zXV6)U{J zF=%eh*{cLHWk%7d?L)G_4)1{rni~?K2IPF4Eay5;YY7{35+S|_g-&Zr%8frlEiP(5 z(pgMCY?2JSCe?k%ELBbpt+#M#G;L#T+R?Rbs68t{Bl0R)(E**vCl_!>+IwlI)@VYD&s*!PNfZeK-;wQgkjjhxr{RQe5ikIJLn&&g2<$q zpj0?+7q2OcFAk+hnoIoTv=Oh}-@`l>Hd5&P5U9hAXXsEAOMucs>u_f8i^tcg>|fXx zxt`-gEaJjcS@uInNs%@Vva5OFCrzwAye92owJ~Z_PLhKoxhy=Mi5gCY^?6w(Lw4na z)Sgd6uE$2{pAU-YB5}?g93Zm~KoIwkQkMXcbyd2u!i~;vEA-fki65E#F=d`nu_U{T z0p>r>1>5cp3~*ZF0B~qEZ5{uJCOCLpgymFAW5rhmf=#jQ2+Ni~{w*VZXh-s1Wa~Zw z+Wzy!i&ZVVQ8qLk3OmSbCUB^s|Mc~85z#?)o3et3_V1EPWrYQXJVLNQbh=koRjRdV zGEp$ZsVQ;zUfzoZSHzI1q#!ULG&dygCu3*QMvvQ#jIxSLR3uatkk}3}(N_dD)2XbT z+MBUbJ3D{#^FMSX>%sn`a!(5N%qu%j{Zp`Fl1n!lHRW)TIezp_=Rh*KLzfq-m z^s7~1PW4GZ#5Q(h7FE;az=VR18*)T5y9JJVku$L_x)xt%OV~d>o2x42MRmPDmn&wF z9Sx#`OUwL>$2Lh%jvU@}(ywff967YXU4^JMcz{Dbo}Pb%GdoiD?i#YQirM)l6$xJh1kl^5MqqM>@#Yi=Dymv=t zEfP+WyU^DGvx(lXU;RAm8~J?GO$d@DOR!arpF&R{T$pi#UHu)j$>eB#l*jNIPIIS)c>C zmMQ&k0V6DL3!|eP?A=N4tUEivrRl?PMem?hm5^VtZ*D&BQeDPyJR!_DkDipY|K7-RKh>>GcOswUf@ z7**l8xqr=~uSA1%n(MtwYx07;rl*XOpL*8I)d@@W)c6jWjl zSra0*5;210{d;iVCEa#u9M|(}SamY)1c_D^g@3d5Grh*WDCkGk8?f4ek&eW7NKb?J$qdy;MEh;cppre$aHMK%_;yK4{O zI^}F_xI=-RSLoOGbH<8TV?ra)?$d+sd0VK&Xsx39{e(17YX*YG?lQTZ$N9;*SgY(> z2X4P6z!N&j_RjHXS&4F*Uvy*@_s}*ud#h_@@VDXb3rH5tJqCr}Dv{0|fg~hS+_NL=lKb+0gWdc)Zo0Uwf8_}YTr}9lzV%UD~I3f zo0{-Q?g+7(84O(}Psn1xWvHh$hgNZLtkiL3L!MTV;uixtgD_8UQzz=$r;R~Hiq!7- z{p9*_i%%j8abYQ=LZxK0b0-=@HS@oz%95CuK5{k12l-gryN4YyWVh6_4{h`NnXunD zilEoE1ia}q;Bx1>o2{m|%R$41x_zyU5Y&_Kt9#SN9>K|&(|0Mg=ov$7D6Y>-sLZ7o zoFefQ4(_~9%{@Mx&#*$X`fKIm^sf*P!~odD#w}cNW-99)))?e_(f?VEK=eMmT`9J0 zzSd(nVAehcl^NP@X&C3KwzxJx9!>0m#8%7F=fWKAaDCfArbF*Rw(V9DNs!GAnmjok zUXf~{SZ9uH!qJ6GYnA|w%w9cRy%Jw}p>t7XRC+>M*lhL+07+>>J?TY#y z?BFI@GXyqfo5roj&JntDF&!^~3|Km-<>l3fQIkja3r>C7d=E{1K-x2d+L{Xzw6%S4 z`k@@rbUXbZlCO7tl{g?A%KD}QPwXw2Om|XF&H+Oh)0q!?RY)$8_160QS`<9w+q##P6ANrBkj%jWpN(Alg3~{Kyj8RKSEh~HN zVaY}}*Q6ospC`XpLZ*;m{m%0}JBYOK+22$abN%Ysvy?K(KhApOHtF`)fk`U%jn339 z;wUCB6-;EY0IHBzO1(XBwrMh8wMJH9JCi;Y-+5qU5{QogXjY-Dzg^EUa!AN*#>GYY zZ=PSc4v7`_Zu;IRU(fv_F-&{8in7n!BCp)psHryjuw%_$YM+W$AIs>s<45v2SL^GT z^-eQD;s`WyJf6`?DHhL2W#p|MO$Ew~XU1H`?V@maO(^kVem7&k5s;~ccGSPb<~CDj zWH8jL!xn*JQ|&5;fhp(@FYFl*gXhVcHBi;_-A+VX-R-E zZ(o|1uktJ!a=Yq_qoY9zgb)JaA(p?k{QFsPEQ>&CAowA^N#q<9YzZF zd1KIof7lnC!p%$F3=IZ8)=wGAz@^*Y8*Dvay+G{_{lhfYB-X3b2{X%>Jx%{K#{nr4 zI0qa*tUw_o#sZ1Qq<{BA}@jxX<8I6qBSe=vx+kz?aQc5EA^Z}bJ?gV%=$;+>`;Jj?M(L!L4 zxKN}wPKslf-Jo4c-i&mY{Lhfvjot;PbJIWqn&g|jkK%m>=_BXdZc(6Go=@S2*5XuL z_HFodw+Fv_l{F5j%uo>+|N8kRdB0;fkc1$v~$v*#s;sbkxCgev+>RSu=KSy^WgEsY+ z$&lE07^%NPLZ{ZOb;z66NJSdH{$kI*CZbEiH1Vz+<}6el5)IwI<*Vgwdz$N)ejV2A zsjFMVXW>X*b;@-i;eC-EN~U?XVO9~Y{Q5~4>T5Doe{Be{tZ0qv%ZO`KD7}x_X8<^m zqqJ)vMw?*RQIPpL`$HkOO2uGm6!9Eeg4!uJ&NmzEY`zO1ic-j6C$}z$wI`#qK?!$` zWJkUCXT256hU4ZUDO`8+Ci>iJgBIOopg4TmL^`I34f!s^UyRq=uUAR>#M|EnM?Sv; zWj!^;J)%;KIw@Eu!Ozrk=&7qet}fPv)<9|Qf;^Yuy>hZcuK{6GZD_VvoUTCj>gA3# z6};>VO6$mn1e1-gWh9}+TH7>oqYEMpTvx#}OJeemcD6H0y^@Ufa+PpK9nv{DR;y%c6OZv^}w_OUkDiqHJuaDd&5fz@S>x*^t zWu94;PQI3cbm2DJilNfe^oe=pMWPBT9E0kNo4=YnGAXfH-^h)A&t>{|3p*9>@gdU@ zAQGOJ#I^ekXzz27+r$+yL6Rm}?6h0=#JW%G+p<{~@Z~~J)wk?h%^0)uA^Os_x}b!0 z!s}&Tcz;@c2$7F5#y#~-;E~fFWosY%oCUWT>T5V-^C#CQQX+Uk)`mG%0+;`5+EkFn z7unbtxYKXvmBmImM<&hZza$o&qCe8~OPA=9ClX0(z~w$0O&`n8!L%@*Q+)i7?!)h% zOZy#k;1t-1pF?vqG^48}y^S-o0@gbte zOkK#`*B>=Uf;GPPlilHgy{!@G<}Ru8yk=(lIyFM0VlVMfUE<<{gLtgEfuG z4p6e{l>`Zo$i&!A3n2pLvr&E&O`br!;+T8AEl5Ir>1tsa`YJ3T!s49~arB9gaDNaB!+RR0Z*> z7CgweS7u%A%c6Xr^!90Hwt+9Hq3{m|Cn*t{EduKv63Vr;`PG_mpXB#IA3UQiS#u1W z=&82l;jfeQLaa-Uvf_fGf;nBOkwt*|yyCD`2d z;%nx~V)^*DADMBbYAS z>4#%G4h@+J+CK|z0bWDyHDAp9l8V7BXj-#^+#F|3otSI2;uDb--FYM-DHb06pnt>d zBCB8R{FI+Ffmzol;*(>4g1iX;S_BNQjcjA;kK9i~g?f$iFEyM$-FN|i{o6}8w>PBm zzaVe(tSTmHJEfpEaXy@&{Bt|Po>NZBGoNJrnX>eyTgl7me3W8Er=x$oUr2cw1TTum z$PV4sKfIDgB{@d+q;!xvZP-WWw8=XpV9HBYX`9#`o#0YE+MUn}3l#S!C9F?C5j=M|XSK?Yr~e4KAup&e!<~4N9!! zUfg&U+1x{IZ3ENtPcgsT`mH*xTJ+OT<=)MjGVO13S?l_LA^^~aNs8R-R)SEGOLlA2 zbxsSFsUj^6GYaoa<5>#l#?!qzwUbz4bviueBF*5!-Pz@4oINC848FnyBHOUIL|#jb z-$b3SPO2{cYvy-gcMCB*P+z75->SC{5F|a8KKO!CE(_G!r*?}TM^~wq3clBYwQ|4? z8TMdW!P4p4#oJd~w2^MNfq$ z=cheSimDPtlH>@1CgGl+7(bA1)7U2F-NLy$DDl6SZ`;SZ11I9f!P`7*lAo8!eIWaK zJwghNItl*w*KTT&^eb*4;N zX&PS5wz0Kx6FI*?BpK<%9F6((v@Ve72=d@)C8iTllb)5!kV8eBNf z(KO9T0!8xdH`E$N@~s^HalGlX;6+`L&~7VxVtb#;n7b3kq}))7)#$%!vk^Rzj! zXrA$Bmju{<*i!7taxQg>_}6+qzSe(AHo^mhEr(&6JD1`*%$`Iv$!`3nxjtQ1Kpar6 zck*Ltik#UNYbE?88o6fU8mo%KP5&@;((=%^Brd7J%XdI8*=_+@)N6FVQdqHr9C((J z7io1DUv=Fl{SoTe{dF`@T9B~)UaO7~anSoY<_uGtXkysK`)J?;^T!car?wN|c#Du} zO3!P!T^-HP&fq9bEHI4#M<*>vi?NPtBZ9r#k$nZ^R zS6paS%a~HAogZ8prm=S6p=(J#FjS& zlEtxI_Lx_BnQCD@&3s9Y99Sxa9I=slOK%#vTjv_5XXwYL7^iOg=iS88U!HOk$uqi9 z#)FmPi~9xPV1ev{KnuNKDH%zee(jmPmzx>sHOnScY~(u=8ou8No12|1F&bLFu~C?> znmzsoWIcKd+ZU{;167s*b_Tq{KfS^2JeZn8u6G5u`E=9~9-BV#gA|6j>esccy(tIX znzfn<$J_mH7n9+31vJdZtO})dv?~HBnZj+7D}8(CeOko!xDj=p2E%^HRq39x1dR~q zf`QcnhG`XQS|VshKo4{wG9v2(dCqg z^vg{LE{b(^qk067)^gM2AKrQK^wXQ(XUFNEI73%h8s#Z9V_wz`egYPj{kupRC<@)5 z)09p`Wz!7)IF_1b)^$MBhc?0ia$&_S6!_UD*G{O$lq&V~;~_5|og1!sR+-LL8|pXP z^PS3)M{Z2J|E|$Ev4~>G(ki8g9R3GN!(28mQt$NIo%>)?7TmH#v7T4YB>>n*s%pWF z{maC=J&1=;h?qN4z(e(nxvLpd&U(y+$Sq@wrgoK<>Vkbmaqs=AF%yh)OmbP$pPT(M zWyhS)R(H0Q#Q}~Z)buM>Jun{gW7zcD#P%FQ|8S2*pEzj-jN(Kx&Z)etBJ$m((3vdf z+ZFbw(vi0gJcL%HM*@~{k72;O!ISQt$i8ETkp8q$_0Ni z8wGo=l-WY8-d~CX*epl8;43^`TdhdC!-c*RjQuT*CecL{$DANvdbG%?# z{yL=kTD6X%uYQND|9ew1$ko*F+e4!TIaLfRN9Vy0IbuKjDsjRtI4@1E%8wLDW=$vh zHIjk4kHP+4!|IWl*2?2=qh0>2pB@}Z!6^NwH@HJfJe(3g+)bo8)O%KPHZr#^Yl`$` znC&%w2BdL*`ti4Di*z8|W7;S20>`@R1@av3-av~rk2lt84KT{JW97>GBV(pfN{=yXhoV>6+dSvm&mwc|9(`?VBVhJ#)XFvh)e&)&uZy7sfwO(x&&{=)@X|be_o&2y# zl*`I8LyC2t&uN>#f8IRH(dNR;zE2?>hLVfm)oWo>;mthqM};X;i#$As87XKlEZPXxv@o$sle)Wz_ZUK)OaoV1QjsC)_eOvS`|8>;;Tz zOCSjT=$Y(@;bBTLM!jiFY-P~rn^Z5vwD~4Kp@QUX1ohrtZ6N805t>WNAj=L%wik<` z*WOpiL@*t9A;zes0<+F!L=yM-)GX;RbNkq*{&9z~zKrAh?mKGNT$UXCjGs^174HbO znfQ};3lhJRwR(a^BQFuR@J|GS73cnyaE(`c_ggUU^?UR)CZE1-)0%W@j&#-EMLBdM z01u@Os{J)%no1esF2rIPvV z|1=)b5;q~6gjCFDQCc1dtcU{hguiY(ioB{TB{0!&p*fLZek19621!eL6nC=%oM*jg z@2=|)t-5inh^&8biX^1;AFQR{*&4mN**y<~MwwvybA@8aVI8g0VNM%#eP4{Q+at(f zJ6Sft&}FAT)tesTPGi|-KwPM#NkiPIpd3e>$6-#@N0k6oMH9isJG zzrRRvr71@Z-ysX6a~n##8`HZRU$l!r-D@`aQ?gh#dC{5=#Cb?$2b5%aAhfQ> z!$WGXjRO%kuYfS!gc4g@^05ptOF{1I$AUO#+QjxXk;-!!LHfa?ue>2wCK$ceo=*;a`bpc$V?e=peDa7;+QpG@g#$sEUe zhq-|Tw1zVI_dQ+9FOogkC;Z6F5vdVb+eF+6@0v^`J-}Bz6UXr*IO$bRZfGC$BW?U? zhu(TOI1{`!3R6(x%U`y3exur_`=O(Ji~M-Ykqp$O*i^L;BfCDe;Q|4MS%ejFlRNi2B#EgRT;{!A5#fx(;2^V)?~d6 zP86z>b}JodsH6!AVI02wQMMp1ypPgfm@$YY_r}4h4c^aTSH}I|_?Dl0^Q1eP=H97W zu$mmJ*GAwgXZD{00|o%~yoAY0QQX}8bk5(#`m7UY&3@=riaB7egKKLNBB;{8m5`L^ zGlp|iLVO~g&sub5oE6;uv@%0=!c3|{CKkrsRodT-Cwqz95YN3gf_;`2lf;r6d1+Iy zg_U`A_(A3`*YyyL^V@QlqnqjuJG0ko*@UmUXc9n2JAp1I^p)cGPX`1nOO686l9dL{ zahTVx%B#M$6tPuXNsu$Ts@F9V{sjV+$A*KPoS#gi(dbldYb_LTgRZxE$VleE6`#5J z=hPoMOabFtgQi$nCefZY{ANUK&b7D(un76mI%*Ftopw#nH+*p;rKe${5vu&08y*#6 z+%4I1!}a$~h7eC*X>lg*_7>yx9!A2G`Nh`aVu8MgDj2{y56nYJ!YZGbf?{FY$5VET&va z3m{+pYH7a>oQI9^gs1@B7x3Xe(!)_kTS`;0ftT~0?@sGS9m_$nH31EFjdnt&5>x!A z12h zEt@rz!l|;#%W854DXD&ELBTIwXc0WTn~Sf2xrJoXmMj|{PIg?wYrrbSWyZ>y;E_qB zdC-K~hVWSoke1l9vhE#n(xqdr^qcJPxjc{5pB0s2Qw%LVv&&R1hv(E}UQTUFdgDi& zt7cJ+%$Q@XZVhFxLL=aDX)lfoBsBFelubmXUS;evP5-77AdR*~L*6S5bM;cRxR)G~ zz9YN0I3t+l&l!H#XqGg*vZ#vO@}2_hw0kS5EHw2lko?cDp|#Gj9%?MLrXujZbGRqC zHBM5f=dX3Y_Gf~r%w(D^b$b;li)O{hLyNz3f9#ggkOl~pCf3ml1Upo|)JIJd8&9Zg zIH`K5#%!3cV)MgwLzpjS;lGy?hgr-J)xyF1_Rl2)+CJo|J^3C}^F2po?>|{Am;t0) ztb>p-KUkh>lC{fVB#+XNqs(>+ZPwabPX^Jakfr%0l>8BEW7P)e=ck%sYg(L!mJ?v6 zJ2U@_xakKmY5#JQ0dhp#sq3+MsPr#X9{A5u2tY1rr>y8!MiaYD=###CJh7XmmMt;q zo_!b=pF4YxD2OsWGYbM!{!V|RoUQ@_WURiXtj#kK1{AtSEBWR@~SH*@2=Cshpu((o8( zHS?~Teq$!@zJB9jaiG|~mZL$CO+jC;^-58L^hEHAU}cL+){Xd2qe+4N6pJZRCbX>< z-^+bNMl(Pu@CQ^+Mt$Astf{}P{a}dM|KexHBWM^4MybPSJNDKeH83$b+B!GoFMOw> zsm;2nxVifwd}^9SkE4)xH$rj8-`Ow5pWAQe;Mx(n^X=Sx=VRr=as)SDL>HRqkRKY4 zQb=;|Re1sA54c{(?JxeU2jO^`Zvs5L!!wZw?w|)8uBv1ausO?Z?sqqPL0KSJllPMr zUL~f)InMBTk1K1cs`{Ybxw%ZX$s1F@K5M9fJMOpif`Y-SmRS?Ve|#IYPUN zVZXS$#>=aKAZEl+m)H$VQC+vjzD>6uQ^r7EoHV681sm4ZmepSi!8jy1HoK`ek^;?V zv-uvhMX>7C>rfH}>!jOwB?4h!^+7@RjjJ!z)g>p;G;;0kr}~8B0?=wL#trfJH39GQu(IZq z!)Cch<0ykv3FQ5;Qie0`VZqpUW@vN&vVX_-8)xml(;QG!_xd@9kY8IlQ8gvn*^S*@pe^od+uP_wcw=ZQFbTurz9X3)mCx_f+)pzNS>QWq@ zk@?{geVzSkmG(uCe1W(3C%(VS&etWNBt};0C4QH58Wv}Qyztzaavbg{L|-BCI42@L z?N49k`~qYW4Y10y4V)wa=GRoEEf0nX!{|w275x>t;B%@mCu?v{umZ;br=HK{_ga?A zmVdR`GrHXygS0TPsxoYfHLMGSzpa^%|B2)#U0Z07O2Zm9e!d^YZFM}B^5fuG_I3Pm z1W43{-5@gd-6VwYXur+fW9-){_owFb@=Au>gir5vL&=kFu{#Y3diKVtG(2?NjZ>vZ zi6>|n8y1BKREB-}^eMHZ7S8Ba{20M`HQ_YLMT~owt$3()}`; z9|peiQu+(NhaStlhK{*z6i7r0pHD|e@GlD%-0WAJ#_HG!b9y51%~JCo3{uhuWSa>>(JV?VDcVu;HL_UJPp{Rb0o zB@4(Gw)sahN=hNd`ZN>(ODteOqxi`NH;Kp)BKeXzYg+6%$T_Ta@Wn$&(n(z256|E3 z5%KaD2qnVDHX8ke-0JG+>-|?9#qqgpjSLu5zHCb}3`wE2& zs{$!1XUV_Y%AxtLs4qKu4~>GKjgD7$CQ)Vu;(iRBFdY1)RueQcvi&6U`3d9s$9G5yq)cq^y6s=QLS^Kj5rZes0O0+Eat0FtCjB z(P`+qjoPo3&W_fog2bxxxgCkn>W_>o2GQ=>B6!6OP`ygJWY90=^fs4Aq^iIvVaUTO zONj`id*=*<&?H$ADj1(Pzb-L3w+A$I`Fox^A{)elTK0)Mtxw;UI7)1 z&U+QNgzlN_&ERAZTt7Bx@};F4-*JQK=brUJCz{wSS#sH!HtK_*%+jH!b>qe zjCk2rBP4(=$xghi%7GiLC69kT%n2Teb(fQHQ7a2xJYV6^dQfDxXMMW38w zN!-}tm8*r^8(?n>9K+qwPKB~L=fugX$fh^Ea;Il#zLt^8Ny_AWd{WW7{GR7?^j_=6 zo=SvHnoF_0yzsm+Y`Y*&;+t_Nk&hEB(~B=6N?`A@T>2Z*NigT{+cx=PhPz=6A2tyU z(*$avqgq$@X8A$Q7R}ZRh6rLHv5%GC$SI{p_Y<7W4IsO)kn`H6`6JpT4Hv&uYd!<0 zfN|&i6^aaqE#GRdRqoH7qTUJn7RCNpK7;*2M4~al5!e%p(F(cE$U|ZK^s1?#4S~)kZzl0)f zCNYU7(j7-p%-AVJ-$aS>iVHof@_4AaRWLNkeL^OJ3aC7jBc;2DqU0pSTpk%ON6Xp6 zwfeIW`Ht$+9Y;~JQ=KtlFUe@vw@%ItDVEh@o@6^$n(Z0TzSA2t zlI|jklEZ8h+ObzAS>quVKryd`qT5(DkIT0S{|MLClhU0=QL>XQ$YgM`kWD@4yC<^( z)oM1+a~w5YYE_j#0?=hKr%87YMag-paZlFJOTkJx|MQ^tqoL^b4t0}q-ck69P9?ph zJB^}brmNtf;`Lf;Yksk;$r}FUGyab=628F9xh{bE`I=3nyN9CW3HulaVG2Ud^Pusq zA?Q`k4Qbr%o+4OVl~l6A<<7sNgi38|9=yHCJi2?-y9O^<)Z(Nmf|+KH{^6u&HCMxfvzpC6h$$k13!m4zCGt*0no$Rhhe61w@y|)nle>*cDPu& zyC_OB^#$Ed#>;rN_E?6t{AMA4KaK#37-Y3BmT;1E$552KrV9N+v-EtADz5e0gXIBh zRY8A4qktWR9gVJ1%O@pzyd?TkBrX|_@>q)6yx}|do}TNlh{vqf!;iF=?iPwt035{Z z5XQAHsNq_(9RojDT*x6V^ywu$H;h)FPfD~nR```jlnU8_$E8)?Q|;TIy6eC*jB zR0vXq$mRH8>q|O3NCY@OA{Ysn2D6p z&*Sa2X2SNdGc~fO5)DoleGxLt3fEt28>q+o9`iH}O}vr)EbiP@aGxI6DT)xS`99DQ z&D6(JU49Kzj|IMN74IS(A@Eu0ZlWmAnuVgTL3SBPHBTigqOT|Gr>kA%Qj`7`H~W`x zymW_9l!B%T{R4H{GQeQJry8FGI;M`Mqt9A8O?XytW8|(V0Z_y+;g`TfzNLl7@*T%A z*SX#3BK^G>Z?T*sd=F`gqWI@nmIOGS4WuMv?j^hv=y>`%wJp?<-J8X3Wh(WgJBd=* zTqODen9B_I^jN}end~yJ+YD3gz-v~&P+#hBrEKJKckLd7G+S^#t1XtUluM*LgQ6%7>hgAg z;6K3`p@My-yNFV#6!WcM*Y_eHdpy1?p}&h<9?Fv1<_ut^ z)pE^af9cMkC`tlettQRno0JDn*9AC!`nPmSWH+mykZxtXI?PmLxK^;+dzG1HfU!gP#;d1QmE)GIbh*i>VrNN2wp=)`F}#z-HL!pE?Kr&2dJI;eaJfD)RrE2nV> z@A_WwNepR^ahe$^s9Cy#7 zHr=?M_dPk7Eaf&&=iSwKB5T^qm=lU2{Spq7?gomY7*Nc;fsF$**#_91Rna7>*Vh%J|*q@Wgm3v}_>24>N<=}I348%Gi^XP}3`mawPj zmr^B_d`DC1ZlEZNEA}!TnW79R`)CtzM~S(S!5z3eJv=Qj$QsR4CW%~ zar|xRZlUBqwU{W-wI8scc`JG{fbY|stvqhzVwxm;xU>%Bw&9Ni#)Se<1QC4v0}UirUi5J*|7(3SoS zk1Nq)`cUjLowf`QsU{||g>(l{6vYZXStY(qN+t6+z(=We;@_01meSQQ1-Vf3XGwPn zC4Z^Il+Zi$LN*V$RBbtzw^51*HTXrS{2J*_34{X#j_fWAy_79Do!6MpNV>TE z<>3K-M?R(J@rsg%v}UyUl4+fka;cRPJ(D4idkoWPE94JriF(gd>{B<*S$2@}tbesV zQo?Q?_Pn-WTp;yun^-+4iV`dhjN)YmEpt41yGup&3?A^zU1shpWH|nabaznlkAb0g z;~zZ5o|C06?^>>fGA@uVl%gmBQk^%2mrlQ1jb4{&-)Na}P^n8rYNFJ&#jB!Z{c5DV~HZg++neA2Ma~JdsDhIDEUM^ z5wpf0J$PM;0-dczH(yS7>B1Elfb47+cUo)tU>nIbck4h@oUAi+U z`NK0p-FQY0cH>6YJg_ffFX_T4iV_-^gdX_HU-Y`nyqF>1%QF75j_@(&PZUXa1tmW? zM9>%RYR+`6Z*Q(=C!y|Nl`kxnd=5K^lt57wDNYY{zvUO&1Xz~Z{E;^2OfEAwXRPSb zsgg>LmhK2KT5|?3@e`96&WGH^G1Qk5mk z@h;~?MTF3mD&oxmpHH?*QC5#aa`F6ozYp$`o= zV=Kca56^HA`7Kowo-XFr$VB|RMyT~m*o#~FA?p%)DrdS5B&LMQ;o_Zmo&4ka5UYes zSsMHO0$%5gfDO>QSsL{I%27jkMNw>UY{0uuvy9`+rJ@Im7;k)dbaMth9*ldk0UwCZ zGaF@T=%a8AdhiT$UFi5DtwYvPF{UB^iFe`+vVs#_>))35;?k&KBzLoK(ie{nIxx>l zIsc@&bh9gpB1*3SO~-%sG36F>+xoqs_YL@8S6EIA$cJw3K1o#$hzFR#SEP(@GgfX?Ord zQMf3eQS@&gu7?}*u_L9M4S&@j!?I|D%gmmhmJUJ7If1uw3ohg{UndqH2d-ldurv4Z zTWq^_0*`VebwxGmA>MhDrtPb_$Mx%a^nD2oii+r{-z=KM8?u7UY5~0u-0wBSq!ssw{nNTbj$&&aynyD zR!b@0a09!F1chzHO!3Z}N+uY)_jj^ani}39{`R8ovQn~NJS+?qMafrgwlc0H%4&A= zpv#%8N=j)Vn;QQ5e;JlX3&iJ?^fEbsQ=nR$zz|kj)A6}L`(UK$mhw7cyv*kf&JOu> z^JVdln@*N+q=)iU<2HlsQ7?N@Ct3M!`dR&VD2fut?SYJ-(`n{Pi|Ra?CZ#{9ZJ5Tr z;`4?#`PR6E*{RCmylnk|a+QRsM-;q>Uc=okE*y^IGd|-hRt2ut(Yz~JEm^4GR&J-# z_3w7%1-3JMb}7H|6F>7a3o|~q3*S)FcZo*Ooy8Vws6HLogjO`ADNQM%0Z@+;YElCz zWd$o)!&1sv%o=7hgIUaAR+h3VN@3ER*0iK4t!YMm>QSHiG@ytYj(5L|Wh`MiE11VT zW-yoOOkoBUzH+`NkW2Glb0B4g&$i_)c1`X@O;e?tni)6PMpq3 z0WEWGBdKvt$W|wk)=6bdbM6=h# zyQ_)($!4BPw*#X*<+misE~*B!7eVH8y4|MAljJi7(weSpVDjTW|U47z89J){L2jX_RycIb38*s z@fd$%pzlQlxxz}dO<@2BSY3G@C*D;}WdR3yDq%J5@!>~h<6hKD7RoTr^B!VV4(16a zg;dw2jNoz3q_g_9Rq~1k9KhAQ&VVp6PUDn#bZ(c59HuIRp99FS}vE=4(? z^FBZEXPm)(3GLaM-Pn`eEnFY+!poc%W_GfRr(XkGD^~Cm@A3}+c=&Bk28Uh~k8=_A zxSfkU`?LC;V_Yvn)^RQmS-gtsj=Ai^cvrvJoy$2kOFZp=8O36d_M;o^T>NG>6Pd(BrZJPb%wfLqFRRd$ zHf+UKY{}Mi%<|U$%>BHZWf@b2w>Zjrt=w+tDZCaxWjW?MkEc@nYYPT@vKNXvWz1w2 z%Ya5SriJ+Bno>4lwsfV0!@ePG*b-Y9_}L0V z7PFHpJ-hNnhSXN^6}NDZRhMp6=}b@hF_HV@jnd!VP!H*&BAbC;dWv zr$oti{nMUIVE|nXciG+)XmaNvVKKc~;xV1I^!3;lb$L7VQm=6JHMV_*L31(>aX{dA z;i|F?XYwSY4DOCh;6C;d@rth~PU_K<7mWgTmokE9xs-hZc2!%%)|}6WtVyHCxGZ~1 zwfVvNShUnQX2XG5!imgGFuv%O!YjE-P%@`5ZbWY$V9e~)GL3=s$nsrtl0buhlB7jT z26;~HC6DLLMf44|Mb>brv2KldJk5~xFLwpxsv;A$*@vrnJFB_V&SJqCiJ3 zWmwuJ%0^ktN8Cs^YK5#{L(b%*H2b+PdmsKo!M=PTa(wS>M5#yvzk`E9`co5f?H(g?4M{pW!pG zO#qkv-!vReinE@XJhWa%8y@gf+{tgEy*U&bv0Su@S1^=bN$2_DA6->)UD`UTNk5OF z6KAly$98GQZ=sa>QbT=O@Lq~vFXj#(Hxq+kOD^K=j7!foE-qy&iZ|MDMb(*Pnsm>NCQ&1xfhI(z3pgo^x>J*B&I(A*?m#g$aDg10#} zW~_aRfCgjI?162dGv9eicNJ%NY?<1;8hEMiFw~_xvy*C3kntRj~4 zDm}8axuUqIG3WDj#)J0?M$n%F#P39@0=a?!b#o6}kj?%*prMJg$yyP>YzWcm1C z8H!JBl~nS&bVm-B8Yw14Ia$NU^k#bjr(P8XCeuZn?eQ>iKoqCj84)W*A>+{geRDuwkBq9SC+_x+i*1>F*C()%bCoF z+{&RY!X+sAMiKk-N=Coci+Pn3j7_1VKoKYKPeLjFO=~`WM3%d6?q#^~g_{S&=MRmM%y+f4*_$yQ@|e!f9$Tq3KL$|dUyQ7NTJUR9UG>3%4^N zO?_1G6{n^cuB*|Tkw!JTmhZShJxdiFt++m8OviaV!GSq?KwN|S5_X-Jab%ij&$T{A zy=16m37?72Au6f+zKnNXl8#-8U#c7q8kV$d{h3*>CE>zitJ0d%li*wnZ0j^No(( zIwZJgDC0We%hdKLqAQQ2JrEtig*2cFk9)4+RlHyvC0@xzHskJ;$GGcc1+Q~--q^!6 zPPqMCo@P-~Wc`ZEnhdx6;@QpPeP~lX7P63q)-Aj8Uq(uI-eA~4ykesutJ%VH4W8)X z66{BwZDV#}nw1i-N)fmqnKlV~E{ix=qLT(n3Eg=n%}t?|{Nb~nD|j#L=;BHUROb}F zN^AL8#wVPdhmJ5ViQ8eTpm&=q>eS$5 zh6OYbR`5m|*Q-h{(vsUUT;Q0%^?7{1=;%0u`0_O4NJHz>1~(rIdqGKGiS$6KblAR3o+uwE0<-B1jM9#4pT~-vXOvtYf#|y+OG)nR^&8^gG50^nQ|726+ zw5xgy53^Aw8*QH+5@!lK-crrv8g@+c6J-&nNEb|T!Hx_}yASyneF|p3DW1-8hj;I! zdFnFD@6JEQhd)=lN_Vhl3)ECp=QiukLi0gPgYD!1Mb?e_a$OeD$3>8i^wgrCtE06A zY3v4)dDv$Om$HpPE-jej_|5d32qa;j8lJq!o5{10jcBH9q=>`#DoqQn=B4}(d76abPG8S~mhy?S+lTIX+tLaEYk~y8apo$m|hRgPl5`@yg_DIGEr6R(Ud#WI7}_)UG|YyFJe@S+vB+? z%~LyDzPq|K%`O)dagDLH(Tky2tKA|#mqkgY=X{tV00xLxWYpzdOFIJxB*lhHFLT&C zWg5kNgw6FPu*kr zy%~~p@x2pc41c?n14FH$N5p5c=*TAmJ=8LN#49mZWHftNsc|C5v}V^hW-9HCG;vDjw`E;rQg zc*k%4)hQhu(?XCkSh|=6Ob4Dz<52MrPR;ZL8szhbCcQQXz+ zqoq4(Bse9c{?*&Rs)}ni;i)vSJ%8Yc{PKq!FwZ&aZr8L=ZOKxrZ_NTa0`*<&X)Q7K zQEr=^G>YtcnU~vpx#kB*DjVffOD3ax8U~PD#px9Bx=*b~8SfzVaQy09=`I=(s)Q73 zVL6*f7q!4>!{cd=>OR&xb*^dcuortb!*j=4cK!D>HGkw(!B+6e zRss93$zNH;ja0MH0#&&>&8?n9`PQe#i`mRrE2q8F-p0Smca9CIZw-|$XaUiZhtpi( z_?X?KmCI2!-rg?H80k+k6us>JZgs|r&tTDz??v0e7mtfqUM|Zx_O;RqoA7?%x>j(k zu|B&xewPO~A}kWtKJ9+e1uX~~a95fSmrpHS4Jk}oI(#`jnc=w-h6#<_uS-`E`-sn8 zQ4u>)JVK{|;hu*|I;r3Vnp$a#W0)SOrVqK$#c8$BRl1W#inhKD_RZuw>4N4xHMyDv zDeL~T0*_N?gN+~MOEX4jXu?#1QF~HK&rt5ELUTIO(PL^-3&*I*;{yHD0#}MxTt3Kr z&az@qT9*gY-1YG+~jT|S2)T^Y7Sqp(GoXdYHYyETmSg?ZA9OV3r zPwt$?qgIgO*V<_ZuHaoJIj;LG=41qt<;E`7Bnizh!yy+>poWH+__< z4Yx8eL)xP`*~N$SSK{+lG$aS_7auKNY563j+-1cZQZW}=J{l_LFjpFEG)$js#Uwu8 zsKN&!^r!#ydVB!v%J(U2dt}T{kYWet?2^r!lHXgzZe*qByR2LBs*#IS>CWn&FU2b@-(-rVA-E;4S=7p%BeFJ*&FF`vyJ$qI#SbC$rmg)esyJxJD=F_5 zPvj(urIpJ&sxd!S!#8Dlwtk3bAl}BbmON`5WVn*0hN0x^sLk3d>I! zoHih)I}WzwRp?h2)=m%~oyy%Aej(9-p91emCrKAFkEp{PjvHVbWhNK6d_NRZo`}`* z*sRa)7Lwb@GCI00M-{Gg9sPAJJ&n?SS$w{VhCCwOS>5rpc!lMwEa4JLEVNKfZcpR7 z&y6l_oq2BDkNiuzi$wEmY~c|r;2Fe^pK%$ijpOu93!hqe{iT{AMZOhoV<|pE_R5YZ5&854m83&11RpH2obnx6xm;1=K)RVdQpRwN$ zlJ2BALz}<`z*+QChXo#}#^o$bQJ<4JUh6YN>N{?z?P~CRm4L5doM^0h72dOw<0V;h z(g0~2o!L^=l^t5G}k6dr`kYgjqA=KH@oiv9i;@rT(wtUYH(#6X$ z4rW4%dR)kr>a{F%M#Sp>a--)r^EKvW6Z6Y6wyslsn>DAa#OJ4|$n0FW_u9^d#|jlY z@~4Ne5AXWO??+Gf{f=;?kRzL@k)Z`Ir1T=>U&?rthSJ5$5!&!piW)3s0F9+pD@X>! z+Tbn2U-S-a3|?To>v*=cJXcXYOJ1jo&rQ)0^U(ET+$mm3g(wv~>C?RB;S?pra4RZGyy=|$@RRQ z^l!hgi*(^4%)X3CQIC@heLX9|u!*BBOm|P4GdGaY_XWfJ{TqrqCEJOE21(l}Wwe#< zu$ehoyow52zB2j__7i;eeb0Skax9I0(%m$t*_}68>$&|ZxEg>1l9mJI4AcX>6tv)_ z6i24Zxi0IWi4rVDEQ+kk*{R(~QcnpSY9{IE(see!qj``+c3PreBub%lxM?Po?nhKA-cfM3z+S zuyHi|$kp$)FH_?f{1r2)&uD@SFcEaHNsWlBpQ9)sr6 z%t!C&8%t-LbVtoos?(Wc=)>(i$v|G`X&&HO{>OG%r~4vKOp0R~)y*7%yk0_0Nhs!>UlpA(!QzTqP+ z??-mv+?9;{mC=Hv4)-VRUA^qt!Y!BCh96V({y+4vE`n_3m=@jb2-aef)sgllgFJ07 z*gnXbqrbrK`6yROciB`F@wa%zs3gl;9*9MuJH$siem6Wf`d4{Gx~nQ_*e>b9$3o66 zsE{Mo=$9gZ>@u#(1^>haNKMBzlol?3yT8?&Z%-NI=r z?jNS0*%&x`d)L2x%HkONu|a+VEan$4rTmp*A*GIkQpw)ZT{aoJ&c9S_%X>WOrK{|5+PfO>C~N z4P~U?xR81~l>Bl{o|o>jiL~|MJ4hw!@`>;MQRFxbIYPR#Dp}c!KN9-D@9ZS4RDg76 zN>Z<1!yVL=R!qsl*Rl5M<>A+dSsNoOXb@sf|tPrpgnTs&3d9Kp&^f~6n-_)f#Q)?X-HAjN>S+|Rar=J=N? zT*DviC#{%ej%6%oB^Z$|<5KowjI?Ts0UJjXZ~F;-tbNSwp7n{v#^HmNAn|QDVsr6y zwCkG=O0F=P0Txnm4s0ttr=o<*KOD^IEO7kGW_-cZ1_uj!k^5h|pW zQ;gU+ns{40&F4}}njCKMjLDrmC1|=DMS#tK&Bar1(W+2_ql~kyY&GlRDOVZ9=5a%Q z5$7<@5g{*k>B$(5OZc}roK6p>ORJ_BvoiLlIv!Ja-N0Xx3A-6=A-u2qnUTPYeVhY( zi>FTPFI*K$P&~{CE9p4n&#&~HiXy>6&f^HCIsT;uLwGKy_n$iO8G~sY_ivRv&(;i* zR!wom#?i#PJ>Df&#-w77%=%0P7wDd51USuKkR^MIr^0fhS4hmDpQV&GcGWU9n#@5y zWSfMetTP#tqu2P0xQIWKLT68+J7=jqUe2-6q~H5=_%C-_(d7(-XTImbfNMm_PMf6n zUxez+6~BC_5{&r1l@Md!Sem8M9aizo9!X9lb8r8t4WA|T^Ku@@%X@@{K%ZDEzU(8F zYL?<->}Zfzi6h#Aij=(Jr_6S@%S;aTixjP}Ez;q6lRw@gJF*B);|IHrKYAHVH6KlR5|D<2$X(ER39M*u*%$DxAh>@v**e#eFDgi_}Ppz^;-X zlKs-HJGOVE?K`rN5(%o(FCmoflqg0lXLlwf_3ugSBdwNVgbmJOUORJ_>V&iDC+(#-K_|=M&)Q4T0 zCisrFrg)&SQAnLY*h-DX^HTmVfWA`4k^Xj49aag5Zu}e9gH~~c)$mVVD4{QFlKS>j zk+sWGyzxw|ZLhJCUUQaO7(;J&m1jLxh>!Y(DMO{Y?EvwjtJs(MGz_4x6gh5Zx!=@Q zqE3BYOz1~nx-guz&!&8z)U#*FeP=3x@lLFLZJmSMWMLF7=O7n3_7)oJ%ULEq-^j|^ zq_E(jP?Lq?MOU#e7X;K_HeM~T=eJym62~W8A6e`-{HAA4OR;A|6FMs$8n3#cyu{?rWo+;9_ zM7&4P#mKcab=Q;_$vBnG{roon9^8VH@BGXo|Y~{{gTx&OI2ovFtRV?8TLH=x69UIv3QYHY|Hb3_L+@$ zSj1xrDIu^sQ{sBp(m=h!?az#a-dw>z^(dD2>>6wD8J1IS&nipf>e7rBckcx@p0DLY zPBn<<@Ur;KBP;iY+hu!+7g)u%tZ;EV#9yD86K-c!glNRu34QF?mDaB}6t_44oc8>NTvm%Z!&*yv`x(gJ5zo=x!cOi4-+niaAO3|7(#2Ckr){kLmj#x`3F5O(qO9d(dQs16DLaXmN1WXXD? z>YaEpMc~mO<-sg1(ts;{glP`zs^(d-9OsAMX_ZK@Z-PIj#hl%+^T-1E?ll zFhzjFVtrs_V0jcVQhesw*weq~BANwKPCEfV&!n)j4aM z)c3smG&;1qN&7f*4c4cW5?z||NkR`CnM9*Ag)hlVm~i%>ellp2i#(@(RA~LCr6X6J@Cuh=Zb~@5 z`<+b9hX6W9Sq|Xd^Q` z`6^9q1iuG$wn3Zx;W6#sLk*j{F#>w%!5EcDk$8N!gq~S`(S{!r`fddSq=rBx68s(O z3&ENm>@76s%;sVC2yKM^Sg4fY$|~+n@U;~(+ZA9i!T)8fk>K{AmQg2bd+g~s^`k@W zHy_3FeLK{AmFUqlX|Nuc!E$N8q}cOwIYhb$iYPT5`GS9A#6&h`6^MQm>ZLB-?d5k zT)(8)bzf)zmV#$vP~fG3+iiOPJasFpc$?!w@w?}wF1`3de3lJXzNAaYwVIYq7%E;J z;mNJW^8oFl>lA?A zu^#bF2)PBnv?$|K&IlxQb|$KF1g|ATpv*rR8$B!AefdGWTEdXEF3nQy?Lmz($n7$Z zX^#qd-wE0|a^E-Pyp?FuI$;c{pugj|VrTwI=(S7K8Kwd_Z+&NTTIwf@D(S+z#`6LH;t{H!OP^)Q@HHUpYru|3g`%Zwv$Il>v+?A+uQo>WfOve7YigTn@R3gN-v7WIkv|DMv zTAEQ-@hE$R{_$F$GxP3D^IWHOcj*A$@bZ2nVc6%<%rB>>t20a<(GUo%crrIPa zK&qKc5jq7v&Y?p)5e<~6QpXWGI#DJFe4{H-=E7Lt_%5_OkF+$Slp35U*rYl=7*s&+ zg@&<1sP$`^rd;OPabvV(xmA~1ZuX%5F}Q$xjmJ`5DAX@HICKcdQbEZbinu(bpX0Zp zZnvO>&c+@00ioqt#3)O%N?7A>G5avkx8-dv$;Z)gNZ^ky45C!LI{nE&>$PIK2bJ9m zcM%gjm1#CL#rnj5F)d6ySSf|ZJxRUwcXdplB(ZTEIWfdsds>=Reh5L=t?9>P@%b}M z`GNgH>yUaj6`$AIc3+a6RIZL_9vpvN*@E7%`ij%hJoPzxoB6wx=OO~y9? zMUq!?l}#PJBTS>sU|*qof>&?IN>LMS0nhj-KV*~uY|jueQX{=y(I2I z%`vd^xWQA2N?m$Ox6jm=E{_XqqC|y*5{z0$vSpI%%nJG!$}p*9VWWe`6GG4XMoV+c z&H?NBv-s>8v`nN|$ib7v*`C2UwkjS@?@&yvH~J*MH|w*-9{sw%B;EYH6w_s_v?@v@ z=$){KI*3{ToRk!EYpCA4Q)m(E4;2Cdq8nMd^dEFknJdKS%^>ApdPg`e2jbQ5 zPQu!Qs!MNvW6P}19p+s!kiH6 z4Kkl!Pgt2zX8G7P>A+4|pJ|h~4l)1X>_WeRn|nJ@;q5_X=RHsQeW-N(EnV z0@cLote+>XCq%^H_QjY+3k&t!TH$n7Ir`=*&dTuYX>re1QprHUw@;B|8^uvk8QH8^JImy!M;;g@G;qenYit-;9>yA@ucIR{P=5a4`xtC4?wN-`bp7gy) zx|!G0%c0Z0(#j|TRN=vdp>fwNzvz;%OI68tYB5)kZ1i9>Mo^34R%VcF&RUAtgGZQ~ z^0y{2{k{~RBW=h`F4Y~U%uk;k-yVdr<8> zo@7fKxjVVmq1R^8$|xanc$_!=HS~7*`(6pwPZive-=P_lIQ|!F`FX+)61~}-Cd!3z zY1XF~LyZ?aKO1QIscVh?r9YcPg2(@`Wj_5Pb5fF1lyF+k#)A%i59&@&dYN2p4UcUc znq~ifQu2jM;uik1JnbFq%(R5Q{f1gZ6bvuKTKz>~Or9pTo^X=?I-_(I651bG*)s>j$$*<Pk0kK5${q`G9gtFndtPd(vfE>6wD)e)Ft5418*tn~5Q5e8ajb_7()P)3c4uafV-B7;;%2kZ#cYqKHq#yQhD#w+Ge9(_Y+KqpRTI zQjH}s{RT@bqXf#1aW|7nT^os~qpRZ(XI;u?OBYoMl##Kv&h8>I4CI6F-k4R#dMPZa z#&QGgv;MM4Lcs2z<$LMo%s1MxOuU2I5IcKN_j=0vUFk+l$(u3#R_VQpJmz4>GP#na z9A+`?*8G~#*9Szzi@su?EgbFKURoId8u>bOvmt*PX!(xg`Tw||9gWKuZtRbhg&ywL zK6i*OeC)|W2GZGcO;lr!r(6$}Zo-r}{fwU?t&9>7m&V<%o0Jsz*Dp1BC84(uR;PM- z%1yC09xbg(j2AqO$TlVWd~yPnRI->s^l)kWdQ!+`F<;gxMl?!_>XX~zeGkf8SDq^D z4<#MWP2r*blT#u`;{CdBQ@RB7OA$9D9A^I{7V}IAk}d9^9QPt&C!oR&kR`1^oi{1HOGi;9$G9Rf;^f#oG1{X;tDlUwj_fA;8id6lzH% zLp|py?ZmrhkTS>cF5W|)QvX1@@iI`?VQ#6eGV_V;;=F*b;^YwWY?)v@zBVEBg_7I+ z6>Hh+q*aNdp-aEu_T}yXOIPC2DYG{z*Q-OesUyC?30|_r#jD8zPw7U@vv@pjIbNGq z(#j~7I3(_V-AqTwhhV9Zbil87@A8Em9IYC)XqayZ&mB_&-PL_4)Uxa^-IyliQZUVy z%#>Ck6z1{*&oD9Nf9vHbmeWF7u|~RB891IdV}I_$!_w*~7U&b_0<(<%%%DC{n`%^{ zE>M>$RHOF#CmOm+eKo59sAMs)f>PG999TjHt69zEY!UbT+w@|kbd@N+xhuBc^fP-% zE0e&Xd?c*FuKX5Q+B*lBb~4w|Kg8TTl1q!HL-<%)h0v(vOP*o~r3wH31ABT%?Qt%W zE>K2lGCQ_W8@)kMiKhk)Xg~w%(2$zcqCT~$Nj>UPlRDI=CbekjaxoMm%wm>FtEpsU5}U67(`5{hRwjWGri-~D zF6S#=;q5^C@81a@BtbHSV?xWzGf|6mmWe|0{!qjL9Ka&pSE<}N|b8P8U9>I77<-E+*0{Q;MAOQtF;B*?6GHrA@QQQG`{oGwZ^>`!(3&PRp$ScCO5^o^ZWe)HtI=c?3z*Mb<};rK z%wNBxn!`M4#R`N6xMKaEzKmo9!>t`3x?wPl*La@sA?3Cy6GL1twB^6h^72S7EuO;M zHjEl`d4bpX+EcXYTsS|j!VH?N|MS0WN`0FaLi>KNw z)jKmDsGvR5rIj$?35Q?VgBT{QPEJ#mmb9ZSZD_+Lw5Ao!a_WFSKbXfHrt=^FF_jto z$JF&k?us{#iA|@av|y36Iw`rBM*_}a6(8^tpRhL6a@F8KPU9%wQCZEom%gEv&;z-% zc-n&>rB%pDKIcGb6^z)IuVa56%n8!!=$y5 zuF0M6(H*1}2!&N~rm7oFR#)?l#|iOu=^`2OEt6yBphwe$`O*qSm|Aq8qvL-;4r~=H zWI^nIOIga&^~N`@*R;RkXckLX)Os%9k+{f?GdPbmG^7r7sY5*)P=`9y zr9SnjLw#xmq(@^Kv%TZ*YnjFr{)zo>fpkShn3fz8`|}IZ%A{o>A8?%YR4e&`R~Z~~ z|0t#_C(%eiFwRp9s^gYmYaZax z40k$f(1;veg+o+d6IBtEmTr((xwrH{uN3^pah1zJPm% zBs@j`3u+b)x@~2b0-C}pwr!ovSwrhr?9ugr72bN}5$p+;13ak`jWI@gL5LUfi@?jN zASkK)i?J5lH?HrmJA67$?Mk5vHsiZCA~akL7E8M0#JlW~o=0zItvCM6R~gW(*6efF zM_OMB?u)ZAZ^!mRGiRO-Z|Oopc}q#;w8=zV&OAC83FXW?VxCe%3apgDkJPN~8}`@H z#v?cW5%u5XP|dWp3??>g*S4QxZ`Ombcq!H91PqXe zWft*BN#?Q>IR@O`oy}0*?zJI}_m_p;+KeR~;mMbkdtLUl=Ax>}QgT(y6gm@LGATIMLV+ev*#cuH9K1NT&W zO%LN)&y}x=V4I5&qw z+X$$8%(G99cOhY<027J&q(C9@6G>m}LU#5Zqou2;Ay&N^QGEf2w&}O%@qViUw&-HH zEqycAgtrfODVY}XwMowS*bZ51mOV6%{Atz)Bt6PJ-fI?F_6Ifdr z{$(2jLDuH+jsIhkF9bX!ioSN2+0THBYp~0?A?@WP-5cd0DYg2OMjcnN@Z5>^p%fzW z(b(W*$MNb`RUuAo6-PA%7ulgQP>m6+M*DTC8fUruEt@Pf5tuUk^EH|&H4vr%lpnU- zM1HEDG?Snx`mc)#)syA)R2R??bQoXv{$wHOw$>PHX=+@QC#Fu$fDxuN%O;2UP>YA2v;oB215rv8(MQmtD;Ek^V=6dsvFX)?P_l_x4$ zgK)a_P(BxGL^bfhn7`Ys*!+j?p;tvSX~zJ&daNkyfXod0?2m;sH}jUKpLuj47o#y| z_Bp<~1NO4G1+=JV05MI$h{F_b;ZY}%2#W_jKyZTxQGtR-8v4%VqmS7i-W^a#6JoCw5`o3!b^ z|M((&V|z#{WM0Kpj)G;uQl>2Vw~|-N5q07+Z%?oo-lzM7Scc)CyAe8+^4e5`_YxJn zxQ|&vSeJ+15y=v|GSo3WmV!=A@OW6F zwNqnQw|{;LKT$VgR(*Q_$SR<@rLLWIW=OQs36bh(dl zZ_0vm{>teBmo!idy8q|;>63O!w9Vo=R{{4r&2jB+g!r2Z`=t(e&T&sKa~6(wu&ok_kTJwM+?)J;4RBX3 zAOUh0wff7EkDL~0G@uYgbO0!8G_QB?0gOe)#&Lc}Tz1A_X%R?!3UzNvrNk0V*(QAg zXs)l~EXvGBF^@(wOd!F?h=)F@E{0$oOcWwQ*yDnII#G{_s(?p*XvmoZ`C!Ms8rpD}nyV9#+MSZZnKO^4)7nr1@RI zj6q&t*?(52U{=FPh2&-Thrh>!HccfM!Vo^H{al=5CX2@jNX9lO}-THiL z-gq&0rzOcAd$sV*h~Mm$SFo$IIf?_KS}o4s-v=%Y6oOfXAby%oo+mhSBKQ`(w~vE2 zN$tbsCn>S~V8vdC#dz2{WXJ5pXrxGrdZOpWQype>5Hf1(6*T)}sulkssjymyzO0@l z0$Nb>@{zMh-u>~atqNyIE@V396odb|a-a`!_q>ExPYV#q2`vn|Jsn@m~bdC^D7k+d4zMyvZz%7Ei+GqfS=6v zU&Ulpp1b9cpcH%C=9w775VMWQhpbmm7uIA@JK~Ps_%GNS6)40Lt?g0b9*i)T?TZM9 zG+kN>rHWjm{j;bCdB!q&e5B*3}2;?@lyKGPHZz zKa4i!qFeN_T1N#(TYwbfAAS)%rUd)N@~<8Hk6{6~t= zr*uj^6HTfP&Nkn+6{fO!_}2-gtWR*Gg>ZWpxREGUuDtqAM4{W0!Ip1{th70}1v@F5 z<-Dr#M)AI+sg+D!Gx1r^WIfSBWH2$$#EPb@Ot=+{X4a&6DDjOZcXxN|wNr$P^;ANR zkk9yDy!Zl})wSa7@|7a(gHL}K!K*dH#A$O9D_xJjZCoF!i7@Cqc5ltczR9iIoTGmL zVHZ$qT)cCAR898DG4>Y=IQ6*`{dsUuAX+m!%c?crV3{-PcM5fxngRC3yX1kFkr?7} zBg;Bb2wKYwxNTw8!QK+qBO?5f#leLk2}Uu(}Wzw!6seM@p-qxNRUL?jIt~ z`mF?PQs}12ggLWN5EGr%9JkiQ+Un@SgF5#@R>kNe%oXTkeP| z5W#!L?>+GtwjSu-Vb6fytLfP9rfpjV&3txHl$NjcSCg+dj=dT~ay8D!nf{gKWnYAh`Qz3h2#7tzLsalYiPx24`b==spd3Zz+ z0kwpHg#-RiQx?08tB+E}#gM3qrU)jV?fOE>y5sD+sU4Z$OUgrx71G>B<;pg#w#SMq z9}R6}g|`}a6W9w}MBf4ECh{V$6r3OY1xOHGcD4Klq0s6?`^I0oPUq|{KRBWDL41## z_JSIa-RmGBj=uh~W8?XVd~NyAE-^LJ04UiRE;KF=J5!e-r7l z-|t#xOVE7db4Lo}@z@J4HQoUT$X88pi*elh{r{G%yA|jM?(LhTj(+#r{ciH$VA)?3 zL!%4jE;V}SG!ITzO!MEVbOHk9@olU^nXhQ$%`)7n zs%d@l22$oe^(k!+9gOSUTTR(^ao77es7o|WKp!r}Q#-F5{*kRiBf2Nq5^NVSC0yfj!O^;Z(FXZd+kf&H=a+3IyJSqV6n~EYr872VV+*j`!pgC1hro~~-3wW3Q*)?c zagT~_9Jzp0sfW-InKXSrldn&(tA4jnsNSvnE981N9VHY_r7>m|`MGn5#G6Xuu0>f3 z^0~^hdu&M=l%PguPHU!kI$?$S?U$d+hrj%2>sLE#=YX6EBO+6@^$X&8M}g%}8#ddI zlf#b9|CyZ#b7?%dzJ$2yKC(v3s+qTL_=>+vo0yn68OtU%ea>>nVvgfC6>y)D&|1yN zBN~BPRZ+0Gv%XYXc2Avaz0#B^gQkL8Qe#b@AA^%&^r@0sra&8#!{fTxO_T!RwgcIh z0N@-$t+F8VYFN`icFs42T%V!(cFqb(maNhH-nRF!s=do^_+Cc@Q!+8(Y+JP=;&=m1 zjV_64dWl%H=k!V+K26vk1rvU|Z!VLU)}$xI=^h`inM(*-{F-J3w`l{OLb=HHMwm@3 zW>L2dMt;t*$M(NZI!%7(GCm$zL{qI+fIml55MZdv?PhSfXR*k3vwfY-1 zldn3gRG+Uur(0xr%ve?c6MYsKuP}tEM#XM>(%J9@a}g!uY6QWpyI|??l_l_IkW7kb zWPTTza7(gdKXNfN2+`(`#1}{ZhIrQ%j`&j9t&Y;=Q^z?0!{zxmyx*Sk#2fQsNt7zsbiQJuHm%xR+0<7@&L!_f5I1$a%rbvC zpuJ=P(~(ukG_XU`$BEwBm2D#e*RkubYt=zAOyc9~K~|wk5-aQ89hkeS)+2({uxV%( znUUF3%4f6IFu>;*ZqkUG)H|r}$P>+gJ$7w#NW`;QQ&}U7kHE#>_b=w(NK{0drpFE;*RaIYf0>gz(Z@hbigFrA&Kp z*0C2plZd<7&G0LOG6>aqUlC~87fmPgne{|o56moyx!E*Sx((~w-bGuFU`d!BLGjXD zHw|r@P?j)eIn-Ro)IN z<>s@xn9hG&3LC*J9pxI7%`!o@+(CVq?Z$sgcOj4eJRxre(3i#IQl}jvOwiV9uX6b{ zH}~Q2>jAa14DzY8^OP$cdf|=6zu`^PC!7O{?XRIU3-P6pLKr4;2|>RBW%4YXE*g9a z^4?75EPAq*pH#ibt6CIR9?qr{*84VT1?=DuHlk;B3$L~#m zcE)zYj>bGvQY%p_;bKJEcK$U(grEW?_u64Jao##jUvu)C$kwQusK6Gi-oNDe^C~I$4*Rrg0 z>tFq+8M?i(VAm7WHW}7&Br8W&^z%oTr@?Mz6HAR__0U@`3 zLCHkBGbH#aPM?8hHJh(p}=5LIjBuegeFNM@h%9R?NzCLSgRfeJ3@R+A^{~ zypb5EI3Vuv{-)$eNl??q|I{U)TTD{|u!G`+Gg22OWGNK*J7Fbdr}u$l+w6h{r&X4S z0Y-05tw@-`uxg-rkYcvU8T!h6R4#;U)bT3btijt2VwBsjA`I&zwM|K^^r_y#U|zS} zO9^;$Adsr1d{KD}CbF*mO(KM@onmRj%V5!W(0bgsb>9YL`9&hm>=K=1*RAu%Znz@C zVg%_?6Y=W?lf#JHw0u(Bcx%#zrbVV4R7cToIa3MU_T+JggCD?@IZIQo%eA@QNT@di z6Sdj-sK|dfI^t;VTkgvEo@?=03qh&GQk->4m7pl znuQ+vAn*6n1#;DuhHsEipJb^^pfyRR2jxz;B}$>kTr*dV@qrJ6Zs%Z)EsRtg_(JoS zYBJ8!(X|yux(F*WWfFT&341F*aFYw7NVtC`P?(ChM+X!1r`H579d`j*yGHY`hdqK2 z%BQXRy#qOHxDOav=;v^qbyt#TONbJ}N{XwTa# zdiafa{lS>B^^976Apd-c@A=~Z?r22G5yUHp9FCu#`i6xuUp-g_Kf$Q?ft6i-#lM!d z#l%T%GM4!|A0`zmw6k!8eHZF_gHJ2eaQJdqI{L+)s)IdpE$dc|`6f|&R_6-r!1V^1 zyi$q8mEGf;7SwO<#DL%=U}wX%ZAo;NkunJhN&}|WD z0rBuWdb>w4^;P~IwE8u1GWO-@@Hu+dpcyDqM@;+$k0#o67tJWNh93{c93M9yS9lE< zx49(rO$kEoV#t`50}^@cOvaVOy0JN>twOaSs`T&bP4#p#Crp8sV2U9&5T93%(^9eI zADnAEcPgLeV9gzY`YoRF9nE%E4Zmg#*n9nJVmX=8Uzk>FM5ReRU3#fhZ0IcT+RvOVx&LGSB)T6B_J!w z{^wGg<%eiawc=lzAK#?I=+$fAW3rN6nqP5*6=&-+&{0V@fLyPS=Fs5*x0on#4M{T` z()J$SWH><3R)ix3ZWFjMAm@a9^uFCA(Zv6$B*nPRRIj6qq$oelI>XbUzaZ%a^kKLVP#O^0|dA~ z<`MGSp4T_q14D@?B$0K=`3zr06VKH|fdY8Nz~zUDQ^v@WH{nw8u2DQfo(ywFlv=aH zN$w$+=0FinEczzY1V?*iS>gGtilwXQ`;}B$r8Z4c#8%z8n06G1*j)8nc46W~q8&Sz z`|*3*Skr6tE=)^f{A?t*xbl#&ABos2`#YVtuZb=$il4imIb2}@kAlu~xD(&$Y%Tg0 zR9|F*;VYhDvBXMnN8w+cXRYfE@OdXLrnbL&czyhJO+aKw_ECw$c+vkN(GG9w_OFES z9F@iP+S~|388PsL%*7o(AQV$;OYpC3$@6cxH2_tz+F7BXEy31;G*nBax27MlC@1IwVF*+^dA3MOe zh>^QA*mBiD(yrPM<13IExq?Px)%x_+(*fHn3TI!jt&6#Q67$Dw#!()^iaU+&pd9jN*YwLe;4#96o2+GTl5G9A; zRLv~(nvrc><6a^f56zJPY>ePmc!;M&QKlEQ&Y+$;J)$DLf_rK(N!>|QV?ef50WrLt zG~pxigF{o;nejKJ(=PNZe=RoJO{QWKhp;C_714Cl0*~|3h7KBY-p%0!))pYM5)1oy zV_*`z40WWKAjG-tJHxlF6Dq;!lR|h!ME~B}&$p)vwY*$iO1TX3Z74SVwf*I#(m`W zZCx0hv0PF{!gJepzl1WQhgne!7$TCV{QGA7lNK5tbhl$>2@WbcU2xZ=J7j1^QmSzG~l8)2bGg4XrjEuaelj-5faCU&86O#5n>ok(&VM$#9S2U;q5*iF8?25>bQ@T*uZ*nrEmw30m4JO zdBfAQEjtc{w}glmFl`y_jyNCmDq9BALUl;PT9lQBW^{%w-(9Vwu78m8CB?f;8d*Oc z#3Ie)N@Nd4lry1lml)JB;Yc=cS%peO{8uD-tAtF16^vk;-EzGqjbOVv=34 z;yJUDkZ`p)WzF=&d!p=VD&U|qXC}#umPAxM2xsk)w3~cP(A@Y7Cn2+jT5OsIKxM0; z*i|jAqTKGe{?Pnqou|utEG;lS(K%QPkxZIlK}8Glg|}1ba-CwQGAB%rG0HmCGy~hf z@9iTy7UQnV{EkopujWjd0e$w>NA=0yOw*5aL{vgpApn?^xV+e5s^qM>6llvTBuEV& z4{-1!l*`3T5p73&ypZL^Gf@2@mJGkgB`Jbi%c_Y#@s{`N0l=F)keig*Y2Q7$mYrNF zG8?*NTJ}jr3BX85jlVPQN>cPqz4%ZAI?_lxDAy9?+a*hi%t&wk;vzDPTjfq4w~sTIlD~>f%9+o% zG4+#22sc5$12y}6g1f`p^oT3(O7c^GkZ%(tgMP}gTDf~L1yq9EZO|;+{avTaa+;ow zz;Zml5%(!nZJIu~TNT8Po~T9*=v#^XqOhmF^eKL(Eom=cM`z!OUeQ-Wy`MH~+voPY z(%wIQLs?Q1A*8|QZyy)&(2hWN=<`XKEfqk7*mgD_pj}P$X)0k&rzcZj7}z?|5Vfn1 z3$G0NQ>INwM$DkdlD9}_fT${0PqTHEUrq3+yglc`M;h}oQCi>27u9f+|-JCAvm0LWQy^JuegOw;0^R5Rc0?@(?1h=oFT z(IX$|lDfOul15sOWTODwf`f+o_}R*cNRre5Z73$1tbmFr|LqRSBU{*6N){Gqm0K3B zgk(T?*_*y^zw??d*S;IPq`yzAD$a4eZ+ zJbLUBU=?9z*Tf3~OVg<02^z<;tC)X{@LN6;26kevYZJD|r2FOin>-8)w3c+afEMQu z^XIf;1XvHhdAQkGIu*8~jRnAz_zcMiK3K^>0$)hU0FFWoJ4|K~>)kRyM<@lqawi=V z#ht2k>;bMok|zbbVsZd}P6ck?$ew z8K%&`@HGCwyIQL8%by@*xXJu){{1^uL1GYwfo}@>{h=}p#;NkDB=bTXADVxZeU!(T z=&dv16@(R;#;@MTsC7B{tvn19T7wKpZv=nCJ0ee#(L_!hZX&z0!r)1(5vp$+RGb!M z3TF@m_pCKcBGk(^>T|;`N{G`l<5h}$fQr%nbim4pPH#OdHM5H29U82^JpoHha0-j> z&v*^!vqs-Lwhu5g_R0QLzt8F_k1tQ5LYmV2)%XGP@|TMu5Q?U_Q+bl@@$?b>>$>Cu z4baTaArYzvt9dI$>TfNX<2*^1T=b>87(aUkVni-fTb)7oshcshIoIOZqTjWyizfr0RSJoSNdJ&J));{ zb2i{2EmV25;jhU2$9dlv@l(lMel*9#orVknc4?FEqEB~8YSLAru)*5PxTF`Pp~~Pb z(bquYj_Dtn4NxtM*oljL&>4YEpbI#EgJvBv{0&3>yXXg(($hFafkdPHzGrvfXJ4Nf zmtc*~rmtf!>2k>u?dS}82Z;_kua4vVV~i2VwcZ7_eC>3b#f`XLQ+uZ~#OPaXDzcRK zyc! z+w|w?4Cna_ZwFo?r%FbgV-WESXm&wws;O=Z`xql$d~N5j|Ne+Um@U+kBUFy@Vk|_r z*0E4dhLB#bXIJ>leMb$M`gd_Rf^U$cmnR_{YTWWGgQW}v{Cj1oLtN5#+^0V+8~mLO z-N|zFuL*l7tBe-phwKZN6#r{`Y#RkWDmh0TzF6puf4KpRUy|A&yM#cOXH^F*uxToO z;oaA3`3Qd8N-$cgBFNnD-F3+oTVo3HrEK?$EM?piroO`KAsBb?GsVy5$k>!KV6V|g zVP9I8)!Y0sk4tAOc+dbotM}(+7UW-Z&I_4Q)%RRcW_!d2tQgQiT54r;elQKW4jcPQs3s$ty>bYcZ-nT4PNm}xQnJn#);ZWyyW&!w{^P$`9>x*%gL;s9HEQfKwxUdiRNb-c zc=Cl)hn%V2h=DzW)9UrE0ak_?P9$>!#$%jmPFeaD+tDn=;MHt1PQ zKTXSXhi`H{LD8{r<%sR9z)^&59mU5Z9Bq8ESxKnbo4GtQxhkPnH0L5vq(fhawZ%ad zvpZNZx2jgwa*@+qNd8zkbDf0Ar6E$kebZY=>tLv^IzkZk^mzFhF+APF^FP@_*=Hj- zo=OC*&I>4`4@h+xwyFCZ^}Uq@n_KwG!6UG;R?g(ULFL&`8GtVY2Q|7mDvII39mBO2 z!{5Xm{Pxi~Y)oS}g=W;Z+noQ8T6lXH!xvZ0PT%&GFE;sX>TE-4dTT~RnH$nMj8Z+q z9)$@#?Tl&b1A>^ib9k~0*c30Q-mi%tn%qB6h7dTK`fF+BBZ$3K9$s%hz2iLI6-kyN=^(Rzj!snUC0ms^dRHXV-M($^dD6%kXRLeuVxA911#dy^ z6eLd8bf4pNb998m3jxT+-zxhjE7$!4aB(bQPWECCZ|AJKRJOJ1Vim}=+0#nTB)(&# zM>-v}gG=utS(VsBP`L>L+%^WXMd=M3F+aX$1U8M;f&3gH`j|O-$jN-13>n&P;Td~H z@HGx6-jNf|^nS3cqLtz8*|*$bElfE@LA$;z@*YUa=J$K~IrtlxxW82ts!!qUa%ZG- z#Qf*K&`_Q+{mI0CD(V!R8`Pqiz~sB~Fz`TEo!j|Xnn2O+Tstv^(6J!vQ?GKt07Vj) zz-0?SQ`5^wxHgpCc${-A{+9zsW$O8t230s8qPwD!^?kc0f(!~VLY4OQER*lER z>e5-~p~IO(p6N3by^95`CY4A+oIRX?Vkg0clYQ~?`9J7lVbGbppAG(nh>+DKT?}lC z*!rNUN6y+Pj<|PsdH9()kQnSRa4G;pZ;v_o<&DxZSga7|t?%D=?9a8Ul>8HD_Hb_l&IuEXqSBYPpOg!j8{GX7*?2 z*eWuoLBHyTVwj)aaz8k!SN>u~ymN&_*JOf(leC@o;zu~0i3KNE!@T(PeQs4QXrM@g zL*kEGmy0a~FJgW;)XmcswBzWfmK0*Z+Av{jLStYFV;<05;hHjn2FsKfi4 zG=L--LH~wkOP`)Pv{MnEWh{?<XKNVH0Y2X8Fy=qd=;Rr z+1ns6{y^L{URz+5Ar!q3waOEh?h&3XR<$zb3JY+Xhn{YR>0jxov|160Iu7ON&)2p)8asYZYb zr<525wr~4g$VN1bQ@H;vPfp6Ogcy2hWx~aw?=tm(*XLIk81fzkuW6J3#Fr^w^TpXN z^~>eW`-8t<@|j5lrO89^v<5(E-fgnOVtTh^hxZ;CiVO$CVff4ZTGTZRP${M{_=6pN z=4zKx?Z`F9mS}n~_6GF86J6I_`!oi*n1LmR;OI1pfNOA*iwoyzN z!rS)y=M}nd_)l9QJK3z9-YM53G3`-1QW}ysi17JE{u_rzAwRdkWs*}ng}7oxpCXoP zA?qN~UGMYZHu=0dlpCugvHz}OG^88R7*nN zXMUHL=H1<~(D&-9mHVLtVt=mn3VPyk^OyzrKrtTf{LXJN)eT$rZ%*-fZ`h=3-Co#s ztY17ry-C_HjxNz!syGuf$O=u5Cnnf5nkgs-|13D_`l5{(7*tWPqN+LK-BtB_HD_PJ z(>NqUq=`KP?jM;0e-YLi0NwTs6gC82Z+{@E@P{s;!S!2uWkIkIeUCb z&!Ghf=n|cW+(;DV-xuOn9sL%3&T_B|6b?Wx&%5LYfs#p|Na{ER&Y!|ALZCPqUv+&P zg>J5?g<*Y$tf*La&w6+sAQBSyis416<_{Dj{jaS8J#;;N??>%$uF?D6Z3F}Xk%Q@= zNI^`6(jA&IQ8zy#^g=9!Pw&TGr{SX+{}JpI6>7tcl}Lz2RkuKp$=)l#RzIUCtLM?? zwTo0!9yk28s|H{WQxHDrmcrkw-ruNI%ry12)5gr5c-VcW7fJ?3HhwUSG?D&2J9_aW zf)aHm3-hVZDB2?Vmo3Ray7eMurO)3BF&%zC<;f5zQPE~s&xM60gi^$(GYS4zb4lxB z+{{Gx#n-$sYEYUN&xs0+cGepNy&wb zd<~k7tjA4^k0q<&Pai=uZy{ zxtsT@@3$`!DPPE^;m6-+jJwYeL=YR;DwKRSsAY$c`iD&%DF3%C=C_gTOaXBBXvLX& z)!w{?96ub$dG_3B$GqMpt}&}m{9UsF;0-_VwRd`H>cI{$vu$rMw2s+*$%A| zlGi`o1U@jcRY+)andW78J*7U_evf%L_RJ4Vs zi($gtiZ-jWfZ6LUqLb0v37iK-88GK3)_g3aj5MYTC40}#T?}0?^DO{- zK8``|+jGUBtL4Xvgy2Ybr=Lg$cX6N+OC|ct;BiPb29>iJFX%QVlrTZOfh*u0f25T> z4|;0n*{D z!~1EUJ->2WpRk*HHzcC&_cd(QBt?UXD6+K$$v03h54(~4rZn(?mQk>?zyk@}CXC5Q= z&jRR<66eM{?~A72_%DYN`NG>Y3ESC2L%&eOrdHELzvtO_TjW3EDG6tK=ihR@=B*-L z91(~rBJq(S498pj*ys$N|5oouHax$YxY98#J^feNGwHK7mX@bigC?p5(|9>2r?bqn z=QZc#%+U-w8EOvm@f;d`*hrzH&XCBv+1+=3v1$cD7dk`MRqIxl%(`^v8QOGfRVklZ zt%nvjMny*^Pzlnhf+JR~ypTMXuIlP6L^%G!{Rl2&G-iWs73?t&V!M&s zfkF9e2)dNh*D_0KiOpLwXfdfPwo^Sb@h5_Maw6UZsA5xWkKo?Sz??OZqGk|n&N(ZA z64S=Gbb0}u`?G}8p|--GV$Ub^NOfD=9rMg9QlQXGF}-dT$`(HG2oO`)TyfTr>b><6 zalK0?Sw&%*75R|CzrWele1gAzGsFoyS0Gh~2g{jIi4pY9p!^Yj;R)4q$zei;yG8jn zozPG3mzCw1x@NHB20R+O+g~=jYwRo&%x5sogGe+)Lcs4gY#~(@)uto`1ue1+#(4sH zOwBlsxdT3ErrM0jV{}|uD8;;^)5yLF1^dTOZ9C=m{1<3P;48g}Cl~jfGNE;q#5p-w zF0+fwv1Nxp_p7%K08ut@nYU0t5RMZI7<-USE={zX(xB%bKwHCDmk#whu7^QN=pQ_s z`{gj7;kIybUd5Hb+P$3u9uWt{MhITl5F? zre8m4EuA&z(>?PI>6{sDyKa0^G<^oz-C5J>RkI9+-p3%{a~2r7BFrp8X#NPS)t0LIpc|HZ7Y`d z&%)Zgu-BJrU1}&Q$+V5;al{8>RLvZlAvY7iH0a0d zvw$|7TH1j-tu=0<{l7nt7NPe2UjX{WGAK%7J0*ROZCE7woT+_+QDHAD8S~g3C4Vo% zZOhIGC4uTxbk;T?<+`W}qNk;ZAkNDm)}RM}{7mo)*UicUzpp?yi~*@a*z_}hJF zb-8chbi{9RjHA%G0$4cMF54Pci~bEgD1dO`JY*yx9lXvdYlRq4E2f4IM>ot~)?84W zM2jlawFW6{dqGO{Dw{$)Vv_Sq;y$zh09NPP|4#?pvgz%g~b{Y^d9N}W4?g!3xpngReG>SwxPKcm%tno5Q z*UOKTjXjsz{G`f_yIi1{m)0N-3X8&IIt>yHD(;5chRb}+!x!eVvpa>1(-g_EN&z5i zLgA>m>7|KoJ$C}lnU5`E;E%Ms8B&yhIj)M1BOXmd(XV|Vytm8vP`!sBS8(NG0l9<& z=k{TIHj)udX0F@Z_%Nl9-NT6$$W_|Eai`Z5!+a_0D}uWQjUsYzs|o)eGQL}w zDACB~jP4jps3}`DT$-3ZJMuWEEi72>R~0eKb=qPwl#sb*UjS-tMwt=Oa8TDnTtA~b z8b>6?ENeY_A^=OWl1QW`8_tF8AQ(SDz(#esY=HuPzj4o)f4j+PIzKOG)JVf@?QtRw z^17`a&$W*&l|tPQvP8GoTg}5m9@;i&2NBY=>)lld+@5MYwN6rUb>H0__D9wFC)Y`% zkX*p|O9~7w%KAQ8Grp(|1JP?y3KMqTxnt1K$UB$zUAeGrQJ(1@U0})&yi*51xAsQC z`b98~7Lx-^0m4%L@s61%Lve>c0=}ip=){zJqw@3on@(fNmv&CB;O_JU@d@B{dhZd0 zvfq92=Ln%STW01cE={!ZIWAK7)vG;mV{<_pY zKifxezJ8$K&GtctQc5qS%H;n)plmNqZo*b&w05HQEZ1UQbigrCA9FC2WLc)PgyM^I zZ#W6d>rUtH0^Vg^(+)r*?#h@2{T%D?tRTl@#`Yh#;K;+ySQ98=CnLtg`~$&YfEiV%_?( z&dUiE$@+P?+?rx4$yPEZt_kN|xipQ;PZ>fo%r(-Xc=up{5?KX!T+_dN>Wp9Sz??R( zoL)F%`Lj4wH65DtJaQhtE_FZ5E%3O!bj~=Uzt-^l-s3MSm^2o!NJX9 znIqtC9E@g4O8shvqSA}Q3YE}PYmHv7({YZy<^VA8tQldqr@p)|GL3Yv?=!pjVmg;- z(b}^Hag|w(NEBt;r(S3Or${4=w12BzdMzgVYC4dK)7RNKMBAsB#HG_?2YB$Zf$zulka6#vE+kD@`CudmJh@_Ns z(>g-ejt;(s0+%0y{FNHyi%gqR=T%nUX1d;W_Q`ndkiIR33}yqgI;@}O-2iXRY_nLu zTNd?r$JxnyU16<}kR_6_(|g)Su3ooG#mEJ=Uzy6WN(rwP#y3Plf+tAD`d1)e;`Pv; zChA!_)AdiID!d>ZD80C9fpb~^kmM(!DW2MlXIqUbW=j5nKCYt6jz%E@L&Oq7 zU;19swF_gTP`KYSVl#ksH%vKs(i{C!Ojn~2RLZT_YU4;F`H)4-thmXf@qsl|t3<;b zh_1a{LUrqzduPkAR%W(I_pzkfq;7ErR^R&KCQ@XdYFO^GSj9Wi@K2X9)G*)D*0t>L z?RAzQs2=t$B9k(d#WFPaUY`=*B`*VCUuGs#uMnBk0U< z&EdKFXvgH!N=NDGlT!ywMqGVA{M9^Vqb--hT_DC4&m_T08bg#i(N20cRgr!TB=TD6 z#6Rk=fOjKqq|Fyu(QoeKY+}Zice(Ho1+Kx0n@O<~9>9`zFxN>I;oAFw#_4iY#TLE) z0jxk%zbYB&s*Gvk@9uDgS>h^0NeX#9aK9}bnWI5d)vp17t1Q<|Fl$d*NVY`%XNLIv zft{Ok-zWLoj#of9C+?o~cn1`e1V{;z8nM;I9}@mnKIVk*2M;+*ac+{9+^T4NY)H@P zwpQIQZCV|^xCgn`ur$Z;22&L*a3}K!d%Mc}0P(kX$WodD=@AzpW^o?B1YXJ*_T_yJ z_nAvg7ru@E#~suy`ME78GdS=%W;=CxG0DH~#~}KU3BfTN#2$>v%$+|j@m+LeEEq{} zlwuyYc)YeQa|71ysMvw<#Cv8lKXViS2UDHZ0c)a%j!DOD8Q!5|EYz{V8>P6@^4r(^ zl{R%94JG#BCKkK8VjII422^K1_N5{9sY@N|uoi$-tY$jXna1B9BIBLo`ihLlpR2BH zlJBSyTC103h)-?1xhn4#%as#Nlsn&8{h8ReVf#y~ro>JM79EoOwSCFoW=N|k&W^3w zZLyCJEB#`v7@l#x9~#-rne8LjxXAR&g|@cs?2!6$g=f68M8{1=#h8b<+!4l!s}Kzt z7_!-9Sw8ipuRC+us9M*g*g$(mS$;3#RB81T*kQ>tC+T78odKnHbDeWG zksotMFgoeqcZqF(d?~G}0u^G;DYnaCx?8qy3XKb0UsSc(6FG0>-sS3PpGua-LM%yh zjrbcpeBm!~6`~*m{Er^jl<{ytd#7CLvb38l*RISUKiJV@SDSCNtAP5pV;7q^Kw3oy zn#Eq4Pozk(!@b4%(yEHGSFBGTNs;1@lD`*FUs_p5-nKnI#!-o#j#7&$W_Dy=#F>aS zFiDZ)x)WNwFQprq;Zh-PO*1#d5-&V=*hCc{IO1mLlpN)NZmpJ(kc6fQn4D z{OhaIN@grAZBAa_Q@G+iP4BKPmdKQHTqphxmk?X*v)q&q1kay!a1qhVF3-9y%j$!q zkS=0%&TOpKV{1xYK>%mjdgpJSG1G%2pV=i^PEGmI&^}g=T;}zXRwzj6ZM}ZX&BC-u z2ZTP7ZI;owl|y5H>1cBlaiX-M8A-nFgKCrIsgRoQw7tK+6F)!Qly%~7aS7pjaTR1` zn($)vogVf6&~-`q{BF5^lIuu)254`a?AkZA9<%k&$KsE)RP>DLnA)>+UWxhVwg^uG zgJ()fJN=p_%})RQJ*3r5N$Xf>%Xo zthcn^bS3x2c`+YhI;22!AK^lcHOVZo9lFjvxzYdF@y$V=H1~Fl+-lZXkT&MGArWgB zk!4Ay9@T9YBt@Jht#A@{i-l?UByGA!N>ZI2pe}!S8u{@ORFu08u@cTmlWONCXd%r5 zY%8ynjXRd|LlQ?Nd)n4h!wGwnEtIjX=NBoukg22jJ+_oqLiO+D+3+Ou#TGU;*JLVX zg@&A+FFokK-cw1HY#!xjQBAt)8AJ!0b;!v{)3mZ}kZj^0X{8g`Iektai|_1`RQCj? zNn-^+$0`z3n3hvxC8UpCcSkH;6n!Px!&lFwR?<|~HNYii>&^uOZ0-As;#K=*x!@(RXmk-h%G>*S)^CRzGe4z%5=xrOmmkg8IOiX zxtJ@vxs*zqvXf&?{nAg083hOcrFaWWiku(j0M63_98lwzbM z-TTsNXAC`TPt&=NhwBbVe4O+%{(E>V z>rq=SZv92&D%LH#1!;ZJUvF#Qe>_fdgW>p?UUxgB+!6Ktx4?Ay%EOpXF1-WM@6D3j z7dfWl|Z=-<_&_T_9Q>RS{Xx*0myOzoWl z=Dup{r_UpF?rw02PTL$kQRS7`GE5Cfll-_7V1DHOKs4O3neP0Nbxcf?uL5cs4eqA`dZ%p+)JD&ABgG&o9i>n|bb3H_OtHg=C z$r6R!H}HY0$xS&Ak%7DiV%*&}DA9N?F@<(>a!TnK%a^(eMHcmDpIK(dP=O z7g0>HhXA2!wAXkq4-Z7c9lm>pIi@TXb9TEe?Fq&(sUPQn_jSiB@@+o<-jS|AhIuAH64Mf8oQ9o7uMemGM zc40v6<&Fxrc#FmSq;xc9ciYxoFq@&-g56{LVwW)m#s>OsTfnISx@>L4$Kr4AXh>iS zh*1}H|228^iMh*jE8DnVanq)U>%9Gi&ah9KKXEW0&Sg5D3nvd z^}3exc0xMJ#s%id?I>ocv2WSAIfvBUHtAYUOX;e_yik3klzRKuabZ9fTYAj}_6b14 z9kC$aP)a?!B=vfwyFFO$wYAME7e0XU`PFiz^9FTpa#-A^mD@u%H9~sJeM3);cW+rP zVutveJ4(W(;wnhUyNUzmBrQ>U1}c^1_Qc(IpEpBoo6jfb^H;gaJ(d<)N*!0%US@0G znbZ}nNv)V)CAA>7+qbP&2c*qQTJPs00?=^0t7pZFFsCUer+AY+_GtvHW%=%UoUkBCWVO_FHp?au^%M>T*DA1MX|3 z^xNGr1ZDFyo-rD8+ZKR^J8G`xNOo9k{o!Cn1)z;8-56-wXzjNaEui8O^nYxRul zV*VA=SDIlZ?KMs*b+y>jLdC#5C|a}9Hr}4`kVk&(oMAD=JSAA0=+T1tw)P!OWe>UB zDD>7_%`ooZSax@O8&zUo&f!H{xG5uXQkt34<52|XEw84$uF+Js87Dc~%edc$YwkNV z#SdJ-Td}W-Jf35-!lC9|p`RtZ$_g&=kV7G7@hfd(zaPs}TqRVS)+PU)M6p8ZIwgL! z-L17{6Dv#p+e9h#8s?XzY^#($2x6iY>jgu zlswkD%u`PYPrJ9ZfFI1l$2Or*0N_}`q>L-P?m1n2> z0ksYCuS-&I7JBhij0?0p&WCUNTP^wg8vzseD6m`XP{4Ls$nG9<`dZ=HZY26bunxPT zDbpQ?cXL~WG9BU^Gl6)rSy--(z`oWpojdp5byI{dLFV^J zr<68wxv#uNb1Fk(BPyRkEacbFYqZ1H&uXRAJI+~+3rwFoG(C4d=Al6J+qqfQm5&tC z@ASpqKwz`;F`Ean_4H)EkK774kH2C*v+v{+CWl_5D6tR2ZByb^9PPP9@*@^3+~CAY zmavQ^EMXbTSj;k(v1I#mw4wG@mZ*#K`4caJdYz<=W2qmx%<+4T1LVoLydTNwUGI9V zu(XAajkbQa)?My7th0BPmXxisEnB<9N7Z^I&(z*{(dB4QB~J&Y;p~zU<|*9^JDt89 zq>x%KSJ+x)n-&)Xk6Cj3DW{(XUE`cuuJq+stcm#h&q!+7#7ssqn5Vg!bLdXn)DCz{ z0}J_)?$YBkVg&JOb3T``!ex#vG-`JpaE;~n8>vfQwg%7+nZPp^@5*DeoH%-#-7Kc! zwHQXHkk==698=z)koK&SRflf^903KI@(E7EFS!DM#vG)uQn<5S%LS%Ua(cy0Ag}i6 zKzBQLaYD?r`A{z6m5^%{92ICp?ULv5DwZ;zbVn#o=XG0;F5yW2@lm>oBpMAivy6o- zWhskT#u65>g!xKO#}c+bA|K1K$&>SGBQ@VH?%1S3FFTDX#}-Z66>}}?xYP14=hBoD z19OrXWqQ*m_VYF_rcg_+*Rl(}V!!RiP`ZWc^=ZfCsk~##()26&X-I(jM1S%$v}E!G z{S+oo+mieg1_$uI&B)*hUeJ>7MlNM|Y{lsjzEHB;j4pNP%z^C79tr0sH!z8x_?n-R z_(8gf0k&1QdGuhaFFnlUDJ+Uu!)7+IhSjWMHLF;~YL>8sr7U8tu3|=rEt5&ekB;rJ zTzi>C3TI#3hpcek3UtR!alWl4vWw;tqEBU;FWrYV=3J>HUfd4(G*quo|CN;Le0=?a zl9X!#i5a(phc(?<_Xeh6nV46fZ3?TrSI0E!5MZmt(;~JVyInrk>dx?WOU`aW6Ge-5 z^yg1oG`i$6n;WTMxvq398srX{romoGZ&XJk#VqAZp5a=0a{wJ_MROWbBfMv8n-Z6J zxgirA+hcxpG(So z#35bH*z5SIK=pZ5)1CEPfI8kDD`lu-+6QB4YX{nHR_Zd{))s$g9Jm-(PVAGr4| zbz1-*geyScSo^iHKX&rY+br@f3*E%{GV*z%1Id+~;+C4nOP18oz6;12WQH_OTx z4>}o1l+E0#`BT9M*J}(%5^X7r9l}k;1DZ?RqU5LeSJ(Ll6ZiapxUGO(QQEMg*$m&9QRUI`|HsQf<<$Pf&qkl+s^UJ<`=Id?teuBf|mmS|3YLv1CUiK!N=*l$=VnWWH zliw-x*h_kl**QJ#t2ldQ7l)QORC9Tcsx-fcxYd6KG!k0GTq^F6-xb4DWHy&sYP=#a zXNPCn9_$-KI@*3rti*j&ra3G2IG*h(YL_~BEYoyvE%2{Q8p>H*8cwBQ%5;rmsh$s1 zpPdY}wZcX^2UN~3mX+wu0xmY(>-(POWq=}!7d*eT(4f!Gz|$2uh%0%Gacm9nZ5cgT z7)4)MyYhZctUn#;&<>@TrLnZ}O3RC7EgMFvvNo{&-s%ZK1eVh?3KQ!;}Qn)mo3zLcFHyZPil&^<`KoI zLlG-k#>_aG#^{**WBK>lth9*i6zyuqR=7-CSql^or|#z|_eM&2d!bZ<HRyDKLvQWP1w6-Zaki`3 zBhN|?G$$H!JlF9I19^*Ad71~ffr~hq?sTL%b*Z88>Y4vrQ%{r{vLwy5{Vs|1o13;^;tnp-&7lIn48#I3tlx9r9TTJt-J{{q(dQQL%b6%R6ybtS)*;ukuV{Jc+q z(EzuzKi}Kz(ca_C!21jSXIbxfKH!xu_Z-AK0h|pT&4HR6??6olA+c6n!VovhB$ShZT<)vBTsg&0O?~}?&Jd=E+)P9-7 zJ(k)n2-G>`PHHj5)~c@u{xP%D>EB{*;}q^-V%&WZp6i56w?}&`qYU#4y-Ewa*<2xpdKFUF*=lfxhUa)?E;PtrQ~qf&1PoW$A5;3?8+ir zt3DKPd5zK@lwM>WOldiYX=(4p&9n?ygT^sG@jIR~;ccOvZz=cp$n05^<;}p}5&F+@ zw$h-LzSEpd=*>NR!NSnqy8dNVR$JZr;?&SO;U#6AdfI&dILUuJ<>@TID<12yF(fzi zd&RAi6jRI_)Ci^Q;IU4Thlg5nN7mWew4eVh({g9Zk@QIbE*7(miae6$G}r9`mtHe2 z^1(bBhgkPKTM)1FLM%Bo)f2pc>lFQyr;{{j6~v}h1CHfRK8>52M^S=b+f^ni-w!)z z#+;B!T1f*JAw@fIDo4^T#YCl;xlCm$|L`-@J!w;oxt_O*S~Df+#sZ)U0~1c#EaGxL z3#IfR>1heRv6;O*_MumfV5nv5rHHe5FTm3B`G^w|{duli*b&HRBoDyR1oX_fn z^54(!6^rt=Kn(W@XmGkMXz3Pu1X@}Jp2-1UFyYE(o(TA;OBtJ|?_xHH__}%2qCv0+ zRh+5oGHRWB`9!+@NH2CL{7UJPsPSU0lfQAtFH%WdtsA$E2vD1H!s2xgZA?K(1#*KFl&OR{x1dXn~eA1##y(hx@pKsZVs&*3TZ7}SuJ(` zB6NK(NV5L)dxj3{W;Rb&;hBoZV~?h|MAtPEb}Neo zbZ*R733?Rpcm@vbk1aI_-q~Vm@_5zOnlq^%SXpM>NxuX+k+?CgtQ-7i>6tu!v1k&e z$$*vTLg>-C+AV?)(xBD(LMd`gk}TVbNW78v;tjjf8&!I?Gry0*mk2CxtW!Xk1(n7m7tK$arw<9Sa^^ z%zq*H#ZTniPB>f(v7vQEF+Yi)H@reaE!>;F>mk|t zQ!x`bO1Mo`Da&Mw-cL)bLj``ewdAjXSYY)E@&3vsIW3Seak6EqQcN*#ia)X7d5b>b zAFya1O0bcw0l0y9+qYKXnTxB_IK+EwjC5tA&I=)SMlolI)^&d^=brit)}Rmbl4$f5 zZAIHvjTLzON>?`OoEz#RZwZaWYT87TQ?jA{Rq;VZ`X`wJ7BVQ} zE)43}wjD2$R;Pr9agpIa)&9D?DAfBacjQj(W8uI!YsgVTXF(IW#G=*UP)j~Hl)l_V zQ|+2pkK2CrBC_V<$$2oudo1{1WEoD&kRN)ZLJCG4Pdz4Ss=*@ZE@KbAPNLUpZWL-; z6|5_qe~~Y(PK@2-qE5Z6d7~!GBN@3Q7it~{?OA2Z|6gi`HwYcbw>SzYqDyGyR*DN} z6qMW@fbL!ca9JfP=HgbuIQO_i^xL6j8F{P-`LXwcKrQQQn>rO!EVz2MlM|SoM6;Qk z9Z4ThZ7pWgMIsIdQxpH%d{}Glm!?Ia6X+0oO$94*|nB}wyd-y4^tK~y!ekj&h;g}|$8Ibn# zeQOp)bMdo$*UF6?B3<3+BjW-2`B3KdRd_*z;b6RslK3EhlnXBqmU$ZDA4~ zkya-K_CxC1w0b}99l#jOT`A&hjeS#%F}B>-vR~LkPmuu@EyD=c`e6ut`Ak5%H`1V+ zlX*#Z<}-x5=@p_G$1|bcX^{$6EblWU88uiTqS-H;!jeEsIGk76C4hW4Q;n^GYVMY! zcqr)({Y38NBZ@?IyMpIpKQCi<@#yfWxPVtJ_rX?9V3@YNFEt@HHL;CXG{(uS$k!Zf z`F%6Th;1LXdy+d?ZXW0@T4e0HZS4DglGR>Q7$YS~}9%M!@vbY>*g^&oYz`L@FZu`*5@hcaHADG8(cFR45Vdy_qxI5!EX_XZD!lHBU0Lux|ll6N62RPnegN{z-;+;L^x`AJLmh)-vX$}6h zP~XWB+g*vK!8nv+b)a7Ys?6g(zUFr}ySSz_?Kz0f^a$A_a0_OJ+c++%%w=3h{Um=W z;!7T9L z?b6MlNNdK&)-NWrS70W+w{w3W`4)03j|JS{H)(KmdlCOj`}eDSo)p~7za=K-hw?vJMeSEh{T z_ZO!IZh>TVzZ$fGt4_-Bxvj1K)3&XwPJ8kDFf0lG3-_rw4W0~L13$cC(fnfn*`?ru zK>B(GJwj-qFEwbow?i5FR)MxMhF7?Z101YUD+g@H8!vl=&{J8#L*kFn3G^W;nG!UyEElAVFFuFclCDkZ!5Ym!dpETshH3@oN3!mC*rNX(0py=g4?fo1%d6-c(j;|14ch+U zP)0en26|6yVVm#`R@$?F{ZH8*l`9g;>E)u_Mf|jd8?XwK$UGeS; zT4pkkQ*WzyadjrCX*kkkb|S+AsE0LUZX(^T~d^oo1PS9s@i&h`iR4 z%j^vPzTZw$X4~3jWJo^IDvIAhVaU&+v}ND8snhQnyc)WlQcQ}~rjYiY@@T`ODZ)8z zmtQ%J#{A;CPq*?Z#|l}KUFTZcL~$|~FO|~tAzNgwNZ(~FWkU@*7^Ey@FnwKm=4s)n zq-=0w*nr#9+PjVA8kg=2D%u{%=So*l1G_NC)+WKm<=R0h@jEFD`6h&xydZA<(f3U*YLVR z{K3jBwOn(ybfvV>g88=A_)d8;>7C6U#$=|+=K;6jS#f@u$A;{1B1n$2)awVw)Oj4t z3n^k=ZkLrjlh#zaHGO%7@0rSCRdDby{Nv{NGTNY%q0EU>l2aLNZ- zUS3YDJO#ULcY55^=^ttZT3)#1@t37;>0Ws4c{pXDrecaI;z!O5csz%}of<}@v$^<> z;&)B3QkWIn+M&`+ywlWVDMPuLj+$3}nt0OXR_RU)gz8-35Po1G&(Sr>)ToN>%k~WE zifE=)oJ)&gfxd34ir;Brh?8-yA5M)sMK(TkFY!ThjHPy?lBQ_G9ZXEy1B)1-?Gsd* z-!+WLQ4VF~Y1tZ#vP_ij#!O^^c(604Z`1iqlPQ6<-gJZy7S znUsgTK19d$Yex)z;@$%X%&DC{LZh8 zWCR7FmfL^@9(w45jEr&Tg|ZyUX&k3GRp`Tq(h3L0-h5{>JpPTNS*yL2PV|I7+&zfwG2L zSvo=jrHYn4W3RG-kqn?aWyR`0$%EFbv&d#Zg^HZYrwWJY7xEf?vewD}UM#WI_Kz&_ z9h41R6X#8QN{FF{!>17!vflA-T*;gC%6MP@={ZQ#duSyid75)*o8nV?v8HmODsCOF z8cLWT&{-QxCQb6U_Vb?f?<%df0M$5$p=@<*n{A9{Kw8%ZnM%tztCF!Yc zr)24dU1_>7fYEUi#Y9;Vvq;Q#?$`ciS{<84?RD(l7aN2OAc5f9w6P3naU+0bdu8PL(*8XPK^WpDpIuq+Zyv znFfw)a7I*QeGW~xHM4g}U9==}#`9%4n7h*Y)=n)gq?H%vc3a;%O+lCQ0hiHAT4@b5 zW2UWUle2V_C=(bEH_FCli8t|l+H(Wnx(L?utF+1jTp&K}$PQ6Gd|*hRl!Ec09%(0C z)mV)?ax+5}mcdiYHPV$3rjjL|-8NcKhqHJm?m&MsS;)IwoZ;S$8#B#Tr)e~iRw)O` zRfgq0-8S&PU?Yj_i;-q&qSdQCn?E#xHaUrJQ~| zBU;bWbfhbX(S=IB^ZJe+qIHqx8lH^({0?W8{FKi=bf-IAZ9d48v6x@@j$bo0EI>WJ zvwaHuM>l4<%Ha??Nmn9L6!8uJxJaMJQ(SHPmoXf{O6h8Nra9f|&S45i5DFQ`7Yt=A z``H5B4A1i8^zoEs8C9i~$O^hLLRy8)<*|@vxSt5suM}u@G-E388m^B-?=F3qGXKKpYwqm)eYXEBJ&XrDnQueIaO`YcQ`iA-#fhu9?kxQw!_ z<_gDFEX~_-SN%d2>EYmteA;m-?<@KbD&S{sWuGLw7(Hz1K9a6hsJtdVR24FFl+EVH`FpoEXYfYcDYaCxmhZTiV=}^er3Qc6bYJGs z7L_6zNiu3OFzu#qxrA2yDX>_Bx~axd^k=xTwPoU3Q#^lJQWw%%x`Lrm#uii4hPP^zmNQVm`7{Q=M^R>yG2>YSKO3zttp-pZP&da zUDePyT6~OVi$vIVHxmrTVF<^CQqC}r4J6-|bmcI*hU|0alpI?q-%cKX(?0fF7Y~P| zTW}a%IZV-+;c7Al~9!iWB~|Dqq-I z8@{DCo1~RZK^6AnAUbiN@;d59e&a`e;!n0IUXjm4ivy4X_F#^5wL{@mE)2MYb^OV6 zW;2^rY-9^io*LAk4y|ZK>nw>bP(V%AODmDt+#b>_cdg?oEO)fvBHoS*nh~C4Zy4Nk zSPeCQ^p#Uf7j_@T6fuqWxsk&o7E`Vg-`MoroFuq!K10R7Ff&c=N@&Ge32S%5B53Sd zmX7pgAmdy_4c){K+{MA3LaLl+%jtRP>W4y^08KIq89_ffI9gveeH`5~`E)mNz*rr_p zXT+tr*Y{Y8{Lts)g41xBAkv3Fq)Sv&Dzp4mn-A<3gv6 z;zKQ7K9laY(0Sfc`(6Q+QHevjg&~UGZ+6IBhVUS#v8TvO zHIIjE+7xkZ$zN)-NPL`TsytZIhPx-6)%-{>9#?g`a3!zvkE>~ZF~$7H`}E@g&AL~# zc>6DAu4dDS=uuk9gPa>#%@rCBrsQ*6oae2m%a&*#w#W>Fad?AsLn&t?HQAz^x?YJP zcuT2fabOGeB5B4P6jhlYTMhV^y(#vUn=`x7i391xUanpU1x#ZCXYe>)gO2 zw)_2V?ge^qcxW1A8=JY0LfY|7+~I=3oT+S5t%vfop&jkmleVrmcD68vQT)az76hc- z?c8tqE!z*Wbk`h>?GvtIp|;%i;Q@{gyGcAUGVb_=!4p@8HrrL{t~&H$0HZU&7%!YD zXH>9`lCwiBcgI?kdzTvP`HR=Mo+CmD-+O+Xk?=(|4nV_B{3!lrQjvS4yD~kQ^6)$; zw7A^;({x!)4y7xH(Jo`!Ehy&;Q6!IvF*ke**_EvVI@G5F`_O?7?Be>`l}unN)0oCo zW>FA`ekbsr&5Zfw96gh%i@LlJ7kK3@&ZjW2@=DW;mb9ZC?P%l4Abmam@F&0XJM%+q zr)Rjz@>>V~4zcWTDaAtSYRYRVrvx_DJe?;)cAev%Za&XT2TZ0+0xGgLq|g{6=$i0v z)j61MbY-tdyF^Sz0S#Cotwc!luvo0!#zVr|RAnzZ(vgm|@-S+)F_&4)ViFUX#T<>B zdtDh~0+t5GyPTta>QsZ~G^Z_XXijU7M%-%|<uqhA9C~?NZZ%sg}FobuJLlAsi0p zJ54z)rW=z3)U+m#a(;wo0Gp}7W@#nT5#6SXXM#d(E*)&4M$D~U6%L^*hp~4C#WaiO zVqTS2AtXNK_>!MC(lkO-#>(u?K6GFo+ITd@-M}=aF}-A6YPC;&_T{TAaiJGAUg1(L z)7(nz!5-{REB0UyA0dU8GM2IY%~)nhHw|A{*1$K@lx5Ni1;p!|rzM|-bYp7Z+8)JQ zk$o2YNH=K}(vvxpr#Cq#q>{Sx2VLk&S2||F$&l}&?BHG_yD~jC#eI>>rPWDFIrd_2 z+R&D^K5U#;GN(jlGn+YVaGgUdzHx0{$?y= z85@`ZxEGFKxaGGyctBdAK&ZrGE#CFk(uql-XxxMk=oCsxZn-P!aqTi^^3;!~LMmwy zb+Ta6w1Yw#u|!&l5O|#HN`5M$HPfWkaim7cB&rQ<6$8PfVp(h|wf+B9mb#nrO=QoA zW+u;ZwTr1!&63H|jwzF0k4kHp!~`ZWk#P}S)5&83ZDPMIVAp`G`eZqWH#Ou^NN>Ij zP3JN^%O#nnO_(NyYW(e$ zOL@`q+v&V7txy2`5a)N^8#nVv$a>$CB;1fJ8>lIAGkL1anv65ojs_EHC#^!@ zT*Wi7pHIkW=b*J{OB-6TJ1y8n(=qZDEMy*wna4sFGM_~(0je@vIV7wh9Zzt*<+qA7 zrU6Z8KqDH_kS5gE=5}%|lbOI|CNPP4($#iJCFW5h_Sn z9lF5anH_E_W|cUr*yKOUh|rXo7HiVyxl&pot>iI{7QS=oXIVoJn` zrEiqTwh)W?oXk~pP8bixyu!6?lvXbil%)|(X-YHd(}=p%r@rqa@do#7V-f!`mwC)9 z`R^)el?3^Ry<$Hni{_B2RAiCwGn8Z4Pry{%&cXZ`0hiDjVSJHUlaXmLvtWZeifJ8! zzuK@kh!-u7;CM#Si{;WPMwH62X;fXyPrA;68DJ?(S!dG-?SkJ{4 z(~{}Z3Tfg@-qw)EyRNS(Lut*MpO4wjj>>R2KS-vb@3Rmfsg~Y!Yug6{$f5s!^E=RG|tLsYEp@Qh^$jrvjy@ z3REYLN|dFXn5ETv3fRmhwy_RaO)=})%v#p7k@c))6B}5~CN{B(jjT>G9Xf^&;$oHE z!#(15DVa?-zPJ3A9rx+|-0nM%=FC<|bpcONMJc~Od5#Y}dFd|2G@9#eAXj|FF@mKl zlUb9e8mx$f##4Bmze3uToz5G)X$$fBAh&wT>2rFAqH$0(R}j zu>8KBK78dn*X`4(YUIbJQ~6XY`Im~^>S>!`5(C*x0qcQPz&f_EiOnTbB^^fDeRWi`F7-=GLHx2%3GHi-@_TeZI)KuMk8r;d~=3|r~MrYXd@ zj{;Ald2)dDFU#9;r7dRP0L#lQ>f3tU|Av<|zi4>o@99{|ksd#qiz#M4XS&?8c|G(u z>`qylQT``0hTlXwb3P9walBuNH35`0kNX{+{CoYA+ zz~bQ5^f;P>b4O8wpW@1zDry6geSB_f+stM!4Nv{$j#Hb`%$h^b@{xzHIZ{K3URlbi{3KX@*6~TGv)>}inUGYB^IghP zp7h|Fu)XKLV>5$i_$+zhr(yh_Wv z#%W?yiVfP{Y8NTi%$s?-J}KmtXdfoXq?M=kj1BoFd%*Dwgf-ZJTZ;IBml@*mK>c4H z4OlbHePjzJ_^`IQoEN1lkl~c$4Vz#8^_-@#$>4?yJqKO-O|$2+%X!8&RVwCI9@bid zVFzuQVEOG3evwwlBNMbZbllU{8i}-IiZ+e6akP@Z#FP0@TbW)d7=1Os(Lo)yIZ;|U2vq&{`*b30$IW}HqTGRJg#vwYo+Jj_3ylM z2bK6Z;XXZFqM>sLtGB02J)HiLxrH5y004jhNklxguzbOo}86XL8chAIY$ zaH6u0-W{!}ZjBfdm-9RmA~|q&v-vHOG*0$PV~-xn;$^;LNv$=%*S6ABp?)&&-1P6z z;T)5}7IFf#W0_EAIeX>KQ}VwthyLuUxm7xQuGLx69jeH_zWcAp62aZzkM_*9we7#` zq9Ip5uZ90K)$6~we8(xhS`2>q!_wxjODp4n2Q1aDM zkfRc}2ND3qt#ozNbV$NAi+Geafwxdyws@@5`_i4Oz{6U4ueEeFvW!wZZEN2m?(yaD znCVRIR?e$wuK9%n>WX>hQY~%XVOl}Xx!9NSwSt$RL$?T3XWwi7Jv6T%Vu6M zy9;(obBV`T>-yzwM{SR@q~6M>^a|-)YATIGUy-+oTK#}qhi5xtmgMx8He)n*ew3;Rg=v; zX#yy1Cy$evBHkf8Wg|5lG%j7Tz&W04sT)!&wdN5P`KZ+s(%tMpcTK&wk90+{k|S7c zYvsQ^PsZ=`)w?}CmfDtCaXHPPm2{)#Ahm5<^O@ruBG2Zf%D=0svbU#v z`m@r9cynWUbb>j+57d-y&>ZC}OD{Ynt&B3qXnw<-u2gC{&pQo?@~vZO9mU_fZe9J)L&QV~XWg)9~56U9NL1>lE?#t{0ib8M$}l<1l^} zZ}`+Azkaa`cQadSomK~W63`#}Xscyd+o-un#W)Ykh1?wRXqjbsDQC~UL)3CJ!hW9T70M8cyB--|bRdU-*xb;@%JLv4q8 zb;)hg9iI~KP8jS`=x7#c@I!vWBlcZ|Q#?}CP53~|l-06q=5I@X+$^n(5+7@NI_;DK zYActX$F;tlxV)=SPWZ88b;`GNkRB$%bF{XF$RK64#;_`GIFOg(OmlV0(#X049IUB^ z^Q0@116&yQC?7);X_b<)PlD6G`ML0bjXd{RdPK!_qcnR2;(72KS2`Tz%V2$zf{Ty* zNVo~|BZa)BB?dwy$)i`C)kKu#+>l$RJ~uEi@LF9fJqS`TM^pVW;bENl9Kuptt1qUD zv`Pt_n&3%%v~jQ(2@bUM$u<`&FjD9|^R`olxYVK)m$JlTS^X69?ExHt+NKOA@VTatlTI=}lBW~uYpdTI(pAY3n#JACTew(SB@6jHV6&_# zrkHny){+hJZ&LRXhOg?@3<%k;>m|~|AqmH8tKId|RmmC3^JZMz4^*%>tLC$R7bs{}g-_$I zDx!bH4cl{z*DZbXp|mm%JQdiJ*qi6Q@|?$C%4HNWK=CY^UO!gSvV#6pl^!~NDa9Ef zY(@nmj|SQ@HMrcxO`uO^h2#z04fkoQ-I3B&$szK%HO?C3N5@SVzo#k-9A5>yCxp*l z#nIADWw3FwrFYgu@OiY8h5@ZR6;sSwM`z5b*`G%h97|79c0<}y;7t^?EaisGb)%Wj zDNGh`LhrI9pp#qqbYWnEMMikyH?*sM-quvJq71O0$S#gzm8}Qn(J^iM6Mgq_`W`-l zm2v5(nrMfSqpB@Nr}vOM!Sh_8PvWP2YPUPr@M_z-Js!Ddn7=5qld2D{FX~ zhSGz`EoHcX8RAXnS5DI2F1vA`h5(aOeSHs2ikwq1T`uS*OIIWZiC^hi&&g?0-{8BC z+os7q(H-V6s!KPJAqn4F`sfyEWm3{4;An3Jnj&#lqYD?%mz%hm>o}7RE}g(u$@r6Y z>0~3%v8(h@Ql%_?HM@6|l3^NKr4r{dBEgK+i%g>-5BtjDWdGIC%5+Wr^_p}=a+2x{ zv-Ls|_olQkde(OzcT16Tc?QL$EaLvieI^*TbFHPHzLr)dB`*g4h8Yow5qhQA8#XK{ zU{Lf|pv!T2(q+WVlx>VnEzy z!H^W@n(z4TCLCGD`)uaORMBc7pOCf`4 zD&5$rD9_c}B9ui%?(*3tjkuMm8ughMb9Z*RuY96(K)9Bsw%L;T=PNRp)8nSVQ<8q^ z4fWm2$vl;HP4Iv-i}unDVn{>2%{Hx*v^q)A6{h>}~0UQ$=oMc7*&rHPO^M(Ov$}4LZcFIj`l!gft_3 z_ws;*Jg-f#bo#*@9Ed!hTl(u(X=ReItM8B~s)w z$>azREwT^Kuw1()OXG}XkM)(?)zZTzMpI4g6Q$L(+@y9~e5@h{#BC7&?Yoy>x8+yf z<}+x!sQ8;QNMk)Z5XH})*Ft>9OsAe$J;PaFiap3vVr=nm##|AQ zx{tk+`=wRrkzpZMyvP>nD78f?j^)F^Obl1EUy?H0``Q-tYtN+%Ju6Rxq^n^l;VjnM zdS+H29}{q7!iK|q4wSCEL6Oq7Im8I`)lUBw?OU<^bYBHC0q1G~=&&1!Ya`Lj&;HuBtae*1KStdHRN3%d%V*_1N zmfV1KK5~9sY5>`&sG*I1m#&1tMioAY>mi+%NR|08VFVaUr%dBkOYaSpR>sCBLT{Q? zoDgCy?-hTuO3DhEus|KM@?2dyab8sZIS6M}-`q(XZu2~q2c8G}P#3c5lNV*b+1iJ8nEwa>n&Sj3z zJon|5IDcM~Imra($w11mnu@XC8nQ@QApr6iC2RsPp7R+Ka2aRwdc+(hhtIKEBZw$a zia*3>jN!{V$LP#ueR=#&B?U^+_0I88!nQ~_t^e3(JDu)d1w$azi*sI}Up~y-$mu!H zFP47nDXmNir-{F9irL2VfyQLKK*XjiYI36ghIGf(;#HQBiyd3$G3{k;;|!%f$!D~W zQa%oQ2qobWU+wfqP9C<+6iV?>U>gJl++g}XgRw?BjK-44J?Mmfjp9tqfpy-6=0Kxj^#-svh5o*D5MfKir{py?BM?WKYM|8L6?fqBvKB zPJHU4tlz}H-W&Q@n|M`NcY_MMY49x`KWZCESIXd%4wn9$FRctv%NB7j8ZwJ3siviN zd0Y^|`bo*Wum_jU5Vx5oPdm2G`5H^R#%0g!?#n&r*|5 z4Di%9C$Q01shepL?qEvb3lIHad`f2)7x)^ZJH*SntRb3QW9ioqL^U}mqnt)=1r6X(bdwgK|6*_M;^b6zhAt{YGyH-Z~2yQ`ICPFIoX)6co8 zxik?R-cjHI$ED?~e9dVGav8-gugT*f17L6F#QkL(_sWFv zGz889OF!2Y(xFBm@ga;vRgMgQh&>@*X?bxDBJhsKoImvNdZ?_~4(;0T2bGN@l(rv# zqNcE(20fh1T3gSKW_NC4b6kJVHf~U}m=Ttq?j)qc3*zq;BjL$JulsL)w(WZGjnLqZ z4nsKJA;n?*;33~nIF)TKuW7)S?4z}IYuJOOT61*EdAyo7U}Yf#xQ7B!9Sz4% zXP^L=GBEr?%duX3)+j9nG;nC8JZ8Ag^$QONCtEQ)fcm`>{;-PU1Xici?swsM=LSD? z@Gu^~W`8;+i2LnrLsYrN(%bh4l%F4{Im!ecrdOIN((atW)65iqujP&`S9bHDnIYm; zmlr4F?0jB!nd3K(?l%)TD4-~Vg>;k|S{6=9qSrRQ;#l!8G6p{!u5FY`*~S?3W4+to z5h(xSfZh{}c!c)KTC}G>6UE3r+KOZIOb0;60K@C|mg)bJ|d_LwHKg*7qgDT3JlpBYaP3j{^%N8;oeb5oveB4< z6o|j)vQZ8Qb$rEfrg-(`Wn*m0Q=5K1e2S0rc-EpK^8&8nP{|?2XE8nA7S+R`7k#cy z&X=x=K_k{6B4K{E<@1-;r{*epYt*idu`t#yzxy39{`iE|8V5*bQh_tbr#pj~%Tw&- zF_))v4FDQN}t?-FO8%xAvO9Hu$CJV-}AqXVwtVnGw_ovt3P6w|?=pP|}D zr`U-ZLjZhi>Dl8$E42YDH9jvt_GBRLOg`t>e6SnwF7y0G(C~kf>h(YdNoLWAM!v3} z%MmQ{aeWcj2VBE?JQ{Ebsi_|OX_=S~2L0$;FET4n*@V?hJiL{s=QVyFzR9U<4n)f$ z2GNF>!)lbQ<~hI4mHkhiMlngc6*8Q8bY+guJVx+Yz*=6wVc|4K9C?<>Q~0NE48c)H zTTW*3lucOu$A`7veJUqt%j+@DcO4zV4QsfB(>$7Z75M?Y_X5a&Po}me4y^ zr=hDuFWnFf<#&Y_$AbJ%sX(<1|e8x>5#B z^s@Bp=Yf}2O`Dgzl{6P& zDeWPzqZ7QVE#^e&3L1RV$-BLV9F~zJ>Fpd2#aP9x5Y=|T4$XK}xLoC&xpX6&!3$l_*f_;?~vv{Z~TR7HL%U0l%*tJhNrgjQ$ zxsFeH&u0xD3~^i?6D!pOXUSwbGCpA(6>z`riFj`o#pSwKqt6~g`0Q`#%Rd4w={ZeL z^dgS%P{2s%B{$myvbBHmpn@s@HO{xu+M4Kh2n3v%#Z?_1~{fXGeW#!qA%Mx-Bp`5WL#Vh zA30vrgpIZ<*6}HQMxurbKdnaK&`r-*@6)L6#JER8E+C4HqU zZt#S4@)X>*U3LD`^i1F4;*?!=9%6Nhe3tW!4_7dGj1+&nWrBPV*og8)AMu8Xx)gGr zs}^mO^ak(Zc-?&^DR-_lCEjYk`>ab?@s)NOj4utTM1wx6@?sK`+-Y>-A+2}TdDP{@ zgnu1MW9bGkxW;NQ{&QfZ_%&VFDe9);y%VPRiSixYJl3c^+r-~+(U*e)8&SR}W8yuh zl_D;2)t(2iENMP}I3BdD%sUBdP4RT{ea@s;D5I)NtUTjiJk#iz#D`7+4^shnN$b6} zJuvo(ER6g2HC!64W61_btgZ@v45*B=w2i#=)Kw}m&xJ>(A3PqSctQLf7j+5NhRzpv zi#JY`rJt*|JT7kY%_^sA=`FwCUW-HVtFvFMJmcpnlc>YHNyf}LI+pxh@A2yUOLDEj zYY86(BiKW_F$^x~Y3aK!11Vvblv`)+MQsJX6mPrAwUAe->AGIKCb29uVwE!j9l5?} zkmM+`k?nG`tCl=3?QJm2(OsqryRgY;ojwg=OtrL>ClQ#~;Dxi2teS4-4$8z*=yj>_ zqNVH}%#Qo(CT{WMNy;FB)%@fA0P-)zFB(Ulnse9ZJ>=}gYySHhYnFX7-}3y4Ag1$@ z%iXS8@n*;ToZV%r;NR}#{J=+4150VfgLEd)f^U+HlwWCWOEp&O{be@;t<2L2C#lA2 zI@@OmnhPww_G$q6-=T5b{i0OjRi5*I$>j&*{@QAmjdEKEqt^!qi#JddSc(@F za#V4b=}c7?`>fd-b`5w$RkV~Q5j)D@hO*p|WIb~oS0{u4_U9}kUcODxdA9l5ygV6g6@40I0yH zj`=O&9nRAfZ@jPX+Kmi+DRk$w+yYXB2ft%SU;Tzr$rTEu(4Ic@3MD095Wjsemms&|owToH7`ANrr8ErAew#%Fp zTD9lTgtT9Q;)Y5>~l3a8tBRM{}C5Ej@Ld)|^k!JnEbe0aawBmXby&kJmg_h`;rsDBlQ-WDndU z-cV7IcU-vKZ=J*;=6b&6<~T!J{e0oOo-1e;pnjjl%6TB*mN0ms9X}-*6H_=W%{6Vb z-)re&g!KPJ)m>iBWA#U`bECd-3cq>Yn5Y-=x}t+aLwMxb4jeSpmTwvD;Y$jrv9tt^Fv8E4* zzxSdiQ?(kq1j=jTjTH@9KozC-+UXE;6W`J=^w5PmqKiKfn0B|u%J?hfb}^(Qk24ZH zdKXj7cM8F)kJo;$wQ(%75|1TJ^j2}DrnvS7Jv6lR&IXO%;UCvN;{J9lbC;CYUIRcL zXS;~XF-~y;V8Wy&N+1Rw!Gtc@lYdN51OOgU*cU&KS18RgzGf)H(^zi=M{TD2KD}4W ziF_7_b|>>;$xmykPH~9sVn|I}o}+8Rzb@f=-ch)^KJ7H?^QY3C)1H9|TS4Qvg5QE^ zB!g?ZSj?~|Y8xdd@{#s8()*4<-cL>8)7AqUe1pcUVbN zHb^U>&bQ)o&1lFL$IuYRFot{CPk34JdJT158IaGXJuPLpIkamCic0iPa00O1Ks@rE zRLJ9;1e4k#1}PjmH-y3EmVOzcDbE%f!?ElXXYYMIliVf66ek?8+0A0tnNHNE_`8X} z^`a&jW-EKNc!Nbnf{D(glZtfVR+r|B$>qW^6f73}?HDwy` zPJ*k1Ra|L8xI_SJaNCEq+${tKqD6^$PnU%%gdC`*1nfA$@ zm*C$wcO}?^{9Y-=o?OVwjMExS@|i$%oe|4F;tuw+o_&*=-L9lx##s&>!aoW1n9MQK zYUMn?TKYpz7}r+=dakQ_ojaMima7U<`Wq_E)sBu0u2U$locJ3rTJm}r!&Wc;eOG6T z%+M0XR~HTGMSq5B@;{O&Ck3GMr?K2WlWx8orV(!?acx=1zzo=|OGat>CE3pTl-;Bi z%VA2{d~)fqcset%=lapgI#i^8>;(FAX;aqZY0El$qI{%On)DklTA~)ZIZ{;6?o}gm zZd}th+rpze{C7EqIt9%{QPz{!Q z#TzavvW`X~Jy4DVxROCkQ3{4pz|~x;p|%qg9WpL|QVpIVEWr-^HBg`2^D= z5qd}-#Mp$~7I8u5c!tR$tmfkWPe4`;G)%6$$rHdSoTrfLi8N)dQn+5X_x{Y547HN2 zZ=7YuBuw{?d9>tgp5>1eXFZb3vJ#KbI-)-f(fs16YFQD}9qr1Hp8febNe>tBq_1#6 zgSFpbevW6C;_@Wh*rS!*5*l>zj-~zm#>~v)!$6$Wp8`QX6Y(XfMEc`xaj z7;Pen_PjT|kboTZ3Uwbt^fSkBAMc1kGJ z;IX7D$J3Ng8Kq;f_WNtP2CeqyhlG5$@|=s9_69$6u(W%j&tnM3q&2+OA$JAP0=*o{ zoTiY+)#C5EsL1?4T<3&_ahADLF4fqsrP-Ul{EvTqKO(kqOTyA-XIC43$z=okXsqwC zmYh3>Fm7|3vRs!mHv3Ah)$%yX*Wy*oE{inP{dlG&p2*2l|BhJx1BF&NF4Hz9+K`r0yx!uvgx7yB-tVyvrewl{6 z7ibLYS=ln33&xj}VLN%8%FHCaxs|6ijNk3l2;lBor>zCba$S7z z@sUqW$4Q6|nW?@0H#?SjgF-G-LVvSGQ`Y(pIVMbA5?6G&E6;gs#abN2U3|e(&H7AA z6Ff$TYq?)EE}QP$P+6F94jD6tBN?5vCx>XY{b?S+y;Y=jYEzr%k~ok3+l6l*gIjj7 z+1GjZS6`a%LV21O@xIm&?hRP$SY}(tT&gRbtj+~_D)=EAH0OvnVsvC1d%0-2@^qpf z?=U?eZHA=vW>e1g9q3hF);5l=u;hA}*l5mXI`L!DKK$Fmq3rZ@&@i$RMYn^DHVjKr z$_TS<91yo!T6vL=52R;9e4=mQZkxTRADp+_{!qx}#1LyAW!V-`q*V*SALJ{;46XC? z%w{BqQ0$PVIbG;TM>kh z##@e0btgLVr{mh`sS0O}-{#Da>mN-9Yd*3h#0}!J&?rf&E^WjKJjD05@B~50I!;vT zIUR=M1=`k$zK`X(*_?mUNORswYQVjk+o&Y1oH(U8-xix}yA<+H0G{-7nf+|-d!WZ$ z4o&MNDL5Iy-o9#|r?AdHT47_lOkiQRGEEK$e+UOb)len`nIdy-FQlq;;}$-#`8Nq# zX1MUuqr-4~InA|`p;24U{u9=2k9D612LILG)*CfF*a} z;Q(?yjTHgvT%DV_I>EkWGp{hfL|pVuUFKRw;;jtukVh4MWlzystmYn`_wZb*!c-ch zO;OCbyrq!SPUE~g@IiZ`f{jUG)2b$A>v=3Nb|oK}zZGbt@Ss56uXJe^|t z{xb)KqJfUh;6w>$)u9z1Cpiqhkte7ZO8MDHRhC=Y@;y&^JR|;m-6=!a-G$~Y9Ady0 zag$#z1K;D;dn6*X&6U8S@JtydQfK|jd_ZVNqgt- z5EuOK2xNqZXCiuFQcpq~c+5;3DHq+YQ$@~m;p;*x4MWBhMCy6P7F=4X+DutMNBJ)X&X;gIYp#(yYWjBT?!ej zH86Je(#Cd}=m*!=w~n}Hqaxl;vwC!G(rc#E&-G5h&`@g?T~RZw&p6HyZ`j^1^{-BQuWSx?W zY;EYSS*N8W6F3Ia!V&gRpz(>~) zKF>o~A3B?ieMthfxs$~y+I}3TiNDN)u~CtC#CsUSlWI1be&%pP5_V;+rIpsw#MRYh z`8I%2^o4`t06+4*&7DSvG-mF1yJ&~Jh4IpX`%*&_v9t*OtR2t>bk zd~F%kvyG$i=EY=TcV1vaidO%XV?#Yvmz=5rjd?dOoZ#rdhe=Emla2=kA+EtMjd+}ON&Dju&ejsFD6=`mb__)=?CnnO3gCHU4PahX z;|b$rGJypSDc(_PheJgiW3Hza0QnrmfRvV%VT(%azYOOU@kY*W`G88=`l_6kz$~fd zb|1RcvqexZ;%w=GEk-+DO8E%g!W+gWOpKb`m0}BM3HRspCf+`A6U&QTU43-`&!Vk# zvz1XwA>v$+vPt3G*ug2L=Rr$+Y=>Rx$G5`qYl~w zB-GbsNlLmbg=5)p(DW9o< z>s-WnJ~Xt)#M(%A(QzFvZF$NT*1|4-nysNo(Uniwmb9m)a#N%%M}nt5Gi@#KFI8Mz zeRd!p->FQEd!+Eh6o#a;jmzVv6yqsqnduO-uRKTdlH;bNehDwKrKJa$!;*XIuH4SNM)1X%$0hg|BI8`F%P2yXd!*d5_ZC zb^4JbQ$7h>F;py$!Cs6{`tQ|wk<&ypD&S!LP)L`@z8uGK0UZrVKLNMxvLtaFpkfRE5#Dyb6U~B?e0#oq37XDO++0_t8*V zNk>`*G>*1OS4@FQT$VDL*(~mG>FFr**eh;LVj~B;xVmQ`@!MvWI1flgtu$NX!O9a! z^*KRcU1x{f;>$WE>u2d za-+yWC+oAlE$@gPwp!xz_(>VM^9-{B(9HYJMMiR|*btb?L+s~Z>(yDv7|*@T@N_`4 zI~ysmSsujGHuTNQWj>CYwoHhYHa{yuvR2_thNSh3_A3`;+&aZsfsLW)Z&}(YO>c&# zeAX=BQTCNqQ4>{pKdyFLIo-we`>`gF5#weX>NtUuWM2SKg>xAhdf9d<(smrFCD$@s z<0|5dVGZJ_P=O_(cmAK;rtCNN5#gb#Cc~-eq3ye|fnr`wo91=pR((j@nY^X6_dK4O zC9Gu@&_6@AagtG))A=;*17;ad&_-HKPXytmXS+xl_WGj{ccl3qpUD6^NUP|ReG=}@ zjjlHA+NU{J>POyod0?u2Kq0MDO98j@T_BcTZsiGAE#*uldh)!6b*L{g_$lw1J45UC zt$f4fGuisH^69|`Y1SBL@F06jE9r?!Je*)Kyp%33a%dIM zhxVUTa9x`Q{2~6_mypqcJ#YN2Lw%f!QzxjMGJL5%S<7I~@MsCqQPe@2lWgQnPqpe= z((38IBOX=KVFG)Uq(4R5Cf`c-^E{%;Gbl-p?`6zp&Td@84-TVlCil=xT2Y_e6WCpG zpmf!IQk!d2IMm!Ovv``rDI=|>3y$Z%gj)RLDtuJyfXB;jhZH)`LBPU(V0`NkB-Mxr`NzT!^Be;jWUzzLCyt-?N(dF8-2G!M+>)9;2SGkq|7U>C8h+aA=nWJeg5u;i-o*HZGhCnMe2c$afR8#7HgpSM#Uq_D~)U+;;GXZJwP z4ou}?I%zqqUWT#a!#0_W@aU2Fkl1;Z{%OYiy&I?2cj9+&M8jaeowOY2axoZ~Y2(xhMbb&@R5dzEz@>Z8{xGcf6w;U)%gNF)|| z>vJ+MDHuzxiQsBIb*f(y7R<8?VW^6APzmZz=rat3p8gtl_?=*H(s@4sJMZvGq; zpl6`%GCl2yV<)Q8n`cwHJk1uF?kaeW?y=N{zPx37v;4tjQ8`~M`zkq@pEcyNneQ3G zP!UAX`#!x8l1 zSci3z8H{8kzcNKo(*~T!h3uB(FRQtkS15LoS67CH@RD3bAHMUMM`OCvo$iq~4e-iV zy6~5@5rLirA$qIj^R!|OSuSbm)Sf+j|@IbX9$K;9LysM z>l{n?lb!2bNVtk@YS+#<%Up4k--&x zJa+1A2GT2(4UOgkuF;ao7|-EUPq#hPE=-%YcNz^g)6cQ2ff{SP#TN9}3$=MBZCs`4 zJkOcz8o;P2%^n=j-3&=@&;GYITp1u2ujhO zoB1`3_3D=%oZlWBNFbKfGEif%;nH;EX1)y}o?Gzpbwn-dB7(F9NNJ|KI=EWQXofI^ z2_fouHBX1!Dv7+oWo-6zWnW&j+yc{Rn>K*zFDZ?+7jQD897`+5&+M}A}kA3FR zlpDCn!K2G!e&;WyF_{@`^;k}68q$ zav@QJqd1NusiBazkXcM-3R9TGR90&${{Rl;APz{g?qAI3Jmewn*eSddx}D!woW@$M zIacQ|y3;-8&L#6$LVF?eh73V^@&woLq*A&q{Kyc7vLJK~^ZAa$LN9eE+qj-*JYIVv z58L|qG(Jd^`XGKy@t2?Jqj)1VVYJ87fXOK2N?!7vOI@zyBBiZ^ZOmaROIgS=ma>rb zY+x%J*vdxAQ3|L+Y4WK_4QiJBe*>D(j0Ubn z{>QULP9=sU0DQLL2IA9>hP!~>!1#?<5_UUV6bBs^J@ z)ZK(3lDrk`wk>?a6*Q4nC<%2~6#5`u;PI8)I_bCP`Dw3dki;SAXxB%KcTkhHn%m+B z?F-(e*_UhhNclXRx-4fDZ*v<*r456Vo0JXke)&wgauK8khjSBe@GFb8xA#hhaR-NL zaEnkULIHO_3enBOu3XFqEEBJ@UA)Cj%{k<4o&fgdZ`SY?L-M#=xL4n)iBpnQOiKS$72x_XhO3N6@;7A5~*-_vIJ5@s@s$^6T|DV*iHXIF*? z9(vXdV>ph5A(ofV-gKusT^vpYhr^p53^j6+XiT2qK*qS9B!tf^Toihl&nS3T+DSfl zac9!)x$Ai%&2=@H6*u8}f*aEsm#3yPA9`M~O3zG=WM&{a@1z_}sYg8;P>ae`r7C4D zYhIh#1gv8?3%rWf~m==wn09Q-zt4UPP_V z7b)^s;Siz79;|g6mw9fZOW6`&TP$WD=|N!dMbiLB$7t!w8C-L$_6>eLvVjxD+N2hz z@UlYeOZ~E$4$=d{kgarKo4{?XX0*L$8Uw`p(q7qs^|l+x>tmx_QloRdvW9NbgTUZ} zb^(r!zojc@aLIK7&r?+?VTAeY}Jhn-&mQKlJsDl-k!C;6gt(hUds-z}QIo2hQ!zr)1eJ%~X zo`oDPJtz#hz)b=-aUs2u>asuc9IkvPZC%>M{EE-6A>Caj^7IFc=K3=o|UqBzAewu|{1={J76yfXAn(m0ejHdL2iba4d$fXfATV zcDyZIOF5-jP{Y#Jqf<$$+3ez}9Xhj6^ue}?Go*)t!C+9N3@?g4FTBVah2uIO#8MaX zJ?HrFQC^LSq1SLNEu;sAA(!YYawC5dGYfB|xZ-n2+FJZTG2U05`i5@llqf|E5OgVL zFc?&+A#j3BhurB{v&L~}o!2mk?jBkwpP>TvJ4bq87;+7nK$h15aEM~0y+6{{;7E!o z=0696^5m$kdxaw(h8T^?U@&;01(U^}6yC(i#W27fNv@m3&5jmRb{-R`-C*f~k*OG0 z1>R}Ic!dRB-E5-=9e7wVF4YNXf~Ds17af^HSN?OYMKICpyz>{whZ>D4lWA|l6$ivG zY>`$Wvh?5sfv2S|ZsakC6y=$ibp3t{pYs~uDmhj;pVvaK*=+WSa6DN<)^euEcaZv4 z*`%}^TBlIV0#6%u2MJp`C6h%QDm@%BgwMqLDqE$obk|0fD<}}}9Y3;A!5KmCG}q7P zVcIxc-&w!~P5}o=4-G@E^0D}BoleeAqj_njur$f}J!dY7fTdIN2f_7bB~<}4c?wF* zcLe0CX1_{^?|c z*5l|=w{%J-f6`QXSVWXOmWlUHHi}vA+(}=N;ORljKMDs@^7vU?PiL8K!m1mh!b{?} zgI#of1!sb#Q!-iV$;WRlAmPP0`=yC=w?>3&3>NQ;prn^V{X4KtT+j5f*&*Jk!4M)< zm?eHo?34meKEsofDV9#j+u>Fdx+MuWiT6zQiF-%0*-2;q6Yq=QWRy}HM17t9I_W`T zFj(mvq3?~iwbd#L(d+!l59R=Q0_7Pl-Y?lD1;+dq$fF-y#rq;yIb5L~YO-8h&(t#9 zc*E0!X-0iK$u-g{08q?6{G%bCay-k$(v{J`3NGeTX(b{?dG4i}v=UjwM|>--f;jbf ziyqQS1kO(!rjY6io|9HI1m|})$sZFL@IwhBZCUmgD5Fp(Z zLzQQ~bXI7MQv5BhXL31CdY~8#$>>C(_{~wwAsT9u$(ByZWwJ4nG#CP*6#XM)v=Fp> zsnjM1MeYQ4F~z(jJyZ;aRJ)a5%GN)Hi(A&WSL72-AceGIhC;e- zd@rpk0BhKrInqiP49=V-_=WMAr;WR^3>5!13Rj94XyQ91;ViRG|$}=Dm4q2pS zt772s#;h0DRn5TN;1U!0D;Tb1omkoZ>FFx{L5!x8n6{Ga!Z!?}hO`=yVwJQ4k!H2D z0>bRi-`s588bBGYRZ2IXhlDkqpVJ$x0b*{0V3^BoVrA#^HhUjWavvBrF4%eSw{s$A!p|Fs0|P^c?yab_*JazYJ93> z&r_Cx49poL@l-VBYhE>x-byeJ+H~Y@>m0_v+(v0>H6lbs#kHp`+#smsBm68_dRAj5 zPeD<{g=`ipy$x?Uo}_HZPi8?EfIKc_vTygI*}-aQ1tLvuPM)eWknh=DT8+qYh2oaK zoM*YQ^cO2T>oJq3;F!t-f~EK7_OyTRl(Uvj$!Wl6yhS}}HN;sjtw5yNAgzD^XEK$( z5p`-)#IM}T@wA~Kwb+eAxrDb_9#ZL5xk4e;Ra_|4IvY5HtwLoR48ADCKZ0j03OP2V zW_`0VdYyk+#T7a3OztxAK8Uh(lkT37*_E%w+dP%5pg&ELYF&ojA;sHUPGyG{?8;WL z7P>@w_!tb{=^^@fS(VgqT-K-47Dn(M_CS) z?w$}S&5djjZ}H?(z~fYP(EmuLg`mmxX?1AEQn5DrMS1`k4E`7?`jDBJU^w1@Uxjoj zWC$lF35-&KQy4~(XgkGg`Vuvz2aXUIh_`-}rHgd;gvP%7E#BIxWDW-^)uBQNpNR`8 zpQik=f~|D1^bj%_{BgPPgXR;PwL&Krh-gv7d$dg3PJ2bnJ>yc&kEoqTu$&{_@==!D zuoA4wlWY@j>r^s|+AivHS>QFfEM?j6h_=(w(!=kGXnOAKASR0%e_IkrB09@N(O^p zmI&WO+YQI%ESE(JW1V-fIf6mRn=%W=}(6EFwzr+6EulbNnoopx|l zz*?P`q|6@TYjJ zxxQ*NH}HbA>T&GPFMPr+OqW(9aMtmivx-3KPdVu!WiV)< zHct!szjiAJ`>bu-K(!lHQp&LbpY3xa+e|z{LuT`x_)R1sYZQZKrs5m%8l;w)^vNL; zazi#!nY&mkekZBR3JqbCMg*$i;Xq4Pi?@_j-jyC^27@2wh~GjI@~F>NJ4w6->E*W^ zahPbxKFV^nxC0fp^0kI~Um2)|KTws);_YOYq8#u{X2@C^i{C&p;?>@12bI_;UI(WK z(}%umX)uIKK4&vq{N8adPiUxni-2_;Bi>4hGRNl*fFUKpoj)*aCpVqsk7u&a95(QW zbR`_f<76iAcGBR>2169-#W)7Bi?kXZSgawBnXC*{#}45%g)5pdIE4pvgHMdBf?&zx zDrpr&_(nq>V-$nBNRrQ)oXR`g$4qHeTx))hVt7@96L0div zOEi&IM3Gm_)JY?y`5?j`=sWT$<1&2e1ogD!vPHTYLfp%l(kf&hX7UsqwfQJ#JpwJ! zkVm0(C0tULOPJ2HG?Z4vkxP<>GBtRo0$wJDJV+u4o#(%5MzUeJgQ1p!UN^Fl9@b318GIlP??9MRmeiB@kPY$_rv&}U$f@G zN=GfZ=vlwYM9T3At)!L6F3jX9DDL41X%z&~@r4)V2}nB1(~sG_LQ82yQgE?3yc`IP zc~x447)LXbALu5nhDMreiN2T19=B`qC3U5h$R^C>DHwWXZTxjLbljxvq$}Zra$LkD zK8d6w%}b;e$Xa@^tF#K_(VM>*mci%hQgMRs9Gd0u$`e3yKBt1T64`^9JO#mS49Ws4 z13&0^i9~VY;f8!p;5UAuSER;5jmWNuWdjF@mR_1u8OLYrE8Pr%aFOr(C|kBLy>kF> zn|Ho0Ohf9YA|F#-S_xtH^PNMRh*^+$r3;@kf%7RVtw;$~q!q|&Cyv8hUjD!jN5;jSzkj4Bb zQtIwJ%RC;XnRN97=6+wclzW-;W&rfdag!25WVuW{no$&_kVd{*u>y<4>!4p&^DvF2 z2PnhE+aX(JBNapM&2D^7p?J;1k~@90)KGElqasCI6#6(d7#ui&E#kLEG~@wa?Rd4g z{y|9rA9J{<71ZhCZIG?NsNpRP}of%w$JHg%E z-6dFn;CJUa=Y4;{{c_i00c-E>s_N?M+ST19+%QHAIyKKYUL8tRcN?=?Y>agAWz6z^ z3;nbNtA1SPS6BK*v$4NM+5L#p`NKc_vv-#1M{l=`us_GogBo@owwgo8j|m3WrUK?- zO?I5=oT_wu{|pUM*|yl%FaTzy+aL2fuY3HwF3zn6@tGY75HAIPdMIXWS`#>`S-cEpN0(B6xp&W!}*UZ>79t9!rV_b(2LllqIg^`hD z%5~RkNrsW|LjikeLkeSguxRWPKSa&XTYeah=YqfcE=mXcl}fn5fdsk|o0S~oE>IX- zjxo+FWiY{iZ4<=?hhiK|y5EZ}eRahbn9e7q+Z+11N4a&d-#%8e2ZQ6`3xgj8hACeS zoiR00k<948JFy`ucyMtoQff#l=x&#WG)a?Oe4%IGchG61plHX)b}G&>Ljl=lmSWgj zcu!HPM|UK4w02uv$-={i8-;&B=ufgH`7~Pstv~UoikvKCoQb{32O>IoMO)d@c=C~5 zN@2^yR51(Y@`LVTpyI;E0gG0uaxqI28s)FngLEbGj3xsgk}kN(E^(HjIPBI?f_@jI zOxP^j4oEViFJwy29Mkf0CzBzPV?8`LR;QCqc;^`NN?UwyDiDi5C}4zh0pmmcRDu(r z8;D1ujv>Cm6KcAM;?dRdtaq`S_7KgUjqg$!_63T;@2it49+}G}3XC0%DnVUQQw;dv z3=I^M_v=-Lx*q~4uK7}hUCE7rf63`14o2*4s5#zpdR_M233sg#q6jPw$+T}V(#SCa zc@Z3x^3X%lwyA?dIWq&aS;{47fHiH%7w8nl`$4=XWf(~Ec56w(pgOaK(L9kFotUKA zYpk-xi)K|*i#ge^khLkl#7}*&_O}_Y1ixeDM9Ta6>5K0)gTCq5$Ds_oWNniznJmfJw zfY}TV;y^By$V?~hhtiMJ&~PVQc@S3SSh_s zb3M;>#BSD-L^n{oKygwhV5&P? zYK~)=6if`(Yufw-rl%x@&pkL3@ZJ$NhML7D8XR<{5@Q}d*yH5iMf}1a^|jHUkjJ_) zGrB1>3l@6I!x{UUN$Ktu^jy$Z8xI(Z+(Pt;niOdgce$k0w(JaDrSF5;?lPtCx-{&Y z4EU27IXc>UDf-y5{8I<8u>@l{YR#2z%vlAbmfnMK^t^&Xv^Qc)@UrC@Z9}<$G@`Xg zlRXMq>U7<_t`N;GjMwe^!cv~i)tJd&-l9Sob7Wqtr40O1FbBENC27)1q)kQ(Ehf0v z4jD@~!nKaQ9np{z41Wuq<(5b@`zxm#L}yB)%+T5;2}pEL>ad&d31i66$~c4oFUL$M zA*Bn&k}Z=!$NKf>OxzPCWOpkMT{T5rl6CuS=HD(Kvda+GWlHTsxu$I_^et%sNMri_ z+W@3M`aRj-rUAhjH~ZX6a$5Ze!)DV(hmZZLHf|u5@Ov&8K})hiYTmER5+(98Fxj+$ zA@>h)C=Rbg8p|U?x!4~-BhW@$$n=%t;Qy)q;c};{=Lqpiz=D-R!$z5mU%TjZym>Yw zznaFU9ac$e=7N2QvXL_*oKC#g@wTiF?OfR&jG6CzWGZhZpF-Ktw86;()Dz4~`710O zuyQ{?Z&B^pjIzhHH0d?#zOI7wGMVrX>vV#XY7%j%MG!nJ3z;BAQj#TV5lb_9hJ*fg zY9WMEsF+B-zGD6~p6$oAv%eJh3Xelm- z-NJyBX3h7gGBakP#Q_GMYPgR#>&*7G`f8~h>Um5!^wMxcYFS8$Fif1HPi_pK;~3Nj zryx`s;ddF&kynuZIA3N1RPn%6i#K;v@yjupe-iiAINgSv*ES!&p`D5-*}%(av8tC? zd}nw6gWtCrc>@u5tJo$4qVs`$Y}tBqPZm{SdWqu{`kTc7z zIrKqUe4>=~o!g7_4NU^1*Zdfs zLN7*vN+3sVLyhtS+qvFMfz80Tqi|}L+x&e#f07c)b(}c;m<5v4lzuZqaHMH#?VHcQ zlGrNlDCCOQ?D{w)j)GoQd;F%pjKlmb)! zZrK(Zx+6wUWE=)IOIuL{_UmHg09`6Cl=MsSMi1-M5xDf`vL_@^g(c z>yZtITd{l6N+v<#X$Gl|!saNrmM&yYUQ5DCO5B#|b+iF?q`Ndg*Kl_HhU&;}zjyOo z=ECSrX##GRwc9g@Xxl!v{Ls`)>g`i&^NaQ8v%Fd{KF|&)X zB*$oiVe+A+N|yinv#*?2Z>5ye=!rQ)_ZgL?yUUE`?UuF0NEe70^ej=yiOxN#tBpY2EF9h_;KDS{DH6>E& zf9-1>PW?pC?1I#vejSJc3#~%gx08aD$<-S-?^eE4$Lu91>-caKT{5>tzVHX&5wfM4 zQu0%yGsZ-RD_q9Dlp@}7Z8`3F4cPF^GBqV`S{s_dJRb-?C&OC1b!HUtKXBR?E6qOZ zx5&5dNpXlurQs8e0Yg*g+K|=-81p{LRClTUVfun1*_+OUF-k}C(nVPM3!)GZ!<;SF z%)zl~)KDpQU0^A5YH=<`?tjj0#7WbeHg%=%c;|q0N~bsBAl&q8>-J=p)uP%yN!)@ zV{XJzLVq(&dyBCE22v_yh(M5-GD4gr}X*XYz>iHh>%bC;- zDdgtSInhN{FgWL%;aW^Vg#$lSB}y|yuiIJ%%*zm_+EBC*{+U=%$OZ!kphbKXEEuyc zLOygctE#S>Hc6ok<#DIg5{D`s%FbE_@f54CQR-l7Mn27Yt<*qMQX{_u_A=qX!k5e zZw4j&J7%mN?3FP04{}lHMf{9*`~~hOV%ZZM;$nR$y1@@`97f5Zzqh>=|JB*9esLFqni3kSN$6P>Gi?WNq z2LUMV)lq7S#^7532t&~|0;2ha0E896ziqn9xSmjHN2XNf2+8agCD7|67RL6f&LszYYrBBd@s2!rx ztu=N(v24kWmnga>??0azZLtVOJAgrZjdi#X;~^Az$wY zE3P3@^Y{-)e|MUCG< zZ0yzA>nTF$pt{4AL;SzX&o?zTC=}c%98a<|AL#mH7grn#l%4?#iB$nc6aj;9r&(UV>a3;8TA|^p-EFKs`@Lh%a@zDR#{@ms(>Xrrozk zAjo%yhT@3!_a*3#z$2u2bvmJah~1n8{Yxcaf$PJ#BYHi`q7LG;Al|+P2i{NlY~_Lx zrhvngX*}4=im_JA<6;dQUyib^UqU|vBbL5mhqG}>&*9=(YMeNu40?3GUWD)aJt!mK zrS9yDKee&rJ{lW7=(>#n)Lt@~_@+yBfkR#?F%hmwV8PW6_pZ$+UVdB|f9%0jj;95vIjLbmHH| z(-sxX(8*4}G;A%+5@vC^EA#hHuh5nMAfLAx#=LNPPx)*St?KlGU9G7_f57$4G@Rr| z--sGhrHl>uZ~U1z%%epmKRb&>WT5Cu@yo6E$3Q$LG5$8f)XkAGV;!tc%4V z%hN#_uvaHB)^tFX*JZZ^n#bQGUh_9m5X0up;8Ef}muMUJecg^hWk|{BG-!?yYFi#l zBSbX)?1qD!(ubccToCRF5%Sb7h95lsO1i4{&Unh<8jAaIA$vBV%7=q$-(O#}>ct)f z{^ewluCJ=5nUjOTcuupD?HMGvOEH!run`eLmmn94IP8STQaKHR1c zxlPz<7wcr?Q#VhjZf9^;hb$0xrNGzRB+6eF=&($N*vS2gENs~}0gKOk_PTq<*Zq=Z z%A#`ay;eh|x`c@^Og9Um#fd0vp1Cn-iIOXxDbE%z5Tf+8gZr5@-4yZn>@rR6xsB&C z0nG1UvzKD|meOyouT1DL_Zz$Kn2&D{{+t|8A^NtlLjsjeBBD))p>&DgPuMgLZHe0%M|gQ}BITrK@zY!V+?$%tPj* zdOY~6R&#Yw1kYc6@?UMf4S$@5>e}TWpCi%~_Er+@>gx_!HyV4geUrqUU!xF6A!vrL zfBXuIl`cwzI%+h=FmElvF*3Z?&4FEphiWa3tRZ=G)%iXNC-7Ln?ZzL|B|ek|6Sfzq zC=tLWy$kky@CDRTpiqYy^=9u9Fi}}ytC^!0)A{NgAX^a%`|8pGNqxR_6@|^dhYX;` zP7DqbPT%|5@GKkK`K0w;ZWPsLwMUL)%yZsC-J-E^X04SB9t-YX@T^kQ*UH>rhV*y_ z-O@(z7ilk=Lb}qJscYpXYd9R2-}8PAbviy^#?nSZuRLdB`QB@dI4A6aBlrNi2aEID zWh!@%A_L(D^E$Z1G!ZiEvm>gM<^GJJ57BD&^BHkG4kW$t{+cBZ6sT_MKqa|#_T-SK zwK7>xIt!Z@wdS=^uaQEWOF>0(JHX6zqc@G6x<6JT1k#_)DP0CQ&DX$-zdsqby-4qk z@GZvg^)o66Jtj<4(Bdg7>ze+qK$*<=E-I+RnP&7*e4a;@$tOo_kh^Y1wKw0*B?Kh( z&iHYOJ<6tSiGLW(q7YU{zoW%?NRubXZl_$rk@=fcN|DsV?f|y2lMD^UhZldx|M6|1 zatwo4^`a6reE2a@Vo}ETB~i{Sxg(?YZx>m^BBI4R`&$)D%nr7*bT;#Ji7S%wjO%48 zsx7trOrO)toAb-MO}^F3HK909qA4-9z4EuVcB;AAVG06OxGGTcx4mfy{KN1|Rq`Rz zl&7a3r2Es<$5WphRHQaP*x1g&83x=qr`to=(JXydv(8C|b z+`w^*s#UHyL>UovwU@I<)ThZj2;9h29xJCgb!p5?FqWm98^Okx`Z_T79Bv)y?;?gr&iX`HLOfIk2O5{(wh~& z%d0{6B+Kkjwq>|6!8Px{U#tw&>EStvH}x}72E2xCH&xS`tr2iyerMLzHtP7uF-xM% zR12tutoIz7CW{mmKO8KUUPjK0ZJ}dX6I=F?ZywuOzSIVWsu`yk8u0Lv+g`> zEiciDww_x5uolfFR`o6)GL&5i3({a}QBv@#TWV?`;o2Ida?n&@H{)lvJS^NBexQS4 zlDSV}wqakom`Or(N0!t$ytF3$lNs(n42FfL9KnRTc9v{`LnfpSMqRNKzo#(gD6;K< zYa*gHj^ze^utzfWGjt~>2L&rZ|9GcTk2z;Z4{28mCL{k)qOFw)*;*3QZ9WK5BPn?E zGVyvr-1%LpOYDSeHjA=7+1ySHfmKR@D(!Ju zLc7KA&s*G6jN8S#rIJTY3=XiZJ)6tx548TGw(TK~YIHBJ6w6C7;R~F??L@$n$PM%g zH%Ok}jIgh>pz5{+ctj2^*q3&N8G7Epde9^g6T+h$BoPWyD9#dV7*|l26W^4CmbC#Sykv%N4ve-eRtmPiosJV(Y z?)Ewo2`ArMU#Fc<@YBHbPVZ8g&}r-}=@?#1{#)c;+gsMUw-uS47?}M(bsn8fAaSq# zTDfePuMO8lNLJA%kRa+2QgrG#eIi_|d=iO{dCZ)BQ?1lwI z@^1#c;8z!xsKr1ZY#x9ykqGZv&5pPi8=VE1Cm*!*Kq9V3g{6mU7V~}8hiVS(yN2sKSzV3iE!!@gy*#bX6%#~d7T;dj7}YOS|@(c zzn*ipENVf555T|+1o`QTqEFtFj{0w*t7LM-r6=MJczEy`KRa3reJM1{M1qK69wTC@ zj=Z5R|D_DJkcKg`B745eD1i3ruz+1}NU!sxo)RjBEVg@Wh|uYE2jP@a+mVZsYOvd4 z2v7*ddvjAUa5;r{uBZo#x?J8IJBZ4W`(N=1*(70X(8R3rrAnvl#CB(31h@+ju?iAp zzEzl>@t%gr$>Zi4mXH1r-u%-XkIQZPJv6g-(fm=goIBLWXQ(esja|(k(;PL60|g}~ z$z?&Z*%V&fQy+Kg&!Jhr*@=nsmz?1_aCMmfUD76s<$KE1j4lMvR(s^r70RM_B_sv0 z@?H)%-Y*O`LM)rjIN{e%LL0(HIrvzrs}>*M_WQyeQ;_wIRC(u)++V-5r7Gq>+tcS7_%C^uH#$Dxi426fvKm`CZo zA46?@xlx_k2JJppxQ-lSj&d)w-y~*Y6`ESQXk+lk<9b(X>Iv-wM}PuNQ5S41oi+M+ z*3Wi)Sr00=F2tbpCdgZS+H$FPe-nJfn8z27%&Ns$np-1E@9<~`4<}H=@8)LdGD}Iw6 zR;0O>()AhzQelkQS1r=ONUAZeIp;zH)B-*r&XTmagpMnZ(ZJNh(phX1Dq1lV0%L$< zf^cP=D;H9926Fe6iLgN(KIb1n#KXO137VA5FW_%mM|SsVqy??GcJJQ=c*VT${NnBNtu})pTsu--P(=m&LDQ>WaUu%f$?ir|?Njw+CYJHd`zVcG{-H|d# z0>_U(Q0ZSbE?yDrP}f2<*NtNffAt{@5Jo3dM02#92mF1@Yo98u*gH44Kfj<+>Y0!w zT%eN8XMLR@Mry$gU;kA$w^dHIf~Zh?o$YZ6U2A4nJE&EC&SV{ntYtDuS?s6lv@)5p ziAR#PJ{%7|N7@6o(5He4xn_onfx_&*6obiRv1a-P#r43_Hs##*FB8X-L7*jDdjv?0 zpIf{>uUb|6HrG*_T>YfXXCkZlyne==OS=v3A$^_RMbh8l);~p%4d&eGPoWSV{Jid0 zs4=nQ#S8|h=(XmFf4g~^yd;`5j;c6zBf6fjkBAW3?&)`&tNYwloM$GO4(-IF&;i)G z>Zdp!CgMxJ>O9~poTrf8JYN_nDvBoRZduYHxvH?X3tqeys7dq|8tTK*F-6Z0YZAg{ z#IYgR?mD=22x(nW%>4=;IDH=k`aQLP*}#}M&oJn=OqZ{|?jv?MUOZ$t6Ro_Be?d#l zTkjJVvt~V{doMMVoXN{@QEcj$JZ-3}6F}|_+P(Ww9lSO*^kDAv{J|dsfsshxzSv!B z#0AHR!HdD;vauXfeP-}rB}%_k#V`b_Rj*?EYg+thx?)IZiMQD19M`e1cIsMG`gmC3 zj^3`-ZlCc~sLYtmMiMocF23I-i`NgL9aemqEN?akUiuL*PuIBdD09mub0f*s~|dm?iN99m!5`dfG6IDKdm!6C#2GraL`1 z_MqLTOI)BlgfL9A&|$?BMN(*GGXs$~SSaQnd8d0Jv${f9b972l77LU3+|56^ETXik zDr>#r#S;fza6Yt$`qZ2pmr{%eV{_=JK5qyR7FvH#VkxAbXRNior!NklhY8iry_g4K z`p~wi&H^PJ$gg)^#F`i@u*j-D$1|@KG%lukaaoB?2~=A8+svW_7bT1zhoi^UWqd5R z|LAJ={qI`=Px>9a3aFv}>XrUOyMTPIq*dYQCT8VhhZTdy9YgMz9iNIWa{xKkfK_alxVbH^pqw446&fiav)I zI@B2si%u~Rprne?ueo_f`ii>QP``y4DDjW446%*D2)U;hK+yO$99xg4ACS$%QjGHIN_$!iNeI zv~>E}XB(8uVwi^wWc34p@?c$JYz-pyPDAx#nE4RP@5edb(ZyUQgW?P(x!{0*F*&p7o66gnP!X3iObeiQaJc}O-n8JDoB6Wiw8lPksWN}_|(C)|*O zbjT4_rLh1@vp8jI{VK8Rz_D^Mlf`vu2+>!87uUN;nO+!LJO=+}Lt>4sQu&p)9OFlc zs@ga3w19I`iT$p5JHuU53c_KptqnvBsxs)~?wF6s!25JZ6*f3c6U0@_tk0stvd<24 z3Vh2iC6av8KNIrhr%+hf7z~W0;#+$Z_pShFWpE9g{U8 zrp#K_-Mf|(ALYC1_m@c}UBnNUAmY_=W-r}boMTv1`^2udtS~cQ*0N`^v;9TUl`bq^ zSU#a!nuUv{8w)K-e4YB8)Qx~2p(qY&{_`j0LY5l6u8SQNB#GDP{|8qP~%(%XtC*3%^ z@SgV4gaR)otlXzVj;@B~}*0?KC#%yO8<03Q!$208(u;OtzGk!nGC@6ab# zz8{s*QA;#H+7f*w>6Kb3N$kuft+4hrD6dwr$`-0!;lJqS^}KO-{R zvIQ!8yy)yO?H(#R0c53o6Cahi&G8Miv9y^P*r=q1$|U0))zy(s z%-8~hFLF#mX#hWz>8+*Be++_Cf?|nGE4U1wjGvw4^D74!d8c(N23woh zXQ6zChSa{{|Kxxc7PPoJghIm+A*)~>pJ$;8c2|B7E%4Yy%wc|+N=E0ZlO@%P0hUpO!|{jXma@M|(Zvi}t^JV|1%22+m%yGv@9ISpr!ER$BFcTBCn zhR!s&;j%VUo)u264&!0O6j)8 ztxAphL=iBTF#f^f_U2I6g$sDUT&QX>?H$gs!_m*706Z`e zw5(jm;Es$b)Q4fZSvwXe1t;Q*YzGe*YXaOi6JY-5)`arGoODz*BfPNB5TuS_)i9x*%N5RYuS*m-~-5U+MqaW`1QcGV6e4wB%tR50Kto46qjJ*Q4Xg2 z902RPitaR)5&eLw%>ejx{lhZ3W&IyG`a#}8XVl4#f78CDZ372r1Sr3*?(2ZpBlVf& za*3vhb-*n;K#V2-H67sgV9ds-9DFdb2zXJzi|g9f0M|J1fX6C9Uf|+C^{tc=B`E$5 zxHl4aW$TYcg%7~`HxsV_M+giYcZ;NdjmaY`h|2Ndmzi2!_c*)MR0l<~ce>8Od z#pT6EVF17c@L4X8VW2Y9WPty24JN^1e4nSCM~4eVlHoriyXOoQbg{fS z`Q5hrka|3XvzMCLw(mUlyKNj~QFVYpH5-YlAN8pU@SZ|-k3svSifS{QG)Z1ml?tLa z#A=ZW`B+?FPeSsIFnus#>$=d&^?khU5YOc6{~Babo>J{jFK6qAn_ogbqcT9FQD~pI zt(06}X>=iYAkDA{yy9+!=uip-ehR=B711n2_dD2jvcdWDp_`!dy`d#$f^`8xX*Gd} z1>~SZ%wcrm0Ar?6KTC4*Yvf*e zS!_4RAI_?LUEka4(a;m8HmZI&fqJQ!SlNN1N;{`LSk+AHxyJs|y12 zKP$c*eChtPcMTvaQpmzCMc5{)58CzqnsPDVUiL}kek!K@Pp*sW217(~>&O*ooNaCF zqNJBVYW-j$fOx1EU<`00PCxfupOi!_cJYsy{fA1sVYxCl)5P8R>~g569SSSgpk1m)7813SmuU3CgsA?dftAs6tf|-4sj*qSYF?g zJ>&2QMo%=ePeb&H{6xuxVbXDkg)?%%*k)3dwdn*xF{X8b(B-}RXf$(pOKSw$bTTdS zSyk3A;mq)R8Cypp9vgc0+r!8tj0{7sJ;?>$anlqss6a`GxZHl!$Y%(Ma-N-|-TGfw zMwf{hX;vqz|Kx8Srjz0bkBc<7TwL4NcDEgP8PZD!(FZdthr3cENQ7W)nQ5BH^{$mO zGft%omr1c|n!+tf8-2}8AHtWqA~eGv)ow4EXvrF@%x4942>J5=5Q#>s+l*h_0r<%YB3Y^GM3ENMusVh*T=nKH2v<(sF%%4HG|oUPaqJ(X);7- zQq-_!8h9;CPOPOGtVgYNE2OGzK%+MA%Vc~^u?d(&p0DHvd&|hDF+y0 zC;i-$y7rP$NrBKHi^^1EfP8OI{ga=R*aI{vqlg@a)v8hMY> z3K5AITDmhqsT9IzXDqMHQdb*nth|6h6O+D4N`cVurOf3#@mQ#wK}=c)$SXFM)HeCj~)GJHCeGlKW3^Z*0=5Gbk;g_hSvBZZ(+8zCp` zugxu3_^zf#UXtBQH4;agS0L~eFDFPKkfL?aC{MiT=aOQU@c#HDx%voSutZ2sA8^Qm z-@1PO(GV@Qqde|v3qUf`l|d3;xQK%QOx+K=uqRkW#eV^mx>vxTb^ZDX* zQ_`)(Bw~`Y;7hIqP5^GG$fYr`s>}h&n@EBI4;l|2$pr#6Jt+jfg_rE^AU=2cOp+(+ za9V-oTrq6zfp%=kb&EBfNq<1e=y~GSLCJY|jLz3=HJ1gYm zLZ8vMbAX|1q3ZeyNBv=N`b2=(g*Wv|C$$qqLJ~@)5pmrZ*0lM{Zn&XMvj2d=5%#?4 z2EZk4&pIwWJ>*6!aB+pmUDfQ$&Kke-`AO(sxlDidRqHCC#0ucFXto4`%9|Q~W`RIV zuOFRdoz%Xh|A3a_G<_r3{N*Cw)~1diqN<5n**oMQp3m4qwR9onsaWrr-U%!FU=XEh zQumTu;eE*yaz&z4UPH=5%fSjTtModl1|%GgmP567UI-AsNeL8n|JqkN`CUf!^k8hz z7xQ_zfor*Y5~71CA2e^aTaT5;*dnpSPSeT~v1qu{&%G|K690o~WU>|SS#<5*Vf!GQ zDXh2axC*@deG+28@enj`(eA*4{!{)f%L>HF%ljUo!^+ z9K&>-hGP8=+esW6+3P%19}bSk{I*61w5Pn%O7j<-yknqkFwj=aCve^}$$^-5La4cf zs(7t-(+7m}{2|-Fg`s&%&RWycLDN=`zPUucC~Uv$nA6WEbd{u$N_MwKSUeT$*(BR9 zT|>*LTdn)JMs>MsQo}%1FmT>#iYFnr497qye&+rC7kbWak((NaeQ7OCSwCXKY{=q~ z6GvZ2_wk?QW&b;Fc?qpZD(-mFjIP2>vKMBDX>Eq)Jh`LMbHehG8+TX9QvCzJ` zEFl8Y=O7i&beV>OBl;?z?&DgHWrKe$?KC^9m$CkG3gt73G2lq^7rBq_Nx}al@zC77 zq_sNNaeYtC!!Ga*sW`;qJKi6p`JncfE8-5Mi~*lX9CF$FYz@BT!OdUgFAZ(|Vv`!G zVDT=yI*w(205optxulH4*p@C&RJ&*KAA2uVA5$U`zLtTj&;og@FpLM~p{%8$jwJLs3MM`Zf}$08l5ym*A? zV{_hck?-)k@!i+%#naiS@iX6W_wl7PPfBkm4~Ci3HM*wLhV0w&8Jo*UnZ=|02C_3c zW#f+~@AbQCk$kV`&K?IdyZ<%0ZrfTCpw42s;zuj#w&ACeH9GDRjifuqJ9C&!*49+( z!b-n%y0XSI7`1TM3A44O5!d0pH>Hw=RFUIxRxug1y6AA;Zw=YHdfKWzJAhrOlUF$u z9Nd`Oy5g8{{mA2?Lq`KFD7#mf{ugLb?PVN3RG>Qgmm5u2YON00@}lh2m5zFMlw@e% zY^FF6f}>_TW(qq%W~j02Rs#^TN@#)Mn0kOrbfYrS6)n3J)UuL?gOeb`AK11se}WQM z0r3W!T?^`hzuN5*gG_u9sEWVg=%$J(njId<)3t2>_ufNV3mjzMgFs+sjzT+g_g+F) zwX|&DGicz1qTN+F2CePQ4XU!k(63c&gF&1ckVk_G9%obVXnuDh)ok$Zli{eQJ}O1~ z&?@QWz(Stg^`o}w7hY6LbuppHJv=5-k}Rs?Iag!5u`QT_Q4_5Mg5C$e|Ip$|0%+?t zA6CZju4X$Fo_mvSoZ_eMXenTNNhAw#%ST~msxFqN&#S(|r`mn%(;i`)^*A$p775v<>Na*)HYC+;m7qGIWi z|29?~Ss@F$<=fW=d_^UK{4hv9Esll(b|o8|c7QQdl0@Qab8ZAeG#`!-p`o9Oii>Leb#50OM#Zy80rAA;)WA&ezuno%NZ6%lgVa~Wc z80k3pC}#^26zET&l4Z!g0b#6ZsyCk^dP`~*QOTBgM4W4TPN{`Epomn#8LA2^PQI2Y z`JCAp_>><&w?x}IFugM7GIQ3d5kJ%e&~)Lx@0-Lr-DJfc1njUGI8%pQE!o2eM$9o? zQc*_C;n`BXMl6>a421%MzR}>m%{lg^jIg?7$4bFAaErH11_m*A&>>7b1kW!bpO)GK zY_oSu9WWD8yVMKVf(HNX*;8=*Y~s~{Jq&bCFX>b#gj*-P_wfC>8~Bg^%flk?GdHz> zv1~lm|Jy&Rbv9c~!1hTt-c}lGbdp>KSQ^p5zUbdyOh72(p~MPVz*{gNg;cUbfHcHY z(Ifodc#&)1`bzbOO>0L@>wunb7oO4S@kl(AvdBH>x1jvDiQuBS2QLAqD@3vj0=863 z+YS6F*LV>O6CG?0hJiReuKQ$e!KwG~N za$H8Xj^eRP?dMU+y zvd4sd4${@{ly~y31L2nc$cVE@h1?xjH2xsT6Hmg_7=L=?vT{an(RGWi@Qop>R$K+u zrSwu~j0Ngf-U*7tpy^GXuvHvQXRd;YpI!@I==r}ZveoE+dSqCxVQ;ACaQiW+KN!t4 zyxFRMiO+cU`X1~$HdBlWY-`Isfe%{R?0FLR+q6952>=JG8y6gP*V; zrf2&Ne}!3-PM4DQ-=mceehsk#n|`i*KnB!og{K2QwZOYWy`^*dm|fq|t6Hcb7OW@&^<&w5a?Kord5w@(e~ZIy%ThU)9j zw^t7+8&Bc-n_G`R^0lE9XBtSyoOwm_<-PKgxut|DpkiHz=WC~H9G&M6kG^n;Sb}l4L&kYCj6?lx+|@I zM`|&Q8+|Z3S2l|2YJoZJna&RCC+axk=N15Bw7m%&OYU7hCM+Z=E+)c$RlR6bSiMg` zYPWpTe_r|D$bRof=qh9aiPUd4T8jOWXs%F{ooUA#QY6~?jq5Gmw+*X@z+CKX4+%3y zZ{TkHJA~X(Cz1gpiTOU%=zKh|T95#nBr8$g>-T|LT0O zdP*54nA%$C{H`xQ&M~V_&oopU7_Wi@q6;Vvn$ z+I>Ys{pg)M_>B`s;At#m^E#K&jtPZeQ(RIhi!&zu~@3iEg&s~&w zdj)DPfWEiF=I@_;{Jk4Eqtlnpmo;sp7wm0YF6$~!ci7eM8j7Y|*O5d!TU~RgVSL6l z7K5h1AVv>}keX^COpar8JG!+46^`;*mD8%qMNI3jb|#iQe2M@)Q3B8>h_7bj8CezK zoBV7sfUbq!;$ga->Z0C6S;`qc6EmhUIm)vQ>ZXoFa*(D{?OJhF^c`@~uRrZvg1b@L zsy^s|QY8NVswCeq$86G*$rrb;BU0sy^7gMX;(8^Qru@R03YG}JZ*Hzv{xAr6`q)E zXTQZY{_NAmoQ>ywPd)*ze@4h`z$!A!4*$wCa)~UgDceIiweBFey3Zd}NS!!h@r$G0 zXV*n_>}kpuIA=r0HEHT6&wyPRlkM84MPA=!PLsQG%XAG!DKO+O(1ohCUL~r%8>4=#mYsrv*PXxU$$gO zfvwg$^4njXXuxrm*xsem_cq1WQ6wC%7qW9xSDZviWm4wNMbgc}Nj!B*IX98)*oBuO zwIc-;*oEfS?Jx&uOwPjpC5dD#2=11whZ^8v^Q^}uSNPXSa=HZy#H*%O(cAd;@jeiV zU$Mnxgflg0c@-tRJ%_MCW=!J{h!jL8F2zEY4EY3p;;PbiwRSUI^v?9GH8@r#*QkP4 zMteU`=G<$P7pr{bOeG)@3%4X&fedt&i%7avj{_EtDjHzCQOWWH_E|jDt}$To#U}!$ z(!Uqc^=kCYO7q0h%6roX->7AWhIfi_%f{kUV@SxFvzE~wIr}I5H~)5M%iF!hm8J6v zi$VTP_9%4v|`L0@ks15+=_x7-4WS z<^XrQt`sw3wVr;AIjp3pjlk>#BJs-UaZ?pZ+HY6rkFuznxzy01oqvc{%xL&(hdb_8 z5Q3@eg}2uNE6SmW+=JwJM9&78@JAa)RpCU~!zb7H7El6u?R%R8(pTl(7G*mbKU!t^ zFJc7a&#qftI0n{wGXz#iZdGGw)EO1_3@%8&vpf0j6L&~%HfHquU%n?`$vw-h#~U>0 zS_#rfg-qlf+IJr#pR{C$Ak`1=a)-KrN&Fp6FI@?Vb_J&U5C_%J9qBsVaJD0Rc5LwN zu-*E&@w302dRTb6odx19eqS+E=W4jx)|^vGtMh6c(8?Mn!<4fG6XG>c6x5sCs)pVx zWqF_Pb7VdJ4*)#3zDwc0B?ytcd&S!(j$Cu~uz1?p{2SK@v|P#6#-Quex{fuGL@F;; zT!ZjdAX|n=-LPvrR142%JjuiI)P7!lU=U@0EwFl+pWbXaf!Luik4l7&kd!2CM(&C4 zTjVv=)v;tIz~=1>Z5vNj3>diu#-NXNPBn zu8)Ytb0>*^H_)=e|F?l`4IvKim`Vu?qrcs_>l%_I}PoiI5bT;YEkPY>U zPYOpfP)F!pqNwj3?0OW#{$pkp%Sg@g81MfX%>nMsspTMv`LNNB4U}iS*^jDEYh}rP3=aodI*1 z1ny?Bq~!jK(N>I+HU*G%_a7zLhR(%)aW18$aU4x*pG+PMl>Co|vOJq363l zB8)t{ub;tgIb+!+pgyORy`OhAGeO1zUc~fPRuGLA`nZ9ii))jCNO} zo$Er0_vu2F@#QmEn;X zms|hYIfQfEWic!o$p5Ab7NR{LGq~zRet6eg*-)(X1J5)}>b54rLY`eLAiaCf($>xRGXeZ1 zgXB}~x5)OEP-r{(Kxx6MYoEqzmuAQO@{R`;bu;F(Z3tz5rc|DFx-MIvA%n9DMw=0| z#9Fb-+)P9)>>3vy= zo@q;>nkI_}!a`NoVuQM@P{0$n8s>N&iIUgeI8w>L;A`^@Z0g0c3&1f#pQ-t66K zs*`1OkTt!RbfnI!f%VvD2&@5|$t1ZDokm=xxA9Zl{y2CufPvA1$#8G>b`KF2M=TfQ z2(2-9b>XO5cHUH(UKMpS=HWHufRX7%aqYXqD}#DH z`3%)F+K2KDP?>E8*AJEZ4mOh)4)FWzm;7ep$%G?|d{$q_@72G){gnuhD?t~*I2ge) z5IdT3sdIA_fK6^IYp6)T&{$6g9dQR-<~rE)W;Ji~K~hdx+# z3mntAIk$0R#dbWG62xLIswC;^uLY9e>G>;pYxlj|8kacxwlw)8-U#u()+FE4vVpLq zowKMV3AEkqx{zY|3!w(QtGd<8u_n{X)$NgCremH6p`$-=)cPg|5=)DMdYM$d`shJc z5kc_)_PKSZh`K?oU`&aw@a#uCTe~`)Q{Jt-B%q1o`nCCmu+@96rW*h?NeqlZDSVFT zhq9jkd&+LoIvze+W}^vpn^%Z?x)>cGqRID*SQHF11~JVZ~=<*%NMQ!i^n#a^+B-L);@@)Gb1zB8Rhr z8Fl)5Yp(6iA$=|eVu%{?0;1oRw+c7fhr&}=-#JIh`=CyIrz*}Wv(PiSD(gi1y9 z*4b)otxf+P`Z5ptR@HCOiCzn}cN#i{(^u^-V5@&{D10OpuJjg5U>~S)Wu|c z5lThG3@XZ$h~Ik6#rgYZo7^OQNXEnXAJ)!71|Cq%e&mo0*FksnccZg!ov*Tg%9;Ai z?qK8wt0bQ7a<7y<9Vd%bLvOgrLa4(^+*vqPW6k4;j5>T+i~RYTa|T`O@4K#HiMP+r zpTVxtI=od7OV6Av-af74wVAg~xr2PYl_Z_qv%y3Oyi;zcLn&pF?y_Ctg6^A=lEayz zBn=RI+DP6&g4837^w+)#?9}T?8~;yuh90FAuY+hfjF1-HkwBtH3&KlGeq}7y{qoL=S2JO%X^Ggoh zl8}CQzIgHgs$Z{eFu4SNYMKuIYt0_&>ogl94A3Nje-h_?G8B9kjjFW#S-s^ot0zRz zGdO|MB4_8_op;aYf!=gL958~lMV#D7^w-#DJ*03Vqb4u*@Ajqn0>hYUqGpRoV#9_q zyhPR|lVgKrT!@i3b9sZb)6NL=PgqTi&_sHx`&n!pZI*Z(&_C_8$cb&Wh;qc3073Fj z@)3j@BV?Zv(=c0aByYv{HKf1PO(FS8>$;cKXL5NP>)0Ur;ZEHQ>PEU#P{nf;1E+>Q z+xG<|PTZPP^Lw0o#4A=560q@vyH?Q?FdSKIh2a{$XcHkO7DJ-R!H+=e^zk<)DPyYSdV1!0UC z!$Gg3kMDAvo+h9JfEswK(wzV_i>RMef{NG4A1ghppE|4l+OtI0?+vQm#9yNOGm+YZ z>J7b8Nac{_H(rb8}6vVUuN!-OO$L^`rB z-m`<2*k$PD7&?n%_J$V5B3-vDEWvKPDGiLw1m)$x2QMr&;)3mJ1h7gy&WsWfM2vs zU88;3H<&IgBTow6Fr%bTF|Sf(JckPQ!DWwRhg5m6x2~tYb{4>Px@fK3TtB2s7-=JI zb7H`B*E0^Qvc9N&=?J2EL%u3ZN0dz<8d;CVE7W;&xoLo&kGiH#Jd@lA0x#hN4D+6Z zPfKam2XDByjhdY0ti8T?@U!2ih%%N)BNL0PSSC0^+kL zE4GxA8e3eSv+Dy|ZwJ?7#?96Q_ujIzPXyV7@UJZ&M=nGHHvFLg+s0MbFJNr~DsH7` zbHc6pKsUFR!WU3KKbd04UV_~L=6fZ?nwnsoD*?u7Cu^3|NFXnBfhj5W8Y zQrK3FL>}jo3C+Hf_xsV;iWsNF_vO-s;kxMSg z<+KC!#NdvVqbCqxXw_CBUwlpDFz;K9{1VHkj5INM^PH6x3f ztLW%%rKRjCuP--e>yN>Jcc}|E`*U=|6Xw{)Q=c~L*D5;}HE7c77(Ai? z9={>y%}|P+zsjQp`Vnqgb&{k3ylA`8lv;dgY*9rNoLe$%6?QhsElVh-*;_gR#CN=p&Ar1xh8g_0M)UsKJHCgA+nZruJ2PYf?-6@;Bqcmg6%eYAA7H@i4p`!9K zUT+~pW0#%h_;u5Vck;N0ifj0Ecb$0}_V%BCV+Lq6$w-N~K4>`Tio2!>eFeXV99#HJ zgk%nIl;mN<=avSRRN4uoI7*E{6s0{z@1o?!UUxI1@j1xi_p|NNGt3QNw46h}-+j)b zRV@;a_2?m8<5?Yub-&MeS=U>4+QHat0SO_+&aRt64I7s~9nD;) zhw<+C;~|wI`prI}nUD5+@pG2_JiG>(%oY5zt7F(g#umWCM57Z)j!_RvujApKaLP(J ze=h+z@lL{6XWqenJBq%n=Ubbosi8pDUqhP%F{`2`d5_1US$HQ8VLSm3!-8bwM<-@WrEoWTm3GF!5whK7wI4beli(o99NSLs?EOT z^u=gvIziaQ>Ds`qVCkMnmRRiM6ID>tbLBC61e&-wBIi+?vXlSBO)4>qEVIJ$Q1Qtq zvP%zRdn2({q^tr(@J|rhIzmIB7wt-C@=JfPn8im&V>~p|Z5TJ|y}d@w(gKe{*84m` zdpAIjOAx@(28|%fSBi5x(q6xR7sm`zLzs^;FY?jrD?$X77qP3Nyvdf%p7y09Jacv& zAxxb4uS8zo71Kuh8hPkqUtYlbZxq$(6|??X_y)s@4>%H$S*~_a{3#I_ zMjgNpwJwnIPnXJ}{(5Cvtl`IJ>f&9qQT=^^!Xz5-Aq=oy4CME=J>PTVjx3crXV=DA~%bs}?gX`$aLX+OKK%cs0-NQ!#sHy5#a}Va{uF zI=a{r4@8vA^p~z!u=;~a@?h{6K90}EbokB1R!~(>Cyc_}whOfy{SzXNR>m(c0GD7} zZOg*#G=(d0qDaWVnoa4g5XsO=eUXAHbSL->jcQS`OoOI;E=Xi(fLhKKCzTo{yssM!RvUx zKC_uO$-Qf*_hP&K7(fg95&YOBLvu2kM^-?Nu8=_wv-~WwQ3k`Mj(AB>I)rA9{Fx7| znA7sXlh!iQtvGZO9_NYux9phRTIWw;Q7hyA;ZOf1vHJhG@mwAgPp|SHmTv`ce77~!vDP4zfn;Aw|9l$tJ6e0`cLWGMY@+ + +`5`. Output port: read_data, write to it when the read/write signal is high and +read its value when the read/write signal is low. + +```v +module register(input clk, rst, rw, + input [3:0] write_data, + output [3:0] read_data); + + reg [3:0] block; + always@(posedge clk) begin + if(!rst) block <= 0; + else begin + if(rw==1) block <= write_data; + else read_data <= block; + end + end +endmodule +``` +Since we have written our read logic in the else block, even if the rw +signal is any value other than 0 or 1 at the beginning we just read the +register displaying its contents, which is ‘x’ initially. This is to avoid +unintentionally writing a value, giving read operation a higher priority +over write. You can initialize the block reg to 0 too (it can depend on the +question). + +If we have a register with multiple read and write ports, the question will +mention certain specifications for e.g. reads can occur parallelly but not +writes. To demonstrate how to go about coding for such a question, let +us see an example of a 4-bit register with 1 read ports and 2 write ports. +A read and write operation cannot occur simultaneously but we can have +2 such writes and every time we write to the register, we alternately use +either of the write ports. Initially, when we perform a write operation, we write into the register with write_data_1, the next time with +write_data_2, etc. + +```v +module reg_wr(input clk, + input rst, + input rw, + input[15:0] data1, data2, + output reg [15:0] read); + // perform writ1 & writ2 alternatively + reg [15:0] block; + reg track=0; + always@(posedge clk) begin + if(rst==0) begin // reset is active low + read <= 0; + end + else if(rw==0) begin // write + if(track==0) begin + block <= data1; + track <= 1; + end + else begin + block <= data2; + track <= 0; + end + end + else begin // read + read <= block; + end + end +endmodule +``` +To design a register using flip-flops, let’s consider the first example +wherein we had a 4-bit register with one read and write port. +We instantiate four D flip-flops and assign the incoming input as input to +the flip-flops if the rw signal is high, else we assign the contents of the +flip-flop to the output variable. Note that in case of the write operation, +the output variable reflects the previously read/stored value. + +```v +module dflfl(output reg op, input ip, input clk); + always @(posedge clk) begin + op <= ip; + end +endmodule + +module four_bit_reg(output reg [3:0] out, input [3:0] ip, input clk, input rw); + reg [3:0]intermed; + reg [3:0]temp; + + dflfl g1(temp[3], rw ? ip[3]:temp[3], clk); + dflfl g2(temp[2], rw ? ip[2]:temp[2], clk); + dflfl g3(temp[1], rw ? ip[1]:temp[1], clk); + dflfl g4(temp[0], rw ? ip[0]:temp[0], clk); + + always @(*) begin + if(rw==1'b1) intermed <= temp; // storing previous value in intermed + end + + always @(posedge clk) begin + if(rw==1'b1) out <= intermed; // if a write occurs then previously read value is displayed + else out <= temp; // else in case of a read + end +endmodule +``` + +When we instantiate the D flip-flops, we check whether the rw signal is +high i.e. whether a write is occurring to pass the input to it. Since we +cannot mix case and if else statements with our combinational block i.e. +we cannot instantiate the dffs conditionally (Verilog does not support +this), the dffs will therefore be instantiated at every rising edge transition +and so for a read operation, we pass it dummy data which would be the +previously written (currently stored) value. + +## **Register Files** +Register Files contain an array of registers. Hence there is a need for read +and write address inputs to ascertain which register to read from or write data into. +Ports are input and output signals. When a question mentions that the +register has to have multiple read ports or multiple write ports- this +means that there are several read_address, write_address and +write_data ports- we can perform more than 1 operation at the same +time on different registers within the register file. There are several cases +to consider in such questions- if a question permits multiple writes at the +same time, you need to think of the possibility of more than one +operation attempting to write to the same register, or if a read and write +can occur together, you need to think of the possibility of an operation +attempting to read from and another attempting to write to the same +register. So assigning priorities is important. More than one read +operation occurring simultaneously won’t create a problem. +Let’s look at the code for a register file with 8 registers capable of storing +8-bit data each. It has 2 read ports and 1 write port. Two reads are permitted simultaneously, however only read or write is allowed at a +time. +```v +module reg_file(input clk, rst, + input [1:0]r1r2w, + input[2:0] port1, + input[2:0] port2, + input [2:0] port3, + input [7:0] data, + output reg [7:0] read1, read2); + + reg [WIDTH-1:0] block[WIDTH-1:0]; + // 2 read ports, 1 write port + always@(posedge clk) begin + // r1r2w- 0: write case + // r1r2w- 1: read1 case + // r1r2w- 2: read2 case + // r1r2w- 3: read1 & read2 case + if(rst==0) begin + read1 <= 0; + read2 <= 0; + end + else if(r1r2w == 0) begin // write + block[port1] <= data; + end + else if(r1r2w == 1) begin + read1 <= block[port2]; + end + else if(r1r2w == 2) begin + read2 <= block[port3]; + end + else begin + read1 <= block[port2]; + read2 <= block[port3]; + end + end +endmodule +``` +We declare a r1r2w signal because we are permitted to perform two +reads but a read and write cannot occur simultaneously. Besides the +ports, we declare an array of eight eight-bit registers in Verilog in this +format: reg [x:0] block [y:0] where you declare the number of bits of +each register before the variable name ‘block’ and the number of array +elements i.e. registers after block. + +Here's a pictorial representation of the register file: + +If we are permitted to perform multiple write operations, then we must assign +priorities to the write ports. We can do this by structuring our if else blocks in a +favourable manner. + +Let’s assume that we have eight eight-bit registers contained in a register file +that has 1 read port and 2 write ports. Similar to the last example, we cannot +have a read and write operation at once but we can perform both write +operations simultaneously. In case two writes try to access the same register, +give priority to write_data_1. + +```v +module reg_file(input clk, rst, + input [1:0] rw1w2, + input [2:0] write_add_1, + input [2:0] write_add_2, + input [2:0] read_add, + input [7:0] write_data_1, + input [7:0] write_data_2, + output reg [7:0] read_data); + + parameter WIDTH=8; + reg [WIDTH-1:0] block[WIDTH-1:0]; + + always@(posedge clk) begin + // rw1w2- 0: read case + // rw1w2- 1: write1 case + // rw1w2- 2: write2 case + // rw1w2- 3: write1 & write2 case + if(rst==0) begin + read_data <= 0; + end + else if(rw1w2 == 0) begin // read + read_data <= block[read_add]; + end + else if(rw1w2 == 1) begin // write1 + block[write_add_1] <= write_data_1; + end + else if(rw1w2 == 2) begin // write2 + block[write_add_2] <= write_data_2; + end + else begin + if (write_add_1 == write_add_2) + block[write_add_1] <= write_data_1; + else begin + block[write_add_1] <= write_data_1; + block[write_add_2] <= write_data_2; + end + end + end +endmodule +``` +**Q:** Can you take the previous example and modify it such that the register file +now has 2 read ports and 2 write ports and any pair of operations can occur +simultaneously? Let the read operation be of higher priority when a read and +write occur simultaneously, and if two operations attempt to write to the same +register, let the one with the lower serial number e.g. write_data_1 over +write_data_2 be of higher priority. + +## **Shift Registers** +Shift registers are a cascade of flip-flops used to store values which are +passed on to the consecutive register at every edge triggering. They are +used for temporary data storage, data transfer and data manipulation. +Here is an example of a SISO (Serial In, Serial Out) type shift register: +Assuming that the ffs are initialized to store a value of 0. Data can be +serially shifted to the left or right, in this example we shift to the right. + + +|CLK Transition | D | Q1 | Q2 | Q_out| +|---|---|---|---|---| +|↑|1|1|0|0| +|↑|1|1|1|0| +|↑|0|0|1|1| +|↑|0|0|0|1| +|↑|1|1|0|0| +|↑|0|0|1|0| + +This example shown above is that of a SISO shift register wherein there is only +1 (serial) input and 1 output each such that the data is stored and shifted bit by +bit sequentially either leftwards or rightwards. + +Other types of shift registers include: +`1.` **SIPO: Serial In, Parallel Out (One input, outputs Qi where i=1,2,..,n for +each of the n flipflops)** + + +|CLK Transition | D | Q1 | Q2 | Q_out| +|---|---|---|---|---| +|↑|1|1|0|0| +|↑|1|1|1|0| +|↑|0|0|1|1| +|↑|0|0|0|1| +|↑|1|1|0|0| +|↑|0|0|1|0| + + +`2.`**PISO: Parallel In, Serial Out (Multiple inputs fed separately to each ff, one +output Q_out)** + + +[Image Credit: GeeksForGeeks](https://www.geeksforgeeks.org/piso-shift-register/) + +`3.` **PIPO: Parallel In, Parallel Out (Separate inputs for each ff, separate +outputs at each ff)** + + +|CLK Transition | D1 | D2 | D3 | Q1 | Q2 | Q3| +|---|---|---|---|---|---|---| +|↑|1|0|1|1|0|1| +|↑|1|0|0|1|0|0| +|↑|0|0|1|0|0|1| +|↑|0|0|0|0|0|0| +|↑|1|1|1|1|1|1| +|↑|0|1|0|0|1|0| + +Let’s look at the design of a 4-bit shift register (SISO) in Verilog: + +```v +module shift_reg(input clk, en, in, output reg out); + reg [3:0] sreg; + integer i; + + always@(posedge clk) begin + if(en) begin + for(i=0; i<3; i++) begin + sreg[i+1] <= sreg[i]; + sreg[0] <= in; + end + out <= sreg[15]; + end + end +endmodule +``` + +When the EN signal is active, the shift register operates according to SISO logic; +however, when the EN signal is inactive, no shifting or alteration occurs. +If we were to implement a 4-bit shift register (SISO) with D flip-flops +(hierarchical modelling), it would look like this: + +```v +module dflfl(output reg op, input ip, input clk); + always @(posedge clk) begin + op <= ip; + end +endmodule + +module siso(output reg [3:0] out, + input ip, + input clk,en); + reg [3:0] temp; + + dflfl g1(out[3], en ? ip:out[3], clk); + dflfl g2(out[2], en ? out[3]:out[2], clk); + dflfl g3(out[1], en ? out[2]:out[1], clk); + dflfl g4(out[0], en ? out[1]:out[0], clk); + + endmodule +``` + +This is an example of a shift right SISO shift register wherein the input gets +serially shifted to the right at every positive edge triggering. The combinational +block involves instantiation of four D flip-flops and applying the serial shifting +logic by passing the input to the MSB flip-flop. The inputs to the other flip-flops +are the outputs of the flip-flops preceding them. If the enable signal is low, we +freeze the current values and we don’t make any changes. + +**Q:** Attempt to design a bidirectional shift register in Verilog. +We need to have two modes- shift left and shift right, and so we have an +additional input control signal. +Try it out and see if you can implement it in code. +**Q.** Attempt to design a 4-bit SIPO shift register in Verilog. What changes would +you have to make to the SISO code and what additional input and output +signals would you have to include? + +## **Some useful short notes** +**1. A note on parameterization:** +The code that we write for n-bit registers is limited for registers which are +strictly n-bits. In order to write code and design registers that are more +reusable, that can accommodate an arbitrary number of bits for each +instantiation, we can utilize instantiation parameters. We can pass the required +value of the parameter at the time of instantiation and we can also specify a +default value. + +```v +module register #(parameter WIDTH=4) ( + input clk, rst, rw, + input [WIDTH-1:0] write_data, + output [WIDTH-1:0] read_data); + reg [WIDTH-1:0] block; + + always@(posedge clk) begin + if(!rst) block <= 0; + else begin + if(rw==1) block <= write_data; + else read_data <= block; + end + end +endmodule +``` + +The syntax for parametrizing a module is: +*module module_name #(parameter PARAMETER_NAME =default_value) +(declare input and output signals)* + +## **References** +1. [https://my.eng.utah.edu/~cs6710/handouts/verilog-xl-behavioral.pdf](https://my.eng.utah.edu/~cs6710/handouts/verilog-xl-behavioral.pdf) +2. [https://www.realdigital.org/doc/43c79714a7f3d0bbb8098d60c63fde48](https://www.realdigital.org/doc/43c79714a7f3d0bbb8098d60c63fde48) +3. PISO Shift Register: [https://www.geeksforgeeks.org/piso-shift-register/](https://www.geeksforgeeks.org/piso-shift-register/) +

iMa-<++M;rZQ{PSlej7i7MQy*XuliqrcfEQbbMjxctOm?AlcZwY!y0ubgTCTJ4Fi zZP`qLJvI%Q5Oo4F4XPxuwgeDAJ72fYrX=G9tTQC0oUKd%Y9KMx5S@k8k~e1jg_gF~ z=uF*Tn=9^MMeH_;Rc|*8pp)xE_wIoax^;3dI&S@tXtdZ)0&>BN#Q~4CW#yG9aVTpK zx?P<638~r<*?g9}Oa`PjOc6RRQZ=QP?njVrZ_I=2eyaowf zc|DGuFV`$DN|6WO`;}WpmVuMuUp^MN8z-)~M7vASFe-+jBi=g`4E^g5X>)h@T?ZYf;mjla=U}>XSge+0i+!QVAao2n|CW(&j^aKrSJyBNw~rKrOoL z7QFV_1(;KMi8guvICv zWaHlw_y{`4!^p~pa|mT>pg3dWXEPgg%U(aH(i&+7QensNG^}~qW{N!DB%gpnPWZON z7MQ>f<~z}*tqH6~gtSGZFm}6k>VMB(Ro{$jtQ8l*I8V8xMlGe7A24&PMVOYzp(K7= zp4!-B(YHTU=%-TVd+XZP@~W)Lkl+GEg2 zP^f&f)cHVd2V^Wqu(SP4ON4goj0jlYZJ~hKr>rs|MhtJZT*T6?5ruhF{@5$}A5;f) z#2zr$(vHA+t(RF{U7@#~GqWe{FQS5y`wfSeff+h|*Cp1q9rs#h{`7TE7F*DsjlUd$ zGH(N0CR@=Y*;MZ?C|Jxi0PCnrNXp{6nEl>6DTJf(F@6aU$cN@N(VT2B0*pi`&$;7T ztA0IxF-OHClI`SJ`N9v4beVqhKx>3nL^^v>j5){7JR9JSk`$SAiA66_P@X%~ z6aDcWMNVcJjWz23VtF25aZ{`(W_UiVEY`E1D3&fTWnLcR62*`M3Qo1y1U@cM>X#9~ zFJk%#nB3PZSCX}y;Fd!4m!6dUhoPHoNZ~wtuDDs-JQ5TXeDyleJ6hqa3xYIh{@p*5 z49Etry_eVYxl)_vQ{9p9Y zHWRPM?eMa7E88R~0MsXT2==%I@L$*?HDJUxFZe;arnnq|+b3@x8IjN;Ao26`QNaL3ifAh!&BoBEnydcwN_BgrF}K`rH)iGo^ zpKmmHi4qva`oN5wENBX zEKeWjT{!}V%0@PIz@~W~c#g>lF9B&_tsF&&-3J|g+CeaHp0fD3F8U~7z3rO62he*2 ztS!+u8lG@*blx-AK+i-h^XY@rTfqXzYEn!D?`hvMEh0|XFR=YmpGr}}youwuaMNu8 z@YbAQLCTT}q9x9W0HUvk^D70yIrj!|S*R>h+ZO9x?&Ja6$1j^*?z`8Qzz?Xv^^zB4 zku!$F-JheN$fr;goX+H??Fwfp$7zM+1XG7rAmg|B`RzPC2Si+h0g%DZnjj?~fCL^$ z6?v9|aCd+uxYCG&k|Ac(Ih9R!XGg%|K7$7*?~JzGil2Ilk1xN2lb4z#LWB?iYuu6l z*wsw_m%G#=NJiTRRL7LyIcZfqM3a%0_3rmNz^x>T8kHF|emV^0ico_ZePnEQuWCCZ zc@9h+lT=#2=k=*VntLmmjz_*uFZ)IQI#BpA^T!pC5JqtT(u${Srox+^wj)oIR3&RB$`r%HB)^T)GmkkT9|@ExOTu@s4CIDT;1iKc@2ti-67uIL*lU zRZtmT67#pJz1qd0k`TUe)TnmKn*I7&`mtkX?4_&(kE=p)j&wp!udNdb=DlJg*XuE> zk4&=O_B);CL(*tSn#s}^8*2Vy$&1P-BHU>?Uy&lFJNU&`^5TJQJ$1X8C=+Ez=aBi& zrUhPW@s=0wT<022C_GS@@tdqOY;RT4JdR*aq_)8|%({z+K%pXjPDbuYXVY7t0!PLS zv2#bsoip7V6=r*x#Rl-dO!dGyQ8E%z(?3q+alRMH%`E#as>+#Cqn~em7NWwoFXOQ| zNcAiWa`xS3FaBtU@$5`*xhP?(2`4$lRZWKo?zOC!Py(Jnz5JR!-%18l!S5AkqkS$T zi+#ks3sDTwwVu{n@E~)8Jp~+UVwggt!r3KuWkqb1qbP&I3tR?G@Gp_z@D?!G{H-?O-7^jJWNlFWld7EDaCud|Dwdi(-%^yLs%JW%GE2# zMh4V2LJ2v-N!Z0?R0`uupjZp!KF z)T)&R(#}&C1c#*|S*yPI>+!c(DQ|YSXV6IRDJvuYGm5GME&xd%vs76G4Z{cotiIR0 zoh5%JDua8*dG$Y$$0{KlTGO4iB3(4uvM+O|!6>2MaXjJnssbo?rd%w)mk{np=WFs@ z@0cHB4G<{Xi3G&zvfo+KsRm%{DiW8#B*!P4761ebIA^|s)o6sO)~-f{y=Vb^SE z1y&!A;wyPmK+t@`5H9+@(~eB;(Zno4~;VSs-Tq|qMwSzlRINvjH1 z_#$-CoCq5~%PbP8iF#U2sGPhaem^;ut}HvSF}Dyr`kQBhSnR%ZVmdaflg(+lLP^55J zjng*i~mK)vi zhjwhH^00KOMT?azMDPLJRrbUla3Zhqo@+7VkJlS10k%Dy2p#s_tLL|EbPL4ux*nJP zFF#?+?_POW%{w{uWco-!dtI6uUycv!v$IUx;j_s3nKVG}K8|q?G1}H8WZ)0MwD3sJ z-R`Q4fEEvfbg?@Q7~wL(Q{!|ji{Elzb@i4R3H~aEzq6trw2vd!T)Mq26qIA&>ClGC ztzH1-_4@+Zv?rek#oI|H>H@=Zn2wgySMRPayg&Uo^NEqk1vsDhZw-EzTTq3k5dg?} zO-Twf;s*r5q)gV7R+WM4*Nh3_TCG``;t{t1%O8BUvUEp5dP{o7VsU>F(ax=lFd&6!y++lk<7$|@UJym<{Xiie!ZNPVuXKCv z=L_AOj8n<>6J5F2@=UkYK!x2QV1iSW2Ow9y7SlPRG=5)D3L*aOK#z#AbXqy-+BB^K?EhD(aPaw1g{5v+~9 zkctkH*Ba>UzO~wM5Jp!D-eBkoPqG0j!ji-gbMffjH;W=MR{3oyjZ%XfHycSlvHW*i z3JHuar^brCAPJybfR^R6Y^623t+n8$y&AC|kvR+$aB4j(qNX{Mk*@3u;5YNzF4n_p z*ZGp5$8{hACHNfC5nlYnJP4{3^dhSpekp^*PCGCB=@2P$b;$ zH|tO`e>KxVdbh{gF!OES*1WT*ZNFa%Fy7^-(cv`43aYwGt@-Pq`taWKcb)K7x| z61+pymg9;pzc`}OQk)nysmslNSjKj0MGYTTvj+4C6GO$=ZpB+qVQIkQmdGT@f-NI6Iu z2vH*5$R&CW?roc?Ab8=4XONEnsMqbtFFk31My+*`PBLf_G2WR4H?0~T$}+=OvTcr< z(A%>CPi$FIgP0|E@Q_Jity;^0$WGY=43-dQc$0IQor{}#3j-y308 zg||+x(1CWbs}!xe?tLWu4^7U?fgKF2laQ#Uh59$j`o_zt_`E-kH(NpX?PBB_%+4dj z05S-62jSc$5j+*IrVW?~IHQ|Zr^tEFl67btLCtt&ZH3j4*-`eG@?7%|Sq<6&jKy*J ztrB8660l4H!0m)R@%Uk& zdds~Jb7b!3%rc+*Qkx<+RkbpOg~$OII33F`QBmAYnsXqXNdqPS_u!T*B~&{OHhLhg zfPyWW^^woz*-Zib$bL5%Wt)oF!b^iFE6OQ+j(gns-~EW&m_(~|SU`uPs9quESr?3m zAWE@yNc8^Ui+&bp?GkdD$0%f8Hk34gWX6BFc*MA zK*%e13cwl$n(@9Y9(sSmij#oV+V+$J-JvKmvjPT&!5hKa7iy<`%`QH z8Z;Ft|FR(e_4l77LI3uD*;k>}GjQQT`Cq-m4OM-6+5gqd3!g37&_4NJ51%grI)|V9 zp}qh9q31glo3wu=@z=}zd%v=arHcItpY~tvt$)4t{m=H7;C-_L_HdO)|MB2|9=_GQ zZvbdf{NJwR8aE^PUk%;km0CSL|BolN82aC?U*8)@^{?>$zrV7<`+u6I|7#$YD?7Tn zNFM@U`~A=Dn|x<%R8-W9|J--~|Ll-`m4EJ|_OxiaIS$U<{pSa=N2RytbJnRPkN@$> z#}0o?nSbni(EBKp?f-AzoORRf#x~DC4_5TBK-|JWd|F8V_~cuVOfA>Z8gQCt-cKgT zW$T#Z5en#f4r=g9v%zI#%+dQb1sz@An9{eP2WdYC(~bZ0{H53|RXMa6AbbI!3o+rvh;Gq;lg&O*g}d7y)_q-$YjLgR>m&N9_? z1OJ+{+HSqKEbE|dm+`t#@8h3gn#Rcf+i)y>j|?2ODJ96oBqru7`&q0}y|Z1tB9Syv zRS)oFzj6|dDvO!Mi$%KQYd9PSvE3nfCj9Z^w=X@tz3Syg1H0g+5@lT^6uhMwiCEO- z<>j(QJri;YiZjX0!IY#AuE!0oCqY-wr&bT(1?9C*p6yJ5nY!doV{&)xQZ#lkBdJmU zbATS;P6yfBe-@>k52CX)#LQj};kMuCz5&c8VY{p2bznTCwF`8bAh`u9u@1YFQdQL; z#9j6&aCvPnqYegCIf4$LmG$pejNaBJFf}#3M&<_HHKb~WhXB%Jeja%LAipmuJdQ)Dd{Hy>`7f7zA}unwfyOhB^BLn~c_2Vh;$|z&sQ?#|f5C=^kx^RPZ6`k$CGK?b@@g~9 z{d|w+)#Xnhehm+oS}13^%meP?*fGY~xw&UG~TymEF&htRc0lVZ5hZ zw^u_TC+0K|!~EfDgg8klc+>kaQvZc&z8;OlY8NS}!g`SO?$94Vz^W%OyQ3FsXxHVJ zas(R!Cj_3-7v!$qO_~_PbWudxCJ>u>f)^H+yWxw7V zgUg`4<}?r-PAEsPQ17f_iE-9+eNewX1a~tK4H}g#T(F$0V%MZKiWY+{HqKRB!+vA7 zwzWx?xhktRc{LV@0n-DFmDvVk6l((tP+K`KAUol^n5O{QFsG>$t1IQpQ#zitAdxBx zl2q$Oiy`iA&q(sL8|xP9E*9N~me295=GQIudW}IitU%L`u~$TSokq14xbZx30E3^O zpK{vlZ&4pLeRq`DKrTgoV1hd6J_P|?>&6-=_$(qhyT%&Hyv`+Sb81)sIsy@zM_@<_mhGoT z{R{+wu#nJXu?DAZ>yJ9e-QM;o9Z=)1B$J0sOiW6A%)`K!=}VA0Zcv>04vdUMBdpW1 zwY3^tO6#(Lb$hHCsNWUldDw#FHvdhRzX`~KERCMm=XUiNS2!L3r~#|CV+S7DW)SVS zMLzLmB)cqor7`t`YuQVBbWaU(XKup!b0*nEBY5H}~Zo?|&uhGSHJ8>N>TwpPl8wvIdFrq*35I-Z8z)|^F0L#sqm(FTP=e8_K%DJ?e1Z`2R1det+y9YCf4~n01)QgVT}s8(vB`F z%vo#&iuq->t75m2cM~^}=E=M_0CG`?g~%eweA4zf5^XC*YpP>!lLY#jJ-~OTV=^qI z>Xl}>GHF$y*z&8QD(0=l#`?DInB#8=)Ih_<^D{BRS?bI6IGy(RUX<0&P`VARCLYrJ zXaIU`YL3LPxC^0fyTt%*5=vl_@*eM`I4{!FHU8rL+iNysSFIGu!n}ab4I-X}r z{@F@EZzHh&x$6t#ffv8F*KBlC;;Vj}sHBNT%71fE58G*!18@)kj$POJ_~mTis|XMk zDw$MzOdZ#CZ2tjgjx>S0OMRvE%K%k~$5o~I6jAJwAn-kdJVP&IzTsRM(u552sgjO{ zU6oCJ75-}l&v!Qff52P)!PImkKcOjl|IVs>qLOy-Q`0ktwkpcMy=S&mkBDi7=~(9% zFG)JH+v|&J?2abHt#vh>&KLSh&+eaOEcU@T3`9nG59A2Wb|wgUo%Z6H_3tjb1bU;Z z7u|L@(?Cvtrg2Y28wkYlBI=CTm~LV@Zi&@Kj2khmxv+CzHDK>zF6>L z>rp=bM`>wk#9P&J=}>P@?J(Ps!nE9RR1;{33YfYIh4usV43H(n$A2|qX|}5o85MOm zOBy8oo@xDdD=j6r?rU}BQ6m;IgN;aF>iS_i z^y;c?x=xKVc}>f|HiLq#L6OE%<}93Eq*@2rk9;{^b`Ai7{PCKt`n>+h_?d-!(sKg}u$WM}#D`Am3Scb!cCyPQpNqDOKNF*)qb-MWg_8Pk zKYskU7bYd2EWB{X5pDox+BPSu`1*GHU|T#Aaa=ma!9Y>m-Yw9Rdus8#^My|}{oi5H z3hL^@5LlM+*Cqctb7|ahpUYFd3OlYLwlF})lyj9|WFa)mIyaukGih_gaFx^;cDzxbcgMPPm``z6e-vB{2aS z85^sbr^@NJQh&NhE9U;%*w|QFx^t?|1KHdRKxC-gMhjEul*d!OPgZ8+)vFvbJGm3G zRGFtecjaD{c^q!~9Czt`LjazIs5a)4mU!*|cEh~?bH~a4nv#+dw@$UPRM@aRY37HN zlmb-=MpqQJSC7+Fty^vf5e@VRNOuvJP0yudm$4gte?+cemJNh1cZx1Tw{Cl`ZEK`R zqFeU|4$#K8AWv1-0jE@umhQJJ68<}MXfsJEDP4wjTt?}bSjvT)p25~xU@*PUq5yEy z;psk4ZPfDB)6yvz+~!G7kxHWe9c*EMCFOA1C-7U9n{>rO%zD=+s&)bLs_F1r{mj2r z)ipyEGkyW?hzTCTtR?47`YE7bK)GOMWqo(J4YD;)_Hqe!snIX@FY3=mGwyS-%LwuY zRI$g##`9zfqBHiq>VGzPd;PVBI^WYJ31TiMsvIrLbhAMU0a#MW8)E@ch>eXMoE}U> zt4hJyKn;qLKfl$_FRT3K-ya2CU07HU+aFXqsN3$B;kE&E;=&V*6$t_^ziID!C1~gu z)6wP}Wed|I5ODaSlpQ|vN-hCf<-Cz_qpYK^Pwm)5*-v6#OFy$jhBOKsdu1RyPt1W3 zBzBHMsyML`YNE0WOp*$%_su@FIJ4XiV{=fQcI*>7F_nA^^uQT<2FW~b|F7tQ++O<@ zg^h#TPL2RIDzO7*Imiarn=oh5`IwwM33MPHgKJ}9(lKBofE$lt2+xo+<XrkB}hgUaCp_mOD&=zBT?&8G8p|>Q@PqYu4atL%FYIHx|b0lz6v4%K>aN;G9ZqK zA5*B;xjTu0c)X;eqB3V30T^cYR7#5CN0mvt$X4xLj)$h*@pmAM;+{uk!@3|w6D-4* zd3l`#Y{r!RU4-Z|9L7^kFSy>2NRW%Z+L?cWRN1u)EE&yvW|yyC^n_mMUgd7DNFvl? zb~GUU_tix?XQ=~N<^>e)VkU%9wLrTHBpSdA_iABVjFbn+7^?a?JDakh6aWDr*=IoD z0(kjx$XUsJ(-CBi5#SdQ@7~n}Ev8vLeHY86BMdYKkkjl+S#N%j?*5#wTQmdW@NhkU zq~5DWoA`3L;bMVD;^oVJphqMjEe)W$v(K%@-~u~{+|dG0*n<=O;cLoIe&86(|IuB|Sn|ow$@sNB_Kw`NfqYF`EMm ztAYVsBk}d?>Y!i&;-+lq?CcCA;KJ$=Mu7tQ;Z_)IFCr2BFC!>g%Qh2ud!Ld(WyY<# zp$m)@NU-p&4FgBJg?_yu7o#T@*8vZQ# zWa%SH*PH+L2EkNGC@U6t@E#|wDte@=YuzWi+%r(*BU_~Y;db4{@1?-e~>f&!eYz`|NH0DtPzH2 z-u(BgSXgW()_~FPzNY`*H|~p^t*a{5B{$uNOJvGn1X-zC&kTw zJ9@uf8xhs{-v##_zcRki75AI->-)9?P!W(PLLLX!3TjvXI*ZP0V4dlH+px|zAVuc{ zqT=J1SEN;pFM#$+kN-x?pHhPey=l`nV2LOJ-0X;A)e5`kd*{_% zFq-U#g8}G0>|E8aW1x=Q9b@b^yzDRBn$Hb|JA*Vi~`R5PHnv$G6ddx~x{!0`hf7jRyPWTcGBJGl@}u8iHlFkUd%#(HP#wNJDjIx2b>$zop;rtrsV)~OF90kB`Ct*L&qfKZT|=W2WeRu z8IQRz0b}>w#U3&By0;;jY+-1${VyrxegZ}sHi4)0;bJk-F2U^!?E;Xl*(vUwvd0S{ z3}Wu>u?3*|w0Gy^x`8}Ec)%?4K9<68~|W`&sUa}WWtFJxtJ2XSjxqL+VUs9Gf^CDj5J2za9H!TKnz zfUT(KQQ`fcEo6rq;{bX}0b(m^J1DwZTIRBO&VCC`p9i%n?z#N-H?T+VvO#?nxqJ6+ zmtk}(2E-r$Es*vvY_BlgWR8;(V!oAW0Hf&b@9DlVoWXsfbernt%|8+WOQJh|0}Kfv z4*QF<<2z;m5F|TnMSu7nYcSmqaz&$nD+)AuEdbui%1Q!CuF7_jUtvQ|s|B>pZ#i!A z6g*i0W4)zZ04gPuMcY|rKjSa!1Bk%>p6XZ4jy({J*5l z%MrnuNk9X;_X5htrCU3Kd2WMJ_I;Jydk(<98^2fua@|-62bYj7%t88|v;-r8*@~%Y z5d4Q;kzr5|X$0(|vShW)ZhFc)IMFA)`7HmYquqS_hr>yi)HHS8M_lPIeqHG&L*(xv z4cxvdpGz+|!>wEC*?E89NC<&fG|R2o2f$|Qf=oD-+&kV~O3YdM^f+LUlSCBVXcQr) zT4t#dlDD`T3jCc&H^MiB?icg(^S`Wnj4QSJ^K0H6+jyBG*`xV5Wnj3$=JWvW^A86bWzy%!{ zOJn2DDbs-UcH|-IPkIEV&w$$vT%ilUgligf!6S3?&~E z67HMMeG4TU=~n-hNfz8) z{A4+!I0>A!sF>KKr2PIjfFQJ7z|)h{%>}tBOD$lVgbdn6hLCjaH8wuV6JnK5Vp9@! zUN_eh8}gHsvhdvLVCBQ)!8#(BxB(P4>5L>`Z(;f|i`{VW?+*9(>ww--F0ZT<99B@i z4KC3^HJ;H6+>qZVy8FJJWk;meawl}rtmSBX&O{pE5lHmqYTI9zW3To29|GBE6A13~ z2L73F_m*>XutBo!9|}P{)R{l>)VNhD8qOBDF{UJ_oX8}ul=u%H(&QaJG+|FxJ4L}$ zLE|NhW_3BNV%l44%1S(Y;`3u3gXXK0^y@NGX( z+za6Oa*I8QtUg~sB?P~0?0R4ZNbgD{tKG@bsVVuRHxaT!F56}xW>6Hqj8Px-s{k3*=YfJCp!u13JJ1$6HJa0yOw+1t1 z<_8mZ%!<~67RVh1pu)80d3T=*EcO8=vN)Ue_gVjo#~diqfFfJ~)=su`+N((;x)oTR z(%{BrOgRP3YE23);Fx0gk>@O^(F>Vv3?8ysn0k-}_*(0}M9-442ahbAujL{aJgfnr zqcZ41&F6!zHh}AyRbcsYbrnS@vt>G51xJa6!$5}IftbaZM+Z0WFDHifgF0IW#Ghme z*xLjP@CcHGRC3UEN$Va3jthk3S4Y8j9PhuJ+4e5D8R;q@Yf7hhfk8nl$10JJL6}N| z8g30R5DvVk{(*s1p9?pQVgo%qN(F6T)zt3&)UxY{9xXBJioVl#%9FYaMD9nSfPetd zbWIUEg5(1yIxNd!Qc?GXh#*PcqE+MAP%>6w&sosWC9H6DbL)@+0`DU`U_)NO zFgKaqTN%(%ZuIO0UHwjL0K$4tp7=|{Jdelo!rZ0c=q+cv#n?N3usw z9F#|}Qz}}6z>fIgtwi=Km?~|+EsMW?!vfX68O*~1%PjR`kJW7j*Z^Ja?U5@OQ*j$^ zmI4=iH492Orc1M(C;B8kIvx704uGLPpy|$=6Y$trXF#Vw@O84P<|?lMC!IKz4SjGh zRb&+M#S_?_pao_!8>JwglW-R^o*@f-Sj$v#FJgM(XgJ&rBr_mOf#7DBXCCq0?6*>Z z1p+Uzovcoe19fu%*f8LKAB6%p`}!aS@hm9-ygSVI@2^JqoMl*_0y?zU&3Ew#J*cEW zo=xZX2vC z@qV(NzEseo&-vj4wn8%Xodq=|C5D9J649)Wm33)n|599!Bm;g&6wvb42wDojILo@{ zpqXW2sI06Eh=8?#BcKf!6214!>>VrtvL*MX*``^YIU$_sz*~jT5VB| zTb-D7=#{o&s`PaCZ1v)kn0?Ny^3Yc;xx=l~WABf}CqL_!d{zfn(t8nW*O>xuJ~{ek z=eD>vDXQlVBe&%a90?)QQ zKW?rFcHE%=JR7eLnNNWj(}oi-Ag`c$b<7H36Pg|9F%O!a1yzd)u}1X=WeALqY0k+o z-qazbo&|lRtIMEiTd;XK06QOGJ{&$8NWJ)mQx7Jro;fn;g9+`+<33E6RZ>ziwnv=i zY?Q=GIdFeH02p4LOCr-2LOXb{BfsMTU{}w{i}Eui&~K7Q1Vg+Rdy`MY>^za{>hHs} zY^#_>L`0rOf%U;Gk`0wtT<~ANV*aiqjERX^1JhMShLRc@@YLb2U%se=dIRJP1OlnD z2}yicpN%c21GXHLMVkao6_v7AbFIuWvFkuajktTNKU-W_09?fybkO4J_Dq1^n)=XY z3^;HQKE5XvJyE-#xJv0l_P3_NR^_->%ScP#ssF+X#^yg46cBsWyKWmoPt%vqPp2=% zTcrSU*45o9VuS%R?*;ggljG##Dz^yz>x9#ZGNOM!cYpk%#9;F!P|s40j9T83Z`;26 z`^u7#+0m`Hz~wdnkTox^AtK$XzdbAc1d{fm){Og=#jF@t?Y${4b}qTvwxBq3Re{iY zfv28|(h^sVj?gnyRC*`cpkxW(JPvNfu}sZ1%gj#3&Gvuu&~^c!iBjU6ab&1P(gKugp{D zG@LeDrCHF z-k@uscVsN0^=9I&IJa$OO25SZ47IdKc0FZxuFVULEw8X0j7^~&>K`-rC^KidXJf}= zEmH=L`w-fy$(k@ESG!6?sz*aZvr0ERdGoKM2Hu?AdHrVH;6o<#KKOc%{Cu{cTKdho zo-ztMt%E;@@iaYAX{R#*KFba-JQ|KfyB*&wzWp)CXVD~k^r!(JebN@9(?6a*f| zM6uaEbtS{X#$1`eLZ++|&d1IX_#+htjXR$xPeq!T$=jJl;M7cotU!bxA$lzWs%(|l z2#n}mo$UIo3(CHwBis+^iH%;F3LGFRZN@8_hpbfH+4@#|IA{8Y)2wbDDcK>YYBbNX z`MU$V=%=91okmtrHg##Cld|f|ksF0m249iHAxPpW-5=VIBQC79I~GNT2t%BRwdf6h zct@%Cts0LPcnZ2T5g+xQCZawci=Y7;zf!+2?DRb(Etj)YH&peE+43a35J zQM5)=zDgAGe$*P&-^V%1gEhpU%$X+k$Z{|HrA=*hU0ub^rmnSHV8{NmM}aqEUz=N7 zzegEJTeyz%WkS;*mqnHufmhV|Ai<<9x zw$Ba*?+|SIe1eE>p#6{`#AbBje83D4K9fPPGQr|Xw}#9unL>6B8nyJO@KWPT8R|=n z89iTJcnk9F^ML+@baxz)qV1Z9-U)OR-Z1*Dh+Owlz#rw0w^L1kOFLYE0s_&jE>*((Yx9Z*un?u z6|GNhDUKQndY14Q9vosu-mAnkd|35E4b#jE3e)sLd&RwpFudS#Zx_z(WPEt{m#;a& zjNWeqWEkfc8T@NulYVP92TSwYNm}cS3mdZSLkla!TU3Nlo-M|?!v3Z_I8U)=d+o(c^^t83@waL^a_WW^U67q1^_))0&(@t%ZMGeUeTcm; zg8L+PFYKna<&I{*QyT24*%6ggcZ}`Tp*ye%P<;&4c!(;i>t}r)A;_&M2%-0>i^Pmw zYW!6_>MFubk=IwtQ>OkdHlP|5ejARKisARpg68H)f0E1VE~7}CJFfC6x(2d19$olr zv#X9h42&&&%Mz9JjlZgJZe+)rzohwn)7*qOKEeCnTqGUDuSq%8>t{g)5$;Z=ph8i2 znPfo?UwM6@(5Ud{+-sl^h>0OD%rC8MX@5zh1?f+7V@MfI)imvc;~RbG zzg}^^sW~e`7A$XpPNc1zGWC&X=&NeEP@L)wq@}x~<#b@l@;;xUKnr3)YAYR}5+{rn z)g780%GXu&^HX&DqvASo@<-geG8Smj8C@NteE#9tAu3w*1s0Wt^xc!ZieQaNW1Uv< z_UKl*hq zN8k6ZqC*|MKr4#yfT9AE_Ch}%4h}NDup;9P=lDun#-uV9yk4z3f}L!*hR6)-W0c4p zQ~vewoB6Rvy@Ai@;yaE|d+e^YRrm=SL<}7&72nN7Tr02Eix~*5i`mi>RtTiS@sBTT z!f}Q_J`<94ir?TFHb}wQno)4O$H?E3*2t6q=b6wPA@Nl9M?Mb;B`%@6MX!F1BYCAU z8aGehNr?D|8ULNcp-E-IqWr-y4}%JUSfog*7Ixc%&VZU53XN}DBlHJyA`F}cp4scI zyofK%y6)`e#P5mDT(JLj5nKo{nU+4%ruBcLJ(AI7c{Rc^(T$d4s3J5$y^MHb$`=@k< zQx>+e%vydBDE%~<(CGf_!RH+E>_nN+I~f4Pq%BHQ$X_1>yrhw~7=dxyh<@)Ms?bodb zN9fNsoEHY3G_`YItI$5WRK#5z(gu|_s+=}86l9xD4v)n%giuw+!A7bkje9Ra#GiIc z`|FlX>&U!eFTxOk-gfr@I`Q}`eG8Dz2I|6DkH|w;Ej#mWrwn&J9wi!6)qvC6PaQ!e zYN^MIuV@!iCw3Fr`>u8_5#*8t$K0s2cj zPwiT+;&q8r@7V`y9vOOqOXx|!=f~@^;4BEW;GQT%+%l!h4T@4*YF2#5#}pyzx{M|2 zoWFhNxYv(8OlDsf>s0eB34gR4hj{Va)2v-q%FBj-ev`E}q>U$&biI50;C7=Gql~X- z#qrLF@`%@;k4~rFShE=4F(|}7w2e385xBm{n?zC-(*8%t2JwfUz=JaTukHw(Ljmfg z#;dJKkvtVm%6Q{_Kutd7c7}J*QBNrO;a^c^y-z6{DjhlK-AX#Veb03*H0|3JYdiB-Cq57o;-Xg z^}l)2@|2xO07H>TX-?IWFlnJZ;_(+{YHh&~C#hx4JG4g97V&JE|K!{o=Wv8b%AlrE z7W6G$d@I{4MQQjD8z+If>gLslZw+)7++EYFxkX2LI8dQ;=)sPt!YXf0QI%5`QOz2n z|0D+i@!12rARNY;c7rtJm5@`$Wx}3`Pz|FZY?$P1#X017dt`1h&1+gpRi>iiS=08e zDYC!#$+9?$(;Q#B&O>jQ=rwU3Q!!&2)Q226dL_*WH6j%CpPUd7YrXf_5kUsYZ1QZa zZuWhV8Qz0kwH2zS5?}U|M@34A?3Ro8oU+EVBgK84$isp6$I}5KFByH^JeHc@zBQzJ zH-&zf*;F?2mDnhtai6?k-Yw=YU})^t{d0|&0DTt83B~$;VuN3KpY&%4lM-b~Tz+J+~qTTy<8PCQkHmtVISmtYz7l^xc(O%JR-I^i6)tcs6P-{!x zU1|+t>mehYkDt8k0!7I9o{2X5Z6%Qr-D>{kdAqNbd|5lh-t5V#=8=3%4nZ$+VtQT- zZ_}e$BcUoxgUgiGz9o5MN$7ZeyaH4e=$4rJArrLZXpsH_jQ2*2g(a-Uk(A^4ID% z(;FQ>+nH&Y*x^QV)HPh$rPG<1DOIIY)Xog-vE40B< z5FH={F_`iPCP55(0h-**glZzG2y|quT?&Dpm|cA^4)`BYvadARWLovL1C(?YW?hLNvrcfZ%$-OV%k9*u9W zvEzBPS0cu}@(yv}KMWHmZWQ&mAOenFiD(-r6!qvK5AUTRh`4ZrTs6X#Rcd5;XvXb@o@bS_4ymnFIqG2x57|*+{ z9zKNH#GTQd)V3E)sBahmrTT-7A^&#_p)yg7sI~@Qo{rPx0Sm7cQZ=SrT_KA+phRMPQ5X|UrQDXY7 zpX^MM6f8!>IX?6Tc58KOmf^8ji(WaT{Ml67f8uNMZ02K62&(RYmjUyOe<1wk!%C(_+Tb1UEBB2 zJd}X2TnMCsE=Vgp$!~2}JaEkc=4(Zq5}fBC-xMSg(LAN((tH@Z5gf)UkDMk^za~KG zd0l``6k9|r?n)brb%=L(5fd(w=twK(ywB|Ixg7)KN7E%QMLtD&R8`mq1HP%IJWY^{ z4L+NP=v6I5Fko-?65F_yC+(J%#rn+J2^F`+p<7Jt@qV zJ;*e;RFl5QhSIDZBENs-8`iYWMME%OHt=Dn8QQoLeU0a5NALni?Bk(Zww!4ZrHGdL zvNZe(3V|P=p|!dGz{Dv(cZ!%V2%O1+-glnHcgdO}IxMIsqoCXfnL3afm$4W*e6$=L zeEQr)8kx7${Oy9=EUI5$m&uu(2$c0974q+W(ZL%fw^n4SYNB!b7{M7Sy@P>WCzXut zzkVLKEpkZNq|Y99mVU#0mrn8_+5OBJGil_a+iSA5=+;Uhkx!{cvg~0anb7q%m8B&S z37?lVXCcMsRJ&%X0&X_1`QAH88x6&JeXm#1-T%$KW2V1CKshsKCLNFpjiF6aF#Qg` zb?d#u#e#{X33GnBN@iiXFablJyf3TORIpiiJ%LM>7(VTqb7SxcpZV@+m=~vl*bu?y zND)0>>IXp=aoKnXWp9EQo_wM>+0>;zrMiX+&M|#qX>EFLOYu%8g-q9d{a!zbLam6+ z!rW>KhtQ5Jo0*@*wlX(7FIrO2%HZL(*bMMuvYmK+GyVFeES20AhEvVjYQw#z!PC=8KSocisf>zRK?~ zVP_{#sQ!u^c9)%>iAX(hN$kZ<2H{Fl_aWLJ*-!_%?n&8hF*z36$}P9)#%@b{`r&$_ zANfnkU0QTvq~Bjy#Cs&fw|%zj^?UKIM1M`zg3kFvVjMvTG2_*qdIH{B%<`yRTHyuh*PV)xaLpjZJ} zR>jyXkVWq+AXbf~Iz0HqrLausGeNL(A3@?pKUUgvso^yc9)K2cAqgmna%pnQJAAYVDcCq+NNO-; zJj=VbJG{^+l9GsH=VAI#yX2flR=W)EV!7!jRcoY4D_--v4IK!BZtyMZTF(T$ijMNvmb z#Vb4^AvdA1?NG8XjfK{GXU1F5b|SGbt^U@};b9@&ixS#XO$L|eE>s5>qDN6}WT<@w z7TtM;)OZ{_SBFi>>IhMvvuxeNrK|1Z4PH$6KZl0&9_EtTa*9A)nlHBY0%}9puO2Rm zo6?{*nYz;8xXa>>B=fwB84kOSOm@5X@rdUiC6<>hY5LEoaQ-L-#oS#^+TT<b!G<>T`nYu~V)%EfLChy(~H_x?P;&8|GUw5tjOeX4n6o*D- z^)+^SO^1Yq_z>nDoZsHm9VSv$i)&i;xSJ4-tEMn{{Jw+hsONG~Ok2rqItU>9a#)Mz zJK8KR2jWq693Q*GGhvXl&sw)CZgTR9?~np?EMQ8iljWHZn?(cD-_? z(L4(`r=weIqdD!rpIHmOT9FqN;Ya1q`x23c8gcwnnJr=(|N4F9QA@P_>?t4x( zcx`MR(ye(0$H!l~v1wcAF)V-7gqO`UK*i|oI>u{%>YtBGe1s~65Ap0$uM+lCv@eQ?eIf4^ypXO)ybqQSUkl9XifdvKDw*Vi`tfb@(Qc5obN{65EsYM4IQ zD*WDL`u&RSHGPw#dc-(7E^)9+KWq;B@PEP23y+EZjsRqnm zH9|)^0AZCF3;H!5_N9zLHlM(#0G)JN8-CR5(XCJ!<^-@@^SPW8^B&*|r}8tSTdU+S7j{n$3Kv4q*A)rjr0X>OIx6m?U&2p9Ls4D^VNt{cA{}b zCj-phhsyMSg0Y9?pSOHZ<)v7Q4z4hI@S3wo-eP3f5Y{>y116{nsf`}?JvU$XnB(5M z_ZURJqmuKJ#B#SG+9F@hZ;9i%xw*x~e4-`Su3d9m>geeaGsY&ia=XqD9)(gCO!NPz zNAa{4&7W!D;|<9yGJkO^A1c_e`{EjO%kKE}=0)h6U9~%9XgVkCD)%-B34<^epiO{d z3)R<1Bp}}*Z_N;p4a~GAX;vCsw(NM*3RKDV6a>P&Jc-{+a$5Z?2#(5@4_ucx$gYCC zu_%N-s#qC~Y@65{G;beg&SZ}4@O?G+49U5Ym}VJDXlE6gPoz84f0v(nF-eGEI0jdi z>um6Izb}4FYQi9${e(Z=h|^QE5sR1EzH>Ov+BbpopPqAPV)ypqSAXC&v4_pI(BlZh z-zVU}{JV{>LSSP0O4}Rur*9MWe~vxV-&1)S)vrF^NG<|NI#bRhg{31a@wg&0o1 zQ*HWd&u!$$36uK^J3b^$bA0X%t-f|}lOVRg&_czyEHX~djg-%c*K0`kX!E0&{Zz1a zrM(PxQgkg|xLy&-&hv``gZg<-PV9K3pk@vErY`zk(!?Qy>*fWIRS|3|Z`b~XMG-QQ z>m_~s5Rc0fA*a=Q-{^4Q9Y>9R6%fa_28A4B`D&wmfwH$8)vlS|7CePRXdUr4$xR?d zO|m%Cb~U?1rnUiVJ+*fZV{c48IVInF5W+-U%e7=s&ZF72(A=C)o@mnS2wBIVBjuDRzUbUm6uI5fcd~T!i>+CVozfm8y3vTD!WLr{6hq+jVbG5tOx(NcONxnjJl~M?gIu0lMbI z9NS0Jt@f6KUG$7r2r{&WrJ1qe)TrG{;iYPJ<9E(IAJvAH4~HnUhJ}6W&V!>l*!uth z=fvxF-VVaGbH_sH&A7#;Q(XmrlDewf2c9nEP(72j@YGAR2TAIQR1UGJkRzq0B_R7B|`vOSQ!4a-#M1Np)>2{Bakwi0So zp%+(_j7La>ny&~D4kqH|rJLt&T+PWtY<(Bgk@$pDyNW}7TB5qIIrFD35rwYfm;TIf zwWi#pt;X3&7v%eE(?JI|*HtB<>_otLb4=y~U&)zhFBy57@-(XN58X`Yb%32l-`Puk zKAwWvdh%H(vxgDj=WpVTZ{HgsX3r8u9!EE3*MuG?^xHd-n|1|k+414^!lSUrZkdYo z`cH85Tt>Gh+U*CGkeODrUu}K6an1gFt?bds`h%m3oykZTJ;W9|FVEVvLp>U*(70mf z5AdPY=_^a~|2<JY_Yp`8dNN{Q0I(|wg8u}m?B_+;mT%7r2LTm9B1n)ArSa*tHHpAFwkvYG`Dmwg(s=12)ujWZD;ljx<Cbp#p z-hJqe<+Jx)RM6sDGglFSBqDTuLL;jP0&yAtxy0C*B#wDo;Vfk_4ClLPHjK9LZOC!=Pk}N zlE5^r<)wUG9VNWWxn3swo^sEl{$*v?^Sfjy1Vic#46!yA&yY!#L`T?hhlt^3H?P-P zn%8$e+~N#~AkiYKA(HkJ^*&3NtrXwAURyVO+jaDo=sJz znM4=&efYnv6!C$yswyG>5TJ$4OIv|b_WG-DIv3ObMv`+|`r((@c651c`xr`vvq;7w zPz!V`c=HvCHV|6T4K%HHGD!~xP^&}*FP}OGtABZ>PF{qa?=1^(J0fb-uu zOBs#QthQM(Qon~vtKLB9y`A*k*97NDLsph+2DL9~(#98U>|6;No@Z27SAY7{xXa!D zN97IqaGB*b=znU__0(;rHnLL^f^JY?jt?euS%{bXsh6Aua)_6uO$UYbRK3MhAJeY( zagysq_7~b=I!cabNa8$t1~*4yPnRF!g0Q;V;V*>NL+4Z}8b@gfW^@o-K}BB{R?Iw} zY(AKif4D9Zf2~RhcL2+UNb|-PLoH!S&Bi?(*F*~}hSV|voCGtYlwdVw&JVw|0#kyf zFpG0w@`&>h|$&`}i#R1{zbu372T`IYFWE@Fm{bj&M_$ zNv1Go=IhR{ynr0dt8fqLHvuyWlok3Rds7oUc zH1M-yv2mt?O~sACC^LGJ6ba;k?NYBy;8Gs=Y6lmtRK+@S;DPXbA(%fuz-Va%oCg{L zKs>(sHLEd8M*B>Y0L7C<2iMQX>IdqTYNe_8aBCC|nlNM=w_APWGgP+w8*ZO|7IU|X zSz^9KCC73AeLQ^5>6s9CuRGSUF6k@3nMX|@JN>-R+PNW!YQ4l$*0E0l&)~+-Wh_+ai+7!4MQ-6Gn;Dt z<^C!XvCB#{o|iYh09ae^G4RjVm6pFLX zv{}TXFKD7{UR&I#T{_?+Ra~BfIC}&XrLCX`fY^g^0nZiXO~Ik5%6Ix zPmX(JuOum0Uwu7GJ+yf)*Ui7!()pBOqpXJ_F z6t=ctpo`PQ$}Z>)@mWs2Qumcv;P9sB@en0b&2F?r^1Eb@{ z_oPC1bgw2YA|Mq z@T@%lE7ER2X5IDHgKYqB4k?XWy1NX(nWPS=PkxmXEj25|H8pK~unq?^6swlCqhIoK z?}yYByF7tpeh^1%t$1fbyFGDFe7PmV->n3Ywqy5 zl*aq~;4x)~C$5Xo%7huOta|hfn1lYufSOEuW2ph!nF3)*Tw|F53$2te&*9BYcZk~P zq(;~woneuGuSOilN$m}_%1M!wZUKFQss?-yUyjYBj#1Mo1_S%XCU@b6Pq93vBq4Mm zLu(byc9TNaE{gRS)}iMQ&W29SP&xw&NTx)ECL0sRW+O&VLf9D_iWN6Wpnf}U2yJGh zfP~%izOI*`g@3tLFI1RcObi?_|1d6bk+U9=cBa?T0ZZ@qLEneqvW|L_qb0EB3sC1h zREZy<*Z4AdZ4RMF88a~d3i*2SpL2Tn*{hTIgkwOR6UNTyO9z}LqLT#PS7A@_?{Z;m zks61$D3>j2+&tQFWQ_;wqfMtBSYLPWVSO={_@Ev8x;Ps-SDzqZkEhftC&+qgB>t)F zG_f8$2|Lxtj%;1XfpZ;V0m;vW5&PI*KL0!QP;tsOHa3!yE#N^NHo0#I0WvoRMfd+R z&~yg+zM0T5#o{#1A;XBjbL(7FrV_(^r7Funrg8nsw|vYhVwzqcCFA~+dtmmxE$eN2 zV@J$yM{ENVQc?VyU})lnQ<>2pDT-Q31j!4Z$96>$dEy_3mwOI2dg7{Cfgt{y2Gj^X za9kb~A?=mp2$Z#u)pWdfg*E@a?-o~AKmY~3ztS*y^PwtTpbvEsg}ata>(AGMhVwO% zUR`45^3;WhfCbtoW;ETq=Xsd2cCy@v%`-<8mB){)UQB9<~Ye# z+~;3K(>6ruohmf^oLK0p$W*r(`tZp&MyU$@g0iOvwSkLzc8qxNK9mg$#MWJ$Uf0X& zNu!=;E`0pWx?53>39{7*je{3XaTv~8MZ8I`P;NJkJ6V%dc_<9}x7yqSN;JAdPgd6~ zQOD7(6=0T3aQfpT%g^-;cI1{l=W@NQko)>3Ce-;~*n;v@GaL1ROJT;Gq#56@&lljm z-guDckszp5J(R+Ve)y)rDjsS6rP~({VGIDNNwRa{CxQ3qG!`$9xgNsA{vgk<+qhQH z669qGUbyrGR!@HGgvpvgf`oh(ho1vaZRyw>cdBQ#>OnEE7!384qw$1RbwbE75@d_ZaDLvtIZm^Vv|K z-ecWYjqTXqfolfIgNz-2ohAyR<%?((pBrvYOidyd5rg45AfiG}5xyA4EMu7fHYdJZ zn36c90k0k+Kzoo_M#h)dG^vo4y%}OLDp}YiQ<8Sh!F4i)rU1huU0rv*hiz~GNBnKh z;z(BChTA`cJ=4Guu*$ETqu*mK@xe^=uVe(HtG^P|7Bh_b3re5D@_x;>zNGQ)T?K|W zt`d!ji3zy#KJA$)6g6KN_#0C89i8aV^!$ux4{MR3cq}YWO&YZC3<|HddT`)`%ZL%S-NU1+5@jUT zNFsoD^O#5*p=u}5WuX?|$2DUg=v-A3vPV#F@*&4Jqj5%46R-8iHp(f41=mg|oBolR z$y_*MFj|~xKXvx>i)QVe*PK(jUG=f@b0STzj9QxrnyJkQ;Y0Stlyw&qwBU;>h!^R) z{V2s(uR^2#A7yVDkmb59>^en3kWf)XQba&Sq)SRkK|-Wkq`O4Aq(lYj4n?}V8>G9t zL%O@pc){tMYoEQo^Bw+(FYv@2qwjIunWMWC))}lk36(;;k+& z61V4QTaETn0=c0~w)84dp=#?X7XHQwKF-n+o^Zt0<@&ZC)dn5|_~4orkk{Dk38B9) z$=^qR3;VPe|9j!=gt|64O@dXq)zM`+3@xmihk66$lfj)=%BBP_%w8B~i&V5cil2Ai zyz^1a?-D^~$vvYe^EYQ0Rc0{eQAU=R0c?jg>-k~;-0uy zzQ$up{gi_9cIRlnj4WlQ3&JoyX8T`0y(_S@#$q{6ZS~#-^#{Td|3eQLrQSCK`nc(% zGRqTiTIEYHMMYZNMpPWIHgMx;`;p8UrKpOs@qD)3T7>I44w0YI_|&RbYdg0;^nBBR zZZYY!OT$$uHD`y(MF0Ib#lF3Ac-@69n8w6+yy6m(-yL>evjVoLO8T|2>m^YJD|1yt zawE-JuTDmVm9sHU5}Jf9?N)^?+nTf29OCRQ8bz4mybs^HUSe)qVJJvk)uDi7n9hRq z8IQ}YoJa|)nED8}jN!+%_qMjz74sR14_IqUgmlj0*c)j)TMs>d>1EzgBfCYmw#jwO zUSiWbvX)8q_4({0Lp%%YrNU^6cUp{rvsA81H;OAn$inXKK7)MxZfn`?4fbKa8HMBW z%ORbzfhFjJUue0sw#PVG?|6j;{e>;F>`G|U>S(bYd9}DC6PNQqlx}*AsD$0*s;yox zCX0HdAK{^rgT*`trt|-^S|Mt^-X8TQKx|3tBS%y#-I&U<=Q2evwd>CkUc#G2$IabX_~_?eA2W4NxBc3)(9 zC-{UQW9{w|8#Bs|;4rb1+Ut#p>{YD_Rga<)IEH0i1%dJ0@x#ae`Gix?O0(%)HRN^u?@b2*G*!M}-Y(iNhCNq1w&PVm{K*CYzGsvW9&=ua>8(|bAhH{5SdmMd z)>F7^KyvhO4sG)!fy>`ZRmfTJvsZd-b(k_5Y`ikl#hdj*D|MkZ-}+TLPHxrtBWG*e z_G%Z~eV}@=q8W__!?-ez{LcIuyTaXpnKvuns}}8l(b(2p`z1wnAM7)m50Di%cJMQ; zKNe|>SnKm86I@GwviGFw)E{{_bi#`Qg31#PoBP%Wm5UQ@R?g#J@*S|omN%Ha>u8AS z->eO_3%9v^KBfQe5z>ZBLw1Ykk%8lC85as&2(5V!=U#o&50bCbR~WMKwsU)E4pR6F z=qGNXlqt+EY0cgmUi_u_e9mB&$PzhC;j2ldl`q%KfTcsBbBnOH%GEI&GoveMp<>3B z!^kp~BgL7)`{-#tX8AW)M5;{|9fX{B>94ZrEyKiu z+fnUo_G2g+IjXE|Yp{TETCe!iQ_aYwMPPMY*BGiZ3GaL!5m9g$t&g?g-9w%Bu zX^pcPvCHs`i-%`2n+isH;S^rI(zJeUa8&kzp4#hip7b`?0P~iNE;Ce^aFkJ5^kNKQ zCk}x$=fkUuZ=|XPWxFiVL{(%iP^5EQtV?0JghJhqbl5ul*P(EWqd*uK*dpSoz+Ro;N9<7l&I|`-gnm4W={C#51E1^3iPMxcFl=*T7+%uqn2lP1{RwW+eG;;_za#suvI#ObQ{3{R)(AduwAMlgGyylU|z z{DJ^gn{%nwl2e<=(6R>-4w2(m%%KYu)J<-qy;b2(HpJH)#(UJnuNvLPxm6im#r^B( z>*lFbnA4#W^VOCpJb~NqjcLA8n;NThB+JWl?c}~A=t7NTF~4Yv0=`~wk+Bw?C_|KE zvn-91PYw&JDKYknzHcr)<(u~vCR521HILcH@tt)0K|I9q-9Sj!NyrR(t$@i_ zinu3@1VRL#Fjz*>l=NQ|5fM?A-7*wBKvU#e<*zq0y)l!kAJzBkHJ&1g@KJLmA+&p5DAL|HN@@D&Rz*j3#~W#2jq zUh#ThWIw4fQyQxZt^Jn#F~@KYOR)kr;(adc8<6`k>A;bd zG)FIEe9vh+p7@vdKKhE&hWnh`Gom)u%?zGjBVq;BAMB(_heM3Zku0R%csU)i7#jqy zMz`cnvto7;1X~(Y&^Oz<*{r(dViHg>(W@3@KlL*c#8jNpdP&Y2{1C%$7hRT-xOmuU zjv@U9)bNt+CGw{6c&*r* zbivDOTu_q5F)HjT@G1HGas96D7ZXXy9j@(9(t?UV5?P+Zy()dxqQ`^tY$K}a|8%?M zUF^+XvZh+EBx-*$+D0OQSJIM#Y{8@|H04Ii{A`xhK5EV8tUg$cD0KH)iP`~SJ49<* zRE@aHjB@U%fpvG8Olqxo7t{SE6Va7|`MV`=>inDpR?@BI3I{avtx_vc1{v`z0<4pQFHO zNlS2s^EfP8gYgVrEd9G~$HKu=<4`5#;Lsow+aip+lG1t|vc_&!x8Sy2Nyb{{@b9QY z!M7`AG};fpaK^67mIq{H#2^oTwN0;;U4j?H2Px{IBt$wazf>o?QL7HO{xP;@@4bp| za3&0WI(2`E2~DMG#qk^kW*_m*fi!#hQV1#i%CHw7FVdWtu&|^M&qwJ$ra+GBQ{J5n zmUxB*{EPGGC~5e#A?x!isU}{c%H`UK;KfLjkn+E~%S-W>n+%YdpG$C!*|C{(Fe{j!tH7e1kCc6x!n)(0%R+@>t$)uMiC z7j|!zCjFdNc5bh;JnV0WIik%ZO*xGrdK!l1Pw!M|Cm3AxP4KGk#it?YD>|l6{Cpyi zLi&GgzcaF2{+i~hNnV}Pre}Az3t!3oy(<%h#1-72eyMD7Z{l$s@8v$cklOL5_xcF; zJ$q3I3X&?zpS1|v+}3=2OrAn{K&r?xq4Gw0@LE0i=o4vuZpDVYve(mp=5nOXnJl{} zQ-@;@kHOpSB!%>67>TLtQGG8wn00ZiwI?eX8=k3&7&X>n63_wie2_4Rr1Wep}9ma2P7G` zH9y4_p6W3P;cI0Z2QR&C?AP^el_5tM72>_rO63mqq{5?^Ux~ObhqPHv$NJF7a!!p; z+>q6)DC}s~^$!ZDfJUUM+AH>N$M7dwT@)#ZrYm~h&faR?hp5d9|=+@LKaQ z$p;UDLpU0@M5v{D^4vI&~5vT*T*DlGw*4abpO zpWK=a7I|gZ;gTCxnGCWGd3QBMoJ`e|YRsxIB9*@!+O3cY7|pJxr0O{`EqjdMsu{J> z&9W&$n{>rH5)Ey}Dt0+p;G;{R5(^bNI*f?5>g9aIVYF zNc(Nfv8M!drO)@f5TWJC;h)|iUll0E(9#cnO0D%d954>gz2T}o3h!wMpBCQp+>6rI!JdELs!Aj^8(oaoGd^}5(J)U?5Td~!d)?l%Uq34+iEind@O9v|kprdA z3R37y`zP`}#K1mxkwGiGI{y@6S1CX3zdS^o{5!aiL;0Wp<_YNJhi0TG?F$6NQj_jL8opGr={#&DIi`->-9A zcJe87Gbu<1BiCggHOyw|YmQY4#zb$Gomg;B0v8^r0CZdy0#mG;vrM&~xF}W*83eVL zL(u0kw{y4Khgn@ct|@n%1(mO8a@)UdwrU26T=dw2_6?`=qL-qTMx#@5lR}_9mNda0 zZTRu1Lq$%HGs)LBi#PHg45mK$AJgMQl2T^cwu+HG&Bu7eb-UMf`p8Wt>V}+jHOTHn z4hGGO)wA&{5wC{tU>+t9l~3-h3?VV=E8ga&7hlih$tV@wQ_Ps#Z{D!bqlp)ZLN85n zWgtp*7aKbWiEoQ%P_~T2PvIk7)E%KWqY2Kr8rTM>li)bSUam3nn>L3VmpDm>v)$Nu zX$pVtJC&PQmhWRGtt!~Pi9}LiERinA-J{38F-yfyVdz*V8t#TY8&lQ3|D~A&Wx{KT zpx&o}=nb;}s$g@9o8JUSknrR&)21raXsa*|YdHyCPUF}d@ns)uds2!LCfF_RKtM~lxn*Goi9ocb6pNwzn3Ht*r!hQjRzGu zpn456-QX--60DDLeMnERayV~iw&HBjR)lXqVWHxvvOgp9Mo1TJTCdR_QMVPwzO!?& ze|y_1Bk6=ixPb+|xHS9Xd*X038!tr^72GIfl?dOz#)2~m%UI1&mZCDSS6C!3>*0%4 zrKPvIOWZbcpUA6A9$h0~53?lk4+uaoTauC*hdv^Ue(ai@F5s>HIaH7KcZtKjyg%g- zF2}O?RjIJWz-mU=)h=u{e|{F6+y9jo&O>bC>a`$V@A=uuBH1c`WM+=a!=u3b;tpqt z2^SVB(X_u!Ztg1+T!i;*WNjtzMA@gRj0)$FDMVW4%9SIE!x*C8FjP<6N5YF2t(1*t z=uavph_p4kakNdpIRVg@jp5>h*s7@AP?T(}z-LB!usI8un#EZ6IU39eX4&quuF+fD zBQcdX6`98|EXk~LcZI^%6d2^{bu-o@AryG$Lcz)US4eFAKoC-4xkq6WYW8jEkT3Lq ziM`=uF{(_w*gwDAnz5PVcxKTQo*CF>%q(9`0;e8b?o-_N99H~1V~s0u+tyA)Gp^bo z+0Ye*&i=1Ckpq(9TyxB6<~N&CwTw#k)$BvF;L{~v(Z7|AXNF#2Eu-gDcqpj%Lg&&; znM+N|igpvd6eO+JLhF@HzN<=)e{n_}tWI@m)(Vyr+*zpjj`XdNJYREJw|=aUjV_<( z>`nPO%n5CG<_*2AJ{v1_ogTI~Ywh`U-UW->g~ts;zazrp4ukpy0+s?U0-9e`dpi~O z8K>X!A;Gk_j1w|BX`Ow%IHH6wm?Un$%qMcgXo(+J;2Cp<(uqZ8Blja^?M<7d7CYNL z{E)H5){=*QcWk+;l_y{1&3o4SViaO3Qp`qPwH0G*aH67>@gJdVnIbH(ZlPb(Z~nG6 zVp>42y7dsFN-M-eInR9+b>GmNmBfoD><(KQNao0>a@Q`ptcg9j#W$y!loN+$OqHo2 zC?sanOfr4A#in{5OZm%g2KeJ#CzHe^7F>m3{I2Dir&p$3EvhQk9EI2+@>f;Esqa=; zm8+OXPf8Z%WGbmomOdLFb+3ER4;j~igIXjgv1zh5T0*rJ??8a!*R^@qf=aG1^@6Bk z9{x^5DCS|?AhK3E>!q%p!0I0@@v1`noF!?Cvbb`b)kC8nFIyJ7vihmSJE^>e&>(bX z+2_N9+~xJ4&cAc_A9sY^XJ==x5aGxPO~oJ%g)bovH@k59MosQVb@tmO+=PS$OmR(g zpk*waG;pQix_&@(NV-g{$5W8&4WH@jqSBJNZ9mKt;WF0n*~FjYu-{OgtzdkS=Cp3~ zZ2zFKvC(lz<`f4RT#0RkUljuZW)IV~yO_*I5(e;EKdq!7OOUVlBWpRgk0qF_Fn@3k zxp8ixOW0^Pe{nsG*92JY5AG-u2A|SNZfq!&Y)v7AaI6<2(=#yOckFNnHgA0P=g&iu zsasZAT|u0sM4SV+NGsgYv=_K8GvFM{(El==Sl=4ds5edGwa-#>shG9~VE^$;f5vY` zK5C^%`>=V~vuEhN&)rJOM4tyvLON*K5U7z5*f=_(DzRELWKrEg#1d+17z(Hsuho=` zertg<`)R}S_YMv4i~Va|bg=Jsv_y?pY!k_jjm5N zaF|X*D@*dxO#$K#>hT) zaz%MaEpASExBu~87gw9x$N?GmCUrtd($D5QOUC1P{QUe+8O(6Q6!qz~BcKLO672js z(_L`E3#bJc~bAEf=+8dmdRh^}%tX7g0sME?h4| z+lRC#OMW9bd3;ybSaD`<)z#g!w<3J$vhh%DzUtsYnfIb%PINM$l!bTm(^UxK#}l^3(i4Om)II6{UU4LS zO0tUiVQFaEU%h@TPmehTYCc^1?6yZ8+>#INkq~DHn{h35Mg~4N{R*er-!`g{Svl6y z9&_(tr(RMhli!!0T^(z|OeT0uD9y5#TYb2%J87hBf9Q3nz))rI^^~3@`*!Ilq;;D6 zbMkM3B>_QatDz_L;*E7hT9H>z+=iTz%flU5@{P$S)C{aiL)DSyHVZLVS&UCzX)zUL zW|c{1PcRjAX7T8W8JnC|zp+rd4c#!3z#HwfevNnXgSIymjx*&OnCQ@!#Lsc8W%t?P zm}2=yd7V6*HY6|gwRyhcZhDwAG+{Bik9ou$ME#6&A#f$X0$P*sw66JhB324>xF7xQ zY&G#P*G0}jBa-kehO35SD#-YuqPYd6@dRGMrKp_!Hgron2k5f0uaO6cI3?B>JaId+ zR#8XM$5na{cUQlXM?1Ms-De5dd3tV5f=M~~!u@k#<706l(k-9!5HCN2p5O293J|k# zrt}K**3F=o$dr)W^RI+LI?(K;RX%#(Alcsy0>V4SW7tPkRYqxFzI@?v$K=E5s6bjb zJ~`-8rub6>O`sFY7MY?@j$BkeHl)zS6kln~Rh*d^JA-{K7^Bt6S~Oy6r3JE(I{oh(Gd0MIa~4hrk6Gmn*ICDF%l zpJH$xRmt{e9=@;~;rTJX?ST82gXx&UnuVB`n=?h4V~EYh-L{6Yi{U*3Os6)a?_r4` zGn$&2dv~T$eY;TS2MXfPcoSx7J?7^%_q0=tXs^7koKdJy}fl!2=gv1 z>ZvLzF{UJ@InMA6y?NfMC>vaUCoqV>vH7Xwo!~{E*{89EL^@;m2GmefeT6ntP{-1o z%I}woiD3z3QHug27UvMwm^76wRy5X|C0*JHy{;vPGJDpI!bT|rip_d zut)COY*MQJ@~;g{QIu7bzAQ&rN1=5iJG~!YAIZj-qc)@_9BV+0sk9?dI$}r}HXS`K z*xHBIA36S+3{{~YYWZI6oUt7?&x{b`F9vNUAOJ>gt5T;7<1eXr@enf%6IzqV*=&*^ z26@(kbbkz=HkK?wTw3})FlBOba;mDh4?iMf*7B@9V^_TX=TQ8;6~qBusMIe&-Ay;s zzmku!qenFxie~eTM04$Ec1+w#p(#!T|9jM-bMT7UD~M0@-Q5y%CZoS+qyJdfqzeiS z>V=VwP}qERzWk!UV>o&EkV&QQh#`vdW4Hph7U6LArLVLyNt5%tc$+E|1z3Nm@b6U#iJ;1yoNXF5HtgA1A{R)jdLNeX z?pS;L#NCX7N-9n1>YRiUoY1k`Z@JoncX^qJ`MI!*RP{nuhi5W+RXve*zfO%ZHwW=% zcj`y`^ACyY1n0!k;*80cMD(yaFOQVpZdGExyJvobD^!P`w7v-sXr$$iXaokzf&8d!3{b&fm8*5eN$dYr$#Ro8hd~fFO!=?G|333 zDNV@Iz6n763Z5F2ijf{H*6tot!8aG>Kj7h_qwD6_@(9xyUm(S^{CMx5QxufntNE_l z1oA?T*3MN8`d}4Pbwta{QzXTjPcFyzucwjALt~678J1c3mnDac@`Iz4qPaOt(5oz` zG$eznCz`f*upL(~0(zgVCovyYqU$aJUYmJ?1dg^*y+~Q%+Nbb zS5GfFDe2Sa&$Q$SI)seOoR{{v=+PCFaTm_a!=?hUWsR}6&CWw*REx&1~A zK3eX^UX!_jXu8H>_hS2<_Y zp>0&?v=fN?an*ciuIKOiqi6%D+y9fStqBl-HtK6(Rbz0~lqr0DN7ZH~cH21dr8#Tb92ipUN2h-@LVmjY73yRG;T+&yZHndeOh%ih%9? z<57b@Bjj?;r)#t~V`%Qr6#VM}V*f1NDazz54#^i2on%&A`M| z`yaz$`rog)`cKN;r65bkNUwi+vuM54`Y`3GvW(2{-U=V{{@u6jnhevj0YI$M*8zd z+#mD4mc9JvBLA$5Dy%J~KO*JNguxZ;+et<-{x#Bn{zUusS))1&{D1%U-#;DX99-x9 z=eB>otHSy_4EgtOp8xflGv>OXb#}w(`OaGsjIr@fZIPhObw0(G(V3p~A8Bp#VyPT;%7TrJ3 zMdCvIuPU#9{ow=(A<%TJLi{cmV88V~q*KQMME^N3=YMJt zjtTtQ*ZMZ0-xwnUWpIuA z zNyh(uC3&t&X$DNzX7gXG88wxsIA=jg#T)<8h86LaX*B2Cq~?~8J1C1s|CE`Z-RL9b z6*ImcHPEi|je9=90qcWUlh}6S$b-#p4{4qzzs?PYpEe~3t@-7DuCSV0;PV-H1RC?3 zn{WG&3Lwa}4yPxj$Tqy;+E!>g5fl^@bB@)|-Fdh_N~0^sSYiG=4JtkK`Wh`y%q5C) zJ$*Tvy!#b*`iCnIK7F`*_fd=Wt((^~92F&RUVEkUsN~tT`>0pA6TauSUqp3r*;2mZ zGCPCz>#|Ebwtbt?*H(*R5vJ@gk@w8|C8zazyUoz>TdUP@c8Q&>ZG8&pF(y0i94A zBiW%_^KE>5d_uyAz`z}VWoT+>%uG)YyEQ@g?OLGH%(N45+Ohy67c4&xj$>G&A>?%z z3hr-Xa#(LAlqf)x+i3$zqfrEEc_8X|PbKm4)!#@RS@9#fDPIvBxUFMxmrBQ8x}b~4 zqti}cOy7iAfHVcS0@MjmVOTEo#Z@r^2=p;= z9#8{}cN`pj)BK~Nh{f^Xy3f)+$!RKPH53tMxg+{aTagG=k0jpNh2=9TOoF#jF_4Lp z(E_lK8#ivy(a`}l@_p6x{R=@R+yYm-y)70yehADMg!%eb5i*8<{(=DAJX`sF#fRrt zENoo-(a41NAmBCgy%f5fPVt_ydfJfca|1ZbC+1KfnFJ z1`nbAy}fg;Q1HXi5R(S-#V7SdXmI&y08-`0ttdP+BM32xkBMQi2}D0^cn;JVzeGwW zJjX=|C%iggi*9dbBYu9j>{EPyMRj&WKlSE-gysTuKl9t_XT9OKQdBxvw4ztsEGuFj z9J<*ylpG?Mr26{$K*EMz=MfzAZpYByp1e+cHmOe7N-W$C1MeB9b-Cd68`6eF#n80vBb$ZXw zK^;qWcJ^{)wQ|wB`TTM=V45S%)z?CaZ6YQdMq!CXY9&LVrK8KzE)#z7;>DFKR}l0O z=vfc#m|1l?W2`o3mq&_aG#g!uly>h4sDe$LWd=qmL4Qgk$xH<@m5J>pS=|=wBO)My zWN9>Yc6Ki5@gADa!^Q!?2w)B-$B4q$wflD0Ym_(UYX@UXx`6ejO~gSTe;rS2SWmE+2k=Q zz;xqt*_RNC=`&LhnSEt}29f0L7 zTyQW=Y%Ty6Ei~Rn5EHH&1?gv{eO*G(9x6^@EL(HVIFGkr6q|typicMhS34>;Ip7Se z+rK@hlFvy1T3=9*4Df`YnfX*gLzX#Ulh&umVWugVU%Lxbevc%lMl+h#4ks_Bbf?F&-svc2eo?XM?9seIn^d9Sh? z;$mWIrbTv2)R<)y&zHy^F|6Z|Pn{pVyxvPf*c}zy_H+Y)p%5T>js}Fv6M&4e>SpOH zy?W(6c;I^V_L83JV2;khOGj8DbvvorHcDpwaXQwE#bc^?lb08PG%? zKNjXV0gT`XnUM38UJW!r?@`8MG5%I|1PrlTl+yki0KsVPW%B4WetL$baOAqBtEtFA zIyT4-J=)EMx5V-I{O0r4vKrnmbU#c-{~-im2DNkp z0fwXy2A{?XVh;J1*UGcksK5VQpiRY=jOR z2`z!EbQXcCJ3ktL{go5O5bV=np5oE#V8xfM)fTAs=<`M@wFAHlpQ_b<2@b|(%S-cl zUsY8FXe2slxep4|-NmK8X3R@w`H46lfr@jT3}<7vG8#HMgF<(qnO3egFa`NTJJ(u# zy8<@0x5>`H5_>8nTD3hOoovfI*?}Cg@G=%q7P_v#hj$jBr^Ljo{Zu$F&z1V=;o&U| zb9`rQKQr>yW&9K~OMiY?1k%9~5y#toD#;t52|`m%j}Nv1smg3PEPF`E2cZt&wP_6O zfwtfRv>HHGbQm=vpAfEe#ebcNpoS*=4s!=#p8y&NK)M{=p2np*d}x&4w*a^aAwfXV zNJb$9$3n3A=$p1}4!JyiIS@%b5(A$qPx|A%qZt6k+|zd%#L7^)L%GOeBhemA8KqQG z%<15Fz=Q#+q;RHZ>$bAxbE*D(qcJ#;1o+j&)YpLX1)6M|{w=#>0CZ;ovu$C1W2R}j z|3I*dY!hV1h9HjVY(E1sWKINYjP$y;@WhEO#IFL^Htsvwl{Ati4L= zVBizNY!pdw0ALKmv9i*P{GW;lyW=h>$y>VqZnzxQIgcCwd%QIRaBJwRXaw@4q_i{) z=&j#*++qDRsXYX!L`R>$DCh35S&}A@gaAU?6(fjkHJG8wvy6g!@W8XgUV;_?YpK^m zs2Xu*67?mCZ)G~1+9$rs))eWP0}YfA2m0!*KwEC)W7&*n)|9t^wl_C36Z_uB=X|Wo z4i+f48M#3p8OV#1H(_xdWxS_4vd3PY2jGh}Q2p$Vfk^`qDi)Ks02C6E^F}M|C8pQ> zQuHCM{FBjQuSVbe;!Ee#qdBfz&E+x2EK%KlMo2xvCmA) zJY{mGPyd#~mYTz0D(=Gp(OkWKCSN^&e&rBD!m#qZE71%WFJ2@V%A&-jQ~zjfPAQZ2 zc*%hFOxbVAFh~j?F_RAoi-xe|yVt+`&aYGdr3^Od>tFNpIyjZzW?NwlZDDA^#JKCX z2%s+p{r>i*rewfM0oJ-x*B&A4{aQX$q&FIIYzgLGAEK3><=KLsG) z`z^&q545RYBgMNwZ>jxCOxpb2^MH zp7dN)_*Iuv$V0_-;jnC)FEkn_A}Z7Aii6D6($dn96|au0W>M}#ht%h;TO*Bv)#7*_ z9v+X5;|IQC`9rtvq}Z(V$3a4Eu_hrijnk}sJ&gOBNCAcU`eaX@w3;gQ{Kd%1rBl=q z%WemJv!3L`^*UlHSH)F%of3CxnzM=g*lDbS8D-Z7@(C6nT{%8zj8B?tYimGUW0nlX zngLR;&R|ZWa5yacsl~-&%k@d93qRQ3#~|iZluuA9-T_S`B@#l#q}yHJ)g|$$3D>Xj z7Ujltmntrocb6M#o5-l?lY(2AkB8aT76M;h`I zXTqG6Tr4t08o|Ax3Icw2LM*Jsj6^xa=J>53S&wX@D=I2%DFN3eLf&@JoV7fd>xVgw z7podF-}~l7K8%G!=m)SLljOm@Z@xz%y5Q^l1UTp_PZ)ti)&qK47aJk`#KM?bvh17( zo`GbEYiyAY!bse3C$^Uj(DsmQ=>~{l8PV+LLIjBQ&7IiqwmNi8_Zz2Wu;+GgtYLNl zf+-dz(NrQE*AH-5g#zR6`Y)49-u^^mv>;;8e#OhnD=O-B6X47C03&++Yhr>VIU$s8 zKyqKedh1uFW(%GWd z!z4`7O;gBU`Q-5)Uv!G2Z+9B7yZ|5*H#W{_Ce}DoDYqZ_Ju~&+CA;Q;PKB@Xl6+8? zD#TggNl#j1TR5u(Wp`R*(+P8Ox!vLW+!y?=8pq(c;}|ajf>MeMj7K)`KG%bET+ihc zLJsRzF5wUgf!8E&jlh9-KOTF`s`OArMdf@hPFaD53UE;{t^0hdI05$aNH686Wt%7| zFvQSvIz2a_>j%T@*RR!$5<(GlO8btZ{Y|NT$G?!aW&p$j(n97l@Uri*#Q^qeVO}fB zE-qb%9bX&tsML44-N%4Kq*9Q6#C-4oV~T^}!`WOsgQ7Ciy`>=p+^?GMax!p@*zG`U z9UmP5I&~{RLqDMr#F?GS9E_0o4CrSG#&TdgO3?w$3dH3v{6a8&QVeN?ra-uM&ho?! zQ_^(-zq44Fs0FXP1k_}bE3jvRspv+{?8Ja${K$;oj*V%%PTF-#q)I}JL!+)n?&XWn z#npQ`Y}oaj-DtVV8+7C?3r&|4kB6hdvZljs%P&h+AZE8TPE&Eb>2f}v?UUTM#b^UL(KN!@hLT>5Z5d&H?v08LFz56;5Ugen*uBQ~@5&NloKbR#yzujza=`g#E zGmOn5O?bV#3-lw(<;x*b_MkwzJ7Msc+Y?pF%N~J=UnkW9-0}opuxext8T!~%X7OT4 zz*e!@`t^P67ckquw^c`H0tK~X?jTZsFsH$kvi49dnfrizVmW_IT4G*tRlz$5EUKhj z9BO6#!gmu@uDUu9X36EIl$rSnK0$h5qcGRlL7w69e0)?M>Fdg;79O4Bdw7}Z4L5o| z3}6M`+)t5s3G`-diEP{K>36?9tm(B?kDMdYP>?a=$?xH+07C_wRjOu7C?sEi<*8I= zTiAGo&1_cK$jFFv>~K1)ycd)2TC8d!NPNuz4T=Ol*niU5Z@2M3gHTOZEPSo92}rR= z6bVahiVDFDI=+uGAL1vhKfvJoTurkWDZ;_<@!t#8rpg6X_sJ0g9M}a4)O>l6k&^PI ziri;&%ay{pEsGdSpmQlR?!zxgiWn?JEUpskf9hm;_sje1*ET@3b{CoJf#XI^O&zkt ztlz%|5{gEz_k}-y8ApC6w!-gG1W?!OY|ZR}9COIH$>=p)&ry72^Nh+4nA*AAi!$rU zl8LVy-=$w(jwh5}7%JM177rQ$F6?(qk z33t!v!Y99n5-%i1rw0(P$#hLS3q5)u`xXv=fTkh>U^|#YOu_R^V@J8~jfLRUJGsc? z#qy0tO5MbzA_yNP)qy2Pm&^o|+1&caYxmgM6$A7v0&-yZkbW?H*=@f&i@4P@WpO-} zkRxqhebe5WOodahw#6czl5NJ3W+*{nlC|{#JiYo8{oU^EJj0z*@Mi$mTmM)GpwSD= zSlbIdU3E`BPE@-mH-eM7)8Z+dAO$CA>=k;#8TA5Z_z~KkU5FIolPoNV z4BjjjCg{Hlt~oqrQut%giRCkgdSEXl+3lleCJyxX_e0nsA>o0}*uW|Fa1SD|cIp+5 z-XA38X>%5MbTG9%;|_Xg=8o@aK-0CTuF4+Qun;43K&J9HiFJR14^!RmT*&kbjHjyh831h+vx#1PuGL~y~ z3=F+yY39*QTbs8fTzP|~HWmQdEg6ZS*YP67VAAh@B@zxZuAi|EXy;@pWy^`nO|gTQ z_l(Mqr761&E$^z;rkq6ceeRA1q91M|7~)#Z!QX{W*1tM{R>rk*+Q$Q@Sl0|<6qq`% zP-#uxID-=jTKPL3>Xj=r3Wy!|kXj<{O9_gBuEfrJAt51v1_}#*fzV9>?Y;#$1=2!F zMXxNz${FSSs9{1J54J(}22xP|sP#*!!;`~RD*ViOq9nuHu_W10+xnZEk zY7kP@X({L6oA>);bUTUT)!JxZJBzzIYWTZ78qnHM!FD&=;yT^`8vdz?b>BhwwqvEg3e6Q!hdR_iTo zDZ}?yuZ39~#!}?%)JdCOQU44vL3b?TR^tSXra&FDxhG0RynK8!@*+FU@Kil&cjQ4( z1|A?x!P5A2@?*(-vV_;MLY9^V(xU)^&Gi7svol5Gj;3x$id^>N#U@;)WSAR>;HaM_ z_bOY@lesTdeC0fN!MF$V{&oqYNP-6vely7UY;dl6xPj2PHM4;utzdgFA8%klKXM<; zdoZaRPHznN>R_95-lae`wZ4n>B})7}Onf#m;Qq4iT7Y%ip2Tr_w3!rIUS6(2%B%BW zu=Jj5GyBYC`Q8ru$@XH4)vu=X<_nV9q5N4+PicgzEwM1}op}Qf!1}lqz1~D!4%0AL zDC0J)Gyz~x9Vt4^$iV$=8J&@y%3{n zl25uEqSYY(IveyrrzpVCK@{~>OlQ^+`I-0Jlam?JPRlkAkp{p01c1jr5A%eVA@W!R z)>^>6BmzjO(gc|fsX~3q`}cW?FTO3Av)ZolI_cL1>&5kEEJ=~YYMLGW_{0RQ)y6dV zMiB0TGi>^!C04+S1AHWH@heC$XiJqtTmoWSfnI{gz}#cs8+T5!Z4RbA5YYx*3ksjI zB`!W*fS5vy-R1h02}Cw?^-I5{~|Qu6NVn31#rR5uL@_gFhKX&@PNC-@}kOWXfyCy^S> z(s*fC$dtdkxtU$;j(i>gT#0oo95Rb7kIo$ConhV|sS#J`NZ_S#(PjYz?-eX8>Msv4 zu0y5+{Mv`RYikK$@icN+Pt{`Fxle~3RD}p6B!FKf&j2AH4tod<^(-K^W|q1~Xm($c z)Jv*Vne@nRSUN?`mwG&(ZYeaI=RK(|t5i`RfoBqyG<4TyOT4yCaV!Yh*M9+KWD z5NcS1^_GHjXVprXBl8Cc!D0&|ZfdlTD8fisc)NASTom z2{chiD@c{2E+^UUZ_M;K10Gmsd$oAA%+b+NadFQ2)>v=qX9!P+l@hdoJ?FU;3sK1F zq9XFgkDGrHwei3WV78XX_CF>7WLka(kglC8ZQ>BV0a=Sb()W~Chb0^*L3K?s{?$tC zb7>}+rvg%Ut=e3oSX$dXV<{MKam~+JSy>>&H3j_LG*0B%ZoX!c>&R3SV02f6fL2)m z>Aia}Rk0fp7NdnAw-7#8>fyAXSg5(MCatbGz+zMLbtIVDYd!Ik8?%^mxCgeNR^?lx zLauIXIu}FZ}K1O>$3nejjl2UTp{onr0Th0cY;jd zG#qA0buB1hNkn8SfN5T3Q-A0J~{Ee-9|XiIMdTB9#7~JHI-3 z$g6ZIv!Vxod6V$i+S-Dwj4_&k2!0mpyobBH04T*+dk|p_wkzrB7X0+y1-)S#3VYYhU^jYE4EOFrMQ z)M8aR`v3tsPr9qdl8%W#R&-C`J6OA#+fhIQ|Mu-J>7f?*c!kJ+Y2Ukd?@NvvAI$Xw zf-&0$t+*{|;$;&sL&1WA#)8%xoMb{jXcD?9Osn2Zmqd4exO|lW|L@6u=ssrFV|~Uf zPETSq7~BHkFJJhMN7Gq8PJvV$PZ~Uw?+~x%-04@-#Vdrtwx!eydEVnf&%m$+no))f z-iNv*g_&h1up$x~W4+`g5X#XEVd@kFU7R&+ zlMyy|fBjFg|-He6u3*R`1^BL`6m2Y>nb_=!_AB zX#6qQMKB35>M*GXI5BanV^m5-TR`>J%>yQ|K(yslZDHfyA60lSo^MJ6L z_onjVL!UmwUe%DpCSkci(Ga>BnE7MK9JkoJsbEo_V5KA_emh~}4AoDdhwR^fj(dJfxb`#nM2_*T> z^`2ill$I%~cJDGK~|1{mq`<44%}O$wYhJt5v4!Db;^1mQ*p z*(W2JYIPu*)J-1=s04(AQI2pRC5%Fr(iQSs_wv-09e{O>h+J>HO+8Jy2elj!x#^^w z9|E&t=~toJp;|kvYS6Y~{`{G@RVSB}`ys)_|GD!8M7_R#wb(gzndBG6{c@kfMBN|x zpP|YJ4P|b&x$1DL(4z<`9+0L3IXV(&4sJTE_8h~J1Blx|c;9Mo!aepf78aHr1i$w+ zQs>fhrC|7$yA%DkA9zBv;YBVY6)^Sb7A26R2U0GF@IaVaT*`i?rvZ67YemQ| zgp@;`lbwX5a=`8#NxWzT+SRKsMMcSlkkUM0i0o1kbtT%9OQ)zmJ&&B(AS+xJkAq11 zk9$AxJoOF0fMsRaIuT;B3I0@yZsYzY$efsa@nGg`Lc~4+t2a7oiJ*`W%7iqUgM))}gOEn~GVuG>Cx2#1D<|Ww zs-}HBbGFytup?9_ozN7hC(!QR&1Eycz&UnzbK@5f7~-&@Vz;-qhoxf(amx2|O)%&- zR#v%2WA+=<4G47k>9>n?^Z`>3BqVn|J0ZHCU-YRQ0{2?Gm%ZxNd%DV`g+xR|UcB&* zMcf-J+P7F_5 zL~Ne3*L?o-ZkT?iz|Jf9%bQrWX8CiUp!9=W;1onIcs`)8VOq27u=}g9v9W)U_aQ_m zjcOIpXCaJt0O3@jhAP*q8vL_Mm_^_0TSUUeo|2XBm8sYvql^JhpR8xCag)jDU}%*o#)C7roKPDWN} z?vMP6`7I;TQEHAATghNG>x3@REa7c6` zZ_hxN#s#aV`q6gr@Oh0V1Dc??7W)LjWw`9WZe;oUM%d8|LUh|NQ9}k@K>Q*jHzH|? zeN@E{@kYLUnNL7q^CecrWx`&;J|8TFfW>JjGip8Idc}UrycWAv9Ip5Zy#P{LRnqKP z4LC+;)eg^0xc&K2<2NGjlan_}z60$7hmWY=kiWX=dG+=aum#?L=X(A6byQT;g&?8} zii+~`q3>^F*zZVKe&N@FjGf~H#722$vffZzag#Oth1;eqDIPRCF;r~;~Iu!S@* zK9fF+@puKeX4J|hFWjyN-E-%`{6rAi-rf!~vN}@C48{;Ar?O@oqT;1=2mLO!4tXN^ zhM|bC@bnWr*WZDb9ple%8z(4-_;P-mz>l334kBH~1Em6Ai9El2K}SOyEHs+~s{zt; zV0Gn!%j)28szLrzB=Gx-U%Pn(7g?{FvOwId7_=qC=n&fr+ED)dNe1tK#Bka1szl`- zc-hR%%#C0pKeVv($v=y;Ul3_%{_>JZPKM`f<@;aa)p;gPzwSpN)|eK!FRHDrZEU;- zF|qfj8n?rF*)Ex~fH7$?Uf~3RC4LBbzMK7XjSo&vO~Kaa>gt-I?;RlCA-i~9 zBggh1l~B*tdHj*L>}h@W_h1Eyg|nC(?X5SL6S(?myFbHpC%DPVVCvZIW4dGc*995g zT5Ony3j3dI^iKxVM=lTWAOvU0bwq6B6ZUyR^YUwbMAcev>bcAH6BiNi_{MTxSo9#_ zZQvcKG+Ft0KD4!Ga_J{jhQW0m)oz9%N~sXba{TrAPds2~{!T%_$FK;lpXKdtQowdS zIyyT0t+O9o-~;Cko+jM;tUT!Kvw|mxF-0stgpYalWvqnIp^lSKMECbc<3;~p_xe}q zUmsN1T)6N+wB8a?FL(B(c>DYnCzKIyarRYV{(DT$!$xPH{ja}PXyjje4Sq}*)@tyt zcM&}+3VT4L|1_Uu3ibS&AeAnH)Rlc>3c}Ri62ek(X88ZQe)!og8DClQ==8qzuWOx; z%h~65|GCx!>$8`#5ICFCvpb9vo&D8{*T075_eZUf4>07M{`ve>4g6|~#8k^bX@&@_ zI!nvF)$-GoC9eu(KGsfLk_SXvt9Uk0!tkFnl!7aO=vfR$EaGEh8x{(sS?rGr9=Aa8 z;*l%qO;X*GnWB2eI@$N{-#_$=eHnx^^IrU!m1j;@yjar%-%NFlk>+OMM6>x$GE)4v zF5mjw%k|Et!STb>Y8B-L2$wh2 zyTNNQoxc`17-vIO;c)t?&D*aDHcnhzTrC{!5D*Yp;JtA98%9h*c@2Cm)chsga90p& z;e>^S#l(WXKGbqhhm}+Dmk_tV8x+SSXTlMAK%3B&e9!lYqSm)s||Hq+mfO<`?c}PSANG1AXHG1m$Fm@ zkORIv37M;dCjhAffguQ=g~eQuZg?dqEbLE^#8E+-ho*6?fZD$ZPF4-w6kSI z%52(MxrmGk$u1e$8iYtTm1JD@$j&ZFc6C`Hl##tR#qW6Mxj)~}^ZkAO@pM1A;(EWv zc^>C+9Orrc0?SypJiHzk6#2;p}C&B369jADl;wW_l6&gjB%uLw}M zTKQHo8?S(0)z{aD&GN>LC{cwIGMgq}OA<~w@8F&u{k*fwHaM(EB>it`k1iN_ z5S}Sh=kR7at1v!h31=+@d!OIDf1v0BZ1HmzLf(6MQn$c{J1v{=F2ywgXIAApoeGD_ z`l39P+1pp3+GHG*;Il(!MNZv%lhXtBlQ*--W4vWm(o4TySTyiud$0H3mCc64pljZH zw?k1 z>`8Gw%b|6CqoS$lFlhGhKc@-1sz}fyHXl%EDq`~}hiB+&QeXG=^vH(`XKNSO7*qu+ z&k(*ds9ekVb}I+wl%g>B(M_pML%m@@rWFBCZ|??m2dJmyaYCbz zrQ)YdH~&DT^$j&4gz~ETrLhthP$R)58#2UMX2d4u<}AKOxv4rpSQ=>wdQ(*;9iUhU z=TYS=!uiq?MUedWBVRH8W;*eN!Yj^CC;sWj;Z-y0aNKCr9oS}YF2ceFzGq$yl*b1c zdwIz!C@3f@N|^eu?PqHG`pGyZHn!@`&!@X;Yn;YK5vow_v(2em z_I7sGqu&=^IgBbRZra8apAyx$F#cit3P}Enb=D(V+W$yc^(#RPY9!qqS*Aig+&YNz z|8j@|w5sJYT1D05E6V$;bC|?FJUp`3lfrwdTz^l}B7W4D+AGtOr;b(emA8k-se9uG4>8`3>i*=5%L(^f z{23ZUsSWSQus>&s7{3&@u4*|DkJWgiA>%=LcA^m`p7>7$_oMcHQil=Z@Ry8@-{CFC znF*$Xb0Q+g%f|XLs!&kB8i^^8EIE^L1^|5lL3cAVrySRJMs;PZ9k$$Q*B7qBZ`LY6 zvK;?$mc+`&R&hT-WL)=(dZ-`qao|{0h`VwXjy_Tn z5jlcxse?8Z>y^Jk*8x8-NFFtYI$*w}lx3U*x$B+9PL1`5OVxz#Dsx0L-0+p1B-P`s zdvAQ&y6xhJYk}ov>-7Hfj5+4bsoH>u@uVj{KBQjAIhT(~md7+{f-+mUqa2yBpL_?z zAZ~;1wleq^vPwasCap6gYj8&B*<3IhKfN*J+~2hMZGhNVg_neaTl1je$L6>K1SIcr zgg*rJXH*T+a&njL6yJseGPt&}pH^nfs4AN4;8g5QlP|Zp>O+BKa2m`JXf+Zwco_BG z*2dZh=S3MXAzwnE5A5sXWEhV371*fhe34yp*_8uzgwAc;VH4~ z!+VT^n|}!6!~{;N>pGFd1o>IAo03b~m2Njh3zUIqW7`x3j&9QLUfVSDQ?FKy41Jl@ znW;ZanMY(Fe&&0-`!9lfw?FO@0@{bt(p|K);SMU)Y%pHqQ-uJUImm9AdTV|RX0;hr zR3NDlb)G)pnyZR4&MHG=}1sHQoGv|;@4M+W3 zSr795QWmf6==TSoereVncEB0^Oz$gdgEklD zxn>=QOSJ>tH^_Z@?ci5##c?tK7F#_%fso(T)?p=U8b@}_0($w0lqF=Bu@6E z`S<>JY(DU5SJb04U@)b)N8L0DbPwW-)u!%*leCz5FWp62^3Q<*_TD2>PgNCtyk(*U zyuAI`d^9p|CVWUY{Jh^f$s5K+rwvQupS*qL5!O|Z4}T5~$r0f9%$Ara0m!Xc7M!NmJAXqX5xra0T%=gUj=acm*2)*0v8+<6RApR{xUauE+TFjE~hG}+gxJ9ostRD`>)_3$E1Z}??-H!Zng9~Kp zOLtNcw?`1rDQmhFxWz#|xsp+`T9}R&VD2TtcY$H8djDgZg$GwA*K2uR1;b z<0>mF`KgtxVXIaRf1o8&f<|CG)X`%A4gTfX#vo35?c+ER1dgQf;q3?tra9sk^XL|H znK(gz)f9XzWz>+Yae1knos)A2cSOw%Os=|{Wcg88*2|>>-JiXW_6Y;i9LAkJcDH7} zqUY|`EF_W@SiYs5?vG6*V&o+w+MmrcW4V}{jT^@;xP-jz-C0> ze&L&*Z-O!8(935BWNZPO+nvI=T1_x)4;prRhpIMZP>;trZJ5T&p_-QHzC#_ug*fY_2n7 z*6RZFgc_~f3tZKQTndo>Em~^3-Qr+UnsFl_XqL%12wDH@$?bF*5Z6R(N3(|GX86L? z6@T2`7%c$0cj3pw?NvJo4{WbWfyAe+PvzaPsPM%hj$hkON8U+Kf73Rw9CFz3k}YA1 zrxNc6af}|63oYqE(3}%qC_Z}pxUE^S8=Xd~>R`#P=~5%hAa75gI82;{*~J=6eTGG6 zF$gbphy(V3`FX(-6J@l6oSqiN3$Y#rJ*$kwZQYNjWIu?*47+{Hmam^>e3?b)_w93- z`gLiOc`x!7*kV*d8X6kgOzGX;|H!`E4ey0Pz7r4^_msznO5Wf*4u~dpjv!~(ZQAxy z)Q+;q;Vlz)_lI;Qu1=F*W$68SI)KOK=8#qJC=DIBhqXC^f6oLUNyJhCRNVL<4>15E zJoEKU4Z@KJHw+8wv%HgRPhuX}b4=OUExzA$Kov+8V2CI%YA@WJ4<3^3t*NPjn-)!c z72g$OZSrO{Zki|h0mQb&YNeo-K|4agE<47ZJJZX15CHKnKEY-gsO$%6#oaCH{JO9rbwkI{7w6ifU36P4)9ElY#!Q21dZ!19 zy|~i+wH;YT0lkMeQBhI(7pa37qL{w*Y_hyXd;*95N@ycWWPIS{PuOC)7 zWBdp~EvnF-j+vR;;^kQJk~uoO_$v&y?{oPH1GbtnBQnOxw_YBeo-p);;`{`%Uq*mG zdL-tc)7=Ig?$v|x9UP6T^)_G18q1H;>rDTWIBSNL$JuD)P**9x-}G60$yCQ00$cQ` z&vi8z;;c!jy)U-X&;-T7^sZtC{y?47-4c1ATpldy^&z znS(^Q=TS-t+YHCQs>-Pe9&E<5GQuK^>^%MASsX~}lO~bm&Dw-&EWU zM*SLsY;I2=C8(veB9oC7&^F}VLAU{)yt>!r36i<6wKB;OA%bHh)n=&{jTP{G3=LIN z|Hjo)6()&w3za_;dZO72YlN(MS}MMF-2xULrH}!lSQr3?y$z9MGt$SyRGgDN;X${3J(BO|6M=J9KSCpnw}` z2>fZ~I?^O`R^1|dQb`b8%88Oag?WhhDsce8ki{V!E|SB-!WOd;AdU%=wU&vIHmRQW z#yNAz7mWH_nBg^LMYoW$Ao(0r3UWs4MVO{qleTT%{0tvOJ#5x;V)8B2{*smDB|><} zW+{;4e$H>;btzmZ9<6ouSm$%=Asg6#Vzv$acz|%pJl@7^kDDc@u?O^Y>PYBCqgzC! zK=j-hh^U;^UHPzGqJh304S}!#shjLYfJQ@{ruMHpCr8${JWEa*>w&Z5nrHiPc;@`tWvcDxuIGKZMtVT3p=0a z2&3SjBk4d3FS?{Q(lElXUVuyp)ptTLOw`U2^-_!yeQnqYsS-MFuPB^ez;5sa`(da@ z00sqY(Z{SefuK-0UP_I}2*@-ua)BofVql;#&Nt&p(<`YX3@U-{?_cQ~8p4&NLxpmS zRX+5!O?9E&6wXd28fr36&Md_s@SqbUXcja+=aQ0Ih(ED~#l~$pBe0%uF3pldbo{HZ zC}R+$i3P?5+->)hQ!V)lENsY4B+q>7MT1!IqyaJDt6P{-zsS2Xo zT{^Qj#*G}Lvx}W*GJA8@_@if&oFM2;EB;@Vl^Y7+( zGE&;?K3!SAVMBs3Bn@Ojazq62BUEb%_D44prh%=qJ-D45m%R%e6S$Txa8z?lqTT$% zO*3Ps*~v*pwD{yGb~rDYu}?!unw=%urCL9ni)9+z$U3S1^!cSfLi3DI4$5{v;VJ%7 zh5VBi1dA{)Kfg+l+=0=;DFxE2XV0#+D8NulsGdmG&dMdue;T5QN;n9*K*Fw;BAdcs zETi$33%}~ZO{A!+aE?@uK%{7-G8=pmJ!^dSZxQvQ_&-GOe@H5zYdBqw&w}dDIC6v{Q<`->j?#4hOo)pM8C?kAhn3>hii(KmX<(SF(+ZS^+v>%`3ViD z*ZU735wFSRp(&W=^=%H)B<@6;pgai$!4wE+XW&AB-HZUVV>X_}I)0hWTSo`S*|3Y# z0+MsKv>Pn8f1;435-(~#JL`U*%?J1GxfwiOKE}$x!|)&7PrMBQc<>kfMq(r^&OmE^ zxq3}dzF9fZ2FWAPAtW`@_y8;^;mY_+^M7alt6%U|&A=K0SH5D9T=&f+`R4*M+>CHa z<1W-{I)JNbqyUW=^=e)y1@+pywJG_%qaGJf2oOvTz5}HZmtEVKXyl2d-(B$c$lzUr ziwHQUsQ4Kd-)gMG2`AC6eePU|B6{VsiMFW3Nfdj@0C3b#E9>faQl=po_(J}ZH ze!p9{y@CTAJlgXMCqmM4VgCcRvO^$%$%Zu7!wbgm|Fsf-HKuE-d>)j0y+P^?QGdGx zr0Yx@DMFo&=t?=f>!ew$ex9rjA3dmAO=!5Vdwn_c>BEQf#<2T-k*2;m{1iW$7DBeQ zkRKjD$yiZz;sQWIkUI08J@Gse=rZMSL4lIJgQk}#MxMZlr~YEm;zGJNWe4G`)?}oo zkCmm=*Bd+`Tj_@!*T^WVlKX}u0^XBbkW)1trh2XyI?nFwZ51&x0XSx=RSz`afG+;K zovy2!`#({_3kN7)`%(Tq)4W3x5)#?dn1WPKl_I6wlWhfEoa0L*Dj!7KW!Tz&)xJAxyBLslQ#~cI4>910-o6o|IJ2^QyI~y!akQndPx%JKml`Jm~-AqCUf>fYn5C^Gd zlzga1a24#*Z&gOmBGTcnRu>~RH~TIVnV@gGpEvrq_be{Azx< zSUdk-+cT?|_L)m+)xQ6!yAasbL3(80$!m#WK0)WPQ6T-@#G9(3t_A$?E$@y z93(0#3JX&kU?454!k&T5%qRhD=?=_}*uQ^2=<@+3T=L8B*Yf|G0lMVA+>4^@WeW$& z9?}z=v5s&H5xbx#0LAeap?KuY)_(dFhg6mbFP1@5ny!V~b)r`&NsS~Irx;;gPp!^^ z^wb){0Dppb%J1T((SUPCqG@y~bG6D2*$20URd@vPjSVZR;iLS0y<2*ookMEPK7w zLIVP(z|39srCiCp>7#L3*Kxv46U~*p)igy!bK?Lir|fee-1}esu3Sjs&rw3&m`?fHJ!}{a<$WDl7oSNMCA#5vJvWYa5E4+Zi$tLPG04BtjO(e z>-E0bBo=2eaYM_8MN4a!rchz+F8*<**$gaJM3GK)~gOitm>?C5+JZZr@B*{oiZcn ze^g+UFz`nDj|aa-Kc?FDtSFIZ`{vC`y~LCNgu&MA%THjTm@<>%T-mQimKMWeCXc^*SK19d(&^Yj+K{T+6X??8E zTWSrH%05w2&GBh!bUFT3)_nN|}V4XkX~`U}N>uR~0hWfJ=ny(P)7P zcWFB9#ZCoOzjlCgT__%Gt9wGhs~;Cg8F>IIBJv&Q<5N}(WR9mIcKTRiMzR9{9dT1o z=!7s}bdDHe7!@6dbT(?DF?1$%X2{AO?lGIxG4FATN$bqD(8UypKZ}~zLHLudqm;t0 zWo=`Izg^q5ojlXh+1dGYHFPWSS9(vvk+NZX0}}L`r+n^F?@{iNSH$ZH1^Ye9eAOu0 za|CtZsN)ejG8V}URCGmP-4~&`3TeAlAe8plp zs_9FxqmFI95aQI*KyW3h!4Q}-4)v&>!*>r~R8A$)aVVwOyAb%Urr&T=(`ag>4+7))@eCW$dNm}8LoKH< zgE&4k)W=9h^PE4JozRpkFh!%+OjYc-dg^!1w89%Et9gShJ?o6mgBWo*HU95~!vZyX zQV21_Q{iQiI&nZHz-Gab`!v7!_@#s=bHH*nNpPq1!&p{SSP08^uLTwe<+$ke()Dm~ zWRDV^foVM9F9nQLAkK-2imF->eI8k4M4*f=uyFVxFdCd0m~!_TlK%Duk5JE zW#-no4m&>78g|r*^!;DJ2Y_+u=N#)SDZyp2tjIEsLb#jMdE@wVQ8;fA`qj(~YUQ-5 z-u|I#q&A{~J?SFoUZcjuM%-Zo_3Cd*Zj=L8mk_Xxg$8#0MVoa|DiJYca*LDl>w8j0 z6#~SN4%na0DDU6B`$W^HJ=bVCCHxIz^4^xdeSd%AWI`C{pSiHn@QEJOU4yf?Hrf&i zjw*^5JXnPIh{>)!dqUO2f#Pw7Zv##vgCjW&qlP^=12aT&N`X;5i_;$ns;O7f&neuE zlMkB&_#&DhaC^T7Bi@*xo6PtlPAxF$D5{ju6NXPY{4OqTWk1ZuCe59H`{x6LPD^bL z!$g=26F(4Cov|Z|1EBkvi3z__Os3edX;WWYaaOlU(DJJTmRhRUQ2;WF`GqH|HP23= zoYFraD}yE@KXq=TRv}Vqk+6@cGIu_zkzRAI*U;4IR3OuyC`fu#NJUt(s)H{|N@{Az zC35Q)YdwuFq(ui3RY5>T9wD=1P67We0RF}#dC*)hzX>g^RzF%Co(rhGJt%Lo?51vp z9fY2m!REW!YwwWWR^HOjpVL5?qw4#CUR*-x0pRBm6l_f1cBEEt?`a#iW)?x8LX+Ka zzrZGt#Ecn6t_SXk=Ko4-Wu{R@K*9Xs|BEjb4!-Z(B;p3cqsK8;vTa(lwS!>EhJ z)qLE`m5 zpFFE~$sqIy?V##|d{+%{wTUjHGy{ZONHIu?qx;GCqavdO;40J8)7#E0+_MU;c1gts z^Dp=(P$@G8W2z1;x?YnWuS3(t%UvCvKy(K$C=$efn9@)ZA4Tigdp&h&GnM)u1(J8h zyou3M6puzf;D|Mkp~yjz-@J8e%}n_&w?V{sJhlkN1>rb(H++UtifL0XZ&zvBrGR;- zv%WD9|C&~8U>xo5g+A_Fpad?v#!*hV>d-ob?u#!~~K4$>y*RuR4P81atks_UU)k@zLEceyEo+WdsdyMhp z-(+_&N}xyJubIpB@ZI5We5XHpdwRB@xd?_WWgX8=le_5X=;-M|U%vH`|aSzAuzPR2OG_wj!<-@JZG>P{x$)$6tsz$`GgV*&{G#{ z;}lp9o|N^M*~;>tn@buBwglq_)&OyM#z&|l^rYGIms|SmFWiJ;iTH+%t?C95kXF2y z2x}#_I*j-FxyjD4Cd9C#ZXgk#4b(uk?gv-dF0SR%yJy{2|2f!lgL*Ib1A#YFfdP5# z=7*o7z==?|yZe(l;>qNFo|TFSuH{4LvGdmtcliHSJ@ zTVcaXaE+98yf<-Sj2SQ$CkEY#i+7Bi6Y#&ZbKMq}x>4yZQHr*f=qj_k1sV3#24%*@ zBJ?=@ssw!K??~2l)5Nq`l}hb}lY1R1qvJ<(Hxbl*&{+Btaj`+Lo$U;^fxBq~>e^cS#@y zen9S-{Kh5wp#~Xkqoz_4HGXi)FBrG0g1I@}@J0{tUVDeR4zsN$X{1C|8QIbNp;X|N z%wSTWBmwE~XAAU&~bD_CK6&~H*4{O{=N0A72{rGVO_o&QuYkpAt z<+HR{QGB6o<-0UN^k{fczKqe(4c$h`3eS?JFOmZ&YM|EmQ(H!U&tiiJvrMVW@9qN# z?wY-L(u6NQh_x@W(Oe=MW}cG{B_u_Zi;L*dOsqv-PjarhAkSS}Qv*6PP4g>IY`L;) z>5bFnYaU`^PFlxTBt|jw{BYm=ya$fk2nllXN95!E6pj-VEw4+TMv+u%p9=<*LKTt# z4Jg}jubYN0ml%>D()xGv|D(Xx;;8m8aUDNyj$n=i4hz=tD(Wd$|KMQyJ$v>b0?^V1 zJbU)eN8lQZ4C@5X;BiKdW>0z6@IOYt2uhYa$&sD=2-b(;-Y70KlD_8tx2;M0YuMcF~d>vhp=*I&qb%u5AlgP>#uNNZ&#f z!`*AE`8Mt$3g;OGg47Xp>hfgmNq01_=tkjC*^MY~6tUl1Amm1UIxQGPoGCwIhMIf< z$zR}x?u&-30qy8jx9>@~Y@(v0;e^kIQx3IgWsA15PNbBK4H~_|WI%RQ^{`_2=gE^N zk$rboD8e;KALSPq*fabYo^{tL9#l}C|9oHQ_^W);y zi%Pnk5ndiQy0<@KJaq8Vjsy;Ap2M5}p*no&j6Ge9$I;Dxj62rH$k2$rGq)deo9<{E z{pC{e_0G58mUe-FvA(d!hJAHmBDQ1Shb8-Zbo7mG%~hhO(j86Zcl9>wKyh6ZQ!_k( zwF}lGa@n0*pa?Q3x8$4OYcL}6f3dB8v_)dHqbTW)M_tq;7E~^OPHB1ChtE}o8yx{I zl<@Fy5FL^{umw~;#;~Sa&G6rDE|*SH@y@q>0NQw51Bs#7cI;K9^B-u@u&r zDT11{6I>r&OXXHtTU*Qg?w~^5v+7>7N5wTWx-k58g$Mnk!MJGe&OpBxWdTl6ogzL_ zdL)TC=lX|@;wU|?j6}aYdELk;Peo!;kz<1WC7I8Na@2_i&qJ^K6;x>V7muAs;tQdF zk-uBVv)!}V#sXUH$Vv>R+dP@JfWGl4h>;KrIE>o!vv+v=sgd_skF5t_`H=~8tw1q@ zcOtEykaxd&UA@r8=^@Cqu zgLI^TRMFDX0uXRQ#K6h^`pjXC(sK+1;Mh$C`M<{ysbP&Oa|Pu~^NE+_pTooQX?AA= zfGIbvU+fOwV3Y-`&!K}{Tjb>rDS1k=-EBe0`a$QeO(iMy}Uam zLrRQz;hNBG#1=`uBp>49w}hko(3#^Qz}@~-SF>)NO|w?ZKxrqAg?X}TOgce>5iwJ% z4_uVMwjwBKssP-!p3M&?-3|M$WuO&F^U9U+?MoQ+_h=H#`<`KDfV8~|w^Q9`FZ{*<+jY17#jP9Fj?=e&ajw+6TN~n8$PK#D`Uj5B! z5j)sLCnH_ga3k2C>%o}Kc{_p=Mm6-7mzVboB6(e%IfjTb+Qu|+(O^{5?cznGe_DEa z$zw1U*uidm+N7+pv9U&Zt-%K35vC7SN(|usMMan_zU{~{+owqP1)=ZGQAthGkv|4%}Hlaq%%OLd>CwLh-K} zD3A=blv%uYCT2QKGC`9 zgfY=*T)T%_X?A>=spi4~L^t1@oE&;*3bKXMMAtRM2`}F?uel! z%lO2^0x}>IGqXi|{xO}K8xl8*iah2&d*B8f5RtyygoK2`*X}VQsU^&%Y7Je`PmmD2 z@_#yd@Y^^TGX$n*wi+5SVY16%i%qI0um^POj?lcj61o}%{_~bNHW4o*=7sC?x8NB$ zCvDCMJ~Zr8;^U>P@pk(=xar?_|Jw4P+%NYXw>;G$YUAL^B55c`^f5%z>=iRt^J^UNm<0})}yjX+U zBmVRE#2+i>Al6VkE-Wl;I7UTg-}P1exe5|fkQ2c$zn(nwUyHSTpNnQ{^{=M+j>$b# zIPNG6~~W35S?)g^CuGyR;=Eh-g|>V$M_0t zSYLe+-~IByjM2AU6SEp+gI*Sg_Pmw)_r0tUq$1voLU1{uCG?1+ji*YMFu2Kctap9> z1I-*TEo8oV^Xw0ft#i__POcWFP+idqb8bQ zN!8%?qI)|fIHii*{^hsSw7mt?U*oB2>Y*+P<-(Rt3NLmPJ6GB93UCu zm3#frl=Z8|uP({*9m@}Yn|9J8nYIS1l)T+ zeThAOd}$%%DF1C=?5|FI59?(K$j!AGQhR+oradVAX_m?4!7|C};yDt>oQv6Q6Uhq)s@=62O{0sKZs|9#3c z9}$KYysIb#`#7sR#GhEzv*dwG!rKJPK>zvfgt%9l8Tyr|%>2j`Jp5p%^EHBlgHuye zwW3rKT64_g+%TIS=61GbVpk8IKAi_jbN3fSSIbJuYuZGUU`f&yJ)?`m`@;(VIGlA6 z8y=&ZKRuG_)o!9`b|SiI>TXwWx`c(7#G8}moj;!C`F!u}Ewyouu1@_acuwm&zpXaw z%0vUXwJmSDt<|tMadIGsqMY{q8helkOE^ca?KLkcw=IkonZ;MtjScVmiZk|Z&v3d_ zP!{7sr}~pxXI*tpFGZ$Mid?1E+vIN>Gj}7Qf7*ZUT5%+8X-Ei31b2J&i(?IrHLI8$ z?y6rz?=*lMxQOW+ut)$4U{XZxn>W%<{BlPC0x%}vH@FD*t$X>wTEVh~DFJF^6;D0F zgi=rjV3+p`O5GSetTtSoYuB$?(Zqd-uLYz0$8$<_!O?nd{DHb0L zI~&W9XRYgU&kB0b@(^(Lg#7KLoTnux*RT2F%H`wYhs--!i?QLfAzy4KFgEU(<0Qd? zX(`wS$YBN}PwM^Rkd%~^gzt5APm6uM6Ej()s9 zcCY0O@vGhGrR)n_K^`X3>{X}2ZS-`en|?-4 z8A_Q62U?!hPIj;pT`3%|o!Io)y=Lk7&0Lclp`hQv_A}S2?0jUXV|2wb4vzN4&{}=< zi=<}zQ62B|>2lgFfw2p%q(m=!Q&o=Ps+gjM11A0v z*fn)?_GoyIZP`A-%G;uX{tN}miKLCC{$3R4OX71Edlr|^X7+b}U}u<_5zUJj>3%jX zVsUoCkDQ}Hl^7uMbLq;a@Uhq`$a=n z7N_B7Qcqf0j3A?eoX>ysES2xtJJ+;}hmTbMTA^EaX2zX35YSR5YV+-9(xkLeJjS&X z9;`h_(|S-Im(TTeQr~pQ&G9bOpAvn(}I-BwwI3S+gbj^krDeR&REMy zcXIEcNz+AcovCw$)-<7^sWrQFFKQ>#2W#zY{vdbG)KlWURITyOt}Wv|ES z2c0L=YY&b5>S`Dady3 z>Cd%euV~p)&vwOg28#yAbRO}HR`?(l**STuQY9>C`dULHF6**yLAcF0;^;Z~(|@e~ zy{Koh3A`fnnbMCoD0!C5^mO>X_+FClAUDCsce$ec+Vs=;NcZtKmv-#iSN#|~gPNM& zx;4gtUcaB1wq&?j1iyH3^-iU#yeMBern;jGONIw#!qbOBi#rh@72Qzc#HF!v5G3s> zi*Cp=EDZ=+d*ckCL&d()XWpB9?=Xp+mY#K}Bf;d|g;vIbxxmUcp_%^L0{Y2qSxXsn zj|-P-qs=5q4s5;uNZchebWNVKR^Au!Q?;WkI^?aR!-Er_a_9D)UlH#+eeG67q4M=7 z^Ap^P56^CkeVG>hhV1`qzY~j;qlDm%AfHdV+nxF(S28#H=3n`vQD%JHrOkG?V6nqH z{fURyL*(7IsP_fiP9BgrV%ffUiZy>xuH)fCSz1)?ZTTzCTSEjaU2JU{VppaXU2TU7 ztp6Og6l*@?CTY#mov1UlSJ_zq!db5D$2q5!Un~rgJH$sNy_y-$XqTOjLaI*vt?_wT zYoX82WUD}EZv2CJl^5J@&s1Z?nm6PH{^Y2C9_#k|rPYIKJ?enB`f|SyIWvU+VI7Y| z#udWPch#o}c7O8(KC z>c9o689%=IGF^6-VE%W%mCO#xeQ=~?3ZM`wQ#=L>W}VArdt&f~5T}q(NL>9Dl*HwS zfcjhk&L?;>Fwd!20|jUnhUdH3i`^T)W-o>&QF}8ZBX)(!Xr2VzY~=;mcWozoWW?uS z3l>bNd(I>S<$9QNMO2-@D;e^{4D-UjnBd^MLjJ{AY&ScA>EWI^yLLikl zY~5tz25!+MmFwq)L?UzkRJzSsiXu;%oh*UE;*(9h#T@*GyevcN^Rms}=3occ55auWiRz z%oNvk$$;nt7shzeqY|2ivOcF=KdqzL6*&}WO!ry(?4PjMnub%yNMVO!ZVAlvn+-2D zKE8b5)x>c0R6owot25``8klPKbO$msvbM%K?$2}ICN|Wf+et_E6=u1^wMDi_N<}i< zeXGIAxP~wD&2z;^{hh7rYzO)!PAZZP9-phBnXIGv+1xu_|37e~amy+kIZsxB&r+5} z1#S!QTVQPE5>$;pEzQrLI{nuGPc#k4UD43^ssVROgU1Cx(oi7RmBV0GdS5`YvWBo` z{}q&q!p?bgTj?L0(!b>x_eK5Td{P{6>hz46CW5DbaTz+HE*v`|`Fl!gxq`xb@tAYh zW~05orvsPC%}wU*Whbcid=$UUDB)7%Z?~fP>jU6KZrOpsA40*@D}92}M-;o5OOzdN zUHZYv2wb{q8xwSG%d5~7mX-nwtbwJvj8oL0{o z`>~B23^&hiu4-m3-z(ZMb$x-aYqC2*-KtLeY^q_E+pn1|&#%`wG(H)i?bhXjk*z#sctv@QkDB=k&yhOtkH=va`#0M5gZJz2++K z3y-QvgC_x}QNb-=geF=oRQ>TI8O;#WH=x%##>1_Wg;DjFM>1m-BkBw>+4h2z)C(D0wRx?9R?HLHoF#f3U!sTS-DE-90Y+9?z}(O1jBKcHvxw7GccUo;gk8zUmqMJ5L3z>)5{RZ}aI9cW?Xl2Yr-L*6S|4JMS{y zzpa`Ng!DUhqll>zNd&d($^9nDb=Dc&=PgCRb$b^$F zQ?y<1?f!z$c45vy@xf4KJx`fDDe_Zcnya+i?zW}g6n{q9_~EEdQF!Mqj+g^{KrAYA z%sg=s+x`uM@d^Y!)yotJ{aZN08jYTA+j-@WnC~^O1dk@Xr*GfDs17qg2Y~qKuBN6Y z)p_6)lLmtRUfnf(@&iM$Mq1${)t|PMt3ubswq3n?mBR=s$1F;n+OAAA=E~~sWobsf zBlMyIP;ad~hhtRHjTL4CH(HiRXCN2NrcImljRGH|(97_j;kuWr_+@LWHeEq;76CiA zJP~goSw*IJO7UD>xf9{eecFFAZnpl`#itUVR-WCK)(tN`%#zyD!T311Y`E(@Ku2ph z?aZmZ*Y~f^X_1OgaV4<%d}t~kIWap}#=|ol{l&s=f_lVEv&VQ_w;Qj`vHgAR422sh zqrO|D>~eiR-)_}D5a)1z*Sh(&C(Rh|KHF1%_u97&@_bB9V%IY6$1p`Y(3q<8kL{bd zDW5GgAfP*XZ;);z+G=iL)byzY+wr+7nx+uh!%V_KzoMqRC{oM;r-IA2t^$&`<721J z=;l1SAgQe_P2(3NFUAkxb$9TX&w*LX#esjf#&vK#QC_pkefZcrvBE`2ibarFZOliz zS5_9F(M^4zwx{m8+6T8ghW&EE)s4p1SpRsUv5qm0u&1U8H7$8BZ@i@Fb45R&>i>k0yHO|7GAyj)i18;hjwS-7s}AC zo%E&OUc{V!;ij-nrsS^Uy|doMdvuuYpY-`o%`fg4maN~tzJqy>=nI?9@juc>hRt(W zn{V@Vr>3i;2siHf`Xr8Oo^86V@s0x^SV#E18yYpA9gi2qzWG*qw4*3u#akm!xb{iJN53)q z(WKo;|2)mM?j`^9#UA@n#qf}5g`c!2+Oac1eZj z2suf_M)TOa989MX-s@m(oo)~Ov|aVONuQ8rf7|5J|Gg0sazr=g@2t{KeG@CSX!6=y zl#1!BvNL77OU=#AnPS7qXBm0{&Sm}tV6y32BAlW96cp~fGq>*Y=bq_O+cMO8A15&N z1LSXTko-UR7+#&H8fbGjvTo|B!0r}Dek?C{{{T(;Vu5gpTY8sgtk+5zyY8mjt4H+_i|KYQZsqX^pV zn_rQ4E|8|_%}F-*I&eOyl$7kH1>{ zvv}hfIWhsCc8oIGsQXhJ&G&qV-i`I6W#e>NZAWf%93ZEh(Y~dc{paD$iHIKziBDq6 zY-c0ROjrfq57oIJG32=H#5Kb&@!eXXsO;D!G5dP+IoHZAUSpZNzMp)3BIX!hE1yqL zX9$+v`AX=7b^7zqDba6g)g_$AYp6dJ4lMC)O7^`Q)!ytVIX*hO-mul_y~*qQxe4_t zT(;)#p1;+NiI{O{wHAM3o^=1sI`+O(Tv2E4*0MHL{LvN`Mt+`_+thQh=1E6Y+xx&N zilV?7QgYNGS?+(a8p`|n`(27U7#Ju{eG>Ce-=rQZ87qgz4)11pbtNzTl9*?nw^mIe>XG)=3fy>W7Z{{%V6}v$C z-R!uA`FFXBjM+u0i37b;$y>5$w%OfKVP;n^@wbck{D*zQb1CE4@{W*_5fLvrcQ?^j z_SYTf`8)ocvN|;4%$W1Y_VgbEX^*F!YBX)Zw=cw+q|kpLsF}EiyF)55YK)ts_tge% z>XjPY`eWG4)Vve(7atTDRuFx^wy%C{&)eyz7Yu*yZ}hjVQ4yQylxES@ zJ|i|2HMgnjz~ld&jEpxT83nu|!wy9qwjX}hjn<5hzmD94T{Djmw_v$4hUcJv#w4w% ze1S>kqwDkXsw&ye$Nt&b)&{xTrlZnYcOH=(56^h>CLVU~lAQE( zyZQ0R9X7w`?;MXfbth`x%I)0y+zx`#`s9;G z=M?IZj_O(dlpL^}znN@}%Q)C4itQqqR;~_`)?F_>->Xjy~mI1 z=8yd{2ds}4^SX^PTY!!_X0hmnX$P)aD8MjL$uYt-tppkeQS|=$x~C zW{=cWa8ck2C%V^`jX=nauRve7X^dPzG}liSLSjb|TY)I3vjh zOTJ2co!WIrv;2?gf!3l|>~YOB?ZaCY-(7nLl1g}mO83Ce0TGD}&8)iG?-cD8*4kmU ze&^>zbr<)X=&JZu8ng1YZHwY-JBOB~^UimKN8HoZ)#;L27kub%GT&=73=N9POx7RS zc>MdTAM)1|sM$ z&Tc*?Y$$}Xu3PX@SsUofb7!9OU+yJOW{j}#lF+Z>Re`AG9}2I z%-xOWr_G+|S=Ee8JnfX2yJhw5hEKGlcDO>{IWN0f!$&@ki>}tkplwNJydRV0;fizRjCh*y#4{APtIp+&68n9UgdjhOcVO`ha?n^3_V!r zb+g_cYD(_cy83kjbug|~kIc?nLJ4fOkCHa^Nm_hiZM%Y>Yl~Ng+1cBZWK0c(|Vf8{yp zs(8IpQ%>PrTBGMH8pn#Ivdp|BUbm|bsO#=5=-ZHXpOJz5O7x|ra{qs$`b`9?=a%b+ z(smitEJ|)+Djtw+?92|cTIyGQxelrhh4$US2i40QSZ~JTGrVuj< z)?Tj7%d*hvAIRG0#pL4T`A_3jOXbBP(==Kmb!~pS_Y2CTOxnX#H+b~FPgK&7mq=>X zjDmwucb*DIr|u6vaDH8PZbMRTrsxgofT}ku{}L*%C5ePBUro7(P%O_M^+9@%V;V%}p%C4_agm0HaH&%0WaK-9;7m2+3| zSbd`}TmH-Z5g$x^`-2AK&NaOo|JCNpN=*JA;`C!Kns}}~daGW6W6Rpq|7F&BC$ai_ z&KSJk^U&+Q-^OcP6JwhH^}AeM2=H4$ajkm5w{g{;(AAkOPe_QXPx7BtQQ|)I|9;(V zD=Tnco1ez%Xza=~vF-A#GMC<n(rDb}68m`z7GdHE6z5Dgn?E2d0j!!7KawW8Q4ICe- zqT&l=B7o5BSV?uGQ%rpMkM+-A^AEEOk}Qpc1Xgwyb-b`@{bs+7tkvHok#6#?Oq6v0 z=bqLFQ_=F~w;>(y+bw}tVf3g}?sfaCO{?uoy=1RX_TZF{6_UGJTPb_JV3+TdQsmBy z=T#m15t79(ys$O**b>xPH#rZDPQCDPlkzu}&L-QT#iyO|5btaD2H&&?*PX3@rQ&y$ z@xKqZ=FG8ktH&Roi7K(`@2GXzeF3%-cpc~Qk;SmflpwDW&6y=7E@2H@NntG7sBq55FSUp-f7i8!2QE2)}{aO*!$B zWA#O21F_uWs+A~{XdaD7Tm9;B7chwZNkx`Y^CC1%I|#g-1>AJT03puZSqQybdIi=H z6giVDm#ko7t0`%8{L4b=0At(amGci1fA=I%ozm4O4R^>AK9be1Grh!u)KpSnW%Q_2 zbX|{`o5`f4|Btb^4(oF3@`qIvB}62ZQt1$oZcq@AZjkPh?gm9k5u_VL8l<}gX^=)h zO1c~Ac-Pkx^UQB%uIuHGV;xZLz3;u(`qYYA7XxVz=%N-?F#dW1U%{JZ=n8|OcR|HA zixAdj{j=^ASbx@?#LmtR2ElDYZK&Mf>9INiJ~pt-JA!Bcg2*#2u*|r7@&T&j-a0@% zn<&j}ndbc|LiN*mbPer=f{_|6w_G<|WRw-`Dv>g`wMb4&R4fbM6pG!YTNY$=zWumN za3wU-JKPAe18;ZB%F`o|1&yVgulv9y_tROTF2)+gsBM4uoB&8eJP+(e5nfVwq zEvrS~Z}2v~1i{|hVW37NMV}#I~^0 z!B_{p2~FaW6Fow<^lmjGeP(r4yiOJHeQ;3?sKd=vT~Y$zed6(42H^9!g;xS)ESI5< zHU*qD^-p0q5R+`;q;PiCQ_Y=ugJ7f6?ksJD>ybNG;^>%{cm3->d^1TZk-6{yGFyXC4+vfnXxW06`RGeb z({p{Y8)Cwy4V1~Jq_!THpH%m^W+L7<)nICLxl@;Zr%)*3G+F|t5obMagT9WC?JRlZ z=1?uP2yi<)k#mP&sGk9xTP+1(rOoJ6+MvB@$i&kP0#dZuBPr8fep`X@wnr;YQ{KB| zQkDj>H8D2PZ8Pv9>~EE}4{OvvUNqjkt@Yr!{&0N6=b%AT4(RxZNw~d$m=mJGXWi`I zZ!fb~hSC=qZ{cog{wdeWbtPe;Xy1isIl_=kt$vsM{TEnJ4$QeIj*`CWEgFJzWg)^p za;PvW>74xczqjnuGSGw}s8J9k@d<`#}#M?*tQ)1 zarDxZXa)q1y#PzDPJt6Nb&KYM_%fYugA}M1|HvAc@$sX<6%sh2`u?-HW@wI`oxl#rU)p$#y2=? zWopLXuK`#y-uD$l3cY6nWEXP%SrEShAg>)Pwk3D5bi6^o1q4lY~UCC(-s7V=w--y>>Ri zn4FgswT6KYh?t`ZI!v#Y*3EHr`j78b(8V757#}0{O;YTGx;!6aZH8E)ojOb|@_94b zm0ujnslIEmwitL+ZMU3Zbw8udmLbui$<*xT^cJ!jQr0<7nO(v4#4A!$X7=(e{{CcNv_@!GuFdpp-E;0Pw!WEK)5w8pyetq4n#kWSZ24sMg@OQz^Z$P+mmEz{ja6% zc_@BW39d=rqVGJveP6Ty(A2Qc>E9K<6hu_#`;R|>aJSQ-7`l!@vk#ANGtJPfz`f0e zG4}JPJi<=m>;#%UB7k9Z8IBLetxPMR!h;O6$92wt0FVE z652@&XnSYe>lP+0-vKA!nYEgGCy3aH6hw7vcn^#paR@4X`5EcVD;k+;gK}AG9V`%c zlKCbI!8Yq9{a)986%U5sTNUz(n|wYXlw|W6<4js zfv$|{Cwnsw3JrlJ*Hwf($houbCNBSmCF66?2Ww9$KvFn+hPB!XI=t-K*fuwULC=qh zhQ8JzVAEtzaaqWWJj8r8Or?vq!dCX-L^Wwn1=?Ovw5a9~NsoNuXlZ=o5?VG-oSjzI z+Xo#jLz>pCeUz`IqhOhYo+FA?6;yz5@W@gvn|-^3;s*tBGsRfW1SLsbX^Aemi0eGW z9cRnl8)t|`O%bCS%#ZC;`FF*K|JLcHLbb{q`vpQmV0t%lF>7sYEdZd)%OHboUH~7) zoai%n|L4LWlxKJFc9I%GrF^#34~Wj}@D#WfQZxk=2@z*B5oi}+essR0H$J@LdP7uR zva*yf8;3oKa2MIAAGgQc}LIlUO$vnP)zSl2d}!{8UewHCo{PxPN_*hDgQaFk_MuF zVyN;sW#52tac{K_R|fsG4%bFPv_>EpZ%!9WkqWVH2K#cYA$#=n^pH(W&HoPJ={fE2 z0xtmoaq=#(16FDRdeg-v5gf-VHYVm*t_U=|$C#*py_S>i9v*AMxuV1)fYul1lqe=d z$xY{(fo8=~1y*Ykz{GfEb@k~``xBXfhRJT*RD6)3?7m%v^JvS^ZO6_8ZplYU46m}6 z$>~%#pDTR{vkh?RS9j4vaVS#LmPByad1yW!_$A}k7U?3WxuuUo&Q<4R|K`CXCF+AO z-Z+}kaZ}=_zbE^hCrsLs%m0n@*|WKG2IqY;ZOzK4K9Z!Wck@}hNqa;O=~T^yjSuB7 zMe&+V?ABTp8CU`n%PuBLpuAA_4fA;u(qS+WLn zu4Y4IUoz*y327M`KH3kg`Z$eWIA z#m3Z4IRFA%3BzmTHtGUD|f)xG?@p%**X?w^#yoyZlFT-HGN z>G04D6?hn353!Qa9z|R9TVJ^|l`Ri_5RN zEaONCPZuQt+(LrEu<}*uJ3AZ6p5Q<3ImAnrg^U1LK#02zM-v=ch(QELv15pAz{N$% zW(e9tOi4)Dg>hLC68YKFEK78TNy+l9E(SLPA2Mkdt52($n{l zo=35-W+xtiE&;cP=t_SNhgZd!h6-b@w3i;Y17A54hSNin{Cv2RN)NvCbHhUwgb5vJHBRgY`f>b7+pU5AX`rU zwn#Y+;jAojlR-^-P$#7!Brb=ntta}qr1_BgSFE%NPqL@zoGhrw6{q?y1CF_nZ}i@! z-0-KDoLw*ydFQFW?m$N@leFVK@^tE)WlpQ&wOA7l4x%oucsDOPxcOO>$Av>BvOuo) zXxmWn0>D?K5qbPv5dF>(Bq%W2=vsR!g5*q5UZsBl&PA2BjmbD`X8@oKnFQ>}>)6@D zsB%IB@UnGt^l*ENcD|=liTqdd@xdEjq5JXajfgTeR+8O*xewfAiOCB48{;ZO+x?;s zcD_Bjc)x`3zKSnu;#1j~PgI8XdO)7r$~1(E-wIKImf$a6V?FXyHXUbZL19uH_XW8N zR^6>Iv8br0w>J()>M^v-c;BOF& z4*XNPers$@Auu+Du)L_#9bib5a(^?-%lV2YKJezKNVt-kQ|7oPV(dXw{qcerx7=SG zahI4S9f543G~|BhTGlp{1Xyb!)cy;u2UM5357$8ijWalu>XeNeMKIP>Qs6l2BMpmJ zY2l~95ZJ|NJh8M}GnSOD{8?AM=`R0>0HcNmi9$^KF)KnZ*wyfU?EA_!pViL@Y{UUD zq9M~(t%`v2Ixe|LNYE)D--{@z^)A6L!bfD zQNAT5EOmfxko^&>K->zZHPn=z@1Q(*UISB{nd{0cD)3bx8<~Gb#u+Xr7%kGY0K!VJ zQY(&vddTDU>sMd5?;(9e$iE;;^mE92uqO7mmG#_17$D!tc%F!3$s8kc4gQ!mVXZ)G zJ5va$1=164knMUVq!^`25F8vl%q9Wr^X9Y&A_Df+Q)A1-=EDia$3dC^xFWn3AO|yz zP9{CPmCz|_N+(O$PvTJYQ*i3dt6$f>-Nr&jEXI<4b-N(#ZxPl%FijX3^STy!cqfix z2Ma)vrKPbU9VA`Hs|snn@zGdgK(eF8+%Kyi%7IgBru@b{9}_vJOnOwUelTspkT~nM zB4(-2f&kOVt&!}y);7TIHR>6U(HXxMksC$VcV;JoIBeJP$wFxA?_tMvL6Is(oDDGNAJ&%VwAe=hhG+{*=l;F{cqupYaw zfXg*UPSm-LG&OnOK_tLZ3pi~O;luDvRc)kC1W$NduZWj{)feV1_8AL<9~T6!zkPI} zd2jXHg;cfNKQvws&lb#OeWU-EITeb+-~qV$99GcOCfo!KRE?8hMD_CsIm!f^w6w`WaEUG>T8I-eKTeBnVFHikADO z1EOCN>|yubkwXyVW-DVMO~9&Zzw${J86ccF!+Mo>AZIfJavA50>q&~JdrP} zd)ws+Q#a_RWh&RQ2z{DjnwpLIP8D?FOe5IozkxEFEeqAe$GpyAm8vIlH!bW^IpU!b z+EBSrGdPO&pSVC1)kR;Nch13ws-2V5* zl4U{Q_@Ge!?+)JLuwlt&JJXNgl$A%M-%?4%J!kxqmv?@CPL?!OW~SoFX@7cP@d3L7 zW(AUdIvD_1egNW0zJNBiILwD+-nQz^i=fgRkrOH}7#9JCk``?WKm$I9LHtBNLiPel z_pdP`pd5d+J7ORdin|U5lZl*V@9P_>S6%M9E5=;vi+*N_cE>9J zNJWg&{oZ_w!&m(AJn_35CiQ_+^6LFIAj}vs-;y-Mh{VZ4>rJ2boHQm+uBV^5C3*rt zdWQ)3Q53@p7+&t1P|0ACVbimc@r8Z)Fy7`fw726!lcP6W*6jyyk6nu9*9hBHnSb9s zuN!|UVnrZu4)o^rI*r~Cz6Ysuij?7q5H-jO2g6`gWcL~I4*5*4-56*vNlW|2=TiYq zwRLbv$Cw7E@};UZH0ot@Dct=C!bP**9T9DPwo(NDMN+ezsC;xCfujPF1_8Ofq2N4N z!qV2B#@BWa6$iiZvIaKzqC$uKh!8g^r1{CBoL_qap5PfX0#yRviCUKuhy)sv0@OA` zn@{SzW!N5!Q(FG>AQ;%t>W$b_#PrR~e)6#Pp>Hw=$DXsy%Y_+(pKJ{97)8h~z}S=6 z<>C*nL00QE2J_lLzb^)ncvGdh6d>5VD?`(W7QC!cmp;_aYG?$&q`QChno_bZVEFSs znkMle!tkHp_XjCb^Kav9TTW-Yvu7xpN7r@5QRsw?Js-eHCBc3hglWFZ#bJ0FF}Z z>1F7o-)W$Jut!xY%7M#Hm$y%9NqswGt4*VluW@X&eKu@`50q?=CZ5&Dv-*~`Vg0j= zPj4fft5DOM{P+?p83J>0hQECy6O;f57m)pzF~;H^1y&Rj>6*N*%6TX@k2DF zBk!N4?CEECva6?@1BbO$Sn6m5IWT;tHR6C%4r;)QSR0vX)j_fm+hXq-E;+>(+MfPUv6s&>yvJ@x zrYQfueImFdN1&N7@lZ>Z5)|*%!j(Kw?1Gj|I+L$Rr}IsO9pI_>#zxkn0Itr5W%pHz zLosQ5i6VIKJVv%n>%05c8mD4jDSvYN6c9e%YRs$kOOR-wce6dY|IdoOjzjrNy97l> z5#W-L8UpFazL%f&!MeHnvuq9Gt*Lma2A@FJ{6`s3 z!N3`umJh>Q(|ZufAs=QT9E9Ma`4}+}{Kx{YQ|tfX@DqN@>khTN+M4(XAcc>TioH0k zxY=sII;E8WrMan3Szh2L22{pBMk%8xb$}hT?>T%Dwfr@_5_b@4ys7Z$& z6iR3Vra>aV6zi-QBRlr}-@o(9lWTvKi>(kyj4r@*?FpjfqozZ6;}M)8_}Duo-&8-T zf3g7FbieL^H)c^me06T{EFfOM?fRiI8B{&&J)d8ik(&X!hd~a{D&AL zCM^9K)kBHYGq^)J+8(6Qt4B)IKqIsK8+fja;e%(}6ATyFE^Y!xU5un7RsjMLFC)|_ zrM~}5^hb;Nhy>6}su5QlLgS+5dVpZaX}B9!PItgiv?9|-C(^P%0EJW*wy#e&V}k^y zQc))l$2*ZZfQF$gH;-ZrdkU#e*T`4>2(B3w~csY&uh6)sux zqzSGx#f(gW%$ZUn(Q+18ux~<3m%V0~nsL?Dp{F(bQ&z?}#rR8P0VV5;*csVi$@;rm=!r2aePzMk}?h=Bn22E3!0W%$4Ei(|<2T{a!@s7y>B-b)Qyh$LY51!jtQ@dn$Wn>_mMTUOrf2<#~lY(mK8~L}$bv z`M|W{7h7MqYtTZ3dwKq6w1)S9-+?4WA!$z3XXCt8R?Jq;^+rWoA?k8Eg0v?D=stQ{ z33h4Od`PByp`yJjup`hl%yLoi)OohvA=mqvFtLT5%1*}%_NsCs7FPI7{t<5DI$!&gk>?7+;vB%F? zupMOl06Y}Z3NRio!xgjvoeAPW!kUd$*M?YbD)hX+gPZf-Q~WHCl#opL#y(b^S$#hs zPYX_w=!OSB-hFc_;WK>+mwHISk$#ihMtd*Wh&A&~ADe*cmy@l=oK!OYb_A?JceGo% zP{oAfv=0W>h=|K$P}NV-*$!^6)*uYi(nE{C&Zs{e0e)VE-E0=|!aP&XVKEntwJ9qp zbHZ)g$7Gc7RQlgn3LQd>5F(UHh-5wH*!ed+1Czw%>_9#8^m6HnY`S8ZafZ$p$Wu-S zB{IPww37@hgZsx|%L{ms>PkYF#Cy>U{gO2Bj1cf>4UTItP8X<^bpkJ0vjr&}3?V4G ztlJ*R9-eB3)3K;>Kl2amWbvL|IkAD%)? zR)0|1=ThzPUXQy~_29cZIfQr6p(sF8EsMc**!UjDg>0vf{G6TA@k9w<7L zaXC*a@a0T|yYgI~n7MKKq5MnFZaAO8>?swZ;r95qZKxU-g&u__!eqPg>vW7Cj5>RG z5f4;fg@~0hST;B^U)}3PTe?rfAEH5q11#1?3`w+N)+=8NbGEy2BpsJ}h;4j5P%TB&HC4`uMFlyT7sl|hAaP#1?V4Bb1XJkB6?g~)a~ToKzzqf zGy};HjoiJ@&=3Yv(cZ)1ZL=nuw7b?a!u_{{oC)Oo1Cgq&hYCCWLjqO4P{oP|G=wj7 z>_EiB{;hO&?4H?~-~>A)q-? z;&%BY()Wu#I^F7ik26IqZ|o18S)uI6l%Z;6I{03ZR*V3BWN(z`6Ds z2T&1y+?;&fz)Zhs=q47BiEQl-D*XK|yCfuE-)=(O@?{V%%?8{~t0=K`Glk3thD(8h zJ6TuB1RG{z37ypm_QDerNvM6SnV$twt!TJ<;)RXTQd{wzSEJQg~z3s zH_JvHVZMSo@J=#m&&Ib*M=03u{j)Srx&P|feN$7(1cH_)YYsv6veE)ka}W<^3DI@B zx}hgdQNH2fgCK*~)UZEeB57G?xQm0+Oo2!=5ocy5xs>_|k;0)MDqGBIhl45(SXc=C z>f?h9wF24Y)m7TGD+nVb+KR-RdPYEdmUC58u}%VKtguh&*>fN^Enw(%73==bBK@0( zlOTofDpdRCdQ&>OW4s{9A#LQwY7+=T46E@XKh7FO5el?vK3Gb#@n2mM!Xd-C_-IYd zDIiV+u|XD~_iExk`Y@b-391JBWw7C&5MYKq_`Z?JLD7wpqL}NPgo5Vc$C_?q_OJy% z!@2}6i702F*c*O0f;dbQgm)haQ)rbp#CelaJ6XyTBS$4js@|MwEelTA&W2@UhCPwa zFlA7R$?3)nX8*lRUohXM0U8G-N|P6&??I_n7EjA^jG3@cG^bcQ!5e z&}d!eLDrKTY2BoIA2y&sI9|QxvDN-{*ddT!(zVC#%7|pe*X~2hPtuJbxltff{%}Y8 z2LyCLA;z0#$|`P3|Ic#0F8Z70`G4b9(6aA=6peORTY_Xyj~Za;V#nJcNStrG57xb( zqKL&Y%87u*i9p1y@cl>J%J0Kw$zntrTh~g>>;E-y1v^xplnv@#NQp{X1rs3I7Sug3 zVHw?F0vLhBs3>L1;VAJJU6lwE!9HVMx#JpTlG8J8-6u?P8ByqVJE%uDX zIxQ=1Z5Ng28-|3_*5{Fx=taz^TM_@Nxs1hoc*g2gV{NeS-YDTrgZc9U|1fcFSCv&> zF9dtw=7QQi%98B*%RQn!dB-obJKt!gisJ>N3ug|J1rx}!D>Q{3tKh1-TCgN@f3%Im zm<~*6Wf7ZST2NX4$@OL-bEbXYtEZwmC9M#LBR?{|H<=Y{H}^yKfZEn2c^oZR zvbwr^b#AnwhC<9G`x3?T?O>WpM~87maz;0gTrzE<^yVG+XAAWI)>qKhy!k5e&84}H zb+hhJODyclZUmumPgdqS3`6DffytM1$`aUG&|6Jbnswut7y=P)z6t|x z+8+V%oe5AX;S?YNrGwx#=h}rkiKZEXGaYL>y1Nb9yg}P5w~!8&4xETuh;gv>1Fh1X z`^@tI&p>5?AyEP{3y9^ZVKIH>J;|JNQr^+S7^7<>y-tvX^i=!RZsF#K3G!hYEsnI$ zG7{lqY3L#C)hBy-Z_(yPyzC8kVwQbM`LKqfMdOrc;AtuCSkRAYJ({gZ8#m+V4pQRa zp0l;w&bA1+$;Q`TN%#J!33sF-u$hW!fJNM=D={l+DAv7NSRAD)AYo`cbWn+j#|I;Q zyZRzYnZlZjC%7WMszZ726Vlk#cH46dN2yQr-y@3Ve^UNDR?+D-tGY2r%I9_=#a+u$ z=x69%AT0S=!QqTY)j_W>f-(}LfuFfe;av6htE2K>jwQ3Y8r06Wwd*}}cd@hl6Wtw8 zO*^|o)DJC(x*Z?AHOve*?m29TOEpGe`sba5ABnCp-d?(UIA8*faB?w38kUSq#aw!5 zOw7wFTSM+sAn|CvrKYCB3N;?+5S52ZXY3~ z+zGlCHQv`{rxbAA=-pm6aey+x`{vRZT;*_iOWuXooV;<;xXvQxp$(;of&DXoU)!pj_O;p8YKclBhcJM8#9 zxQ(`M9IrA~vBIzll9@Srs&m#}D73uJT1lA6TE90;ooZ`z5$mL&RB4`aXfEpww>pqpOSA;zG1Gz~pCV zJP*2N{X z(^5}*y@82JHv851wek5zou4WcyXPM!-D$abrd}bt`i5kb;JT|R9$FZiy>G9ky?Fl4 zc-hZ=@SR4=afC9(nVy-smMH%u9Yxa1mI0BM1I{IMZbMk)2GxKqpf`zi_H~|_eI>mejlH=+=32?SC(%k zFf<=&LlD`{lUZtvbjMHoB7yXh?9=;1&e>!8f(T^c?_sDT>#{yu5*OiH^~cJ0rC5ZU zma1NA1;psMlxIxa6}JWlYCZI`yWp#h-$8xId~rX8H?rld(xH4ai%m-6L{vH@rtF+M zN169-6%TftOVv&l{!xo+V&S)s?ty8h?=zOBGteIJkEjeP{jeFXD2dYPJ^rU8`oHxRz8Y4^1Q>CDJeBvTJq1Nsliy6=FUOS6vEiDvmx>$pQp5K z-;XJXWZL_FoX1exCDg8Z{HnnJ;L+A#HiPar3&Yo-5RmS0T~2-z z84Dnbk*Safh;GPU+sa1u;?pb6QzaE-X8h2wOJPN5d9k>S=ba#pHp`=?`F^SXtCssg z)yGG>v&1`G9CW8Rl1Dp&N1RG$@LF}(Gp=MvsyhwEWdxd4akyYbSn85FOeAuK5`3i@ z+FjcgE&guk%DSNNL@GBemf87QB%FI(!T*6hzIObTKEtb4$9j(>#u=;+$u5icNm87F zkchYo6MOkcc4ruqjK9YT`{B|m%5vLfy^cn{@>ML*yc=hE5kk%9nDwILfLHF!H3tcs zw_qHrsh$f&7^fc5<|xB>F^9~67&Qv3A4};)uyDn{(w|Lu98QwgFleqzwd&xo=r<@~ zkUOCgi~H@({#cc;Xiw+j)gcPE)5PHYXj>iZMRjlkrK|e!P228*g3COQa!yY&KLO&2 zY36g0tCPz^za)~!I-i#8AyGGlHx?``#6Rv;yKUb-Q8}IqdciM_X6@g5Yhr$L++nO1 zW}7a@ap_cYLle8TIJhBCfXS9iCZ;Q4e0Ku z9WS1+OYc>rVMiIe`Gm}rL>F(x>>e_H&-l2@|Gn4v!b0_V@;(}oi`*G9j}wk0%eM$a z7Yk+2a`)o`u{Qe&5B1q+jscYd+c!>gF#-f?bmGdD4_sJmX{Y^%dZj)8W83%Lu4PSV zyU%!=k&*GI)kuD#;?a()h=?!I3=CZ4B`9^WYDDb{;XAmu0h8kgrQ^22c*`jx7aV(y z7>Fg&llL&fSB|1<1_E3ms5|p(PvWrMB`E1N<-sg}bGV6U)|51ZvV+C7mQ@+%M&i}7 zW)Z8x1s$ddP!zi44?JQ)zZX+d0wJeU8kJes@60Aiq76k8F#5}mW&Va9MN>>PO8YWf zVszVf>buK=PZ@_}2UD-H$gaN?JbgVo)C7x?-I7cVO|7X+F26g0afY${rw>@sm&LhC zx$iWNE(^OK!(ve^q}%HF(wI37^uzWw=gNI^tAA`~*kgPr=5T#<*fhi2*VMh|`)`gz zUaTRN1%J$h`;GUHj(0Xwlcms^E46^8kP-Fm}W9EF2{ zqnTC`9zp9{lQv$vG*eo&M|U&D^p-Hk=KNxu9cYr%6DQ7U6&0$9nD=)zl#1)VwH3R6 z;eKf%70y~~HtMe(9=y{;%3&97dRg>Ig_ANst!+|;(tN2xZg!H+&KeAEFwEEjv+fH0Okax!)%f&McOf;)6l(Azo%(d`l?A4y>uUQ9jY>aGMFc!pr3P?E9 zkp6C)yD?&;GH}<>Abf0p{g~s}oNH?_1i4NFzOM}d+%xW*3^nB~yLeW@(hBL4BeWw1 zV%-v^_xd7b(n>In(@xvE3_gHRJ!=*m27Z?~AhE&!0@a+72;c3no zB=5H>i5VC)8f?Sxfloqr?u0-*PSb4HfM zhG*MfmX-0RA{kT6(QA0ObUN?z^M(@WxQNMXtu8M!l5mdrEuDSZfIX{d!(gQ8^2j~m z)%EHmucbqV@2H)H6}Im@9LZfLvHOH#jc!jLAbrl*!@*|`FYpx3+&oyDfAXx+y;@UT zi-y1Rrq}X>gj-m!#1CG@ZXZjE;^-@rn#naG-CwirJJzC>Q(Rb-hb3DP&zBiFr;^4H zluXz@iz1mxU4G0bm(j8F4ijTglEtv}j!T2L44PqUrwfM{cje{9yh0R8;6&oP3~|}A ze%0Xxj6IqpBOMV=LwUzj+0|Ak8At{H#U8;f%<=b<0g}QB^W7jr9A;c|yB`&ji@|7^ zcupHVa2J|3!10!Iw7XQ*4MG>gGE8t8*cN^SIL59U+z)o`kmCJ8h2YB5j!e#=*lUwt zv>TH0JRp)J>sn`57h*z!%-;5TNiVH_FcDXnzU5E*Q~kxEp&>+tB?tq@WkyzC{Jo+g zZ(8KoO=uOfKJlKxATWnC)!BBArJKeg>yo^);ht~!go9>9EC)JDzd{#RC-2@=^7*z{ zf-PYpaRcLV@1&S05_8jfLA0(8|0F~DmoxL~-@(rdy2fmfrzWj!ckN`-klmg1Gp$_x zJIohU=L1OVt1S&NuslrT&?fb22Ya`q_>1^D`xcfiU{y1v}Ig zzM-qvu29p%zd0>DI{FaCl*4PQ$xs8jo9ovnR54%E9{%ZKQbYLTqM|aY5d$mSafg`^ z_g_W~^Y%ccl#!8@J0Cilv?@!UYufv9X~^4~Z~DEDXY z!0jwLAtBTOJBvb$8=|bwO|D$|#r?!cZ6HPM2(Dm6CMe`UpRnFlklp?7R4klEPOo82 zmiGoYK`lZq2AhyJ&Kn^mf2|Hi`u{ks;HQ;Hh`%d2x)pXZ{8bQ+9~uGi=vS^xAT9pq za02+9uf&Mr?WC4<8DYH=6%oOcdWLw9zS8UbH+~uM$yeclr}q98<8FA>`M^<*#2Le&f%_)9mZ;*XvJ9%wb(& zK;lLYL^dI~q9#{f23hyiW9D@ZI%?g4R{2(RZ=G(o>b)@aH5P8b<&vuMur|$?nh(}_{<{N4G`vlRxiQ}#6b(cZD5+!Y#(^aKYd z5u!q#73u{$%LxMbjEIPuT$;(KlrL8kN5of5ygpB8imvp19PrC^AX(T%|NFalx=M^B zjKq5TN*?vN+RL4^(a^-?5^7H*3)2jA?x6N+@l!J_$5n|9pKY5wM)cV{y2t|NJ&Ejo?%3Jw=i*5in=&$oyDcc2J^skb8q=LWzDTt{%n6Rn zJRm2IwwSC6dY^+f?VkX5qt>{2?0R#qL}W&>X8W%ZGD?{bs?tie!{$UXzrA*5Meii9 zsW)cc=qSvwiU;r}8Lxztm+og46>f_E8fWy+-q{1kd%~u)WD@J+ALaXy-*;6t>OH=g zv+nh~o$Ag{vY%7KBHy_tl}bGs)#xIwTorvyU8Omq`5=>`&>=t7-sHu~x8ZV(|Ks^> z4^TT2N&Qam5rWE_m!Fl#k&W2Z*E5SOo3KhOr=i=qrmNuG`^Z7Wc;fb7xR{g-D_Lty z%UOMOy^nB2Otk#I6Jz;v!?u@7Tu3SlWZj-?O??&?o?-rlRnOTPY{Lna6or0FbwPGh2mB9C2ny) z-w1vUi#6FzX+&rHCK}lqzmG|l_30p>GR1l6Z4z%8s3)}uU<@&6(k(RGK~sh> z^Pcp|Aa{j8C{{7@8S1(i_vU7;$*<(AlM8CL#GeS$vo&kbc3s|4ytKkD z-=X&u<6SQnRhet$?!i4Md09s+BG=jZ!pDP9p8KcHdZ1=wrf>$$_@qlwTl)G-1~g{v z&F^9>`5YfU+p8lBQ9oPI;x^ZmlNTIMrqx-~`1=L6Anu$LLmY(A6K!7mkHcl(*%Xwq z)%I?t_G>?+PS8429+?IXGVLR+@@_ZvBK8TjKq$c{vg~7Z&NW@V##B4_$Mi*%|gZOms)3S<8d+#W4XYNiR~3k4#SLpf6M^ zhPsRBjhSQYqv%EJ%60#$-#@Nm9M7*}b*-O=Img(Vj1J=IYv>|2q8uuM6IG9#bsqw0wn|5$QHf{HKXT#Q6)y z{~X*@F!q_-^Q^Myo1mPWTr1_RK$Zgs^ed^aGjQ!RbdOsGAICGn7}E2zGsP)1@dyk0 zFV3Hh06`D){=74AtJ8lp(LSOa+Ckb=oJvCod5y!sZ!K7=Z$4-ivR_X0)KVxe5S7d@ zgWZunpHBDfrttS!14biFInA(-@kif2@R2d}ZjrDDdV1AX_5S#oUa=b%tvSw2>w5L~ zf%b=^caH2+6Y&YrMr_QJ{$a$}b%jnxqjwm-r!Q5<*-7D2eT(@0{kKJ_uz@C9tQ7wW zK3;Qy+sbU)mS$YpW@`ZsX`4oDVWR$SIsMM3;rLA%eqm#=w3UqR?-ibzZ7XgzXRuFa zRQo$ksN|96)10s8>o4Ez+}F3wr<+5YO00^FuVvY3KcdsF$6|UzlKk9&rdNo@c)`9_ z-ksXdgCRI|B1xRbm~L#)^jR*J=M4ShwcL`1% zOGx$I18b9)Z(S++i z7hCc?j%VL3jCjebvftInbeO&MD)EzH-^p`^fsgH9?z!e)gev%ICLIpu3E1xKO*OE_ zGY?XKiYF57W2U~F&C{*+is5t}K)w&-+g-_YROZQd_vnc+g5nxk7IKVcEnIXT-eBju zWbg2E%)(K0x@<5bgb>m&Y3gs;>zwX@*ANK~q<-_gs<|TQhPFb}A0lCn2D^fJ6`V?r)(1h(gmMOXUzXhFUepdzd4p@T2h z;i~Owk1Lh#qeU&Z$WkFym5h`rsL~+XpSAv!f%jhZ=!2bAo#~I!H6|jYyo#|FD?gpJ zkt{X!03n(cYNt^5z_r?pid4>sjG|j=gn2ULFP;47P$kUpqG~fP?@y%fsil*@*crhj z=2P(79SY5OLqE)hazl#kGDxI-~(g&qO7`eq%t*UijJ3o+%o5Q&y0v9_WkQc*l_QM>~GGKt#6LLe@ zgrWldD<-p}MfwJO7wZ1W$;rDota;9}s9lUnMq@!-Fy9m?W{y`wS?mm!Wam@HiCY6^bbR8Vn~*F))zn;z|Z_AKu_Pvz*IR0ltBO!=-y8rn*g zwG7#>svBUD&H&I|%}5mVqg+VOk^f{luj#o#Z}%dRLP>IU+#RnbFjGs`5=YPj*HF@> z_La&&C`O8q;?Bs_XiI-qTNXD(0Y>U#k3`N`TLDj~bG^@5#>h(jk(vBi?5L@t*ATWiR|VYr2vvMDrZ_2b?#kDo;OSU=A5b z^;+UZ8P{`p0eRVF-|2#1JM?~zW3{s86Yti+T3TQqgTa>jE@8k&I=igAQs`{*^ya z)R6OJ3@Uvw(~xsa-hMVJn(7>msx;JTUc=al>w0wg{#2S)!+RdiPU&V1PA@lyX~)tH z$=dUPLR*T6x{eB}fl=4B*R`E7t7LgsV0Q5w3}5PKM3MA`&BiH`}v)5Q?5j+pez z>%#oLz@(NH&hpfrD;B^R$fMOKc^UK1N3K8MJtTdgmUwLvkH%%i{dnzexKd_)Ls5JU zD&XXl2&l?ujstc1pg*#u^ql1rkLc<4?qx)@RrN8CAIJ9A?yF`h?dHoT^hUx*|`$(Y?XJ z7v4f9r$?Qa3-e2z9jH@@565;@M#$5_j7Ml|DouPjp15tJg4fY6X`}#%j4f3-w^hW$ zJ45{TO3cZ(H57Y;cVo9?t6ttXp$sb?&Wzq24>xWj7_R{M$fzP>|% z^W$Y)?(yBGuQSmC$qy=mH!r?c@w=mFTK>lBAxVEssTl8XR-9ps9|3R9d$icp@R>6q@GWWt?Tz}66)Q~IcC0pCIzQx{{6{oM_ut37z~?8}x7E`^-IyR8 zeS7T~H|FkM-ufp;iqoF;YrLVYWY0XZ+YJidvzRt^8YH88O3@}f5^|_PQHioXL!!xy z%oY==nGP8F`w~&yWX9OY{3PY*Mn8v&Uh&B2LmpeJ=Y3OJr)Pw-fyN_hZA>C%jms_3 zgH>Gxd@Faxt~28h35sV0GG;lse5LoY+_oq z#;YQUBjgk|fepSU8?k}y3TAP>6^h!`M@$s7zIZT!A% zPpR^=6rI*4>F&_U^GyquN*rfX&JbnB51?ZKms~kmg~Q1CV8A>WOp=-Ok#DTV7kfe{ zKte(ip$N4a*pv&wUx%;d{6>@yqzdU|&t$y|)8Rf@=wfV8#NhfSks34i=O&5$AU168 zgNh4SBb&>vLCylG_<23goO9Z^79rdt|4UmWqMWn!L%NCau@G*XXH~R%jJNMwHueT< zN}Y}!bFGhg@D6K>%8M#^RQWw6)*Pl0PnMA*!l;UI@Yxc+|B-KJZ&&K<2J&y82n8ZL zf#efmD5DLFuin>PVrHNf$~tZ4ScL+IL=;1Y!%(`aS|f=h)@5s8!{KFC2`kHi`mr|B zYGhtzeI$b%UyDySb#TM+kFBVXEvOqegFL+SD~P7Z+;MIq8$QosNWoz7QMepqW|LT- z=40Js_Ij}QLRR~DZUqpui@ikd*r*l7ebelOpYz$jM$((^zoo}+h>U(LpvHIELt4d# zx4DX-GlxsktmJ3fmUBo6U8{aE(stR1m%N^DS?IWzE3w#g15I)8Ze}d=>WQ1$x%M}W zBS9N)Wr1fu-YHArxj5RmbdqSElV!Fm^z;O1l3hxCKCu>+-6h?fh;sfI&KX+P- zKPyDSI8Q-t-)m&<_~wUcOZ|_PLUeDu?w7ZBdT%WZW&N7p{Vl!|8tLdZ`v_ym;Qn>k zPHfS)?j*VeFu0Qye=Q6BLYMxOQO6~D(O^?vYUND8&Es-u;Rpsz=3+a>pczlf@|9It zH|buyEr7Ox>tl00KoXa360y7zI`Gbq5Y7@chXesyARTfC+B*QPpO_&gI&()1?HVf~5?&?!ppck>1faBobL zjTL|YpdN13lZ;yvW-;<@=_IK)NKuPnO0Qq#TXp)>kgmY(=9g>#A7yU=Rpr`s4cmpF zh=?E|-5}i{(v5VZq_lK{7=UzlDBX=TsN@C#r5kApiB0#vwt9S?^L_6-zVS287>D5i z_8r%?uC?Zxb1n;qjnS#E%y)InSTxdSi^iHasS7r_T{4U+qJE`d8TRV1fE_?g!*r?HSY7}TAql33rx0^IKSS<~Da<=TU#TssTc z1r94ipNOHX4(3H(xqPs<_d^^j;&$HB-7^{+o13{n?7>4gE-=xQ-ERN>M z>)UmlItKO%Nw4Jega)Wa=VGn87P!t($)sbGP+ON>?yk#{RL`A_%$nt5Gpb74^LWmp;2^D@(Yu~ISD#pJ7 z`l#J?kL?`&<-TT3x&zapltPZ}VY$=?V=nR9M-H52*INcg0x+n#=o~jtB}h z`UN$Odm9yMy5qzzy`oeqW`9Q4xY{RC$EnB{_uQ1p*A;X&mF&f)@8^?fK8YlA?u;EbSKgKWMB?DmHzk$v*Jj} z4CN$tc(mD_ouois0w(aM*wbrkh18uT_`P>@-M6t@(+IfahX=sAt>S4#0E}V}!1Fia za5gE@6jh#N=bdOwRv%Ii>f>J%c5f#LKtY0vyoL7Ai9d3zJ=$IVGGwL9G`F@8(ZN?@ z%eVcozIG=yvD*#aEg7~4Eoh&+XtvsT#lLPHjlO(!VW!ZH8r$PV+p!w<5#q|Yc0Whd zLi7pwMiDbFhd?m5$?X%9FC4NHd=v{V_Q3p;ripVeF$Xx{@-F<=V=kuD%Ov7=W zJz7+>OVUWA{WrO}8&VC&UY{d!JMu-Hfa=A4s4~lH_>OKOn`tQ*Us5NWx0B&3IR(k` z5)|kR0lKGMD-eb@Koq(x-_A9s=pY~OwoMxZ9Voe=A}Wtp z30Jx!c&6GDhh66E6_oB>HD1m?A5mf`yF-!u0{|^vwrZt4+_AU|+h$ZjPxAAdew`xa z16Pcm9#UI|`wKw|2Kxq%k$^_#TXc>x?80w}y9>&L^sk%0Dvm%AH@9zN6*82YfAoKr zh>=T$Bt#^;Z!; zPCPsUKdL5USG+c|pxn5y4h7(y75afDKz*cRq4<<%L5Z(nv=Lhb| zOX=U2mubZgp_#_z1_cfNqgdya;?~(cP;r+Ce7GRV8pVC-g$ldnnD~bj$km{2`7&Dc z?ZYvoCz$EuGsDg_yat}LSsLXuZz_N<(>e)wTL+WH2AHN>e*spLAjW^H{Rn513l}aZ zWyyOrZ9${@xi6eLPQt%i0xGm9Xm+V{eIzeZAA3bY9;bKl3Dp z5W!FEr^Q?tlDHMP%uQ5$2W`Igy`N=6^sb!K=1#`l*JvX1VCb;;F;^88y&l$Bt(_O~ ztj8eK7!DuCo0{Vl+TPSsxb(yvp{bqznz`3;BA~F@@4!xK{CE`2hvFI&AtmdgI=HUt zRSqnEtRFBb|D9S5yDjgimPWC#Z~RC-y7sK(`b&GC0jTMDi;K1f+p=m|?|RNNbibpG z=T9vy7w5w8VvCC$fE+Yr1hV%%S6qq9Tk%;mMlL2*|b8FAyrczq|mT z`E+oqaM7=`qj^$>fbFIFM-S6-MDzP7>x-hp5V%og2tY!W=#QVam73+x1iV?fB!#dU zTFGxSQJ9jiV3rqNW>pv2*!?l*kELX65lDCKe_Q_uceTTK8;Sce3N~u1aTBB)D%Ar? z)QZ>46V?68G2KYU?R|s-%FC+Bts2HUE&H7XsZCOZpZPj1t7;`pn^YI1j{umb&{nFa zihKiDvWAE-FM-wruhx-!HD5g0jGno&O=E59Zu&;-npoQ3!TO(9K8!~RBg>Xc<}WKm z_Q-!L)D_@HjbR5j9(O%r?P|M?_4Rijp);P9(ldyW2!q?N{o&5?~!^{En2%_L)j-dC!~W& zI=BCTQ?b!;6gPve{wUp_C|B0qab|~#$DWw;b=@8cbYC6q8n_c`FNV?AspepT<~erX zsyoS(g_}nwh_rB&kH?{0+*_6W=<`Nui9UWS!)pO`6`6Y-(2**BK&hjh!wsz!&H7N& z6p^aj47Y3UjtdAH6UAdvq3Z#0#MoW>)IRGzZ*%0XSX!^$I^G{b%Fh*}EgxWIw*^}J^~SQRC5%fQEjBwL8Fi(?S#?y7w8vchUI z#!02liu|;_c^wW7R65o?H%#kxoq!+rD2`?%*`z|sSr?hy{yek>t(}FP((49vT)s^K z2c4hnA`7ke8T!+gm`%^~d%XzqK}Yd>zk~`DskqY^FvssnWylpwbTz=qQTXfRm_o1^ z47LFH@d4dg?&Pl7&p_>jVt;kjJvyQuZEhcoa1M`kzzgOKhC3haf77wi(TEQbJZea) zU5%m7`ux+?ns?HyBmWq7jAYZ({QS>Rf2t3t+%e*{@J(@5pZEg)q``s~5ehMP^d`19 zZ_NOAmzvWF?}&#K_j~SsV@bYGIF0eh9o)b#KCVYhLT=T!B|{K z7Iz$y# zcQv~0LF89?G5dl&hHG9nJamPoU_Tmqu{|VR408Fuk}j!#I2L9D(qU;Jiq)-r{;58? z1rniwLS05z{Rhb&2iZ$z?q@IL#^3T zWad?7-X|1{r__zP8UveaD@WtmxkhZ+V@JG64;6IU5)Kv;x`H`&sr64n-CU8H1RX3j zc&ph1$mJ*7E68O5>n`Qo$jx)f?Q0VTkV|PL1r%2|m8!6>ucaLHJkn|YE*6wHwM&9%MtR=X{b+m8G4jLpm!g8K-G2aRJ*IXN#s! zy5^C13#cMuD&0V8{+JOg;4}S})!YKn6B~ z@|1tL#q+z}LCRT{%xzvWY6iYyXRQR}$}La)6V+h%Wkafs3N(SC8r|3%~muLLE<>@-5!_qLL&h z6z(h5-KV8to>*Cq=}F>l?{fuGB=@ii-BlVtwFO*#O+oTULagN;P%u-=43;Yi1n!H{ z*o7hx-R^4&USm0XA3ku8zqztf`OrJ4+_JHAaut1L!|7|Tk^|vHC)Mn;oWeO}(P8cl z8=UCOdP-)r!4c0Z6N$f6>&=Skah0zvOR21?g|0hBpI~kLhR0QWILxm<(;k!= z7-Km1Np625Oq`Q&$ri?+w`ktZ4?{ma@2-|#9y#<)PG&752=7zU}Z?jHg!j z5PICS8+ZKc@dmWFGp-%(gm;B0Ij7dgWJDx3)C}ia`M?%2&$qaIh{~m=_iqn6Lz}Nu zQ>vFrX28IrDOvn?AwG~Ng)|bIvy3F^b#D2nA}{gnZ!K<5-=Fwaj1$Fg-dG{%)l=cD zZNI~)eH5brNVWwKSpa+pkG3-PczOoADJ{1n?K3rbo{nKeKI(2z4MN<*wG7_;AcJRO z-6DTPB2Z!c=jy?=1Di~;$YLNq~&_wAnL-rbc68A zBFu9{0v|6W5s%GueccosfYLt~rsro|m%NOsFzy=!B>_>tU%*vupfe>+gB&O5+Y?=nNReGhab0aD{pm_fo@rLFhXG3nR$;bmv9VYyjsRc zamMyyIPne0gf8oJR<+<3aa$4Ft14A|=duAVIKz&c(Go)HP0|SCPK6SuF6-Ru^OU;B5Y>01g9+C83Ii)%?bFCB5B7dmh# zsbsD#_d_>&FC=k@F5321s~Tie?)Op}nSIqBG_n~y?6V8X)(TnvFX_NAhOg$Ojp{~3 zoK!RLgX-4`(n#T!L$f)5tca=Ji9d}~@FncE+0k(3TBuC8XVgsB8%6XJy@ogVRCBh1 z^8u;W_5!IbEn}?NkR*RT-SLgBr{(_zULEczCjSMmeih-|fQ2?&_|pDvEe>5elv}@- z(6#iMz~(;Nh=k?sA?U&y8VI7Ai*XaFjMJXqKLT`!Z$r%!^#$_{E8ppdk{3-VC`+xP zFFtChBDsM)F@!D6aZgQ#n#a?zRC5xNtWQH4qZm8?C#?H8E)Ox{D-c@$yDTqdK*SS7 z)+qZ)FLa7lyp;6wer9@ntzA(uuD~o?2T@Pau%a*}Nd%33!D2O}gMrtq*}i?qiAIk1 zmKM!cLWsHC4gG&@pm|86+rly#o`N>Z!*ul`v>$;h`Ux1kC!onR87g{jV?OH-t_yA{JxR&=y1Z|t2c#&VE2%?r58 zrd?W*3Hz4916r;gcI{@w*#(R%wRX;h%#d8Q#kBCF@dx>jbX5=#>_QEko zOb_^W;gD+o**RvAv=_?mqSGO@cj^x$4T*UboJ)5aYR1M%5bKm-&}s%8cCS4f&v_fS z-*aQWVO}iKby0Fw?ykuph$#;DSrgDXJZCfu8%&M|pl0sGRG~2MMs$% z9_rv)d!PPjtr%p+Ubs`phA#?J9OR(CW9U9Sk9N|fW@CXx#P3${J@EcvqmSI>-Dq<+ zM=IZ9jm-0h?7}{VH;bQmoB8sR2{};nFHrPffunlj=iuA(60UPGadfYqj1%kFzFoKY z%r5&=a)+&>lsGnek8b#3QfN%_2=hG0pjBpLvJm35Su;ojFA4*;^5H*Di4S`GtZFA@2<)~%bno3Z_9Ei3v*>u&YgYuXL$hagRkDc6$5vD>=S;~ zHmbZe8QeikIp`E}6GEDsXy=_mzCSYRV_86(xX-;UhBPsB`)5Z2D~HTq{gYuw#*ve1 zZF5SYH~oQ&qR(*xR*mRDh@5_Xah$T?^@<52oCX36`d7u}?5RSM7#-TY$rC9(=vLbhhQN+Tq7lHL45Ez;XGnLoJ=Y|KOy%!_;J1j!@8BC#hpG zWT4n~|8lgY59|7xUdQ+tl_sUm>NN7UCkeqC1tU}AsJ>t!=goo|RGIT^yXT3++629X z0%U5*PSaNj(|(MU(-(8tND5D}N7}0a3lXzniR z_FiK9ne<0K)*EtE5wo)kHl|UU77amBMAsQw13(afbW{)gw+$oaf?0 z6}gJSCDA)IoTW=oor~5knR2`T@<~2@RX9q?r>W1%KZz?V@++eM52ux7$3*Fr_;gGuDuf`l2B|JgyL+)~8AIi<3)qu-^F zW87M=svlGS2F-o9nK2zJ)1~bPynO~@Z<+vXHj4}ks%U&~_~66902w(s?4k#-ix>$@ z_aZX>In1066|tazDlM92i>$e4uM-||ff^GprF@%~L@wW?x-5N!&-`|)mGu;X`{viS zHqSfs0lMA9EPC~GH`5!U{r>>U>uk_61S~70N=2Kgyw;^Sa#)o37DD z)U7kd%>`+)88jmh433;^la&X3Kq7}Y6<$|quCPCCqs}qWcE%H05*v2T3gp<$Srp~Vvq$4;wSCZ`hrqe;Hqp2(1pIe|Ua&R3?lkEn&9UG$+zoWOOTR3rO&o2}px_iDR_ ztRiA4nwOMBu0>6o1OzwEPgNHSPnCVNlN=w+T_;KsM=x?gqiMon^stkAcw=8qjbQSg zNk1UZ#!Yh)EonfPhcxSlU=r7)XM6wL(c)DCidhPvllk%jfFR{(A|RKZsIS!b+C?S z!tK(5>I~Q?osO*i(Q8QH^Q-8$qg~(Z>pZ$KZc8kbCpw?rSt&d)IW~iP@VG2LHLCM|S zOA{VP*ZAqhuX@Vyo8andEnmn_`R*E#nA~U)4Bf+QOICWLHzhG;AJl{=I4cI@HC*{1 zZp>0Eh}X(8Og+up9v~){vGPfXIQ~U2qoAh7%*GT(LOXw&$zQ?*FBkE0Ky(@F>IVU~ zrLjj^1+=&10@7K0%YH$IO8-A~m5EiPte z1!n|cV4QI)=^G2lo-R&K&+ls+;@Yl%|Af&R^$^iqA9VJK4=~gCO$A{r?XcaL=jpLs zr2*nr&~+JFm1pHgtw992>ZKV7PMEfeZXaWDOpO(bErjV&Zy!<}UCecLhi^6e(3+ZO2PpYG zx9Z$_7=LK)3Sz}u9d~IHEV;y@H7LESkF`M{QoNdOMG|ak_PkBauE)*DBdM=(eSd2* z-i?Hc^fRK6DWdXmlHD@k2TNt)=k8USe-P5jLB!3*h9}b&%8$~z1sJ&Ysjm-MhGt^4 zBQ@zxR@C>0l_CtL7>!$poPf?I+LIys)AKR|H|ZvVKkCMEnVMJlZQBi{N> zf!|5)DMU>=mZE8x0eopGr1iSkK0!sCALu#?#|+*6tGpyC--dR@#r)ILYOSYt6i=pi z7#emk)myfR#?9(F3!Hhb7nW<2ksm@ey|359!iE-|F%`FxqA~i@-lvk{-U(rq$b$A| zR^gkfeepo*+&y?G~oMFG|)ZI%>gA!#o6R0q}Wp*VfIjm*KM!!K(hTE1L=UJZhV*DjWa$7#V~Aq zZ_j@krOZ&`9TtB&9@WVEU0N0ABYYS+# zakib~!)KfEP;9TtXi>;@0IGUmJ>3LCRCC}Aho!+3CKxK12bABkNifF``T#Qr2|xT8 ztyly3F9WtmCaROa$QYr6w-tjw1kf_vcId}wI`z-V7dcFNnrt3ijiC7TR9-}jN(hc2 zZ{Tx==qq%7CWcvQP?uzcfZG8Tng(wt^S$t;WoXw=D!pABuZiYk2g~<;Xkx17!_1#3 zT2)IpXlx7sP}9MCSGED7lO@v+H=HNOanF9F9?L~ob8%>BJ2?W`gtsmeVSYfrctLJv zU+pU`m=9FUUV~@!oUqTj<>iOn&wJavMaR(e12Ka7x`Xfif=%*VKHWIh;kxg9=bEEd zjuQ3~;vrS9$K{Hg+&!(iR6+dx%%4XD0~pBf@gDq0g_%b9^nig+`4G*ogJza*{o{EU z3ZHT8L+Ijr%moj`ZWm&Ljlqo@HsRGvEl z{1Fj-3P!vrfMp##7v&Y=P++1D`n`<2N?Mep6SThp%rb_WQo+$JP!fV1+m9Y~IOcLu zxV*1nIrl=bQZ?L>k|1(WB7g0)wl>TfxP==syrxdr`FaQ zo=<%S8+X2)A^oF8i+qi8ungH;%uH^dF$jo`Rs|jATyZjZ@u7@)M@MVGiQkSB)lN3J zg^ahVSI^gA<2oS?=7re{^-}N3^r5Z5*$9zt*n6FhpZRN#`)m!x80Y>E*4f_bqLs_d)Ws?pnhF|MJ2{YNYG{Mn!#G|sfb=>*v zLjlXdS*Y2-Iz)BL1mQX90r^H5D$bd7 z@RFuDBo#d~^a*vm-2TdXrYr^i(*LHa)E_L+{-p#ME^icmr-Z6*9$fn)aeM%V8UOlP zMZxFa7SgTZxC75iA|8h?|37}~?2FBZtq;GQkvad6_7@=WufKif`DJ*NpjLfR^CHMA zEF{!)Ryqk9FG%>VlO=dYmt@d+BFl6GfnS+&HVA_i!p zEIpR_#{;0{{VbFiT3mJe>*tC3X(}w#Uq)FPz?UZY2I;kaQ_@A$m;iJN{8Bs4KPtc< z|F7RW*Ah%LIdTa+?m1MZF=DZ66p)q&f8=l>7z<4W)YImu&-nXA760F#{81|P1tFh{ zIJ?>h^Vs5Bj^eFfZ;-8J09S2{8T@X5p4U4CwiYB^|9UwuO0I(V_Fq!gcSSikhw$|k)W$_!>B-?Z+CCUS1xnsIBd>ug;NfO=4`#lN zk5`=!8ioNB5Kd3_yFFFF5g&G#Vum!heKD4R=2;7gQiB(0U1dba`373o*>O9E71NRK zuI}umQEv>$scU$2|9(8`oAzs{OE9iwg>KHQ8!^Q59Z-(%tgnZhOhcmQz^{Jh;i7k| zbbbjOi;fEzoZrE22Fw%^a=wpLSS5#rDO`XDK!MP24nH?E4HKLvdwWYI!YLq1zzMqo z-s4;88TW?iXVh|t2znTQs%~1u1JR?2>tE|t-slg+vA(;T3>Lk>*ddcJ{g%=U0~)}c z^O4se}JXpW=uI(d5P1+YYu863z_HiH9W z(({xLb)7G7CvRVVp)vs&3Qdh4Hf<8Oy`K1U_2J>@ zxJTkmEGEpq|Mk){{dvCcZvW#|R_DSNEM~Vl=KT5f)iM^x)iGcTg24a+ad!T$uW5}d3v%7)4SrNDU{>Du|886Jhn}eNl*gx`&VjNC8@Hrv-@*Z zGfS37%5(9ZminlNFFya4B7!d-`5DH>mYVj{|c7zYkop)oWMqD9XV z6BE;jKudB@+i|>^kiibe9dWIP>K(kbF$u6iaZ{VftWx4EzeIG&SX6!%bfHEq9%i1J z;YELM@Ygrvx3K=KWy!OsRSfnbU5PwWVSP}d*Vgiacj(A25;?61>|@Cr0U+g4Pqcca zPzl40^Lt*ydGHV)_%QMq;7^$00am&AkL))*Pd16FGJXt~Qu-)rKe5j_mlKVmWKKan zpLrmz80SlUOA)m7fshA9F$3&Hr(GRkxC>9~ZcH9IMnlJJCkJ0s`tZND*qJZT<2eTx z2kTQcEbZj@u(!TlN~rmr;Hw`ikSs7et$%lZ18IOy<|R)6)RAB(p##bBnHQWZ>5pNW zx13xz_$q*hmi@|5F^sXWcC3GK9@uSd87E*%gwO1G;>HcLfTqCGNsI>~R3s^waD+X| zIvA?3BJu&sbcwzotfeeuPuxGIeZ^M|gAKV|ww|32FDIiSefa@|i($3#D!UdqF_^MG zpwjyG)aNU)ThxaYx9zfD#s`1z>BST(#Df_muv}>+6o7Qs)7$&g)x>mey2m|))uNknpJ=+x@i*?2k_bc@yj8H5%mHkMpKF;I?B^Yyy; zcE?D?G7jp%b^G?vJHhigNmK?P-_!c~FT=rN2|YcM4!e&p&R(K0^N%f_l$?;Mc@sF> zDEnS$@wv{}2uUT|--|_ka~~D>!5k4~0CYq2KX!dzi8nsCx3zs=WS#GfH)cDB+OyLz zJ~UX+W9%U zKLT+OS>rc=hrn7@=|iHVgE~AnG)56tU}{MTF-^QT)1>*RNlPG^=>y3wRtA)T}}c z`u@>H=JD0`yG7kVD;w$)6BC0WhOrKC)d;ib+MN+Ig(+U#9PT-Qi4|1%ppZ{p($s|r zC4sySJr|lc;ft^{I_zL<7D za{HGrZac%qTt%>Qp&ZnPnvvs;Qm#tiIWR%h&;4ax^qtJ$>rg-dA>Rn3xdSMYcVz&f z$KKNeII{C=+eQ8F&{F|(k0MGH$^pN^9MwV{bMw4aIK^{kJHbr^W$Myi%bM1(zP^qU z`nfp`YCB%MZ~+7q|61Kt?@`Y;VFN`jPdNs%#y1b}oFCI=5k?GC1ZIHpTR&X$`%+yT>eIWNfmRkTaMds0FWEK-!v zY<C$I=R|h<^Pl zUvTc|=m>^gHL}kFqq%&p<*eU%c(n^*OJCogSAGbw17=Cgnh<8KL@>d5f?&!vnhNRb zQ_^us0UD`Yeh@4|vW#2*vpo$QWWW-VWOqU$C?%fZ2l~xG5BU2pfB--PaNvA@3^eJ4 zV=g5fJOK7eBFzC2_j?q0<_vk@{^ml5H)I;Gqyzt1&Xqi`J`yVR*MnZjW<$9^D|Q!} z#I`#t>Rclb+qm4o?6keZ-Oa6X`q^ZC-AWK?44h#tN-2=PLz4?-!1v!@lH|V=0rXoP z&_X`kUf%6fl!G3XOjsJ^t)soY;U$tbiY?b~+*s?&4(ENQQSY{o;x|d4l1DpE@O?Kl z*qJJlge|F+`|!+*Fa%WOPLD2<&$UM`Pc{h1O`Z4Sg6BsBL_sJY=BCYh(%$yedFKTD z-*!j+;GERd3$~$5hX3nYh)Cdf*9c1kUi-*fp&n>`Pr?joy30dQygRo!!20<2(jHGF zeTSa&29~=Nc}z%%{1)Wi?`Dgqum1NlICJK2Z3}aIB*GxGS7N>R%Wbj(T+aXfqrYm* zV1CBHQsZvPFuT3=DH7^mR=1Uxzx*yA|Ni{%1q>prujD``4hjgM`+vG8{VMC)&;6fo z>9=Fj?|*$k55-e4DUX0LxHpdUNaNbw4YiNl86;b=bFBaS*{E+KuKuwXf$v|?KZy3m zhJ%61)tfB+6#%>7ab;R>QMzgS<2jT(++f%8ReS$mAzeNL1uOjHpY(MmT@WWik}PsFfIMcvL(a~ZQ%^x(trKgcjhSI zym&3$`s$hJe>)e=vO`-5gm1nEs7Pv;nH?PTo(TLM7h13@4WUh%yZ0fZ5i9$wNWy=4 zfx_JxV*StXfx<&cNlD1$hUjPdRduyXjRK>i2VpbnfUbc-yZVPktLc3zQa#6>$0H8p z1lcQ!-=moL=FPaZ?lvUtn2aVl`C`=dcH;;dp&sYouLxM4|F><+_w>gfVJO$Ii2ljZ zevTqVxP)$8++7{$m&IuHQ!ggVii<^t?lp438Go z(V1vCJ+_hvLusLebNi`5W^RVX?E3+PIpk{@fLCw6_i<$5c3U6wciThsldrZD|M%a2 z!3^mc3KRIK{a?Tf=mG=#b5E1&c)W5`w1_zQ>89hT{Y+dAjXCmJ6@^$u6v(3cr0JzP z?yzlFlN)8Er|HP_mc>`~VSoLyY&joi#1JEu_2T}`bJrN&R?S{|iMEh%N^2$C%k@0Q z7K5i@&6wZa2q<2BrL|pO>NX!>DTU>r3Z*%J)+h$;rH|TX6YKs&DX*PnC9d9@sR*d( z5G%VCeyBi-=34j9#iw6X#tSMfl$?P;4;VY7);3qSp}wKPQ%fu16@BWD(uvkHd@$SM0OpK3xwy33iVv3<0-G8V zKw~r8A0{G-^78UfFcLa__d?;JV6*|Seo*rMc7;U7w)D@sC_ZI8G#myx31vLU$Z!^L zC@C|k6*H(jRkIb+q4eZ>PHT2gJ?b%kN>*xbco@x^E~G;dqqtxC>fKfdz5U5`xDFC50Op%X(WMCUl&twS*V}1 z-XKiED75pBWxgM04lBN~S!4^GU@$cl#e<@f1T%c#AF^0a3uBheL~bL|r{O951h0}Z zlU{&qxF8dU{#m;iv5t<8+nIEOj_lyGE=?^h)ipI8y&{luLz-U&gmT9Ey%e*-0x$v` zk#^B|ygBYT$;HJ*t$xvY`Xjx1$?O{(oe-ZANTc`1DPmoi%Xc;0Hk!b|k*X?wY-~(V zPp@wehHDt24%VHqmL(P1oK*bT1=)ng+SxRz%IL6dQ*OTTlutH0kKx(>`F80zpp!w0;)yt<=gigFn{Ivq(g z=*15ua(ZIeE#i2`QCZeK4!l5{T{er6z96IRj_tLzxtrEAYV`%b&KlG*p~zuHzC57` zgsJRpKDPl{gVXg6seVg9EU&W-toy`-gfBU)aIH{OZ_USB0WEr}8Atc%`>Pw!nMm6l zzq{0Xvlwe@HZ`VX1+IE}RtpkP>nJCvDr{vkGO?+lWd%OWH(O;7+rwdV=90g_qL2;| zwC=wd{R(baNh|XU9sA=C)Sp+F)59nmJkAE+i&;cdvvnNQ$gL9_v^P)-kELn?H|NWl2hcK=A*Gu~hc z!Mo@b+4A`fnv_DMckLo(lPqiecFR30-^$WbTO*?k0{T${g?7)#2i-we8|Tujj-D`a z9gj^PooN3P4$Dv*7+wyji>Up2pm=4nR7roD0mY9VYJ4$BR{M+90a;v|oh5q-8J3i+ zY?lLdq?#l@I?B>A&p1V`6!lWPyu6mwNvNo@pwj~Wq`*Nu9&9+-2GBH~ytmgc0#vqH z-8F^=5Ha<70OA6N%G;!n)hUEex-8RUVnzo;Nv9$@Ju_qY)T^e(_1Uwme2cJb+itV9 z^1bP|5_UXQYZ-FMmh8EJWRje$H{KZimX|-$bVKPguK?d};N9*AV>CG56r@kW9Lmmk z{Bm7H-6J+c`(EAjZ%`YEJkC+dhUvb>b&~q4V^y#t*>YR5xYTY~@G4??>$o&)DF3y52nUJ1J1 zqp?CgV=LX#1H-$Pk?0MYQPvOWMWt8k2`O4=MfS#+<_~#z|9NF!QzRR$4{}+d6~IWe z4pnYvceh*sm?J$p4X*;+0WoM2K)}PEiC@f&^wNrDHUuN`j0Wr5Vo~nbznc8``W|UV z)dXaCm4aF$o+;E~`}w z2G7Bq*v@4oAW zJDRO}l%U*{EgIOJETG$>>-v+8O&nfbpZ+e`gM5kSkPA@CQIhbeNrTW`f^1odOCeN2 zbaY5*RsFpmk;oQp4$CoAnKSkZhUUPzROIjPpRl#LS-Q)A=g;eSG3`Tx-nv-W69Os7 zL5~z;Kd{FSzvRKI#l*xk?E-ia+Q_-HPk@>+%wK&EFly-^6LmGig9`E_bA7EZwA|M} zT}Ik%EaeuO&P?|`uCUa`v;s0OUK&KUrECv~C184@X8?t@%Be zsNkRJM(CMAC>Yxuaiz~;Jt14goOE7Dg!P)k!3u{a9CKJ4?;I-rDM=m{qn@#OeoqLy z#Yh^JBrINSQmU&d`*_4``1LFocDKd|z2fu!wAdsa11%aDe*}B=rWT zye@|Pi53L?bI$Xy09fPuOO53)aWZe0T5;Uo<0f(S$6-{N6qbiA4{ee*CmWlRnwpv` zF0QWe^dt-tekgS43t`Q&)qhQHRbZc5m|Z1M2UX)y~GGlTHn z!y*7_^m7}VFdsQB#=c6Iz`GBgykPeP@dJR~cQ)YA%vzJj<#(%e|4)4R=VhPSL;D^7 zFz?=l`t*KlxiX%Ihlk`q>+oC+D^w~J$-4kbKEr{D%(;e-Sv8S|L-LHB0mV0#(pP1#iK z0Gj$-$DN*@CTW3xrs{=5lQwx5u;3Xm?k6Gf(1YF7&Sx6gf;!%_707X+wx3r(1G{0a zw_zP6!DkO-61kO=_;ld>+S_x&1R}0DkOd5%e|mY*l!7lm3loRaIR@p_g@;GOP;NW_ zCA84_8LWKv&HRdqu-NH#5>m)2AP8Y&IyyQQ=b?f(rJ`%0NaWwV=AUN^LzdsqelKn% z^bZJ#FaZn|j>&LqW8(!eLgzFHs(ME-?I&^@AFdWPlU(tY(KbF+C@N6tLUIo~npYKq z8N@hl0g*qM{ukr8vEb!yUhdF;y1**_L2Yg#w8$e_Bi#>CYTrld^*QQWGbHEd#D?dP_ z+g!1?Z{6xCF_bDF`rO>y+|fZxN0$eXr=ATwY384>XUcTa-y5X}qf#)Lrr1n?>`=2W z4yAu0$Vc7(6e~q1 z_*aTPyAYj6UgJYAirmrhcQpCu=Uz0(r%!Pn=CDFbCyS~bs*ufHCQM1zW%uiCv|Fqn zRUpt#qHcBN74o=k{!6pi zE_hF9f7abu>!Gr-#I@=^Irh{OXG!x%U~zb2&3wY)7#bWwdz-4!vK=n8(B$Zo7`L&% z*1<4Vm5`a6Kw3Nk6_10{!Qdd;eCA_?@dpNEr;H~89cN6*7~?lr)-+p2^=u?1Lt@NvP8oUPs_hnX)I(q6ukuW@Z0?df*G&(L z*E+lWoJ+KMj^-(PwDn!FR_Z)PtmD(jl@=%gqd(|g{{30kED4@+ll}xqE&E(KhMNTT$ z9hQ%H+BrwyTs=LIP~$Ff^6YE#_Qjo4s?dYv1Ul{>A+ef>&;R<^QXLc+Cdo39{`A_F zEAn}Om3M3&TZ)YCK~=awxv&~#XaJd%|1)Ze-AvKpZ+!u_QXq9p>U+*sIddQw{hSfg z?S77X#!;Ob?dLQb_BZj_MTlmVe*r!%y$vohrc!d66)r zm`;3$3aV&`HL(ea0Q0ob1QymG&~)$C#Kvr4#TT5=c?YWQMxe4d%;!6_OkB&m=_Qp< z$0N1%m{(c~+1U=4-ri^~k$u`(U_9})<&a!1s*|w6xy3xx-?6~W)uf|Hk%gN);O43J zNh^CQB1Z90&pMPBxs_M&_T-hU2g$%r#ppt5XToy_ucH9}$m$Tqimv0`X~&du9m*C5 z0se#W-sJqM+QujC6awSVH|(^>(i2M`tEci_T)4B#%Wrh@rr)TQA^AgF+TpYLYLU+c%RbGbZ)LD%hzA>6lM^R@ z?ok!zyf^tad}3E{XzOVj!8@%-g*EYLEB(X9t3KbmT@&^1EaZ)Kd#aK?oKz>#|LO1h zF~d0_hKjpwS9N(2j{(xh7NJ%x7=!Z#D!G;tZ3hy$v9a{$U3`UDUyFFSFN^W>K1s*PkZBbK0hYIa z)kN#TLRpTGtW38bzLGw?+1z4l-L6Cvr1wflh4do~Zk0Kd$cjU+#YbZo562v5-nKncp3m zBSUL+QlHje?4g%sla_yLUY)N;PL}%(8&>#ffX8E5kM&XfV+)<`T4Ef}?Is_i()y}0 z34ZpINg{!)PgM)5h$D^11d}|QRd)#kmdJWf>`mhwHk29zjf0QX?`;I9ChE$?J%Eyt zI5R`QuFT)}$mu6eN2GOdt)~BXPZtMSvqPsZQY7z1#x#ZG+_`7Q!n`Om`EQNOoV-@U zDm5t1v58tJbZ_=F6XTnl**kO(;}2^_U-G}XWfkf{d$RZVgFI=;aR(*;-pZ~OWrFs1 z906hBmXn=ycEuIV!S#7&+m8rOfBG;U!Rx7AM^!{&mPwJJ?P5s;&MpIuV!9#WbEF#R zJZwU%SeSj5PDxgwC#l)S{?h7fM3E$JeKtOptzvIIma%ue16cRBtxn(Bt#)^N>QYqf z##UAsp44Otgkv%V@NXuMw(yvibk(PM@>v`J$?*?tL{$O@2EH=XlJ_ZKUo&$c->k0QyWG$j>JI@>B`*!H;c466kBWWIu_~h-+Z5~A>|sXYg?EN!>H1d&IlhD)CLeA3(-%y2Ql=lNx{F#qz`diTMyk>{K;I+BpSkPj zO4|5b>RzyLLr36>}A5N(#}*Pv^2i@}Ec5E_8#>;K5yF zPN!D3AuckuZm-ZyBkx$s{kWd9L5u(Oga>_}dDMYdBuhnChi@JRHO@EY#d&p$`M3@q zgL$tqD%`gn;tUWigkA7GC!h3`+xAtUk&W>h_ENOTfm2;^I-=0s;8kxIeY=VJv1VHG z&_u};eRD~pTz63tb;CPikK?|4+mXFdMEGoB$0MH&;{~(HsEuz{n{&B>0Tih*N3&W* zG3F5b_bWsWf-}14Z$TVX7Ntl!9zC6K+TAiH`9ZjtE4sBNr@UFoA>uJ8?3uVv(}7wv z+o#n8ntv9}Z9zDJgHTy+2$pLO>&urfB^@GLpxl}DX9eH@nI@QMpf0-atAdQYq^P*c zpXSN%)Ym<~F7~L{?TY(imvX5(5)H@jvu4}nO$b|zER^XnllPP0RO~ufZI{J$o@?UV zpZC^f39~CeWU-VslPCw3MK)jN;*~^lnAOmJx?BJ zRohXsu&@;3T{v?aO*FN z;fjhcf13S745>Cv-yry@cjt7biw$B&NxPsZMS}MK$Jtv)b+xtoqweiCKoJq76#?mz z4hfZ#?k?#D0i{DlkVd+@OQc&QrIC;h=>`Gmy3exSea`Rw-Eqg=|C}+-JBF<9T5~@0 zc|JAo5EZN8*{btVh6uXr6v7d4V%mE+bZ%viyw>1ujkQkfaiZtkz5RZQk=btqR!pY} z+wImSpVR3Iy$?Na9O$4F5GiU*SSY$|b*4!$5wp;7W!w~lj!opC;_U^TftCvENmmEg zv{+~Ed9t~QOQu^tHqVLL-Th{C?2zu;1V-TpZo*YQ`!7Py1}^TbN`2}1E)Z35D*9M5 zC%cwmxHz86ZG7NQsRyi-&`>K(NLe{DnG$ew+i#a$LD&E)z~fJRr&BNw{h|g=AvHT+ zy<+-F`#I6~zdNqX_k$P}L*UH?*&0Nr)Pa)#<_R|-6#&Ped=DLW?ZR3XqPx*XdDr_` zLZSxZh8s1+fQlfrln8{AVD1i|-8A7m8HGlD&1??qte%-C8X4)5XN>hGeBGE%)n~@; z&aaP~4(6ChCKtcrPgLtbAfPAGzD7kb=eO!ZOeT+NVkz{WR~T6n~#C6d{u*w}6CV7qKyLpjZVWjKMlMEUM$1v$Y{u*6E%!rY{ZO!3>y^f?=+?ds*^S1v?-cr!Y{Ts7@hew{W@%JUq$wH+C?153c z+r35*8famsAM`#s&L6JWnqmBWVbUxzFe$0!ut1grg+%o1%1REU zC`g0=u;4K4BK*Ot=wK)*4FUq$1rs^|%CM$EcA0G?$9rT1Sg>pLMWP2N??Ly{It&Rt zzT7XJcW$EJ_jw1}r2Cwl-VO2~J%!~B3@`u+YPZWl3N~jKqc>8ya4_v%VHocqv|4zy zUd2F1*SR_H-Ajaz*z*8Kkw!cICaEfsgcMfDNicc^h0IGzAp(S zR*Y0L;{k!P?qPx4G$e3Jw3G~M45GI;4XRHh*+_(+76{T?Zz=lBjX_tgcXV~sh=~_- zuas7&+_*X|j%+6GhLG}s%!%4;BHy}tNWPxY?Y(q-7^(8jRvs&AorHdP>)he)8ja*F;1y?bLK_da4S+=x@?l0^i7)$cOv~o5B&Vdz@rVM2bjz#_Zu?z# z4NcOmf6BQ;z^5!O$yYY?Vc=qXEH%-igc_HJXzS&!pLu$f242AtoYow3a_D)P7w8#(@>17hY@LSK~x(*e_l7q9K zZJm;oGbK56r*|myqXG`=qJMVS3)m<`r)*LJ)Pnn&7+H3`<9VjnL&VZFOONCffLV(q zQzReNH~;Ui7C>C-$!}{53+x6RxQrmDN$7~X530z)*4Cx1$sCp&n3#yFNxQ)l!f;RE zURtP|BS2uHUDPS^4QkS+S z$s*Q(*=Cymh~8OW(VXroyj2%gW`&D=Xzc77ClyDVJtk9L-yc6?4_d-L?HFmluRj~W z$UN(450gw`UhL({a!s}g)*iQ`lFySC2{;Wq9R$|v`FJAM7DZZ?#IbOYmj))~CQ}@b=?0EVuJzMQzjP20vtE zhZYaRyPJb7&}-;mnS$*$ujAg-N$fVwtX|FHZD7!SV$BFgg~fr;Z>yzaTH=l7Dr)g6|HKDan( z=U=fdwpoyLh&1Rt+_o%gD%pobGTD4A(4~cMLWFEv3rNL_>AY5~A!_*tP^uniW)dRK z6J@aa^WgQ)?Y(XnyS~1zV+dmH?jYOMx7y_jY{wQV&2`1Nj1@4EGIjxc+akF-{7NDGsQZ}zS_leRu(*QcCzX2*RJ|9 z76Afk!dW!w=?A_cg-T6i_TKmYjFFC*X0^h<`LP)@O{Z_Hrwx2k@2VzucajVAYBh~A zw%%z>il7Tcu_PuhQy-6TQ`75pVc9VYn#DMX^$O(Rks}ai9ebOT{qqy$Q%Kxfd7Rvx zsr7eZ7Q>R3R9vmaB=#@VOc0n?ZS=~>$cW~KJLG_OL@zO7ASLC;#zszh^=dQo9|*rML;Nv9$y!rL z#;|q5M|%33yHYt&+E0kRf*k?}z|dgyq3mA=;x(#zRTMg!>%kmMi_?c@e4-?TwGQ*p zFdLvJ

Qf`%Ds6Ue7n|7rG~q(Lpf>iH2#xz$0U7-s%PQz>)OJy0XzJoC5O5^H9c;>3> zk8c|CrSZw)+3x(?^@9JoCcKqX>$x91k7SjN=U&DQ(7ttzrAc?UeO|+A(;k2bag3dzjl!+tVD>@H_vV}KMcoD_MFe~{d^j_<_K>wkaMk$qdl3CeRCJ7oF9__G zTP-VD9D5_b?eflCHh6<*3&{i{`kZoa@fp{kSTirR>geBhen?(W&=CgG%8YwlNs~hl zSK#8jmXyRtQ$I4PAy!yLupsR=yZ_IlRvf-Vyp-YE0UWrp;!;Y8y@tp}Vw@qQXDFu$ z`&e052wf-PwC@25j*JHVA)C{Lo74mO|D0rNyawLtA^9<8yx~MqGt3jHs?w5^cQ1>+ zJd>G{VkP|Nw~>+Bx+X>5usx}3@oi@>+BfJptj}FSLGqet;eznF)x0H;iCdkn6h}l) zCy03{kFUK(R0qL{v*vL^Sxi6CstGuXSV?huk2|$*evFGT%v;@t=jm=O<}v~NGQrztP*fuV?{kb-d$o@`@WSXZ&nFzPf-rcCM|W za7KiGFFl8`M|0U{3f}|fHwtY_?>zrkBsor={-oVTg*?0HJj3^QlJY&_o}Z7fZ&uix32O)B~ z)i$-a0()Gxy1$KL6})_Kx^=Uyij`855}CGsR2y}`e_9UnNyiSEV>6pe<+movp9ph0 zVKBbs=n{eTtI6%WNb*0pMJcD-F6a2Bv?hk8GPh8T@`LxIMK0dTB%`Qyw#wyCBMbRY zKc~1oSRnh3Jup8IY`f$=?<{IX-r9@pNwmoWSb%?SH7E_;j&{&{No(DuA zYH{ZnR{iP_aXulKk?Ln6Vp8Z4^Z(ikVH2is88Jwekj~zc$a&&9ZmfW`5oMrg z-JqYH{n#Kigw5qYEju@NXVz>sizTEn+kcV5Fo&oDuIbz~h1aGp0<;9nZw3Z#GS%dA z(Tn9F8t4iAhAm(B{#Y>SWcH0UI);o0P&h@2`;sLNS-JmFK97@+97$#zYr9LqAVNw- zRRt(1FY1l|_an5jE%kQFV^(6S^P@8qbj`@?D-<#i*QilR_1w+nyg`2i)up*k0KQJe z#PhR}|HXUSN6XrGZYDw!adB~D!uCS*B^*FP&X@!XRGP=0GI|FTq{h3IuPgajq>RsP z`;#YO%ZRTiv8pkO%M1EY-{od#d(0Xf+23&ZYCzFJ@^UN{l$V6SJ zyR(AoQcmA%I=&g62Ktblrz|a*bKBm$c?0svX3sv@2Bbwlh*AH0E_`r{scE9Ha*Bu6 zxWYkq`Dyu zZcDk&_Q9BA!7Q zU<|rM`8PdaRn6@+_#WyUL{P!NYnrp1>HnE~%Rg`sL}uU+WE{#3EG(|2rsA32ymrkK zTW_|Isa?^4RLVBaxXj|?4y(61rsqcYsjS6}98;sZRWw%3JxLDEtFta<$W{Ap67gyL z{!#g;eBR9bY*;@iBs2x{19;+1Wt1h)lyzp72;5Q8Uu$ecRw~jXSzMo-X_0v{AaVH6 z5!1LbXiRDEZLfE=Yux$#;U;cl7=*zQ9k;iQ-KyG~uI|`wprmFn`HNh~*kEiEA<#dYk)^=G zV48wk-NfWTI|=f-GqVdgwc^|+In`t1mAcP-gsU@#RsSTHi1=Mexs_k?mw%)_efBM5 z@WRLR=aYHX9U`ssf-i~-(oD(Y(kFy34u54O;pLhZ-pp;BTee+O)t2^9aC-gwVb}xU zOU4G?mAUy*P^URvg-#P6i{)x+-NM_=4{j6`)vWDV31W?K((8H7loH3(cZStvm!MCu z4D&K!Bz`F(Q5_3FroSm>%@qJ{^yIen? z_l%RHmU2S)$DDacznr4&U*AAH%Sk_v;RA%Lve@z(KZKRY^<{J2pIlZ!;%+c zELYgkz%8Z_?T}UWCagokRNm_+!!Ly9EXT?yhTiS&HV?a%RYsa3ENbGh3h_%+WaJn0 z%^Mlz5}>H$S?`_%{ZWrVY;e{FK;LI5+qhdgo*IB;w0&VqF78|AakuRq;@r6F0+SR?6TV4Cm98xcX!7B3E8 zy!Zp2ZVuQIckT?*tUd{ahPSsj@^5LwiT2h*1`T7!I#hD}=!L3EBIxA9J_R*5-=w%+p$#;@spTEz}MBzTtK7=X)i|7)wD0ySQ48yw_SNNvo>&YUkTH&Ck~*nQT6D_D5fJT3wu7 ztTB+4s@r;=oSN)*IMR-q6DpmN7}(w2jnkTrAJsD?hH%uq2cTq{$R}`O#uFC<<7LvM z8`oZZ2hLsVJ{f2vDka4*+<5*g}SCwdC24(=o(eR^;|BA7mWgww<2tIYk4*{~bVc6nmh`({;7l@-r zC$`Ix&H#fMXX~Zr%`@mR|I)N?ixW7T?R09w6=fV~f+6gIfbLDD9R zpbg4{_SjY9^Pe6wy1VJqnbdP93L0-E13CW=0pk1S_5?yu1HMBMr#xo|^o=@xrde`9 zl(&x>C<@-}htSB|3_xrwmn!7xr-Xi71?;dp0KM0mJz`qs`crDby0eZDjFK5kp=`IcvEtY!_Hl!B}%J;S{IhgUAC zZC9y6D@azPb8Pv_3*zH{pAWBoyL{XW-1H|r^)ypP@pQPRcJACs^|-g5JcPK^`|7i4 zGDrltX2O$%OjX!kw_oQ7n;S&}bL$Nb28OLZveU|aCIlhgU{%7-zJvt?C}uYGqK;TH zpDwOASdhZ=#+yW2mwXL*O)QrM4YIZ0b|5MWnDqdvB}W$F`0DRNBP8-UduZ`MJ4E z^@@oPiD%oa>I%WWTV@gW8yh=&^p`78!Y^xT*|;E(m1{5hi&y78m8+swILNNE6YZ1y ze0NMR0aLXvv$Qm)AzNSR#^qF^j^Q$RB(XaNFw>-herY2 z9C`Kn`!`b;k_w63$iZp1uQFU^$mh^J{rU+)F#b2E$8bozl>Gj6L+i-iD%VjBHG!#P zsv(p$W7lQ26IaFB5kGZ__t0)5Q7!Y1xkH^i-~Cx&+pbqe0|DK3EW}^$A96n4>F}*# z-M2Rg$&cF{`^_(oKkZP~m{}r62_y5=;v?ngB530fep$hlI;#VF-19Ro70f6=PaDfc zWN;-8=CXVEHFY6M?H$%YQo)$U-l~Ca^RvoJ0@)uD4l4-S)X8xFgngmbK7R&qm65Tr ztFoQl2sCygo#qj}CrLRbqyxut5>4|Zd^r?C1M&N+!01`oT38BSMnTTJ+Es$ICI z4iV$UPu2Ak*n-~-Lz6KxIz3oxp3>^Cq{pDTF*Yvl_?YcijVjfN5mID2g;!psBe6zC zC<1UlA<#Eh+I+EI?RreX+#!#7^bH@@;4{vMdp*r#Ui3_`d8b_GGumT|wkswvPfw}%FnX4Q#@iQ+G1BYooZ5m+3R%a4x@E;-rpXMBB`A9Ltd`?F`sj8kW- z=#P7rG8hMx!zP&+*q&o@akr|=7t(i0h7H4+%0WPr5kz+W^x*c5TgVqciI@}`ssH-2 ze7L(}a&x1eS3q<|`k`7rt&=3SYEPTAW(0)Sa2s$=2kLNdRd)az z9kkD-=gL^UmnZWDmbTa7SsyfKi4vYJ zVNRVz@A}$QNkK{e z47TQjG;Svk$7X%a{YkXOnMI3l%F4*+DfdGP5C{s0!aA9ShR&pa`ZygS?(-NbE+|s9 zL#{9io0Z_Xg(Q`STnh2~ur2f`u5OlP<2-+D1J_@O7jik6s6MSc!%pG7Jn!fo0jmK^ zKzGCTu!--jb<=d|je1v~l8ehB6WyvS#v|Vw0{X0?c5AFiF~%)dD}EnPF=Z2PolB*9 ziCzI*#d6NX@KeOpA>xt6M$(yeM7ZXi4io4sWq+#MR&+n(rMxwdd(PR8B^8j^T$jupj5`_WeB$OK+Pb<4Cya$3zLRWkZ#Z_i^woy|j$!m%&^cWJ z3XCDVQ3v1%d*GNLV(LCQsERNBcwsSmPSmZcU+vsl=5kQZt{u}S&wr_=Ik;n-wkPYR zCf(C?*}FNRVD)u*i^>hMMQSqKBfRN<96@4G-7y#tbSyHko9ZzIV$ zzQke?U_RqHBk=_{=eHHPYT-Ks`V1(fE3+&^q;{xN@gw*2LFC=IR&#d;>A`E&t}YE$ zxIDCc!;aLBJ`M)rbBbt*)Or)vN0+2?CMiTb4@J|9u(y zy}G}@^7<~1I4GRA(vK7CIhKOyPU^sAQmdC|Zg7fuB|U^>xnekivMk~2#oVR)InA*` zsO6vNpIwyRx3Bk`%MxM!gVy&eC|Yr!&bP!CQ^_c8`aJYdu2i$PJ#%BTWVETZ$c{Sv z6c-w=6TY;&X*^b;W`vNFGZ=<#qr+`(JQFAG8U&SDlxKelsm7tCdc8KMMK_$nTm7Ts zlhUY*k7N2{#qZL{&-fE0_CL~EP=S|;uE#Q8pRJGgmdS4EGDXsDU}X}1CaSfsajL8d zt-YN#;5fI}W6I=hD_w9mU=i?HWIPQiT3e4h&D^IQyg!BnlfZS9{jVWSoC!<&_L+UR z9L?%GVib0cc7kQ)>43e8D_`!Cen*w{g*v5-bB6Q|Yl^VB*ujI=G1LxZ*)@QmRcWai zl|_%_9`_MHGK2j-x+zC#RYKk7{r;#T$Nqb_OByOrpd5UIu3=|AX?>cGKH77EHyOUm zj;gg3b&qLvQ$wXo%*)tE=%$Ymm+5LwwVcAQd1KWCnuEEGjI>9>Udc_@y-ZXOt8Y(* z05o%Vh|EJkOs323Di4c~{XRNi3Ur%6pRQ%i;gJZwtLTiFtfaBSODTOiiTz_b^)KP) zO^lFurX(%I|0YSg=9~2%{lleUX^`eo?ucA=1sF-qp-|Fbmi-a(Fd&TyUn*)~$K?J= z|BDLpnV(Sq@yIWh0B<>RS6_vgZRIGQrs!8+A{bf_5V%;uOZhtDE`{TWWrOd-v%LfY zAx^Q)RC*kJROlNz4`1{GH&R8dcKj|8b~SLH#{jE1Pnt!wMAi_qa1$HuX0zT{ZTX{P zpS|e0$7+^NIe^(?Zn*Aj=+)QhSpCL)OAdp!I;Kud4%SK&{1SHptwrs=jQZ4mO}?lV zl7C-#r0h?1AqbT2+?yOjgR0#{hHr=&>k72$=E3Cj2A4o_+#J^K!y^;{oML5_zV8S8 z4@5Ms-g`URC0>0L(ITZwy~c;Tkk|wkYA&poB#0P*9V@FvvzvWo;WK}khknDwM&glI z{?6RwlNdQY-43JJbQxC_a?kxI-qw<5AMPmsrSQB<@yIP`8_{U>?r~A!+!K1#NB!4F zuP;gl5V7xRmfKK|JTD#O8asG!92xwK)NM)mbE4|(Djq8Z$1ULdz$*dt6<0ONDL{G@ z+sa;Be3w5_h>@x?qASPk(`vK)8ta1emYh2%ZJn+kk zXqo9srmR$i!QW3c_My%ottr*OkOaThJ@>{F*S6WemDyvw#kIf8vZ}NF$>)WteP2}e zZ`ze%H;FQu^a2|Cu_Mv8vn&tFJj?X5V_nt5sz3tz%rtHjkcTB^5g={HJ4uGybNNHB z=-9_K1S;#-*H+N8H~bCNqJ^WagG?inJ0m^a3Wv(+2YY_9VNKM1{c-sDtJ%DFi5b>( zOZRBZe)sq1S0q9IvbEODze<@uRA}1Yqdn?WZr3_i2%a<5#<^_Ugt6gFHT0cVe_--e ztvyFF)o|^O(s}yeda-EV%(})(5rRO(kb`lh)_zN!3SFCHX?Da2J=oxKR&g<{#6O!9 zzIV)0Q=hx1^8CF6-OqI;40PLMe^;(+wtK$C_tEaGxh#|4szO!6h6FL+O4JfYG&Kpr zM$QZLKKD6Qb*6{-(FV?8nF~h(@$vl2gn>VJO1ViOE3 z&{;yjI8wm(#rx`?4`;4)yV~J&#Mc6nl=<&@9yjMHHkFdPQqR+~Rc$JayPsJr-?{q~ zalXvMMq$=@dTBrfuas?TBybRl*D*YJ)G*}nR#AT9l=~o8uzx-GgzXZzke4CH@%#f1@q&fHlCP8A;>b9(ZJ_6zJhu!jiYpbBEnb1pH z?dRpSC;E+QHLXv03RTBmhp>Ulp#x6Rp9rLRM`xd+1dR2ZXW7FamTGEhCr^F^QN%l3 zSUwccS8a!-MDlwnjguD~zbmj6qw}If=*PEM<$!W`YkYh6)VNZT0Cd!iB#0kD$^7d5 zRk}^f1eH9o(PMCWgFC@p24F{$Dk{F0$)bVg&%+kWq*0AC6r8Fvu{h2J|1DznAwPRW zm5R|yo_d>_8W3W($Gns^gVN(a2R@>VA;iLZ9i3N+MRyk=?k@!1WEP+{Bp zRTTB-DD7!g{@FN55e3V|%jQ&f4!VwPamxmh2dUjd_-YCUH8eGg>oXJ&wl@MM!uX2{ zD34Wz&j!jE#52yaI2Vcw!rZ&z3HM#EZLupVZ~ha?E0Y}yQH11 z!gug}5D?&pu#IOR)`hVuy}*Xd?94Hy%jYCZ_t=T@0RdIop`v26IfqveK#3IofVBh` zEWYVnT+*h0R}P7Z7>|1JS}y{H4D~rBksZyrHVC{05X-j?V#^^-GcDbFc&oPH84_r% z^Y#clpme>AJ7Crr+>>+vy{`GQnW}%jzIQ>nMfb7W_aBn>52Nek3$Z0?&X43;=Aeh}Y|VrA+hmm;TSr$10|xXQ3L;i7+3QdVKt-Ef!*f4PFJ<$p=~TQ%GEi2`ZS!xrfG@3 z+^-`?^Zr?+o$85Y1=f}Zdx;JQWJOv*d_~P>WGv;fkn!dG7b z)Nutiw;|qOZamNTW*0DTs(l&sbF8mP5Ft(RyyY6d%by+4scxbe{m#f4_412_@7=02 zyDS->12zG-75*JjB1WwmrBZB`b0ughM_;wdsl_+#fb3uJ6TUdAroT zCx6cNzybWv9^JU>LBT!I#I+kxCx&A1Cm$a%4Y2#w>C319%NG1A)NH;zWRV*a4Am1S4BV=g901P?!%BfYhtEWe_A;JW{r7AZU0<-=02|-(h_J?*y48TVPqU3qk`Q0Loh932KOW!P z%09F?#RGL6-+@^|I(`X3^G1Wf@c24*ePg!%tS9Evs{Yp6&DOF1Op^FreRN28*` z`4B&R2g^buzMN7lv+1{Yx1E{~cDAPcv)SjemV*$+DRPuWMQ?@)Jiztsr_{_`{N3mt zSICvd`OOemJ8M845?4Cj+x(m-h{hw~U8Ju9+WKjByii-}1&Nxu}Bl1@LZ<2ETQ z_SdOt_Yp=7SR2|e8F=Dhp8^_<-THVG?*~g~325Z`H+MF!-#gv2>FpV~+!lPv`Gjkh zq(7Zl(aBOCdJQBG*{kghHDYs$IhDvKh4OOyHwrFj(qkwSAZ|!kFztG^cX{)_TVKiY zqq>NV*JSPvJ{@2Hn6oz>A5r0t9Hm`Yf|y z6ZsvWn_sa%;I79V*3^KLzO6B1!zpZT;KElI(>|sMHg@%0{BiNpj_g0XoTNp?KupEV zARKSuc;nqT!?2K$kdaQ}Fq{gqyR{VbDk4oJ_1r&wF<};t@!lyt<>0I+Doy!&jxXCj z3UE1Jt#^yVpczO(hEHPt9Wf!FynYd}c!%}%smaI;jju*>GC3(}#U-$`*JtaT8CWS~ zA2^s=yrDNz%4F#cZdU(#w~Y@8$2`A1e1C9p&hkjSA{vMXA81F+kPW$IQUu(s+Y>C6Iho!N!-2*X}5cMJMK2TU%*Uw(nhaBuI$XYLNIY0 zqpdKyZMnbs>u8i%IOUgw0!k{XbC@kV$i*#&PaZH(x4)Roim)8MP;#qN`vh;dBkJ_M6x~p` zKoMvTQ?mTYt-BUGXOf7sYEO4(f;UB)N{nmnn!5_BOLDFjeLQ>0a|QLR#SU75OGzQ8mh_GWsaj$11^%yqZ^A`akxy1q_>@{G@Z3%P z5x)uHtJ~$sPTLyxn@)WdZk!bUfPf^4a@o^<8|?(==~cpRY^f|0$T3u|65qnI{A%9q zQAd1EJ6K(nFTCA8>OYtz1AS%99fT@;;vWWZm0qn^AuUf(`bp2$caZL^7H{XXvgKVW z1YrO8&;w)mWyG>rOXYcea3dE^+`sp(vd(Gwk6VRbq{Xug{IY8?`h7-pP(k#P&Abga=f%+0 zlWGQuWi#8j{yo4#q})|K0yD?%m8CYb=dftiuVFrDV;j94$?sEyc=C>Y)T3n0^LA63feVj`j{q$213FAr}Bc*BH3vvcGOb%9+7}3d2ZA&rgT9D1u@%8%r zz^8F`8&1HfYS29BwGg|gfGJ4ll{;K%fL9TZ^uQ|_bmg0HWgQA??OopJ#`%r=VAT}g zT$vYFN$}5nkAlE~1<&h*+9W<)L$PHBfE0mvrVgFG6t)^g`Bqs4)?e0@5;nc4ED2n_GPIf6 z=;9pYX2^@a|Nm-e1l`NgX`mIe7gLB>tVD&4os1-bsf0y zF>)YTBclXz&kcfVQI9yEjK`%HCLg0;Wz*>T1xO(p#>xk@~BDfLaRlJiLvN}6sDPiA89O-^2( z$`Me7Jck`loq~W5l6114yhTl58mdHF8aMi`BBT&luErWwJQo{go7Rsf9|gI2WnqfM z_V^0nd*gjA)HfB?aus5s%&VbeLZj!tQq#I}%_n(lSu_01n2H8`P}KV+Ma-JucL@sK z^z=wSNPh$>vfFB1RtfZ+)3r+xY<`i9iI(e_zzw+6{)Un>Eef$t7V+u$lzC_Ve_HT} z0$Bx!P8Kzx#6_=)0uMc|)9EwKwr*!{2M7Spg6LDVtxWZ9XH5?aA5KtCb4?W`{&~l0eGu8C_W%cg5}i2wqURSA6O1bn)xJQ z;h7A)BTgfk!erSNC< zaYf_2RquTe4Cq2F4sq=ASDG(W{m*aLX)GAJnVy~wr@e-{I*6lJL!8#4|M>}RH1*j- zdY!(P;oNIScEtJubkxKP_R3FRSai)xECPhbk9Zi21qg0gP7?-z9upmnoV#n63fvAZ zN}pE1;lM3y^bHE?gixd?I0D$h8DI_jCZt*r7vl{Jg0ERtNepIB&q=N}x$t;QDVKZ; zQIN!=5eX^OV*q?BV+n_eF;i~2R-DtB40CM_C?lGasx^swN+GO%WS_~(nbMFrMmeo3 zNSond$IMBgTJQGODT}Z2cR@@MK>`IN&Ba?wZvU;FY&4&jQ2O>1nmiscF);-N1rZSu zz*@U^?}q*npd(x=kmDysRrfujDtxNh+9 zlF~=>9OR5e{HBD@X$9nMzB*t%+X5^O1kXNylU9#fThWC40*qIz@-Uc{! z9<}#NnOfh%qCZkPwp8&~M9M;N3doZQJWG>tLwG zsUFVvVFTHr=#B9QtzbQylTc^xZ#@rx2pcM^Cu4t6vjGNbLzc zta^EFF(GF09r=hzq91Pg8Eldod9!|mb)Kp<$3rhV?ln>cFm(y%VmznE=3>&-BE%d|!hmkWqL-S+(A znbrpHojdC0CSkYBl#R#le%vL>qLcJTnUnq&f0I;gtD#wVyKhRcW)8UwiDYa<0acve zzT&8frMNAV7$Qf?ThtmN717tW-6jsAZ{)@3Cr&I@z?5v3TJN-Ou!k5`?+|uBlD4N` zk(@SbD#!&V4abL!gwzY{T@GeE+}Mj)8CnX@y7=tf^vJ(k%+4!O^yr_Y`h ziRb2~)z&>38pR|&67Mq*FR~D=QiH6ut7FZI^>#&fi>_b9*@6}fU6&wv3{hmo4wUlc z<2Tn!e<}_QYigk5sRACT6@6<;mHNGT0lL@jMl3M7=y9sR`KG?%w-Ems#p;7;jI#&y~KjA~Ls&elKjr z`t0WwJ^jsbUsa3^zLS1;!L)MBZZKMR=Xc+_%SNvn@i(d{9(pes@=&HHuP|L6bRy-S z@r$=si*jMWI#s4W{=S9 zW{K5j^FhTLw7n%JbdWBwInroT=$GgdJ5#L$EvBj9p@&-f{zUn=;_K0rBTUOHKR>_T zgYXDJLG5?ChMA~!eXy|SN_GN!EwkOcjy9K?BvL49-mb%u>9PYxK?lX1Xfp5Z@K^e^ zCLkJX0zdO{tHLwu_xhb-y&(`{Vu&>qv__pNduSRC>Fc-cO5}?rwWItN-J>J%NdnrJ zjFpYVxYrwMO-!dsU)5(RGm z*!pX_Glgjg*&<^VpS^Z_5JYE_2n3pgCgln$i8$4RkhdL{jU@67q{E080ZI{i^C$Qc z-!J8lzfkv@?nSANh!4z#KsNiGDB&O5vUt(4Lg1|Nb~_6T3p+c~kGQ`RHby(-RzvQI znn-7Jy%WoKvD8xX%S+%Uh0naMN8BDsifYANH@c3H8P2zduk9Tsa0H}$_@Vsn$z{FdRnV*4{eT3)C8}+i3e5sQInVHKjZh-tYN) zY2N%Qyd2v$usZr=>;rT@>NX`?UtIS(`bFiYpFnOJrGb)bSZ1<-p-KqZt+4ni5jK*h z-6sTdmdFDVeYe*(j|-CuHAU`VV+d5SerHauMT43uVWJu?_fK8d@4HXFz$tbfNt_UQ zUV~NkX$9&Bq!xL8g8Y!lhJHXL-`g}ngX-w~1lU&rnL(X7qBJ{OUdf%j-o~4hgZH{Q z=aRN6_3Y-w#_8H=g(Tz2W`o2E*gOo(et{lOS2)dVT7^59xnsxlcZ6q6?n-;P^~+k6g*S z{*^i8MKHc{ccB*UseJlv{E@Uu2UL~D;Si+qTRgh`>*o5ZW?GBMK*uKk9SdP8h$ewR&C7j&E6O(?ddixb7HnHORnvtRiVAF)02QEV-9efEQ>^lp2n zWo4quUZkV_{SPRI66-kwI8fUGSGs%RG4&_+N^4PU7-*~W43FJ*Z;#~?STj^X$bi9( zUh~`PH4?`jZFn2(i~`F^j#O2*AXwLJPN^w$ty-o6&G?52>S zR$^^72@EiCR#?A!f8Z7$uC4UpLT;|p#AVcRBev^03?eC5sw@mO!Q zX$KgGW5(~Dx!d>MD_P`$C9LBQvrM&3UExJwc&q#qGZ@7ylj_gzvC}(f3|>!1U*Ak@ zJpIc@w@h9QlMu9n zB=-rr2&yu%tftHPV;!i-wCtaoqL* zUO$qT^{jbj$zZVBtGVs%(3AXv&QtpQP~_CcwZVEHIrcdA?LAtLmQ5s@kJtQGOEuhX z;KorjW%=CFraECk_L19n8Zg52bS9dxq>RR1q@ed*GN~L2yB5Cxp@LUK3oYFM9w_`|ubyzq)wdbjd6LTfz323HH(V z`WFGaVuy`@9%#t00-iHFnSe2=PeS-#9V&j+nM9+om`Qo0@8?b79XYfP7~vy9L6(&i z6MMA>h}k6FHOW)@&}QevWCEZ<=~I*P{zN;}Q;wXf0=D(uI5>eO&aa)}>x_TGrN`~S z{Q?iWM84lqb}O-KS+6WB(Ai4e7Q?~8%xsyhMrNT4nI7C@hzXb8{kt>ErUS@RVM8bg zc%V+7(G+Z}xVZZLdEB%-0yOjT(~}nj2Bw6aG}%2Gp#*c#sS_E#Roc6)@WTdeYDE&G z`WRI!pT>P=jL)KuaqdOOj4>z*?h~=;)4hc+*Vm@kJ12HooQHP&=huGzJ@c;3>dS;% zN;`n*Up1*r7CAC<&{1ox_3{`?#d(^6PCm#sxI7%pXmBi(`gWeQoajsOVKZCt$~SRM^(d2H zd*vyqURZEet2xxRi^?!K^x1G2%T2-?U|q__H(H4F%Kg}(DINzi`m;6f2x=tI1=3Y#8T6<`Li5vEsrfQFxyW{hIkz~cEAF`UeRE}cU(!8upxhe` zUE?%Of0E;{|Dp%>*ZGGFuW$iEEn4j|+yqIDo~?}0^V+WuZ|a@-djDKMgA?CpMY?FG!j7Gzm zmEzzpF0mOIVm-&Flm(dZSgYYibvVK^b}Xe+2|$~|)`-{>?}do1DRSyjK- zOPk3$DSc#ad#BsadW{lP+nyJD?T`V;VPy0I3N`-2;~$To*-+*$?4!;nHBbHL?G2sT=gS0M#$W(FaTIO+{0m*Y@C-<0&}(AbZiuP*n05wwWIqH(jyf^Z zPNJ;UTa3>sxagStF2(3mNr{M0LA7gA#uNwf9WMgZb-G!d`!Js*b@$@rg=;tN6ol6w zBJM-O8-PaBv-YnZxR`VMgO08EJ~rh*L*o&>(XEHvPK=!w6>f4h%--!La%<CMXr3^PFr2%NC5qC7a3CoUZg)u^4-$>Zz^6%+b}d$NxAR2MuM z)YNh6+dfCdBIOOsPslyTnmyg-tifu<3Y~iA_{C1mx18*JEOz;Y&F^n`VLj7-YeNTY zA3&p_l%50ojJuhQb^@_uK%zvO=m&mn{U5-Nj*<|H2J%+U`N0QO2OLLz zHJ}jvuPB!MBL+Sc8f2-!7YA8o^O>K?sx#;Qld zBI&4?3DJ&c$$Q7JprT`9ZbHGW^Wd4S|NDPMqGERMER=0;Sh9US`WUp#XX%`Gr#1GH zQ3*oA8myzGRZwy42a@AvR@@PsG?R1R|N1W8KZxA;rff!lPJ<)IaY+-M*0|i@5)1|8 zHvO)OIEQN4>21-0VoL*;JCF^bA){v6yJ5k)s@~(6sMOTH3E|x)--+q8x`_fk2>j)h z@7tF1V~v#a52EN6hbKlfThg+-JW3e+B`Z-73omaq<|x8zjlp?s zEiJ3h*H@pz>PvaJF;mJ<5ks_aUm3nfvS>oxb*EhO{>}syC|i5Q>OuO5Ak+y*3XazC zO9pI8DXfy;y#MpWKxrsz#yXZFyW?3wyi%t>JhrB#Rvjdb7tt`EXwVmB->Zn^FIF3rpo@fKZ}u(=;`=Yi3CQT=dxp~ zH`ytl-`S9O!zB&LZu=Qk4;Gj9yf?0DjBQf3HG~$GS5|gc$9Yfy8W{ptid`P%O8>oa zNR4dcLUvZ&A+Uma$=rJy8BAk~L*HW^YAD8vklaR6C#(C4n^#Ck$6MWHcDnGnoaFv= ze@LY`l35uU@57|yA#taz&ju^}x4fJ5fNjrymFM4R*yXQ5;>XUefJewv_9ixqzsP(7 zEs|0)!Hzg~gQTwEr*$Wv1$oqGpVoaWZ`=3049QP91?Urh96A%1AT5ik3%I}Wb+jAq z%HO~^&xCht_ZQ`bpQH8g85trmPbV0y#^Em2SGE;sI{>QC-2_jCS5r5#@|oV@h-)<6 zCdL)Q{R>J~y^Jl!S~qcBqK-QU2@<+Ce&KJQiy0p@2VMAE`p3iMqi%YtuX-o3ZnFKH zg})8oC_4sKZH9>o^cCiX|3bxs_A4?XLf9}{4Rc@cgD5;N_-y|M;1PSU7DLuSv3%hQ zZfdR1_76;#yQE*Ei($6y-%$1&gAxOa1C3sW?1i?<`$1>6y1x92>C)W0OfVT(*m&3T z3mj)1@;FOpNtGLUbSPv=X=uzn1~#=(6&$78hR#H#%fig1CYg@>{xTs==A@HdN7v^a zUeGiW#j8JT5Z!iJ=e%B=0#7t<_IVINDkZ#lb~%DYuaU7L0e}Iak81Txk2cd#BE=M3 z-sY{8O!%4*6UL>bF|)BzT~bbVNAn)2xam?!qAD>z7awX?K~?LxYjGKAk>EIb%c5JK zkU((uRmm=+6ENj8tgl^}+OPtn`Qx08Z=Bffx0OuU{ zpAt3KP5;K3Qa{2?ykG*KLH3nakQgSDA$f{=PN(-YI;!KIqC4}`?6FP|I7EF{@PmSh zq~StiotZC7%BYzwt(w}HE^)FRhzHFBhjevUCT_*dvo-0}8l=7>-OTpya;7B_-_-$> z%&N#l(8MW*O>Xxm)4K#ADVLMr&jb{*L?B_j%Rk?^&36X7de=KO*Gu++HSc8ja~>e2 zlo#y4fdk8!d#2zuUl8|t^29sJfuz3=Zq4o`Le{y7TUKKTNpCqA@}+Gik;*ALW@<-# z5CYnWCGAfbTu$56(cH(#5jraZj`8$5c?>Ub5=}Go+L>YjfGYy>NY{q(W0V0mNu(*v;UPHe1!dE;Ti!VSr;HP5L1w7pG<1s8O0m?Rsy}OiWsUe!r z2S{J&{uY7&XM<;fOoy~VYezr$Sg2&|Y_RJFwqCTDb_Ud*hm~9J z{xqG52g5GwILL7FEBqqi6(Ts5Dciec{wP38w<9#6r)dS}5gdW0yS$_wUbD8pu7-hr ziHG&YyUn}o_3dWHO_}lmcm5Z&YCnYfz^$EIn%rO=9i8%xbp}w$dvgX$f#x-o zlY=$}Ym}F-*{dX7rs|;-Nvj~$2a=x6dRk74 z;sa*XzxoD(+W2o)L69T=AKtR2PsqDjsF7vtl&!mF9c^^Y+w+}_e=m7C;8}!iBlU}p+M>}tztu?uzcQ#`I7K~HwDAPmTS-?6U;|A-O(=LQAwpT? z>3T`TH2eo%<>g7%&X6MaY`I-_9e3c4Vw7iY^v0slm4C~e2CQ;^Q!8OcsG@d(sY~O- zaRs$3ELFi#AlQO%&LLyp@7`Zjv^qeF`Np6`C?u?YIvh6+Aa z0t&;TltimeT3Q~tMkJoHUPNbpo098e2d4)phfaWi$!MVpX9yvFB4Xks@X zH%a~X8l2QgbC|aZH-8>MLE4Hmc2UUtGVAUNhTNf7&Bj#kE!^Dj zCz+Et;T9o+xdOUl1*k?(ek^t+tV2W?(Mkc!1vsp8=|~o!PR4OQigQr0UZ`(+J)3ec zvvzUxdsNc8-wH6S49p*Xxy^@isPs`mr_Ai;$);fL&j`e|tq=GYL6^5)*>>6EMl z#s+AuKL_0{v@i0YVstBCqD4uy41gM)-4P_K%5|EtyLUP5gHR#~)(GeYPP$Gzj}8nf zaL=X*Mc?)dey;TQF@*?T2H?4ZAco5CgG`KSyg$26*fV;L{kt(%jgU*zwef6k>L)V+ zMN1m-m!Cfq_-B?z<6RO}1b%J_;rUgjZ7ysTyO(#%%5sLfL;V1B^*Ff3qedHc{8U5M z2#pdA?~_3NRRAf$EN38u8NYAg!So;qyp|H2Ve#?tE;RA+yPNLTm^?%J_^zczSH~pJ zoOSj2;lVP&`$NIg=D&L1m9VO+3Zx$3Zy9I)ZP?Xec>%7}12}Z~wA`j{a~aayI)m0Z zq07U{uXB5VTth)!ou}+rJ%XpZP75v)TWQ zjR;1?3FR$fNEwBi|AWk|Eab7h1KtXzbNi`Oy1fM;MWD`^tuZfW|O%} zc}}L}OTMvw37GKr&S&sdf4MC}wgn7H)ai>D(qQk*vM{_uI{^>4AF!~Bx(n5KRC02@ zlF<3g^&)!Iu*=5Q57{r<&f&W@{FPEeef{FXKm-oRz>c(xh=>T(eX}S<>^M|rf83OB zeDL?%Z0BAw|8YMS=heW=2#)s&Pwtwju-4;SLp~7bw8d-ak@J@B6R5603dH^g(voFc zABE!(G=YJjbu2{R#%d^T!o2$LCk~PqvWJpP+MkQ!KxSrU-V6Dk#QoY)4KJGR*cnV5-{S0+9k>FwKS1WmxaX8=vNq}ZH(V*8`T3x(?M+rqn<$x>Ip z@Y3qaUb}{8f^*}a933R}g?`8$2+@_aevgBg$4K7wk#s(DRn0YBf&39zGP-J<%mgrD0 z15J#fU`U?;{s290P5_i;@SCnhScsu_wr~1^?9V>M8+Ln12P<6k(1(C5lQ$?bEIXOU z*QyCS5-}h?DQWpR-5#im4(!}XT|tCP#3B*IN)eH9;H*dR($+TG13E<$%34HStF%Mc zf`Zmcz6Rq1cDy2+vsE`*8j#!6x)Fl&bHp~@&h33C++5BMU@K1C0H2^MSCn;;UL#vZ zx1CCj&_m@1CE(^@X0cmC^e%K2Y3b-*#9+N3m0!k~SHp)4njRCBE_+Jo6xVzY=Y*<& zP{M9d5=o&dr?nAwnA|OU0?I^`3(h#J5J@J`%O!jYDY3!8RY572CSDW`*ckN({H5y~ z8d`eZJ_^b|b1PdXlw-uPmkO2suNXj6e?@-GlySzWH(I04Up%Q-a@)3adUVusWl{|0=0y z?*&vxrNy9CAYDauU-@$`(PD>8-9Kq6n|5``?Nx{qoM$>~cD#aMK+z)$Vg>_Pm-Fto zftZ}^t#X6bkK<`{bQ1Rqqq@NP4}oXgR%1)+*&@nnD)E+dflIx5jDz8aSLtIS4FewS zBfr}8_hX<_ao$?ap+2|I;0WQ~g+~SY4F>%!$>q!i?^JoYx~GPTqH;BP8+Cqc%t2Di zcGeu<3Hq#DGXKA~P=rS%V4B%Z&_>jOWp7u-IYd+tb>Og6RAy{$;gQO#5}e~o*`9X8 za&f1nrTSryXxIe)x&0zMLqY7{vg0=K@p(TilP|3qJm5#~rVB@uWfYOGZpmD1P74Ne zv+Ju5go1!ze_wq9E9Kl`sM>hL=FJB8I#*$@u6igaW;Yu+mD^WbKEHQ-VYz5hXj>1rS+E#@ z24L3doAKMXEkIQ#k}cxX(V65>QGs77+)}0rUd>efoI|aUHmSfgO^o=7De=nQw3%P5 z>|j_@c6JmjHITBuM+1FI(&>FPc+_LxitY?_W?p(n+W0b@jRo`U5h2iKdn;-o&)p#L z_pvlkzPWwyc0xiZa~dL^8`Y*lOrou$b*@ATQD4Ve6*nC???BqX#^!~NI(t>?z>a-I zfMVjKql4Bi;=Ineqt&?VG8&owcWuXnrC4#Wvxj;MixYJ#N_v#CwJU1Ffbqjq~~a%{HFN5k(3dzPAq!LmlbMHPb$p@;P|+yqmAcB96wnxXG(a! z0Jk&_EQTR%g!RUMl)x>q8T3_qY`j;Wr{%bk5+S0GEcMVne(8U2Mz2m|eZ68<;16N6 zNBH<`kWo)ZGjFth;vpv7Z*yO8c{8q1Y&t4_J4Z%2LHntx;pgXf>Z!1lYg|07^WXYS z$>P5-*3MvOtEKe{j4b*i5+=!*u`rh@otxh`?W&@5e=&4)Mo*freJ{=lBo-U5fVZ&s z(GbHox?N(*{}O1cK1twgiKfNj=2_Mk!ZCBoXi@L})!`GoMw^)@J}9veU+ajH1Do>X zXl?k0&d;jJo}h6t81AdxDpRYXFsq z=s8}}bEcq*v`q_BIwyhGL|nZJ+~aEUv#ZK~7K`E+q0vqH={7?>2-MJ7t_fBIsM?ey zg`vt!+}wM#cQ3u-VPv1&2Xam+i1Fde70f}4DaDOAk~rY`g)M|g#ujH^I&kI`@W)@# zwQ5)>`{rFzxZ5-;T>v-%_Y5(c5G8`-cTB^8Bo>G&h|jNP7?+;AR)fe%>pFxUK5{&1 zUf$z~=WZ*2#z)OGIt@1+{qI7v?pa7Xf-QdCO>D=GlYj->f=q`?(FZV0tQ z!@^$3?YC>#_~L}9z6Oy+Y6b(lLR`?vZ{2LeyW(GwmcGf3P09@?=OAXPoIbs+Dn@nR zwfBF*JU))}%5vx{lCvGtzMl2qaI{r(d(FYLLL1@*v%*1Q)bn5*Yb>>2UM$NLy(M$60PBpB5@W_l@O)}dN`XMH zz!J|C@NVVDP(Yp^tYbRIp#5I;_j#rPWbLEMTP92GRSnbd2{y_Tx;4kn>_Qi z{%1V!ECpv1vmX>y|2b5Mt7JAszXJ!h1c=DjIS%Y+c*VE!ayrj$Rt zmNG(6^zMO=Kl+6#c>jH018WzWslcjHVF}79F1vnx{&J4hzBV#vB+GacVf8a!#9+{- zc6fcdJ)QTb+dg{7e_2cX>a3tGVuPdw=sT9h0ZFcp^0Z=pHIL-(t`_~c%xXEVJiDj= zV($8Xf0<^Ly8i`e>~Tmy1JL&5?1kb0CT?GTs4VP5MdC(-arXEnhn{vYV9IbGbtsCv z^RMy86bVhe4@ zm}b7}jdlp${{c*Ski3b#g8!SF%KPtk9~_1lur4Pj zw{@3b14S}spWhk$gp;(O`|Xbpa3Efvb|i01JY(|(kV1n+Q3v+S3m&AU9vwtU;_Fgnlq1whle90 zBayIpRhGG2v%X;bPDa5P=MI$_psp8d$Wsnpgkuu=rwVy4Yn*Gr6Y->0H95YdNtW9F zJeK0iDJG&>dFG6@Ue^>5JXlBTu~y&^Se`5~30~Tj@oI~#r)X#?OnsHC4=OWCHg!4p zOtBtfq31y_sTrfx@-RZipp2)2;Au?-;v*8eg%3n1t!;W_nIM=%$n7H|$;=e{{S$J9 zm_*OLCiqljFCGvrTyV&L`f9y3At#R!J_9J^H_7}HJg>?Xr=i4k6@M~M4X=LQh*(!D z)N<*UbE%e1CnS3kvk3bl4Z0b7>`i%bI3-gTcI^Wi}p&6M` zggK5%uLLSMmr7k!t){_<@69`Q-3*ol9^mEp4;bX26gJR^R<0cs1vSIhY z^wG8rVUwdIdh$yYZplX6n)8dHwja?J zR57+nRibRY(6$=xStIJ$R9Q^5su5e;8N>ZN$^bL~HL^)UQ4jE!SI^_7zUS& zqtxAIr5H|0NzCYRI1ElkQL6UL{e3RZYW&HlS6lCyy?e{fWq9^RcZc(e1Nh4 zrHA`??SUEz7vC2-W2_}y6HI#E0o4QOBLgvDO4L2_V&5uLVZb1U0Ew4q9ih4rdgzby zthtKe#QjwyfVweS>guLN&bRtXKHza}Wo0$0^z)stEBu;w$a^yfP9HQ7F=Z#!gE@zP zQ)h(@6EkJxb3|g}Tw`sW{y3AcyE%=94^p4lK%< zt;WgT9%MQq?_an#$(bmy8>joCv2MV2Q|kEhwGt5;-wJj)DfOE!hyb$mFqHb@?e;y^ zci;6l#&R{xTlZ2sp*d9d8cV&+yc6zQkkVpEc(!-U3ZvhTO(qZ(|6;lsrrtn$#6}%q3%J(hDumMCFXk=21X`EN*q@3_AXui+HG*M zH9co%O`W6WBBqjKm>U^~LOq5Ty@&koMZ(>@rD5#Z2A|sTP|FU$0G z`H4PcdWPB_y3Etn2HC}gX(08P&hI<3PO`oW7p=cH>4}CQL5D`P5H^}Yd*$U|0*8=5Dh(#+O97c~5YMW9^prDFVLNr0ip$=L;MMNudde%P*?$ax2<%2WpDt{SUic zLqKl`7iD{7J80)i)C%SMk0i^+Csxu93c&CmTrFyA(o=Rek#R5E#|zaspL1SW7EZ6B zpxU^{%7S27hVV-d>a;sfM*V;j*x}LP4hwgN3dT`=$OHw*?K-6OgX%VHh))WSNO(gbnY{1Me+%rb&!#M?3|}7Wex5ye zRKW~g3rbeyy1przI{nJNGYDs${*u0KaD80j2`|vnEl|VzmG+-m125maL_iIjcAG{ zCr3wbm-{L3k4yL+sCUy7emYHL%&$lglW}Nh?@=bSVL=CN@?vu^1YhrI+{RrC$0yJ% zT)vMih&xIR0kizXp>vOcnrOvZ7;vzSYL7=nA`!o~k>aAHms-t(om7gh>zmk%eGt_C(opfR`Ln7v zHH*P>Zps)sw{da}-^!$kQ}g@eWi03mo`096PM#lIKj$(ryEG~LMe57BH;YM4yM)pW zVr)knTDykVUAAzS#w^2er=m}_n=JwiGk&H#=>ucHFcjD}K#FRKM!o}#IC1VX57&%ZVw~g1#B@s@=67o)h+os>`D7+|OjcYylQb&sP3q=L^g=`( zq!Ui?;bxd*JtjW45E?4qg6UCk+uL|f zyM}SLlovFAU!}f)01z}2pn2HX*jSQN{?bYr`$rcN#a7dNde+uW zaw5s3LBGvC)=TyAp2NbUqb{#^%qHq-EcFRJ1CKApKlQMzr$S=H?AO-TMlB7ElAm)Q z+TLyve z9)!}`sWoldhGKf#GPLfV{-}_&xR4{ZfR>SS`K+-+N4<%2aUYfS#+Kma zPbaqS{s@CJ9f6GroR;0M3~qbacxbI0qRuctt!6wmgh8=4sXjdh8!VosT4T;uLVQH{ z0c`e?87e)eY+e=exa+T0nOtt}{4BI|xA#)o@L8z&Vv`49;L32D!}vx>21CRkAP{b; z`lU*{I= z($Udz8;%7m5bC zzV%cd6c)bz(F1K{?`ehfXpB@1uMJsX{b_2gwoNG3&iHD&@=Tt7?Wnk_vex!XUS3kO znL0_5sUemk$~vBD%n=2X!=q2wK}{>kPyncQa!Fg#lX_9Yk|_BlaG|35Rr^S;>gpXQzSHaDVs=VV%Tb!i zS`(woeCdyjhn=~Vb*F{oz3pV|+qJz|;a{&6ZLJ(;rQHhjAwIto*(#?je+ToW<%YFX z73B9QaEqxbnAb;rJdY+2h&OY)gDJ(HnQZi-G{xw|Eet+(wlie)XjoH|`-5Qh4&#-{ zeN?bmV~G3n%p{-2lHta+#-^q_jcx7ipJ&)gHZFt)6p#sF=w-Tpfd$izfR9L1I>z$u z-Sb%T_y~*d|K6+`Vi(MQO=i4!+ZOus9v*LnRD~5i)=#ohTCXuC$DN_DzIFxeLw+f# zlDl_lv-)}d_eUjsXo-ViKPp`Gv8AKql#=|G4svTfL`!~=*_fNvYH^lFQokfbpV1Lp zC*Y3(xj}t)5fO(qHM21(ZXkkHGb{b~_q;ad!+(;+iS<*Je$%OjoSj#S!lL5XwF7QF zGuiUye%hD5p+IOtCAQE*XcFR{U*{*TbL&o`e}TXP?S1Bs#n24SiZjmkUV8g=+lu<^ zYO0h^W8|pf1*Y!OuP7q73n8t?;gQztee%LF{Dk;&{nFNY$_MH`TkZNe$Q#@D(?qd} zEp$iK{$3&Sm=7x}eqD9<)6=(Yq{XoBOE}?|{kyxZdEbDq z=H=xH3k!ppAnQGI+Q5M2=lkw%KXhPyZ{AWoLHy1T%b-Rsv%}k&%?fR=b&UDKYhbp# zL6m#&&mG0}9M2Ao6N>`j$Xk}nyA~x)&YH;|KcO0E)oKZY!Hyxdk+HG9wXyxvN4-*y z7#2dGF&P@t)SJNlJNuqI<||o*&)REVu;OI7{P)giY6XO1pHT%W9*G7GE2?liKYz2( zOx>c7ytf!YJ1HrcshlMo%-F*no39+boQa2&oXo{4><{GLa0gsVT+2B}Io6eYUy@|} zy>N=(n6&oN^002TgrVQ|U8(Ocp=F5|q6<>YJAqkQJG_NB8NYn_@=x``&lSL0lLudZ zE; zsIIpCG>O!e26a7#O|w}Q%)gPD7~G_Ey_1VR!kLXM{jy<{uZqZL0lLeJE+e74vS%LV z<~jn&G&If;8y*?y8yn~}3poDY15-Il-b}i}<$cxs(CB?tKGMyi)?+e?P023@Qg2j* z)^4zP@LhU?C8h8_wc)Qfs5UQ!zM7typMS__z_c{mVNFL%o6U)NJ}zH{8)6Wgh#h*3 z!&fCm%@Jj+{Oz-VA{vkC23R@6Om6DY(sRxj)>RZA(&FuZy}1L-AXa;j_^s zSytg#EEhxF5q(fxf_==HKk?mY5cu~V>`f6)2Q~()oJtVVve|S4%}j^A(swAf2g9rt zHvW`t7x7IA?$I=p!X_k_M zw1QINL=4k<)fT09e-;?1z#hk~eWoDpu0AG89MQ%`Mos`(OG~}c`GA1R0!+Io{=>3d z>&s=(%&M(bUD??hY2oUtyPdgDHM6j=5W`KtNmy$ki2LpRK;}>(?&wt-r&XYfizW=(_krJR4H(gp~#D^o4;sh!9HG*Y32Q@-8=AbME~4 zySZL-w!YMl#b=Dltlm@SuPa)lWnsJm>Qs&`p5QQBpyyegp@cEfihsWet+KHgGMcDs zFupP|F(C{hfdK&l_AoMPLvI>$d7E_w2Iqf_PHa@FI$ z{no^!^tM^UNr)FQSkYO+G;*d`NdB2mG3 z{SGwAE)M=vW}(u=M|MQ9XSW}X z7e;q9|HIBPQ`wK|lpxXaYyh{bv&8*Qg)UpLQ`vtXoLX9%@Ls8);|C7u73gS}>S$+s z?T&uNX>>!8&~jxz7z|mfJoN_rXM`&XG!6*ok|O6?dn>DI9^vT!{!MD@!e(y z47Dw_gEnh+Q2f5`@!1%RTa1p5hO6dHk&CYs?d?Yf1rV*5m)b<^snY$~r_n_n6XRq# zsYhS0X=!Pxs!A2}0#TAn%I(mT$NlTI!jEsC|L{@tNzX-*36?)&OB(I~}ajl49uPkrg*NWmIkzaCg&2}3hqhgODtq7$}^_fH`^3e54jG0d?xYd7U8o4wsDS>Osn<ELI zmE`2AFs0z#y?@(39xOYKnrmf;+K%bz?F;MTq6w81`Lj{tmNc!bxYp5XTuXi%o6dK# zDY+cz7nHCtW2N{mPj;EgM^>1sTQ|buO3$_R>$h*2<2`_`SZhY!q0jmOdhvr9`u!Kus_hepAQK!|*RPh)+rb$C4y7wa` zpItqwm^W{X^OLBXS!fo0Dhdnt274YAZ7*&%*{-D0B_@RtW{IZCFmtbSe3 z#AF_)czbCS{&k>V#oT+x8A7DOz`*dPd!r~X0wx(=$2iwmufd;}M~E5Q_`5WQY2$U1 n5d*sM%0ecLKmLFHc$NC#!N424UzP?EAFiRIb0}ZwSit`Qia=6} literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0022.png b/docs/img/fsm-image-0022.png new file mode 100644 index 0000000000000000000000000000000000000000..6f7df0b34994a4d2dc48f6dc4609fc188d0b941c GIT binary patch literal 16890 zcmdtJcT`hbxGx%I+XX}rl&VAe$BK`<2!mx_pf< z{?jft!T8Pg#J3@Tge|r-FA_w8rIudh>WNOnavc#A7xp_(pZ}KPORd5zUE|i9Hq{t} zu7c*xS%+pl;N<46be*`nn>)rdm?yo9v?UM-#urp%WWHmYYq290j;(3!>B>oMO--Dw z-ESEL3=+hlnx|EEKQ;z2d+hDHU7$95`GS81T?J2w;AKUWA31f~X2=fL;8*2>jathe z9v+_UOhlHswT%OEUAdX)m9fGp+>76D%^fPhLx^sW%qnh!Gzt`XaPwV!@C9ssMy|g z+O1{UbnBULMW4~uBGb->g#wpO)-`nP-cIYi6oz5KiX?C zQkh*}J$-Npfwg=+($vCdpJP8LUJ-gy>+0%ok~IoRQXcMGZ5N)JOrpht{*YSUpO*v& zIF2=__4FVP@O8`YY(9{Yky#G5`~X8&Jx~z{rd$=BhC(2piojzPUb=K2r9N-w;=5@% z*vz7tt381!I3(o@qlQ2>20tt5(x7>?vWu;ndt9)nr_R5Q9P61!D|^~NB^v1D`giW~W1^FyI2?$orahsck8b{xNg zT0~Z<+A!P`AP_Wy<-z7KFDaEN<{BUY!IX%W7VL{$pUpm_m-*aZ0+Kl7W1X>v9@ z@rX+Nb&n^n<5;Z#^l2V>P3icc$LU)vx_uGH#~MG$#Y?;7f?rg0n<&A_ zH(=LUgoE>dK<3_%&kyp|=fC~Ov-`HF>Iw$}&eoU88dbI%?_T}5OTbIo`7A^!pnXW-+{BlcT{KDS>#1J;PG2#L!i zovhV)*tD!$#=JC|Z$JX&264MIAt52;+b6Gm(x6%6M#DGA`QA5dUJ*Rg9W3I^OIyp; zZVqK{J%}xy>F#`jyf#VqYL1Od3ZjKc#i7-LAargC2;_Z9jK8(J5&Z+Rh0IWsRgB|( z|D;AM&OtPdhd~b+m@uV(DVT<&71x8Ytu@{q`CJXj`aF1$w30+n#vVzx>pJOlQm893+?spPVQ%UOG_F`UbF<&sNRG&?u$D$@7{(ASyXbqo>>n1L83NFjM zzG_?hgHJo(?D?qQR3lsxx`q6FZ{&HRXxc8#i{zBrcxkWoG^JP-!JL)4SRWBrPRRBd zduK}lL*DsFe3UbG^r}lPtC~j5TT*w*gQ&%%Qd3XQ8jN$R@RFFp%LZD39i=eEqA zt+VF9`&Ww%j?`<01w9tWxbQ^T;ZW=$*Wf7Zk6M?Nq0jnlOEo>kUtn;eM41+zHAjjl z!Ofm*i1CsLyb?n8FVP032ZaXOD5U#tXm^pcB>c3zG1OM>QYWGROf z>N_=V^}7$1^@ua6u;}oOJn1sV(k-$z=7RG4h)5MeI#GMg4<35-Icg+S+);82ZraOaf) zfhHS8F!&Id#239e-tt=Tf^K0v7K^SzXWP@-w|C`w&2}VF=A7lF>Zy6fw45q667Ks= zJ`Hr)rohQ-N{pT(nLkWR1$Op5_sTl|`J*N`ik)ayh#N~nu(__d{>;|p&)+Xa3+Qt<5@ki%(G;t! zSulZI_K~ZfWyah!v1g0ovA&cS*y{@V#XDb^Ha7WW>lSGuIdfIm4EAq|%h}Ml?S#h( z@$JL1?dRnkc}P)1>qxhCUSaxbca8mUv5sjdp?fcRtlIt_GA1G7im=Xm&qfNzz0Z(m zFb^m`l*87ybUC5gZ;QL}=}i+0CR62j8(~v)g$J@fVXQzOTAr);^XW+j#k;>F=b7^25L zpL;f``<y5anbhMMD_P-e2*3Oeqbwl)%-u_72;BkVwVMl9#F z^7Njr-~$RTlMlR#U?Ac=Z3YkpTP}T;W^-UE!Eq>^9K7$mwAgFERUgVW{m^JAK9p=^oWv8*np@E;aEp7fqc8nByEx{G0ZfO zg>Bp0-(3$bJ;@iO`^6fsTtkMSIL+=NlSm>dd8r8xsZRd_6ZoKKxq}sdff4v&y^eq( z+M|@>6Kflj%VgPy<56B>ftbayoO1Wn=H}*t-H4=?b3E+{Qup+15~WS-?br6+zU@d9 zD={Coz<21Yz@=Vsp{v#&L#`LTvK(j$mu#XNZ=0PRt%9oPzTq`=n@fb{k(yPZ=4S|~ z$K>ZX%G5A`UVAl~sM9Y^AN>asM$X>wA4KECHBfg(*akzqe$YRv%+K#yv02eK4`P!{ zBcaAN_W0^tY|zd#F>Y>dKF3berHs(B2?$KPRaEd}pu(&tA#z)@a4(AhfNAB-wpNBO zyHMqvwW=s|<3QKC6Xa3yqt&BW2IB|hZWXld0gxt02gQ94bLf~(Nlx8L$ZH=(tl?9G zp3U)}n~PEVL=f2mVotkm-IIZfgYkR#BB$(fk5roIeq)6w3${~ZpOuhm!G!7N@N1OP zIeG7hqowP+euKZQ+K|Z@Ak=li$kced2G%< z{+v7(+|`|XE6=c&e=}Xgb3=PFLp@5@!NEbtW2-{q%?E5yFc&(Sejuw1^HXgd(J!Ot z0g}qFg|LoGd>F`d%W3y)BB+Z`PJHF{a?8sR4T~zu33_bNQL0aRS;OE{!1Aq~Nq9P^ zr?;n-6ET-5c*O&y+;508vB=WkEi-frTe(PRQW8b3k3C~PYx zl?F3!2S>mwY(en+9xN?(^LjKm)0(@`g`|92xX;)mJ#R>rHM+Dt({f&*wuwK>mhO?f z;w=)E1ND$fQ#uwaoy%w;?u}81_Tz8OBk+J4d(a*`L049yhezv$@x|Xpw&UwSYcbZc z!`z{Hm#2^5PU8FEE$;g5PUuXDKpJViQ##A{iITE>A#_OfvYa-HCiGAzwt1hhyoad5 zH}*;tXFZ?xRZS3cE9`qM zN_Y%ey=057VY#u((@QM7^weXaS}tJ*7w)xO^KrK8yN`phc7atz>Du@ng`v}&8Gcg& z^ulHJKeG4cxQ`C!zOpPB!^ytKxc*s$V_AhXvS*|P3YC{jO_br!h0)S(xalI3_F{%r zGo?Rl_-IXyO-ij$bz4FyM~)mB8I;uVOspc1vidRbAB+8aFPFY{!-XyP1`WL;Z`}^X z{zz(P6fS@T5&^bQ+$X-ieU(L>n4=MprZkwRUj~~OT%+|n6~Aiy{NNBeukyj>JeffMMQ zY-P`6wDV8^nmtreh*r2?5)#5i%i$OKUWG9EA>1I-6(9daI0XAXj{A9^{ZS- zC|^;vmz>)%Hs$m2&wBT8H41&yICaii6`q8!T1OB_=~9;P;>)@Ks+XvIk_NSPnNbL{ z^_}9VMP8@d_V{=28WOK9t;DNiMUhf2=hvvl+P#F#F`YQ!lE{2agImjySb4x#Hn%i^!ZI~o}sZ%MEZ z*959St*OgyZlbs?x-u1UB`q5d`ZVFohSr-Ism^ms_g5Q(+2CSsYI?H`>uv{8ecWTNGfSSN<7B2oD{Rje0D9?DE{+5@G zwQfldnUEsMxD7A%<--x_y{|;8o>8;slF)gnqazI`X!XR#n(*G2FI>3r%3>gy;Aja@ zF`3N{8C1J|CSN0ZqoA_$T6^G@EHS7u`1MwQ*K__vn}b)p!}34#WA}lxrY0!u;-$7K z%JQCobBu29Y%T(kVTbDUN!Q)&wa*mCg4raaqT{ph+Mm+)`L0P#YX>1MNm(9g?11C8eMU>Ezz<`zx zTmMN}aaEK{wCg3~P@eQ4-+pLB%n(9Bt0HN;E0t!IF-qQNy<1i>117*5r2A4hCRZOJ zXTvc2G0M1~_7_`D7$u;_pnzcHkn987IAS&RfvyIs@7zUnmD2-OvBRfx0c}ct3wloR zs0cCMw&7>YoEbvyh;2(t+i3aodpbHJ@#*{jSLT`J$rrw(0j3p{pnS|$?h1D=WoWqrr8{cJ-jpn)xtK>KJfm2 zbqUFl$@A&%kELwh*5wN>E4#Di&R5mlbt(;veDKRE4_9*(E|0VDM%L8L(%19<0LD4-_`3$SL^UiQyBSejwz((tyah?mcVMC>-!P*$f5*}G+8cNdAie) z>dI2IbX*ppM$W9nv@>aVYy$MsQtA{YmnSRW1&m+5Y~l(^crn{@E8ozoTSM}T#q;N% z@r_6IzodQH830FfI7*&v5(ESrhWJJ+?=bh12!ynNPPTQe14e#nDWJ?~%&TIXjz!Km*O*0(i<2=nG!O;$^nd?x_ zKi$LP*K7N2Vt(;+_CFrT-rrard+@XOv(M4l>1l1&{Ry9AEF;CspxeerNYiYzukyYk~-bG6Z{MWucgBbe2<0BEa~r*ls9>SO>F zOYPE->XXoct4R+i=a6|o)tb~%>uFc-NdGVkVSvyp z4SSmHvfVr9%?Gc#b@!2+xRN$^&Ux!Myo6EqnFj<Eb*X(ibKNXE2w)_D zHQa1=tvki+n*3`GZK-5-5#?08_xyPpwXM^m(&e!l>-eQ}7_AvN6WjSr$Pz2Mj6d*k zShq55lsu1I{KYIE;sE=M0AUYg2@p~*2=iUGT3R|^QR`aB>2(jbgGWd2;S^TZsnM*$ zR!wI@^>RE5a>gvi;z}G^b2fA*y=oJMI~|1A`ik~AUh%FY!XFfk4wY(TEe__IBfXwh zYul9Vo~s!ig9*stda0$&1a!l40A!hD=9$0djD1K{_ug7V%S9sqS+=1`%zTDUN1*a$eC;Y{}@fTU<>D$Bk7{_S2uk9Dp zPbe5aIxQ#@1+$@1zl~NoFTMg~yd3RPn0sFz=#}V>c$bYP8l>>&fLrD}*w#_&;A`ly z9)QL}dHLeECbug~ELtV^%W=M=J!!@~t?r6EswIRW2&H~gTO5vb=XIFMB@+&!PLxiU8RYxx!evH*V@W8sOb4~DbB%I-DtVL?ae{{${sCKuFaryc~G z*Ot-e0JV**ButqZG~ubDPSdpO-Xfh@1I&7{jRk|jP_kanw|E>WxweMuwZ%C&0+k&YLmL!~$z^@#^eY68PBKGX;CdYtjsSimK%`t&O^pd*y1IBi-3QwTY}VA%?z2j#0TjQ)l~Gn+u6^Iy{+p*qojl?) z;fKcL2_H;S>I^jNR|*0X1SI(f074|fCb_~5>d&ZH{($WGzI$rT(CK;au+RO$FROO? zN7E#H=)BL7`-SySQP3}z1v~yQC#NDG+7gYQS!jL6D&_hN86SsxF80IRIN&2%qpIDE%Cx5EyT;Ghf5X<2 za{I)?1ab<*P5?|Z-pH1CAroZVlDsIKJ;^e5pZMKE=x$cC0PPv$%LDSZK`#M4V)IC@&-L z@a5N7e5;7GV0C!&9lqWj_v(I4#ekmdeUOF~>y> zf$b6*Je?ZAAWL``%w$XGH!^svr^hR;+Okm9fT2?f@W;(O>oaLPoF5huGdG^+=$(FH z3&c~zX>l1w0Cgf-@Icd8?k@CHy*N0O=3vTyM6^q&1G`jN4T=5P+Ukfq5EmS|jZ zt*4q^yB)iZ7#adHU+e4%TstI+?fBGmH}A1)K~@#|#I&Ir1X0_D0nZ~nSZJ`U@-v8$ zg6q#fyTwYdAwUC)!ks#GO7;)x6Q?s7pZwTTC|X8^Zt)Q4mEv;^t8558 z#*>Y783_41#svk+?K+_71D`;D;c=oD>>{wmgsl6@-5+Y)IFcy-s&M!08G@9pDu*F8 zQ*NvKm82yh^X-fn5)r@B$_FC&j5@kVR~TuV><#^>2Z^F@-qN`d|#x@t};m&$E20pbtaox4#bJdALR-s&#Wd9nA3a1trGPnd<@ zNRfNG!j&YU?NN<*I02&0Z-LIw`3|}Upq|rAf?sTMM&fVVIBf!KbBdDcg0zX1yUtGi zG3vU;UzHc!Mmqx*GRk zbMhh(T3k0)KaVkuphCAlH^_gXRJ^|hu=IyzP(?y?O@R3CCM9w{^JehvTQ8nQu$ge5 ztY2sz>ba`Wu=P#;8j^h@ZfR)=Ak)EIRY!}L(|{m1g#Wyto=%v6{*Y)KKXMTOES9b^ zIHt_P$!gHkz3&N&?;l=9yS)va(egejA;J7F{HLJ;XH>HBOF`%o$64Sq77rrL9N@G5 z*VvbU6{nIY!Ifm31T^*U>AfOSaY!5vCkPiI?m$}y3(i%$M@GbyTeoV`;DLca1pc)i z;a+XYnyQ+C%}T-L2S8=DDbaso1bS@8pwH}R zg$J~8Yk5*MpXO)^>l6dzlQ>*NY1b`d!|w!+?|*`}vo_;F%KIe=1&}@Q{V^9H7Npq* z_$B24>szeUt-#TV&4P$zpn?I3P>J)$ldZ zeLA=bh~)zwr!9(;#wy9bV9Iez`3dfOaNXV>f(Xp*Pu+B8prnwW=1A+rMxj(2~94G!$)zX*uU6WDSHNpE1FeQ5xchQnTj? z8iU1OIfuz0S0#u}>Z9PgqcXjBEF%PB1m4@*Ymbl)sEx*9?f``u1{N`~A$7gSn8?kM z{wz)XT7JVzRY1p`X#VqIgufofcHOye4v_bO91UGPLEqEN)6Y5&c@X_P7QECNw`>=0 zrYl7=j43e*yF28sYDC1s9cv(MeGtfbCIV`%)OvU%_thfM05x*8AL$7Kl+I<|3Emao-Qh;l}tUv%9p!eQ*Fw@X$bNB0n5jf^qHS$q?v+8JVKex#yX&L4Eg16&%779D- zg#?rUn4^n=x37zv;1Hn*Pf{hQU%JA!H){7QFSzyVm6=#SBB}((aXTLuJW>rKs3Bvb zqHa5NJu8qbmcOp0HHT@Z3G@6L;GpyY=fu0%0ix zOS#bX+GjG1F!|#Yp%#cak%MCq>s-!w6(Dbme`FDI2R21RM-ahc?m9vBeDVjE<c z1@)%nxb7#PviCbj)6SOOiQytsP5nu$v$G%gQ{GI^7Tv26P6i@gf3E8KU5m_8O!SWc z<5*M`v~xu%ivKCQi|h9I>(Uzlt$At3j7r&dFjEIJO1%dsXhTOnLEe|9rmcmwu=y~J zLBKp^fe7^^WEbY#gnzFN%Lim*z9@P}|W10CmLTDcbibHceINF7YKVLoY;MD_*L=F3TzX$jJ{$o_Jfd#WkG^)G?egPFAt zsNk&kH2^l23UjmHHE<$JX0tT)$H;lV{A;B^gbs+?Hl{)!}pIs=}syF ziW7{$34gLR%!;nJm@bp`6mp6gV;abKs<2_f=3x3r?>z>cejkZ&)Dop;tT{NLgB)9m zrDNr{@Z4w$ox!Ul0nz1#w6q~sW`e>ii3NvH-QB3CmaKH9)q0HNR}I2tUbtaiXl-jD zpSd(vVdQHKtb~Wd$ZY#?;3q`vmrtmZv(Nb;`;vf8`=Joqk9YuV+WbrRz?@As{==%* z!7jYF0}u2*d5%^ku@DK}49>#UT>yD%owwU`%HDBpw9f(hJxgnSwj)wFn^kQSc%Vt= zMPbK5I<%-kOe`SzvmghiIpqDktdNBo*okqk?RAg_n|Ltq(tr2v{SyFq_m*l&%zsRf zkTnpmf~^^=s)ib4fm@z+RD(vJa$$fr+S>lDG%%}JMM{|`<9WKj z9>n>5C9&%M8Zb)w45lfkR%eGVp%>@3yN!TC`_+S2zgI4BFz0F3W{oGw-C_Ga!H-_Y zlH}G33`Jl@k5#(0mUkOXA3hKxy#}wWakNo^_ z&3pn1H!qTR9hei|;s8btfLaCgS71(vA8Z=vlPfWFte*3evWN$Vh`;}jKVH~W8O(UP z2Or)#CY(p4Ou~E^SogxxY_zfR%w*JC~E6ZwHhG>`dn%^!~PVThKZ3 z6Yp@5ZE9)JOkfgS^*C{Bqi#w264}{^m;^%yz)ngMd0zLf?+K#uu;rhZK>qLBb?`J% zfkA*(+BpFrkE>U&Es!C^a)UjIJj9m>oFVcMAIOGRY!vN*QLYOS02Uko0uVF4yL4)d z?Sr}j*V@V00Zsq%WY@tAU(Ny>nDrCV>9iRGzi1B}s8%%sQ-QSII{PqqzAYM;+G=*| z$VjD-CAh5;dTSAfAwv)&-OWXL^6($B*goX|ehlOqkP*=T^zfgp8Gsj3PUGWiDUE=> zOBP69tau_C5$ir0-}}oSakF{it@7;AEs_0%i#UV~u(UusxOPkA8X``spIm;PIDbYV`8#3#k5FtNCm3M^Xy$I# zA80UsWAjK^p6XWY(XB<>GJgFrwh+Bc<`zXsn>KT`7cYRADO(sF2&1n35oTuRDJ3%v zy}W>|3NE+%$){~rVZSAe{tyV5v@!bkb-5kpnkF+pDVchDdcX+m!x~?>5m>x594vm~ z3a}0Q1^#9md{}-RsR-;cs#=Hd)|mO-Pb-d=I1c`Uw`O210txCk$=wTJw{OS* z1kt@geJb2I8nAO@l?U?nusFxHfxoW>ECl`^3yEeCZz-$-?a}aWdM^b#MotO=M~am`O)lGn#=#b2w3+-eYkQJysZ)pyA6vQPhR== z+md!*uH8eRCs{#(%5x2BWZGC>_B$+FMAH8{o3?3aN-UUHiW&nm*w#=m>&*iN14Q$v zzYb?AAq+dwseP6*=!9T6HqZpj-4y~Y9ch9Tf4(F#93L09@UMS-O_FcyJ3Me&p#=mg z10CJJu7P5qD=p`1HPE^>k$`mnsobmS<^*Pt>^ODb&RBCvV}UF{x^AqU~oXu(wd z%~q{E-ZBp0CNCd4$8A8GvUvK}K0lqgC4{+GBOQ{DUcY?gwD0c=f!gna?a}B%gK*(3 z3kI5rRRaAvjh}x-R+Oj`kbw!Fi!*$ztk<61=k+_7t9CH4n724{o+tAY2#}K;1$o#fR)U4(gLVS zww16$H6AK37?_t=`MpQ1Gjw)L>A~L#vtYfTKR{j`c-#LU{6V=4u(2-{vjiA3GO)>A zFOye#(StlSZegUTweZ2>8(aM}50x$6kZ%h5TmMZ#&x# zC2r+R>4F)$N|)dyz;nT9a+mnjTgHRxc$HVk8#yZOF)p+xK`x0c9!bbTw*a7Q0%jYc z8x|f$p_B%&SoD)<(7MS@HClJLe6H@%K|r35V*F-plOVNv?Vu{sYyw|RPh{#J{wRM8gL-)J&UuBv6U_D8XapQwpT>ogOOoh%6Oy~_ieHw+l30NMIf+rRX zLMc=(@4fwlztYaRGH275IG3Qa~pn>mgverYps+W%KKVR?%#h@CJCK6 z&7fcY{h&&IQ==%);Z3Km_CCRmW>S!odtYrKr(B@!MnT+U&<9pJJw5#h!p%aS`}ZYu z2bX+Ir1r;_|#)C$n1lr=u;jwbhu~IE) zkElh%@haijIpW#e|pm89k>7 zRve=CdB&kp&7Zx;l79XX+l-g%B^wff`nAsOr7l4DD-?Ei{`hYTXa}Bq;ZjF}lr>V^ zYejFk${i#BuS)*VuPB1Lg)8E zY_QO zd)}UX$rof=8mxSd_2Cef5PFy8An1?&^GaZ$RJqJ^FW7=8i9$XIX6CxB9N_O@hy2oT z->n+};{adZ6RG87D8zVh_Lz;=4A&2Gt)s;P7!F_>8~Ct>Hll8mZ(S>gi*(EC>h2yB z)?+SvXyD{a&BE=En^2Va@QNoCs2jmtDbXZ{tbE+*R74T}EfyU3Hv@vr$9)u2LA{+7|%3IKAB|ED>c zQ22*un>Jh+updmK=1FN#K z^1@;iBIN*1?iWox3ZYE*`Ch@8N(ZEjVgNl;>5c1ZJYM*MSS~6mph>&V!Ye3l={m zpVHPhwUD4j;r+h%dszfyY6Q9Ms|5%;;OHvqfpcyRK$^}~=wPnF)bQ)N#{cCZrwFX? z)h$%F0BRKngF4798+57CR)?S8=IX->sJ}u zf)Tj?YAqqy=OSQtL5-cJ63#U&TkiJ^xDIM8bue8`yZt|QNVf@pJwYu17eK}48So6B z;{3bHPX8DC@_WgP>k}H@U=p-w8C3h&rNBg%sek`#WhywQi-_Y+u{CzL!qFJJ5XmDc zp|*Xy@gIRThUqj$J7*|M&ay_-8{`z=rflW(N zT5Y>jjVDl0Jvhrzo)W^Se2i^UF+vU0`L3|Dw8pA7W7Vl&JpQb`Tf^1n;g~I;lKBVr zCMQcsD{fc+%f)05@LqPnsDS@`cyL(WC@&AsN@T&=)VNEjJ7K>8G4z25C790{hX<1 zaR@c=MH9k^xCP8r@S)Ns>!}FY|Hx#D(;xoZKhFD~_~7qm<$=KKz>Y3}S~6LwcLvuoPEVRVf8 zytd?*g|hV-1kevHr{4OK`#xD!@Jb@93P65w2GmAR%9v-9ePIC*I2dMHs?&P7S{B4` zys%9ZU-P5p*VlT1ludeB@#HK(L#%+HudkEgq3U0ZzoA#jls`Flg-pGa(I}4wDqyne z*d&0D3SJl~Iu*|z3&1r3d=>~e)h>+OL`$VFeNPSennk2g%ZLmI&gmTG*T*-+d0HKy zKNH1YK*5kDz%E*HCxf459MY@%ljL`GB#BP-$oBP<4rTPU%!8^sXZ?3L`_{MdIQ5Ia zUjX(~zw$#RAWNdT2_HY69%d5#(eXy)Z^M7H4-TG@(D<9@+cBu=SN*=EJ^pqx>Rf9S za_gsDXA~lu-(X|+;RFpp7VE$HEoD#ue|5b*1`J2DL-EUFmdGmie(vEa=S{|7YPzKF zY7`>D2V4@M-EqJM#F@2}_w%n0$NxhdSik)40XUovvTAYwHAVOODuyRD6yTvbgci?^E((= zq;~lmR*oID9nF{7QjQgA{mTzs@qmH{(MST8PkRu2MUIU@)U~Uwn=KAd@G;d8>*LRp{nEMa#=jPR^-nfW z$;Wj=)!;suOPK_T2BMFPpMM3Fnl<$NA5a$8a(#jeM2Esbbburo0fVVHb}>*yRkJaG z^oy#C0@4P)^V3N;$@y*OAOHHe0F1@Gd-u+OnYV=voGtfXS@yer-i=^T?G`Jwl$Nxx za9cjeG-v0nE*T%-f{6+VI!wMjap|?p%`|0oBApHg@bZ5H-ldR9~#UIu7F?6$XTMw z{eJ7ghPBT6icYrz)9w%_h-?Rsy3KJ-6MPizWVJri)=ze#;S2)6k-jB75-e@U(pQ^f nSf#vw&?)XP{GYq(`)uxgP7N8#Zy3M^Kpx2|-_O1K?9KlLz@DFW literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0029.png b/docs/img/fsm-image-0029.png new file mode 100644 index 0000000000000000000000000000000000000000..a8b515dd51a1882547628dd609cb9f4ad4b3fdf6 GIT binary patch literal 52790 zcmdqJ1zVNd_BXs}X#u56QjktTQjw5ONkIgakdW?D1nCw@>5`O`ln!YWL_)fxJD;)K z=ly?(_gvRL?yb1E?>WaD<5!blH5EC097-Gn0)ej}FQb7#pq9Zu_}5V3cXCQg9pM*j zJ9#|^1cIOq`439m9Rex@;`@q%jI@?(@rkNf`yLJh84-K{9b-boW9`=X*2@o$fwKgwRg-{ z1$m)vmeRDNJ0)II4KB``zc>1m2l|pl_O2}8IS`1Chgaq!}{e70x(7V;lq{okh?ZM7%IaI%JY&o=vYb;)ycb9 z5K8*)IBdc*D81MdCl^6WLqd|t94?S2vZ8{DFvJ!>LC{Zinfb+=e4~@_bY1RGasK;@ zB1|@>TdPp#Gn;14#;?jd5)w}o2k{+CZD$(a;*wQajwi=8 zTL=wCLzEN2*80YjcC$i3P>_;7=OL#QvOb=)ZQ4-Z z>wIeRv)IUu9mNviyMX~!6lv3TNO#w+d1fX}=?ord4Bc$19W9DeykYSvf|kF=Ws9~! z+t5PnX+^{@G=E;UQ=V{3(VcHp z3>4|yVGHGd(f?B6f>3}t(9hun4KaKTmV1^huj4~Rw>;d?_tsC4%4WHr3m`qq}uazBj` z{r%-lVmi73^)Q7ek*Ik_U#o^*CQJHAq(ljZQF;=hbp6}F{96}J6Qd@up4^ScbG|5O zquQ8>V{xplJ{#j@>FMd7C%ZQepBMqi!BqHSFB%$;YS zVrNiOP*@01UY+l$8tE?f`S+Aeej`G-Ah$M>axMMBWyb48neI|wB4hZwBJ9zyaK40; zdoIH?&^SJs`)v&V{e2CAd>S#Ds*e|EM@RenzssIsCB1MS94UVtN55#8t3p#T!BK|8 zN0fRNnTtUDTO^r-f!v>%_>{+IXL53KR>A9pZr1d7PA7f`vs#IJojQiJ^LZR@DD@@d z3?vD4vp>SSiTK}3;NOqrHf|iwR@^gKHRzRI>ZjQ@#S*>ib6KB|@HmD=xjaL7pqgCL z+wPNP*;~{S%B2;yCKRBEqw#EKCoxH6+7Y7Lw*4|qU zM~2Sp@3043V%aq1!#NCVA_od(jp!Y`q1{=I6-2qiqCdfQb$9>u_wd$(z%Fu3RSLVR z`o-a;?@6n*&`&y9N8$((0~G)2?fS@BC!LS0hX*08*tq#oT(^JgJHnCA_gprMvS$b6 zm&AmGs!ZG8L*IQV5cuw$&*8)itx@=msE4@&;S~IVeIit^%ho*yvlYn#T1xHbWpnpX zW^0kdaQlWXDTiM9n~k|p3VuTexv|%sotHgK_MXiCP-K>eP2>c;L4YXu-v(S|9)3IG}U`tTq?tni!3B6Rml>HLQnAJr7Ujm!KwS8 z#3G2JS+|QaD}sisJqF9iuESXh)6>&dKZ~7|uXMu#WkRWh!*6ugIjzfJ<+YDDdVL*w z8Ot0}-=4vgXWS%_=s?iTA+qQCU?5d|b=bD)q9Zt^3(I#I8+p7&ZjH$6Bnq^2gi#q~ zVt3INCb4lCH~xNJ(d`{7s?1|KdVB4+ChO(-$=Aneg*I@?mu71I{hVZmzzz-yfWw9c|@Gh&ruj zYw?*#1yc#zC{>9G2xuwxA8b|6c<=4*@+B(al5(gGj8y1y#B&+d1=ZVe(IHZ)kW-2+ zLv#n9P9j-!aI)%^gE>kYPiIF*G=s%pI!aTVy@y2JlLk?znL@4nj3?1Mz6~Bn(SKPD zB;eR^J{|R|`1`YjF2%6Hy)wS0il^bEX3iMli;IK(nq!%fbz9~= zvG!uBCG8<(xwOa=Blr$KkygyrZfEWx9UWaji&VMn>zqA!b9UX**{QECCwLRytguIJ zsQ>x{UnsIxhS9$||9#z}>~zM#Ga7ufw>QuA)uznU&W>kdSLd_7g2h-+udwa(0`CDi(?ed7B{=8Iw!4NGGou3pT<68#CYd^XjmMiiZGN# z!d!84gwu-LUn9zq1uA73w0L$nY1_@frCZu;UKRNOvwPBJs?N>LjYiVj(4n+5>v327 zq;*vQ7U6Cp79nj{|GU;ee138~Z*T7`*5f{y@2h&G+)s*Rg_wquRCTVf5O%!Cev;cP zYd@6n&`b`R(K@PfdIzgX7b1oQT9RBi^$_vjvNyNy+RvdI?QU-$pYII_Vp$m#8;aEF zXUgJp+RLX~Pn0({HUcoven5Zy|JI@T%DS{}#W+k!s&i*&r|IhQp3?}c(uZ`m`L*G9 zKlnU2j8b}+=c}jPL#o9FsRdB@m@;ZfZ?UFD zddb}uB|7=XGxwvU$O@!)-7C^Bg()PE*o}Zs*WMs^Lqf0 z-{G`2dP~}bFR?40+n_3xFH2QjLeO$FUEp!Cq557_r;-qzv9WQ_oBs3t5!E$!EJP|l zvU0EZn@yLVJ$t5I(K$Ce-QZzn-2T{D@dgSs(0NY(@(g)P>x_?rRvLt<5o2%t^)u3g zLqe!{Onf6EB8FZv-BBqSo#NIh8V8tHDHG>#xS?0R8Ad6nvGtJf+d!&@#QyBV5K^Ni z?*>nq<*RGWdnicQi2eH+sjvqpFIQxpustk;Q@OT74Xi2wjp(-hQV(h(&2=At2JCI^ z&VJFU6h$ZK7H~$qPgirHyxZ5t3Z2%T!t*i8hK`p$4fq&L*>QKmQ>WOln{A?jegxw> zLIlannn^~(`6kru$p&K;j`+-b008Xq9(;xh^7uM6-Q;ssw8C4cNa)&^wt16?=o7OO zquu$n1RWN>W9hE=JvZKE;t&O#k|g}0#Rsg~%V;~|=>PSiZS?XWODW?Fud_oqArfx8 zSy^uLVZxrCo~EXzx4)-L2e`6b!A!_u!xVIg@)o<sK*FKT0+hE2+ z-0)pR!zOQ|-k!HX=XDk%EW_wPsGJQmZwH-yS`%5RQHeG~Y0`EIWyhf28;W*Ud*1#_ z5%C%o`PnxpgsjQ+Obf@>78m0reJ)|cl9X7c)jrTmtHB{uNvsVap#J`MW1=FTd~bQc zg*sBohsL+>U-M;vgZK35Qzl$s92}&$Gz&d(A=dmfF)ZmnRZ>LFr>5Kv=+^_Fcw(qe zpyMA$ubq5w!ay`n{lktO1=@vO>l4$Sgz zLLnz^!YQqc} zZ0|zZdrKIRc5DdxivK|PhGw7bJ*PDp4d2JqKv^HTns8d-@h_jRmo!CRr{Fb3m&HJI zSt36^|CZkc9Sm1!|MhNrr^m-1lyU&f>}E+6`W3Ag!5KJvUZK~)gh1$FAz$F3nVw%C zZePm1gak$cItjz6ry>rmSl!9(fCocJIrX#TiE2ntXHk)#ttwrGYr02AM6@%k$o(1c zf#TGKYwi-v+Q`e5@v_XN>t6s={l|yic&vH$$$oqS`0@j*YU-WG#V_9*Mv4dV<2G&q z^0XG9RPZsgSwi@lAyI=EMl`DFuA7z#Z~$Uj`jA6{oTTX4YXk&bhBe!8)=Gzn5L}3V zkgZ^O7Qo1tELzMtqb{t(8bOBjP*5Be`cpgrP3sSf6TqvX|J$3cVb=9K>0Hu%jsf34 z(u;}xwiYsO^b~OrHpN6_{ri%stQ*6+KX8LWXW0U^ZUo>x?2Jc-&CG*e&a7*yMkhCI{}rq{jozbCXAeTpdi z!9g_2zZTw6-}>oe@VtS<6-x3VT>bp) z-IK}-NA1eZ*i^~9q=1!?+=zGYI-!~?vrZXD=+F`A$Y~)jOkFuxwqEl3?C>ux8CUXM zdsEO90Q~)iZEo~B%gD&s{9T*5nUlw?>qUxVeOY2yOH58qPD+}SI?CgPkotiJpJIo( zI?)@8!sZK28lP6IMm z+kidj+saH+(}Z=ZgqLUv(F@c*8pMAEvMRfDxdngptdpR8Zm~Ck)njXM@gYkZ!Tkph z0AWu-u@g$3BPAH9uH2uS0QOdgnfds3;~qb`)jqqr+C9m3a(XIcF>D5h=W)rC2FFzm zz{>#emG4U%67gCge69YS2r9lYGVUkT{Lk?yY`;!z{`n& zqbcvps}ynw+JluE?Be4z`JQ~nzqZSNJc?ysio z)if~OeZ9~HqK|TlN8#9`5?~LogPHrQBX8TJ>fr-N^EIu06s;~Vzu+N%fAfxz$D#Ew zzNNzGZ3X=~U5vo%|7%F9YQnr4DOjuZAO7U!<+)6|y>s7#C51Mz3mCnSc{41_-1?0% zHTKcQ1iwi$qG!g8?Vtt=iY-d;=IbH*}%Hq2C%*<}x$0@TJ^|-2D@GErHt@N~%J{ z&->!EOn2z5L>oN{BJrON%7{L5ucEDo$GKw-Z2hdVtQZ0P>YGi>8{|Ae8%;tX8z~TiE{%tMoLC8lS66Xbubv z4E6Q(ABA2~-n`kq>?WT^q*z2{WVg8~rk_4(6J_kyi#B(*)kJvhS~|m!Uo5&m!dD3$ zo+N$M{rqQ%af#07k1Zxap`lFch59dd(6yEi#&`oA|J+q+R*$L7>Ui>l;S!WlP!WX^ zl>i@)@Cm&@LD(Tb;pqO9xs;-%&dD(RX-@*TZS|zb{z{b5Tcx*9@$cTgje3%J*PcP# zzkvN%+EpGc1f~KiY{%~qc=|_yHWXQiuM4Q1{m|wR!Q|pim$C>GxsLN(@n7JYiJI~E~?Cb@0 zf4;#ygn|o+Um2l)hUV#Ofq@vtg%)Y^<~CwVsMA^oG@F-Yy84xt+05ix*sqfvA{mBS z!fEbV3mL#l11}da|I1*NvcbWO7~lX8x_>sTE$=q_ z1%34!C2FKJ^2_gy_B(odo(JMn!IQhX)>!jpF>lHwD`O}TO}zDcM!GWz!%FQ0iYt$~ zdY&Ia{}&F{Y`A>F>E*>4^P|}9ogES9jSqEixL>GHXUQCGPW^R0dxK4K%=v=>b(Z?y zo6jYeFpJ_VeH7?6EyII}dH>q_eR6S<-}P?%w$t?|d&?kgqex!sfXDf_wYcT`X516g zo$c))88gt+&(5`n=norVetGeTnK`1try9Q(=HnK1~H)w_}5 zX~Ef8sAS&9+kZgXgQ*IVd#86W;w}<~Z(>k*R3*SkZpV&{}uL>ldyWOn6`0tLQNL9fk@};`< z+#A4(PGQ^w)O*6T>9WGn7`Vwzp~7~Qauc_(3N|8Z-t>#Os1RH{ydu4doTUv>@3DBrYVVWXMd(2`(7<7ZWkbpB zH-C%Nw)y)?v|FL9IE@u(BSrk7jCPnU;xJ;6@;b$}!lIrKkOU)te~Qi$hFCrT+2d&iMF5olrW(D_%00V(^(2JPoce$^Q24pp_+YIG|;v94QX{ zFSi?*d0W;ol4X~;VI0c3eM>|5?gL=)rE1ZM+4wSH{p71NC-);q2D(lEJw!7Ms4!VZ zy?sC|?{RObmzyb+@9PvE0LIXtyV2Se#mL5+vRvlXzYLrnIXO^Tf>SCM8SbsfMny$o z2KcqTCpkgP_9Kt4Z0Vnk!B1!uv%n6%PrMB>JzEuIVtQlk22P2Y74zY3G4J!cY=m_~ zvn>H9npu({G%YMHBAK7f52X@Tm1O!)Qh}a8C{@~SMxMQHkvQLePa{ZXDIVSRk8k|SN@r)9&t+}58N*OBKPqfV=9&KIAJAxn=Re&rnQIHq;xsG1 z#Rx4axUCbEUj7e+h)ty6Wg`df+h6CeBe+-Ov?ecq6jA8S3=XP^a9?=$_<-y;Jv|LG z1;`jeCk*z_*^F>oz|_Xu9QXpqN#DDO$RcLdF9#DLr=FJPkLMGUEQ(EpVVmC7(W z02zHuXMspU4IQ&CW3K~k&K4sn!M=TG;B%u}!b#s@)HCC&gE~Kqu3e}zhQYI>+x3os zCZiCV((Xbx1siePpRZeunMI@=y0gDWP-d(C+tBaDk4sXgY=@Vf9-ZB8Zs_<&#fyQQA`lN) zK}~E<`PVS$lctLF_-$=%2}Fv_t*=@^i`9&%H}8#qEqf?FOnjd#l0F?B@Kbg*9AkdU zFgQ)au?>W8;MlyLgBfv8o!E&kXF%dCl*~Z%!VpozifjX9W_+OTsD7LU%RuGDH_Q)x zKJeG^F(1H|I!U9kq3B%zvvyeVhoTzp28;?ay^!_zATJkcLxbHPRP%@BCV(y}*lrG$ z7)yfG>9XYvLhbK=^B^1)I=#<2Pa3xz5hg;-m6l@}sa^nJU3+|0dxWrj&Udha2_NxT z{orsv`(;%Um7ml0v0OEpBk*^{3qowvuTz(c3C5z2nJBhbzy_UKIo_G?Uqthr{O@cs z9`Zl$qu0Cu_P(o-+e#XRA08SiKp6&F^v8fb$ugTMQB_q{z5x(E`HjG5xJk1-kY=pR z80a}sZcg1Z7<_Y1djTe!8)xh@^asac>lt%% zm%zSR1!JZr+#C$U#3P?wUY3i$1YyT9_{+7agoQ3xV{oQO*;@a!zMHfbdgKGb66^c6 z&G(L0?)dolfGg@r5)rdY$U1ov+0B%xcyR!znw9aS^p7N*^8o@SFkHD5el`J`xjOyX z1fSjc0z6*%kIf?^4$$bRc@?rXvL9v97U+CllX*J&5DRp&TZtKU;!xX2P-}xun5&s9 z#>6C(aqV8iCcThQ{1apE6Z2v8QSONF@SJ3G@~h=EpCzxDi5HOrkMq?0@O;24WGjn* z*$dhnAV^hxKQ84|v58>2-nEP#sC;!d*QDnNsXE{;&41}9C+eK6)@I}$J{0+@L{FE@ z|AN4L@z0+jsN-N2SMa}meGwt;(cH!oPJXQ*>b??63X^>XLsF0QH>g+8#-ydCHxld) z*0gi!-g{A<9jqsSa|3MZS&7;IhwR$;Q+c0Z9`wR?ZGHKpB}X>xefEm)N$C{fIs@7$^pX*S=o_HT0)8Li6!{~-%O;kuUN;o$+; z_X4EnaxQhnWC?ovL6EPSo24oy`sRE`72vQu{;a?FIlP++^b z|IQa5k6M9NzL?uC5@j)-Z0lfnF2T_S{tFZk0virM7h4MvOyVJEWg}!U>+RntS;5_V_(AZEZA1 zPvGJY-VsoQbpY4hWuDp$0df4_Nt0eO4#epysx!zW%hS z2Edj=66x5iFZ18VZ@&HYE++tn&BT2*%t`Y;`mZ>N#HLFkfo1V43-X;tb&i0e^s1~W zEKvI>5&wgyD}WoyqG9W&!M67-G@V4?hDWs;)i)v5^^H=plMD z_P+&pj6tFY>kBGbDB7L&_V%~$-cjKNf`qgZ{d5Qg zp@KlZ8W9~`30sfv^suy}<9?EDqeb=P_vd@vF|6(B8hlPBQuX-dxbch(k07qFxVU)G zfG)nJ=~p7eUL2hP#M_cv-?cQSJNdU~%(tJn!f zt_Hw|8hri%9cjmn>vJ8`t*?)+HCOy&XXq486Mc~beQA<20|Nt-lV4TSBtTCC<5`SC zKl<8+t$chO>(Uq^XyPshcP}Fur#|4ChyL{J?2o2M_3-eD-8v6%|lq^AngYMrMMl9%Z!LfHP`i9szXC{kwSfj1?T^ci5|i~i)HXfCP2*hbf?ZP-UQ50A66 zGux@UxPj7lZ*10Cj>%DH(f=Lj(Xdnzhn$jUuGzSSK*YPEJEJ)#&8`!wS$(VaJov&@~B#-(Up;lV0qNU!SR-v0eWm z8PrrF{^MBt^3r=-&13%q0qw=bKn#Bb?LAU@55emQE{HeyzMvAE_MM+RK6Wkp2!b6D z&kyaUNRKs9mp3DWRfeu~9YF&doF;bznym|jMEW)RJlRqF$J+;n8i(EZ_STAV!m+NH z(%FdO!Gbg{&|)LLt(+v(;Cb@8yskw(s_&yL;Iw}szk--eg?-!>OXngRjqc!iuBe0wsVdOb0b1=atK=6?XeLnZ zGKt&6I4d{rr3~wUss);@F&bbk+Fa_8R)D5;6=0@p&F=ho3FCI_rw8wbkqj9kGRKV6 zEYf5gExat!*4EaE!^{mzn5TRDU5i^Ugek>P&%Kfwr(HLbOSp{dwvUHq_T^kgXs`Ab zR6Vk$Y042bx1cJ-TJwl5om!8H9k#*OhaAnPM(Y&>xpQe1C2V=AyIkintC&?kipUqTo~bXgE&K&Mi$lhxBn+_O99(%p z^CQM63ATr~O+WAL4Yv!?;aZ>;wojVv?;rA=>VDskZ$qJx8^xvD>&{E@u~t<>Q@tE5 zhg^#=Ky=?1AIuUEUGfq}Q)SQOUcSuhHnRlGymc*|S^r+j_Yo1gm%*7UyMXY*1+0FA zascD;KALigpcOBemjAAEp~W76Ubk6OU<(A25>jQ=ldps(fl?~q+zr&cL6J4BZsfSV za4t#My&Z$f(bn7B`}3zuPb{0he;L`GV$tjJz+=x_E717fG$)MfKQ7P;mI)lQ3=0i4 z1eOOWn=fy?YuA|5sou=~B_8Fs6j=-a4;SQQL&yUuxE-IIG=OCQ3Shh&4&QiP+4auf zj#RAE5fM{mUWE~3$?Mr<@;KwKbljQEo6#`$gUFxW*Wm61>eugwPLf&&e(rtxZ+h%N+5q%Y_oTrckd4;!o+@yWUks#< zMNb}l=!GMGItmRHJ}nc*E+$6fMBUhuGL`G5 zFJEj_V5|%tVgPAsi|yq(pqSMPzxs89lG0Luanx#hQD~NTx$4mFL?Sbc4}ZxOwJcEV&7$C!YdVJe72idTA5eN^wUIuEl3s{*;iwa zjTN%|IM}0QIF2ulX5QgZ5EBtemp5Ard9X@hX@y2cMgnhv#@hgs3I-H59^MySj%eTa z`rt(kb2%*asT+~;SLbQ<7aM(z-sMSDax@n0O5mo;kAx}E-6MM`T+okRPR!?kizcP= zuj`@ls)(7W*pAr`}GF(4#l(C7xev{boo8_LbyhNI~-2qQx7KuOehiijzukCXMn;rPn=^4!e<6|nIW z1%~>A9|l>3kK#FMeXhK<-{uvPmkYn=M-*cr4=$P$Z3^pwc1We$-V$I)wWj3L-}Nq0 z@s5M{LWHmv60c3Ey;-@*hN(yroKobSOi2Cn_H)wczaT;YzklMn^Kcj)7U9!N8SdakJ%1~MGqk+OL60dOnG;2uN6>x&k-P_Z{NHb?1rAa znuEQ-DU0iS2AHI|!jH=)J>F!k*sylE^yvd)bja0+cpjJbt44OOMcH-c`4GPy98y;O zMzhv)sLGJ|MBZuI48#GJnC{L_x%+~HJ{!$`XzlNBGU^T1yE!=9D*eDBLAZd1==%cO znZ;zX7Dme2ar9fOksN#{f5G+(o{2&4#Fx{fu4wGx0a)crYAy=GuhJg6Kb+(0s(2R| zh>4EAtuSFGA}R`&bk2TSkzwsFggPDlGR%cge3xmF1xcZmDdD)H1~_AV{hq^;l3-X} zVkD*h-H;qYjq*j$5wntldGxwJ?%3h6dZk!_Z+IA%fL~VI7%5}tw6Q^k=4ZtV)rtwj zJhfZlnSD_=XO$4YasE}hR){ySIRKa*?(RB8y2$Y9YXyJef;5ei=NTM>i!7~(2G;Yfw%uLN6y55hiVc6_cbj>Zs#gY7Irug*7c<9;2b$nuAee^F{{+3gw$}4Ux9j*+uS_@&JqpvLCNX)5bCUC?( zgTfrj%;DCwT_hJ4kd2RBkU9;8&>0+3}UKnW;o<&GMO zSsl08qf(2&sV$3C!!kUwzQ7;ov8>5L?R?C&8icfCo)1X#?? zTo{(=O8>;C$N;rmv~XH+{W8;smZ!!Ze=DI3${s~pU?@?W5v^q*ddtzU2x1|!DEfP= zelNT&%-KFFj~uYw*<1*B4@8Z@i@X5K&>iA!jahrP*K@mI%0cr=R+3(uxmIZT?RD zbpa&Z$(B}mVj#i5uFGFZj{rZ?`69H%$PZ$K~DG>uPKV%kipMnAO7`S%;JuA@?0yU;tZWNDbG^MW;I%xqWdT*1UTs0?@`ScALz`S&<4oqbYu4(??t{zJ zmrWO!XIo}A3e_NaDbEQMq9j$gpR?RlrZ?V)zMuaFSrzgARRJuBZsfNh{ZdV{teuX+~zxe&M9NEZZ0gGtJO~d+v!oXI??$L=YUqmhH z*kvt*taMMzOZ0y}T;$u8zIsZVAj*B{RpZt|<+sI*o1fZIpE>9EZB~3HCiLwL_BdPf zBx9nh{YqJ^Vuq6ahF|)TJO{nbW8$93#(R)*qoYd$Qc|EEP#!)ih(fc!H8W$vQ)Kit z-rRgG5!(ArXe#B@6NB&#q`w-4;vmkh>AAbPLDW=9bhCgW{%>@mR!0V#dByYZ^1AW@qL)RR^Y-@k zw{G160H#iMXSe}A^k}D(4uXH>P&FVV$4td(?8-Vi$UIxEVqk*A*|*kKg$3=BCl`u zV;S}wE*0FP0T(Zpd!D{bJM(g z98NawG^+$z=(Vz(fE&LIkOV0l!;o^LN&>#MbFDNTlQE+&f0>e!lD&`^+(BvPTQNM4 z`(XPE2fHiT4$Bd|6N+=&8ti@Uo9q2i46Bk&fC#}k1zLs-6D}0at$hj<8YXTU`7&-Y z23nIU4|J*bAOAApmVV^(5zvIx4p-@-S@2hqRK`iV96Gt0Qin?u7b zSxPet`+4}kD;9hxYaRKo8sc9@E1=(C(|Dsl4b8vel^TAN%net|L8<;^2@ilIfbkj|;315S3d#=XeR+uvevAwZE0*~h*#e=raE6hWTXLmJDM%0Yc}8Tz$jk&Z83Eb47UBg9nD3 zC~tXQ<9P@I($#ohUz<^Pf2_6>YXJHt!i-?!I(^BgR0O5q`(K89(~w7&4QqN;iyU)~;tasNG{&ohkkD|M>vh*`>@M25cU@N!!^(HXUENRw_LVoTYzIS;jbzt zw0s&LA8)!m4QbR%y*o&K*rws478)E(!DE78dl=Bttb$E!OI6$CY{I?jpF(qt6bSF5>csAy=b2IPEZ*Ys>SS1mFATFmp# z`O~}n;YBmz;BbdX^_?mI_IJMg?M$`XoQrJF+YcWzeEi^kIf!*XX{IIbP zR)IZIW>9Hl8lkrKq{Lct`ns`QE>OOcb$>MRncLr~ufh|qPR7{~M%5UIds3MdAHdlH z?h@Mr_H!WOye`{XqbJ_9!9zD9PXKhP14?cbAGrHBw=wap=*?`Eoi3Wn zg}$b`>iDJ7qqsI>jqFhC$&`3l?`nr@O4_wsX%Y(82XTq*U=zahu zJ|AoI=JPr|Gk0buVruFlp?zrbpCqhcZN_35Gy%=qDx1Jo=@}g_Q}G`D&V^97EL<~! z3=7`(Jx-xH%df4vw{m3*t$bJsHcnl}<^P3-L#mNaTG8WnVU zHZxw)PyW%n<)n^Hm&e;<_AoeS1#uE|?31^5cW%58_c;y_W&S`8u4RH2h!S)M0Yz0x z71MKg6{n&=Vu!65&jA)kjhI-0G`8i>V&&D|ShiL+CzNw!u#o@NWd;2oMlKahks)VdD1p?otVJ*!|DmW)MAvJ9mHF+LVZA%bHV_THHB2v>C|> zE3Oy@OOO}`bgp_!b;UaFR;bn$dq`cwMX^A8)Livy!odSnxAPNlxJ&AH-*=n64+^Sr zSPnm(aOBiM*9)^b^*uiLX$<1daGrW5IHJojsuCg)Q6G1z?Agu*xZv;a4>3$_L87R>iW;3V zhIrt{ROd2W3$|65g}G{}BQ`5yJ^dDNp$w z4q@{*v-xMn2(olA10KMaI{ydtI4fAC=DnoE6YyYh zN-*OG)1}dhtB^kK3YHYMe9qqCGtsAikhe7Dv3@_9sdEZp7wOD60cX)%OzKDAYV!Mv za4i98(67P5E=d^0(z?$kjP{=EH#miCUblZ_Ws0i7Lwtu`dSJ)t=5#N zeY*b^T+lUV-XF1o>l1m{kOz@vO%k+XG1vUA0qX0jB>=U|%uH=nWb9`b69<{Ui8qX; zigb3|DR7$3U;DBTc8t82@^Auh(uE;^YQ9jsQ9)E>Yu0~&>D}y$!uQ?*s43E15{et8 zTsaI)uLkcJ_^A0Ud0U{wmerHuVq#_szUh{_VDC-uCtDV;(`kZ-UvsZ6@0G@+ww&n> z>61oJHz_Fuw*S$LPg=uac>*vL0}j$3=$2n(_}0v(z+*09dx~$~f~2jkX@+Z?L8>G0 zH5V5b;^N}CH`yJ*Y~Ju-aajQG8qz#D+>4*9r(cJsYXAI+%8y>VOW1^D^7xE^+MZP-1(UkZS+Pws$)Fyr6g zIlsF~>ljKo^BEYYRvNhFUm-lqIn?&t7EO7IV0!8p5OqNf%zB&yk(DeP&g6RL$p@rC zzv$O0!7a{s{{5qv*9g2^HZqHuMRTB7(EaX2)%pS= z-)UTc20uvbl08g(R0*dseN7XV>GDPiH@(E^3ga*iaI`B|O zvlkxkHi~2(FZ9AtgA0im_4N<4TSV=<;-8JLrx5r0KSKqOzh0D;nq}UZ9Zj*-v zp-OYkonDPr5{*q zfXFVh0c|`WDjR(54FFS7LdbM{yyLJJfP7GIve_SfZ&@A5ZT}wW6_T~|!uCY)U1R3j z2}fDnmm5N_9a&Us0SeGU4!kI#_o@>5FI)vTIOy)*aXPkVlCaYBN z&U|N#6F3=$5Oqi!ctS>6egE(}w|2n|y>$?Wf~d+r&H`aC=Cvg(?N|E>~NgYHEM+d%$!f*MK_4TlOZQ9Ji;kTR8 zMf%917TJ=z1eK8WERm!;r+Nmu(W3cW)?#aGE1345?+78d0)0F{y6yQF+-FUqwtuB* zMe3cchaQ5kyxwI34%-v5xFF-Bzmsy0^C=SHYK1W;q$<74;m0AYC1`^pPK=X<_a%#p zX?F*yGjV2g$nkZP0?iLhH?FX(nrJurDVC3~!yZP)-L9kgh&x$OPIgJtoX9U{16^M5 zcXH5_4H!}q4I1s6V}%JeM-{Q>hbUc^H9c_iD;H=r@Kx{i&(%zCgjm%+<96Y!_?#^z z*=qEvrAwhmWLoWm#xDKQcjIDru{S?1of~XMVc2$(AT)0C`#Mb~E&g>%w5+m3*@dH| z;E%MKM=SxW@477-@O?X+yDp}jB7(6FZ_FNbjQEA;?vsx5&M+#uu?Y50?WVlU#Kgqq z&>m_NWLQw>J#Nw1fl&r6V<-ZCPDcR{-%S3x1MA`m=ibkefh#aq=Y2obU$CW-kwt>f zHliKS0?s^!`#ng)ie7?fGWH`tKNZfKy`x#Ue_v}J9|f=HvE5pX+8sy8Op!_E;J}kz zugkU(lgaskE)hfefQ!yWtb~BpEbB}8Zfb!Iz+>HCd%sb%Eeaw*1IdX0X&>O=_)V%R zoqrEx8t5&oUp3$)!D+D+X>LELeP<*Ab{~=#su}Qt+GE7Q_6T{qu6!S#QeZYFj$M0q zZ||%9d|=M;ElX^oqWl(!;UtQ=mFIkU?pX;^Qmg_{9-}i)fHqQr@>Q87%#K!$lzPwm zsg2OJ($E6mVtjqltL7uSL|{hgzitOZ9V#>Ll^|sp(=|^oFOf^hv2I^qUl6t6M=$6s z_ifdLi6Xe9s=uR*C4kcb^3CZ%f0A&-h~2xJHlOtTiaP(~cYrVr(Wd1x;)VI9s|#)? z)|FvE&8v6rdLHu#vVAtFCT&u$bi;$6)=?}EPCNt=v)MK?!0605d`FpKJwr+K5n*<% zn5tlQ%*k-&gZBl<0GEKpV3M5-$zSV5LCKj zj6EGwg!+iPUqDbb3*q`9oRvWLdgPnj7$#i#F3pB);{Ff34>CeUTr?4U{&f?6qPbPz zsevecI^?`M{IH{pXCUsO+5zi4az1I2NLQulW0F1{C8ZH}ABD`!$Vki=)OS(oI^m~O zfc-y}JTSWT&7TcYzEii>D$uPEt zCNW-Tl1x7`gzqn8JDsf0Zdtjz^j)zNnf%;D_rl1!$wY4t#8AF?sG2b?IvY#hpYP>l z73UVu{lrK<l&>H*en}6DEo;xxik%^@m`rTTXj&h{BR^HK6{2oJNf`3$(zw zspJMJk_9yl<3?_@)#5K2d@1>N$gh6GweBCE9$>94#?&%n|ArVog!yPCJnuF%4#w_5 zxk1kw0ws{);rs1zZ;;_YZ#Gaa>)EA?jL9Ehv!fiQgD_-$00kT2kK@r)=k*_dk-^SS zlK9U`={qxZ&LPdALDLNwV#}gCFY`xTv(Cp>CYSQN?k8Oooow}HWK_AsqWSGH*M5hq zE4(&wWEnOa8XAHfq=YOgT*;RX6oa2Tbjb+?Mk(gHjf|i?Y{XLAQyTAa}--m{NVNnBroPz(k zVU3-19BTN%t(&)11=$f!6A(`z131-z3@OFd)||f#R>#V^iaovZEBAs+%D3sFF zdC?1@CIz3ovI|Y@G=x<6{m-UmMqtcnOATXvG!4`ERn5TJKq-qIA@}swi&1A5)|ZY4Gua_X8&Ruhe%P#c5#hFt^xo9 z!VZwYr42r0^VMy$G%7oPvLKbcuW^h)Ev2c5)yrWLgh0)Jrvl?@|@Db`gSBQ+z)0;4}4flt# zh7?!eo@|s4a*GbekL__^^M1&q(quV{07yJ`2?2i{O2ho2TpeNuF;0CP+mJW&r_|Wu*D@diTa4Sm@+OB-YHMjh>C!zh^8M>IgnW*Ido2Zt= zx>zZ2&Kt)_ducuqGuq0qz`IjzI)%pJuWtpWOAM2i){pDf82*ptLwddbKWXwE+z6o$ zkc69VxdyQmsP|f-#9m_3M6mTc+)R(Yz7mlTfeHmAYJAAg*~jlFiDe(=yGvp9*^?Oj zM61wkQ!uW>1}8SBg<@x{%x2G0UQ?9{M%XA8)=o0ybwP2AZGhmF3Nz6&fW@nRy#rT0 z*ZaqHhj!(f(oEosgEkGUQ_$*?Ct$J>&GPR-x*nrYUZVbz~u3 zS=371_j@l13@(cmbU#zG-$xu}M{$kp)btpd$+1-LI;X3p>!9TC0|NsRzl0A82Y@rn9FwiNBHd{t;NU-mR>xIJLv5s9UWD;bSXx<=C#?ysNn% zrzR|72)1vsPyK`u6F{DEWLzFeRRnE5*<8x8YhncWWFR>}0NI=!*&BAj4Oe6{s4 zZ^HdHI8=?yOlD3Ax6WUIg%?J%0$NIwI%8MJtB_?mklLx@a9du;B%!W3$@x<#B_!kt zatW}yS98TzsKeVJCCXlTx63xeTFMP$`F-6mZ;tGj%e4)o(c4j9FvOKU$4A@*@ux#Z?ASr!hMr*wu4+g&Q~NQA?Ud{(0$LIz&jxtm5RB6MQj)ou_3gP% zz0LK}scz@qWiQ3#LQ!&}`rlxQ7}XlcJ~Sp|&6#PX_z~xZ|JI1STi~((@y`#5lyD3r z=!gKjt45SPp$c_NdM8s3A8r;GDD)6zAxPcX4vU+za{ z36zA+HCY#^WVU#Z|Lu;=exWQEu=}1Cy~*5935x(8Mn?%~=2pKK|#&$qX? z;ZBMG{~Nf88{lxhi@}z@xbb>x1RWQBL+$So0@NFDSBZOmeFaYjG_JtM6nHA@`nG)i zzB9(neCfi%`!ViSDEu1p9*JdWuT(^xbALzX&5XnifPWU$u0ut1`BP!Ls~m-Ll~}BI z$O?3hdjan0DNz?CY~q{`^rwnmIBGI)y(u7&Tpp-Ts@gXGfdWag-3PH6p->=eO4+>? zeN^W!>(ANSk!?cG$6@a7yYNK?C-GXd&ApU4W-m{6dpP`?VtMXfa4tA_S^pR$9QrAQ zzjz$l@PWPD3>lE6j`{`KKBA9}6Lwy~6pR8i=IR%olC#4NcmkmCXKg!>^&Vwaioq-L z^J}-*C=z;6A6bEO0e?bBim(aZ%mJZ<;dkgYpG6zvc77-_k68K6GD2zRW)@X}V=@c! zR3A^vvaS*7^-xr8{|%vfU_fFK)R!Y|DM5Qh>b8)@$15p{!or@!BeG#ScX=k+WT{iZ zTcURiSw09>q&v7DvzI+Z!~4@5hA9?R9sxoIUNq_r0SMteHUR;h3GW(%E)%%zNX zeCQ^XZ-?j&w`b5v*77%&yGCZ?rA=0d*8h{*x&7ErK;B%M%q8ZYx}*aKZfbuN*!lcG z7zk=>CJSM>#n#r=9R33gN<%_P(ylT>|5AEPa9bk)t^sf{fvo!##2A62@8#Lr@;tW= z!VeHGUEcRU>SB|BDSAkNf*E1sum1-H*=mV`ql9=gL*zG4L6MB6s8l35G;EIDHvY_^8 z^zSsrs_2KzTBU>_a^Zg)U9K}fJ{R3VeCL~BJ^}!gO3-rFK@^o{*S25mH=MP%D3#JQ z!M^O`8o%B5F;Cc8a#X~A$OT`Sjq^sy(Kp0@hI6l7pktloUoSQN4qeeGPD+l`ymwnv zbJdaXG%?3^h@Uh8JkcNCKHnrSRJy@F3SQvDtqHw;pAb~=;C!=faf6NSptYLz*>E*N zF~EKev+f$&KeuH#p4o9v-`U}jl3s&QYWq!7+@X?uFkl)O*aZ5Fa9u@8$-DmjJD^sg zIrqIg4UoB|y@0wkH}_e00dBM=jxR!;;;ggORXutV2peJRo+EP$JVg(3dNnsh-_`je z|NmYzP|ounmpbCBfa4i@fm&`A>|Zd-=lbEIZ!HyTq-`6eZ3y4K?F3@@&PV-!zb9R5 zEfS0?Mtb~rb)&P$@AzFTH)gs3E7Rk=XBd;j5t={iKJ#uZ{)X$mLD`M!3*UJ-Di%al z#(%zcLe71$1tthrl77DB<_&}Cpw%?yoBPi^9eahDW3t@{6Qij4O#$qQIl<%zRdAUs zfd}awa%{Bw8qhJZg7KmEE}4pTj=HBhcjR{f!vY2$_=vy1mVeUaGJ#ZZIRwj^nN8)t z-q1iKUEGr=p8s{F7y6s5qM=zQ6kRNZRGD)|Ab_f0n zRD7l7Av7GI9dc5|0BC9F16EB@AzU_4U$*=C82g?|rAHRk5&1aHVCkfvjXadSVs>BY zle>8{CUCjRmcmwNxdf?R$)vGoE>w{@8jIDj@f~jpm~$Dmo(h<*-o%x{fCZd>ybZpO@i^G5H z@9QZPL4e~4^n#yv~xJ~d84(F6j71=SNo#6 z2W8a+cU&D8ldub%CAUxdg0+KVN1MaEjycmQnl#zMwl5llJ8S59CsraAV203yzq-M+ z?W_9hm9*Z`P{q?+=^xF@Qk#iN!!Nd+Q8lnf(Q3Ly=4*ow`N1B-3m>>_=DKy!nEvMEt_T=xw@cP z`tM?Hp&1^Vn5=j76UG46-r0lk4@{i~{So0h-nYj_bBb;}0EgSGOz+_sKjP+3PFenb zYQnnqauCt|*Ye;JR75w~?{9siRYgSkq3Kah){i>0SdQ&1IS9dOA3^Hr{ zKWdlm^>dH`l>x4WkCnq(E#-wcp<2Juj~gKg0ZL9Kubbk6`KT-&_v$HU*yYvbD~+@( zuB^z7k<#Y=7w|Rj1Jf0KgieSNz}xa)I70HVR$?%Au6U;FmnzMo$2o%!%kZ~(H~5$@ z0Fgtf4ILHvoIwa&Xeg;%;^~^P7P&DK&E>_CNY15#4KHJb@bleC6g|s3E$X~vTzgSh zybdv8$DmM}YW|b|hl6Yu=Q~ilonPGHi_z!rLQHQ~VzM&o{}i1|1uET8tkY%crZlhE z7wK+8FY469L^cT(>t<*YYs$|Nh9|*Oalh(3F${2&cEDb`1?n|vhmmwZ%FG35zF9dz zua;?;vYf4jf1|PmJ3F_7w6@D&R*n%8C2>7204=XLKpsT#|I3}kqF4UJhmUbynK@r> zI}JmAXGOc!7mE+srSSCO5ZunIKl*^a(7aq;fOLgg@5-#-`tQ=g4`vUxuSO z$QUL;jN^cbIWCNiE ziMwxk{g&olf!&a1!|WmZ1D1nJe7= zYmD{^)1m1VO}3CV!hHhTkh!Jtz2~Z5Kh9@zO(=|!c+A>Jcr0Zv0X((fmPmP{$5nsX zR1ZP1qiHSD4|X#7B)L(Nv*(iy(AXwH=2$ z&SavbP+$RVgy0noC{fbxxq>@qR;y@d4Of)ezlin*P;x5|TW6bXZ5JfAOh0;uI(A*A z$~~4Y7n?)e#M|(&EX4O~tb9tnyjbzsH+`5J1lz&n$DoLE6qd9WLFGnmW$dF8#4psq z)5!IjFh|~aFL-xRq@uZq#L8;m2sC z*g?Or;WqRb{jC`8^&!7;ja{cDbbK3r{YFK$nn~S0;#r^bUuq<-k!0%L7|7>ZE$*=QTXK1Ea=0xdHVqW z9B1qKZd9MoFkXN=Z0LqKh?9jvXazKYEr`*ob4=5CS?F#p`(B(BT(d!tg7*V)|d zDR;Z)tZv(8B7EjMr8`W_(@9MS*9xKg=r`2nF0Zd`R<8eOE>(H(m2zg2aUAJqPZne= zZkLqtl%bFE-P z6hK6P*vcvv>%@<-MtO4-6%`>*pX5=6s? zZBIOpV!2S7<>xTz|IpX8h<3r?XY-|?rA@GH0{ssnlfFf<oVom6Me>!C6=1qmy?gG^eQuJ;;$h+scWJNtA|?QY6<-Mi4es!H%bor-rO6Mio7h^FBOOmU~y zf_H+uf;l#Uq=k{crc75?7p~g^5T$~l3}q7h*$NGgfyC%62lS>Wj20FgOcI0k&V-xU zBDxNLmfjQsPhg(<^iWh(DQG}3ZE#@$PFIgWw#W3x39XR~@6=*y%MVh%X}#g7U>NY( zgFe*v%djWq?CK-Imchi$P z>05X`{lMHMCMNDMqXucPQgwog26R2wXA_Ztj5;mTOH^7<&#l0HQ|1v2cuwc6#g%FC zP13bl!dDixu$hKc(>cO57fr8A6(c``O5WMs1+H%y0`3d-VY2MJO$$Z+rk3vUOX^+ zpMOH;;A5RzJ{y|Z*KqY6yujG7ql$BXcc#WtS@ktxJ3+1rJGh-CX?RUl);mKXUcDLK z9eBrF(0E^`T5duN0He4|lqXsU1M0nmU_{U~ySm!#=HK=}{I)W!CVGbp&-&Ni9`T=A zn}Fyjdr@N#RbTOgEHL^(WPq9E=SPoKDb4XOm7x<8<;4DYxjk7}F7E(uI|Ou@$_BXM zeFB{1fUNwd;+2rKD-1<3R*FepurolgQO^VN040NjkXNlZ=cdXOKJzM_AhjppZK z4Ya!Y`sr21_4n?esk5g{gDgPzh3px0>#)d!m^yc!)WQA&HQJ6qHdSF^p%+?*l&vAW z$1W~BGMJMDvv^)FIk<0=E=b!C5fyrZa9{|Gw95s%F!ldOZe7x_*!AbsUs+Dm9*Wmfmdc%&vt z+Br115Dbc8dIR^Z>?7CWeTet`a-Z_k3pvIVDxC&09abs|m#AwPIa*e>-)@`YeWFW3 zpe>a_(FXDeC{Z%3nsyg7fJa6g2i`DCd7=GdW@c76+o1GUj25bbE;Z;S2s4m_2druV z{jA|w&Z_T4LHpiVV&W#jysge4_j#8aHjmn4#7tDBkYctdXgMCB5OhhM?Es~1%!EQP%>*m-d4z69?d|* z$-Zy#E`(;T9_p3gQ6F{mYqu~Tz%eJ){dN}(+Kor26jymQRvv;U2#L$a;PXx|N*?QT z1f=48Gir$tP#U>eM~GO2;F^0}$*s8os=`K$_Q@i~%)vpS*Uh;G91?Wm1nhrf?mU8eIG>|3t^~TK2Me%CL+Rgd9^Xkc&(EOK8qtfoGsH}C zND08#&^JU0FdkC>^2MBk^pxD?vDoaB!?k3$;Hp;cwbzRe^UNm@?asr>JV@# z>O~TKASNMdDF>mV0HlXN=AMxG5Kn}MXypfUYw4AEA*6ZWHx1tU$MpA!cTus`mc)p< z>Vm-R-|aOdlHx9tXmV(tggifxITGi;hlh2x{e7lre^P56^}F)wVt}^{Sd5=C`Q3ke;bkTrzd1Mr$j$?O z=)2uNebmn973?8vn8zjCVakck^#2n5%H~1;;NchZy2>^Fk}{O8q*>=<+uTM=6#P*v z9;)E*kVJ3b)3*Z;MSHs#LlV$+@>;KL>g2xTFOn)Tf*KsCul2yWK?L?1zDnL^AUsQ# z68V3-V*bvbC0e0X7&-g@DN6V1-)?`BMFOIKQ|BQn6_?Nt5$P}};bnvZW#KBG-XTaA z(2vBny6uS}sNL!`&Y-jNZ4zBHK>t=ycAL1Dv9U2kHQN2hQZ2MsK7+1K=upJ9YM?mR z_`ws+UT*7s3%Zd<*c+D#Xlj_5n5ypOm&uT_@c$_g>*ad`f*xYim8q%UFfyN()DiI< z;>r1sphA0Vt8w6^ec4^CX!L6iU?Tk>2ZxH>c?C8+J&vB_2l{LJ7jFaz6)vQ+2W1q{ z13CCi>aem_s&p7Tn;s~nFoVY7UG@N!`i_ozdJAvjBi?Nyszu-kV!&J0^&b?;_kx?U z<6(L}Z2@5c+gUfbL0DWLxA=)YPHL2 zi_iC7uDIxmR#NJdwk(xFjAz+nR4l+uKX$!Mxm*R|)vwq4Z+d&d^O~2QUQ*f1xSRDE z8(y)*rRb^~L`73DZ!4w+?k!2cViWBZj;)OhM+K~2WdnE<+JQj34``(`Gxs8G^-%Ri zeoV;ag(;wm+BtlV-XBEPhcg~y)L3@%{dx<=3Z1mh+z?8@w>P%8pK?b)Y?j-hC?8C# ztzFD_>>k7^L-|pF%af|_!F!y!_qEg;UK~oAq@DkiOm;!-e`^}$ZvCv|qM}oB&NA6~ zaC9U9TE|XA?KqpDF<+G+^gixbN$g|n(1w_vV~;o62(A)U9eg^ck|)<9GY7uoUQ zp)&51`|kC5&7bhg@Ea0_r@C>tI60Nb-txdlJ%Xl2oJCPqcU;AD@UDLjZYOyclk*XD zU&2g7um zfccD8IMo!b8AO)z*(1&&ou$Q!3%F$;A3=Z%K|fr{Q}L{LdUOr=uW(9VW2`TO?OJ~5 z;p=Q`zX%M$&gpTWLDX?!D161>lanA`dVw`dwc*uL*eBqp4yOjC_HHb}$LO(iL9DLY z;nf1yShJv~#n1o9^b6S(mgz$x!*ypA*$eGa4If|^z)Z8B^m$(C={}(-i8o+S6UM;% z1P2)zZ$v=u{9hCA+#&L{lIY?>9KPQ3c?B{Al zb}N=-DfArREd9_VYO-V`F`__A>@(0Rb&s`iAqhTvi zXj;x6ja-8=R1>I+=`SU`$y6Eqb`m!z?+G2mAS&Xkzv9U}u+-9JV@mF+OB(p7W$33v zkN0*6{FC15+3M&+i>MV4-WeMNMz0TzUwLfZbaPEu0dZIKzgynlRj+Ph`^Eb!jbsmN zQCQ80?UxBaO#1zqDh76#>y( z=m}^T3_lA5v(HyS092AbnS|25)@)T3AZkd^w?1L6|R?aDn3gV@E zKxzfBYEkN}8gHG7hWc8AXT4L-2{?ueklZ#&RJ_WJL4(0;i+67*K7+3Q0^FO%doL~B zfoeCfuC*aIO`EU=%K!|rHD~DuP%V_5tv}}U)V)rq(FDqKSm$YC;#w;ay%ZS%Be=+7 z#8EBJerw2SW+)+?LlQ@(=g-0Vb%c_!}`51QYev7+T)b~|TBtUK3@07MkyyGRn z>?Qu@+nO($a?GA`waB^W)`*tart0#&SOWUR{L$iZO!ZFpi>R4sJfT$1WM}i@4nf2J3Y=o|YmUZgSh35L>q-T5c zjf~$aBQ)WZ7l;0mc8i}B2PI*qnThG>GpSWO0Z*F)|H(?w_Y#EH@Evhx8BK<`xhB z0v-$rYgq4@=;*c)82&3L6cl<_fD-x-1_(iY0m~jlHwK`oHi!np0^Z;yNMKRnNuVl- zZAyOjEM%uL?HAofKE0BumM z8+rdEHZ}?Jr5PzF`Ig3B@pv>1fmE$D`V`>u9oyr^7>Qpzc+zVi?{pzp>hkz!p5Nfo z$yF614s;4GF0Qz(_W_>Z6VSkX^;PzVM@N!0%T^cn?56TGCfaG1-0%YieA_Fx5y)Sgv4?qK-uwW%SqUNWfPkJaH&>sq}xaaskhJE|th&1R3_HHPz# zIH6c#RaD&@e|fj^f+DffohR&5-`7?_4uDFoh9Ki;p_Nwq1fiX}rtpyxEr$CP-y)9Z z%%;ABf;c<;@K)0{26QfYWsUqMb#X#8-J0DNM*W&03t6>J4}F^mZRQ>0*e97vt<{p^ zhM4*Xp?Y+5ltte@40A9NkKTJA$*|*?G|}4hUJGXz-V`V@*FgP)sp};S6F?ZkyMWry zpiDs4cFN~_{*-5mS*Sjut07=oza%h0oC?&Puh2E7%;gzmu+_hEbkZ}t5y_q$qJ-!(BL zB*Q{HBa<8onXFIVfyo%sP3hgx!ex)7@u{aidgs6n1O=e3vLC$@F=WU%Y` zQ)BPK4j0q*&!0)iYDN)*9E&FF=d2ropiBl>N57rQJjJaixzyJ!+zfZ58%h7udcFW} zd1M!`w~~JVT~#`1Y?^%4JTJipqmn_9jK9lsYKk=w9of9}WR@|rbZe6k()X}zk1$Eg zq5g4tNI^*naQD>^ZdHL8yn&<3jt8{(+}TKLSI3<$DB5U@L(1)qH+W*GV>HWG3;6OgaXH1 z*%m;WT=xRgx+6M>Fm3>oDh?P`C=d<6D2+ zp1=5vJ-`;X3Tru|L-2@+)jlesn}e`OwHf6g0?78GC+V8Eo8~LeYp8RezmWlA2ej(t z5~z$K)SXE)ccX;SV>CFxnGsdX=>C=TeDU64ZvHjpNP8QJ$@I30guBOk9cMP`i+j`k zm%pG{>gf0^V{cVz$&jaVXU88nOTezvI)CvyBn|Hfd~|s1rNXn|3a9l|!NVhhXRL5J zZ3|`T&-;+s)qG}~?er;((oFEgzrmjyC8EAp>IUsDtf850u*f3S&(m0#Mwt8mgNq%m zQdH*j#OQ!JJfriI{p(Tg;$7He(#E?UMA&H?-8TbZ6BJUZhaaB8WuvdixumBV4a20O zSIvs0QAN~XvlCPHsG};SLyQmu>r9aKRc{J{wRe}2DbxP9HGAEy>e#JM`Ir*taN??8 zdir#|t@a|AB?}A;d@=dy3!Q{^4|nq|B)4E?s|zGvouk7TH`~jJ7f)G-vE7ys7YDv6 z0TB@cqkEm7>x&h(wtaNA;u5zzN>VJl-1D7y4cUymHsJiC1TJ6*15GD9@;0ztP2!42 z6QY*+!Ndd8g*M!`1j4z?FgKRhrY=$##%%7eVdSnj|wl8(YzhOJ=s zd~5hf_;YK8JC7TN=-hVV7Q9c{Cu(++9P33<&1S4Q-Ll|j7ZL4kXc%j*-~~F%$ZXRgBaoiudIQWriw)qcRYk~) za*Z*D>NDb^K<4X#!g6-iKE>q`_n|+uF7FqI%60$%Tg>FtW+exm6sCkegd&a6kY=Ts zX`v@{#jCsCMF;u5k~o;C&cUO-^z!iy(rmA@oyNGl$K*Qc(z4$_o8FE^l!tz#LufN1 zjXsK?XP@)g7uDmSyF3#NE0np;A#Gn`YI`3EBU=p-ya$H%B&UZ#B!)K8KciWuyO;C@ ziwX-_9eEyPf7aoKNAouhay4+PIzUti1{&i#JS4V8b$74C?Z09eJ%)Kb;?^`w2dz#| zOFut!?a&k$#;UQWpN*Kl?{XK^L;>In&f?blKZ}YqD9@qIN;!+44H(+KCB*ZTYou`4 z_0OKe;JnY{f~!p_b04Cbe`oKevA3N<2#`E~mWGkeCVR!M(mOf#{sSgX{{u)s%J{3d z{(DH$W~EL%GiS)nY1`w+d+XMu`|V;t;AlttUWfhnk37yQc1s*3P1|1YJNr?9D5LiC zRtxse*eTVDbiX0vhqq@4$X)ICdh~1h|Ll-bj&9Zd@|psO2sXH3 zJVos< zP6KiZ&LFE{_NBPWEqfduFxY~g6D3I}YgDik5*;JW*Wt{jK9RK)~C(>JD zR&!~^fMG$twEpHBDqd^nZzOZ|&cnwX+}yC-h;E5fR+i~MzyGo_GdnQo*4gp?M(uIB zeSq_1P+lDTgT78+$YLzmFJ)&ykOJ`T)EDIt5!U!_c07@2p{z@1MA+{2Y z6H5FvWlZjQi$l` zDfip3WyJBK7G@fzZrDL_ehRZ6=-Ta?z-$k}&LZC5KS1&Y)HcPvGVx4oOCdSKZ6hR5j)qTtX<~{mq$jRDuT5h(6fDfCm-At=^YQVbCYFf9pF?;QeU^g++AL& zk_Fv7i450-upv;T#?D`29+ravZ95+VmrV1LZbilr_Jy7ZA#{wr5J$~JPPGn>-%N+@ zSfJCfW6lnlF3+D)pRQwjVkwEgyIys8Zza+aeRT;E0Ah6f_8Pz&Edsj2t z2n6v*7H82YP*?uv&JO4@$mDS*0^uIgbO5Y-z~#vwM%Zx;8S(MQm3{GpSe0(fE#|?Z zivF*?2{f%;k^NFmuz42x#HOYuC;~rT%3qz@!vfNgW|W@02+?j4c$eeN;a($6T(U^O zgSjs4PL-Y(Mjh{P6icZLdiqEx+!r*=k6ZN$?;Iiodl4S-3`2mW=sQ-k|Jk~D8k6c0 zbA33{B1`*X1m5*`=`%e;x4zvH%Pv8}`!w``BlFoJpbY^``0qza1MTcs<-eg;7iga>N{@;V_x3XiYbr|7^|%=xDzPE zNoN60Y<|A;SxD!<_cIM9Q%PRlos7(B^YMXPh5dF`2W)#yR*g?Pt4G{NO+7VZ&?13X za4I@l{?m=>u6jBC#A0E^$2?|i)SqMcVWJstIV6c(|o>+Cz*dSS)Xtvwi!3mdfdczme= zbA;tro2St%cLD?&tW+chq^EK--6%D{TAoD8>99MT`^xIvSmVp&j z!%^9BW0ebC5;$KwwY6NS0N*V<`xz>YswT`V!f@*4Z9!{*?|VTa0aqeqH+b}ACjX%( zFl9%qMw)jxAU^|@qeQ7_lvOf6Sde}M+bk8_FZi3^DF17Pr3JdDn!tQInX`};pM&Zc zCT^f}VLwwTqv%9JI%9FuA3(5A-QWa}iG%H)EB?Hm?N!=LQ*^c~^N(MtpG8JU(EMEL z%$ieYuyJrAK(A+6rx!C(!!p6JiHft}tU%QUYp$`2uzGAZ`xa2u<1^_iR zS5#EQ_H`#{IfTb}%3Nrbx`>{EG7M(mQGAX4x-UjP=!L%^0Ux~d7YqGYYT;=9NU}=4 zu>>VEJ)AQ9t0Y|)-{R+&i>HAQ5VOI}s#9{mlF@Vz)>f#=?M{^^R0XvF5*?rB2XT_b z&#M*}U|O0%E^iM^CmwELF?Leat^Y=T-tYU@1S8L>P=`B@_!Y3n5vOaoZdRfm`XfV- zIYWL~00f;0tlE&}f%BR#?n;qJB%jGFc=5C58d;T2_NtZ22D}BFW6$`SFiKYk^!DM+ z0kzYAc{U-uJUn>E@nxkC7I;-GK`Pxn(@cOTq~X6?YN;e5>ApzTDJ3qRof%dW{@m)+ z2CSC)94?AbCl?y;SKlW$pvE3UJaYrri)=~<(JojG~M?a?}VCHg$YT%}TvTxVe zfmwf&h{ZpQfD2l`_oq5QUh+qMyXEOv;*ph=HMhM2sjqKG;E2ZV65kJBQcS6oxqx}D zMTyUREJ~%sKbLwr4|;7#*JPMul%o^ZtDXzbt5rfRpFCPx?%`6639cragT&qml523kT#wF7KYv2*N;STWBfi zUS0Wwr|!m&ZAlX@(Bq)&&YAC;AK1t_ao3AV^)CEyj>kcJi((b(?Kf`lx&uRVjj9 zfn=ClMt6HL8=+3}m^>*5I1KPXF^}tOYL0+lMA=MjoeKMx8QBZtS*#*UBtiX>LfZDq zc5bs}XPHJCttRE_ock3wxLjMxBSk&GN(m0@Y8)a}=-;}RJ7lM&z!hV}U?kXRn<7Sp zzt$T>p_HWl?eJ`(~2Cbx3Z0-#PH@Ljh>QNYz zA=)OG47PwZsHpMqe-OgyqiluU{R}Em$qbgelfEwmlq7B45fz;-8z6>^hAS6kWsF{J zy)ytBYXfwOWO9BgMkiI92%9r&7*CBq7ah1U@s?xD>vYw?tw|*{qU29mf6V7+fXG?$ z`+uQU8u6Vi;S5;dzZ-eI1pT05RDXTFcD|^Rrze+a$12QlTgsyP)A_e03YRps{dblj z)(0?Z4*-gxl@;7m|HD#mTssX2D6LHpy7B{6f=dXV1b_sN4Gd`cK$ZJ$kQr+OlL3EV zAZP~0TkuLf<@3@%!r+Y~qf>&j084uC{{cE)%bTxswmboAwydzB1Z-OLLvkTMGBF_m zmx#i+%X2#NT})Q}ZzWHPlam6~z(dAh9hE zbW~f5vEw#>gB)7U%*vX2uin1Cwbc&0cwl}!I}cGIek+T@-$Min_wL9|*QZ4?(x{ke zh-_!-AHq8u^C)WX@l+Z1xvUF|H@bL)l2HS1+q?gX@}Lv=4!1lsKx5_g$erm&C*aW( z$Loe!-Mz#O!V6*XYF7?~#Bk5k0Af`FgP`J`-5zM&6H{R029B zBs5-%QEI|vPIsBik9g=N%0j4or$hDqpLlXReEBn>kamN1kJmArHcmF7D8qe8+VXE@ zo{chQCI#^yF%~4L{PUl?yP6RgZidg3FR|$FaQVN-MdUg;8VGuP@G~@=1~32=0lU_-s>?EXVshPL~V~Jxz zNO}Um9ay)Rn3#B0ij$HE9kEO8PVezo+mZV1O#xFg*~n|rwgEngScb0>vua~BVq3q!cqU0a)utc2;a**8RyW#x5R+9sIS7gK*wF1V5sRzKzQ zzkBtS_kLHhL#OC0nh&iuPgd)_4ax=;_zG*-FU8Gt@;tL}--!M4*+EvHKW^G2ruN&; zwXdo=gYr~v!Uu{;tv?kr|NOUuTY}9B2pqn&0%8F8rmCtc3VYWt-sY;x<4aBLhe;mN zSNP1DlAFsJu982JAEpmK>BPNpDH(lI4hJJv{N0U6S@X6HG0>VtMqZQ3pGKv9NYnIz zS2T~Jo>7}7PT(ehG>ek;GJmCg66P05i}C}yq^N5KS?}v4o{%djy(sxyc27$S340V} zY~ai)g#K-i@i_O^8p6IKjlamNHV6^YrLXr_R~6SkxE#5zD_ozE3Ldz;L0ilLw>f%@ z6TDJk@o?y1jgxeMlYVOYOE1&34t(jWt3MM7ys?;(5DoGK3JT0xF7z1oh!R*$jLI{6 z@0h)VKm7vFLQPzW%L|R+Wx-I1MN<2|KyG>EF>zgQ2ho!_?AHSiQ@{6gqYtJ*!j$Ub zI@EZukeOcbhl{?~sE4ts%7_xC)>S%ra`ccqnyNJk^ zVIl7I@#8hRROP3ssq^FQn_o+Uk1OLa62K<;u#lp>z_vvDz*W`SQh$#iJ;@w{=ns~S zp3Fc-oS>qfXLfzOa~nFyMfb?a$fHwfv^}VTpcL>62>5$+B{)+8P$<LobyBrUa>!({4n3+5FgFm8}Z>YgFl0x{3ss!M|p_(|!Ar zM^7DU_1Nx1ou{CgA@m7D+aE0{ZziOgOkt=v$xSERZd0q3dwhEco}e4JD?|1`ONz?9 za;GW?4Xk+!B4_&frNO~X$h4fIiWIG-p$p0yH3kYyP@+#sJKP7g+s(?((T>~$?Uf*e+nw~%xn>{57$WdW?yFFZJ3Ks`*8Vqg4io^_>e!)^$Z2V8T z$gl`27S!Lzz=oa>D0l+c#v;{x3O^C{xeVK{Usplsk_Ut9cRY>f(a_H6TmA!6RDHF! zt}Y2aK9oHULS`$m%^VeJDhAh9Cf%8eF=qZI+Y!3de`4|qNMcYwJ2^OTP(6To4D$CY zE9L1Z$oLjPI1ytPeH7mPQ9GLl-3T?)N_Y!!(>}EI)a`NM>4u?b`zuO!?ku+L4@`2) z5qvn%eo92DYX25mT?#q*HBP?EgKOFkDU|ei?LYyYrw-klju~I8QIz1v?~T{khN2CP z1}wgT1X!_3brU1+M-(?%7b*Yus~`4F^6dZjQdnG^N+$rgin;lG-yu#;PJ{c!SzS<2 zms)^P%rwZ@J|`$OF(zzRwK_geL_W*WIlN zq*)Fj7!!j?1)wE=O4c1vJbiW$UBlq{QkILzyCo&{3KH6O=UU2`#;0E;+NnrAeV%}M z>JMq&LPA1-?E%W?iGa38c57a~bX#R<40 zkj)6%@>hc&&^kaAD?y{H-}#a2@sF%Dtu`vc?pPNLrIxqre!k;ZkGcP%+@enHUjRD< z0wt`%^NS1rXTo4|1_tED259t4D^t)|K^S;+VG$S)<>A+uB=H`n~Am-rHeE6WcEUWUwr(VI7rj87&fE}So zCXoIOAWLh%6lGf;ejoDroz=rI1{?ypcV9stCJUE`y6B+;~Nw`Hk5 z8|qhPeO~HB^yoChOdAS9ihEo}fGfK4DyQE2^y}^yOYoD8&dju{CQ?}8F%suPrlG7WV{lKp zx|SRIy~lkcm}E{6pa!qhViZ6i(Cg9m_5ujB_oZD!+v9ag*05;6h0jj~=<@x}OT|#m z($Gb^k~pyXmY$IMMnK{qQhxd^`f!!w%R(U3?hf2x9I&WJwih{~`Slk#t!KDg;^KY) z90z+P#@K=JAjS@}W(T5OP#LpRlOT~c*Jh4lWgpjec2uKWeGawnZTcMdEo(pvA1?<2IYrrGHc>Q9An0bcXtTX&8#55`ZAN?RIayfB+}u zZ#LDeHK=!&@vVI?)Ls%IB0qnB5Mq~jqTe%*2la)cRjQ0Ky4d5}1@$KN0f0#?L1V%! z=!nIz`S0v({JBUhi9DpZ-3tZ4BltP{>rL}Fa4=2ZYghfoqq;)`jwB;kC0B!T9F8hR zrLTY{9ItB)$bs2&z}`EdH-!TQm0~PHtaXHfZW&))Da7BK~BCF zQ0#9%9LNRQ!Ijt09{3-4XF*P2Hj%mPgIC1P#x1G3S{zHGGuV3xE1XIuytZI{dG#oU zn(~zj>Y<_eZ$1*g1Uw2A(=Ff$5)M*k8P&&*av_Dow2)Dp*z^WOx^{MUP>%ryOY?x| z5Bt91^3P3chArivsbRu;!E=Ap{8JTcL`EXmh3|LmLNnOuXDUM$ z_vZhava&u8iX90d2MCW|fA z0RXx*`pJq8fl~MJjJ;=2^fx>D6kaI=M5uvZ=fR-=`V7FSGZ3}G$jq#B?SuTfn_JGp zi(uXIG8_TPi(-UQ3OLj6NK0GjFzdKJJv`UKUW-J%7XK;MVkeIhK|r!TT!Mf{0%|Zl z5^idRjkFAY6JishambR%g%lrY$ScpnC?oR0Sh;{J0JgbxcX#U)%NMmf{xnn{3#U0G zEyKrpm#XiZ_H8XmxNjQ_%aDBtqTQcAutYP>UagjWmgOj!0ITmN63IYDMh0$h3%qy? z@MJ=7j zw?Y`oy0KD{{NLwg&)$5|HD{9iK-*3s4E_O#5aj{!6B&GI8kurp&jD?gk6)8g{vrLJIh%$=|@4EH8@8|mme4pR?JUI8c?(5pu-fOS5He6uV z`Ry<0fE8S?YMDyKe!GUv;^L36y3kzw!)dR?ZXxf83AXhe{BoN~GnP1(vmpi48S|0P zO?Nqiaff~drbl}~U4Z}Ca}VX+0CostnC=fBwqo$-3nN9X*Uwql8Rvzt&QT0bzxbtX zzITU(kqFFD4*h9AGIew5sTB5CE|I!gFekh@NK7Vquc!nC1o_DNYMSkEk|sX>^Te1 zGPo5cN-Gq3><|xVD<1`!IK~3F4~{^4`Oitc?3Hi*Rf^k=it;@q)#>%6g^JPzuO%Rj4TKrJ<@}k!aNgApU4SUro>Cd@yj5>7+mZR}gCB)4FoDlUVhMypN`1E)9 za~kW++_xo*v-DT9mi0x-^zU%S05v1NyfR?8Ci=ORJZDg8G51`tRQ$~vP{FZ5FUtQ1) z=i%x11w8%VzJYKl;adUmsyA(5k4?C!Yu9M-h)BvBL3h7v=*V?fS2Iv75fZJFYF(O5 zO;koTm;|{~<;P5gvxD_%*lk+WYYsxEpxHyJELREGNK@1FU~VTW1WY?i-Fp>glugGS z`I?Sv>g6#yzHzVOQ)9i%&C3e`BedcV?1zg=a-Vo)Q0@tyFF!4_b7$Ov!Af13jEac8 zKAV^+=hv*hs0;R@U8GNxQv22|%F4=adf-s$?Hbm7x;s8%eLI8F?C7nED!fAIZiLBL zQP}H#45Cwg98*RYFWNc&yk;5Z%oSJi>aUC`(|Pxr!Bv#O^Os`fcpX|f;LmsB>;fgS z!h2D8Ymw-0U!q6%z`21A?3qd}L~BYT)u>gTId2vQIwCdGqYw1x*nh z9OQv*FS+!Rf)Hcyvu$6#l%Yj{)^Yk7x3;jqnf7ca*sRW^`DdmIQ1{vuqQLPEq0kGrA&a*xo@2ODfkjXxhT(kVG67$$9{ zyDy(VrJe0y+bI=i~MkQL$)5QrYVa62c`5Qzzl#gUQhj^1b%Kw>fZY1i+D zx3pjqtX5%sF4pSkrgE2KL`ncP7bhoP*nL$T1)#iYKtXVQnPnJJ`3lP|PbII6ht$%V zRy@IF5qv4wzV}?v-vUkf|4jtow<(a^lH|EhV)WmWbly%6qnh4Uw5tSv8^oei*AApN zi12yTy?GvIL~i|T5G}T28F^b!6tXrK2(`+>dKI^n32GPijArI}ad=z{zScz@beNaA z=la#FlCVf-T8GpyecON`lD=wdxqbWgH4-Cp++AH!4Q#02m9hjsS`n-5m{L#|rJ~2- zcmtPL%_%3RfD3~vANi!-gPS;l+~U<4P;l}e3$W$gbqu|kPqUWCM5@Sc$5~`hc@?r# z)>oXnTBn=LJ89{S&gCtjV}b>>sis*UAe6eQh(iO%jYl!>8NOKqExuLNGOhxZUAsz3 zN(iH&Yu_2gy`bEmLDs&Ohe!MGJ`VC~<~p!($1v;f`K@bvplMu$U)}t|0(v3@P25@x z637+37sMHSEK^FgOZ#6*qhZ4eI=%J=l)617Tq#tVsN+Dxq3z0$dJ0kb(2IajR z3dF(AHR^9#*YlvNnBWlp`0$2b`en~6ulmN8&9_&EyW3WJfh0=Y^N;kdAhagfif}6neT*dc6Fw8{vvXx%GH4^?&IUU72Bxa(KpIk zy`f!Jfe<+KR^>YHr8MX@dPj*iqhDgr_3-cqi424R(XY?E!K0$cikOs;juEp#IvlT@ z>i{p{H|ET8<*c!;z`7|qFx+o7%zwC-_lRP>7=KID-|2`K&R^78#|5UgIthntk;|#{ z(o>MgbL^1AbR^iKV(iadQTnHAuVOlB@UHI1$CVGRv$3ouY~qplfR!gzj^?JXb+T>R2qRi4P7b?j?#@=@Gz z>dONgE5?fH-d>~!Zzu2gfS}-gaHI5?MTHas?^+$5YP5YEuJL`-L~n%o_>Hf$e?x#4-w(=JSX}SD(z|l|YAGBq;rOTZMQ>Y|HaUJJ>6b z{eb8iyzB{^2S>-8vtymj3Qj?}nLV3gHE_D#@m7C^6NAX@$R#xRUb16mP2Za9=$vZA zgN2#zXi`Rp64>KFA5gNJMPZaOA_t(mtG4V#l8>?fEp%_EYnDck4>V+bef65GJ9h5$ z@+tQ9_D1cXG9$ayxK2Kl9K{;sO~}disv{l!^5sk2S^0(MvMx{s!x#~g9wioAgu}+T z3mD9T>>N`*kq0}8v1vsXK|Bl*(q6*_#31`|s&wnK6Zbvl3cT+u&wm?j59N?Fdm^Z? zA9q~J8Hoo0?wg=zTYHN3tM0)Q`n^wagKJ+{fkANdh74NWU}#qzuJxy3vi!?)1mHl$ zZS_9zx+RhW4%(N=2a0~rS#aF z3TS5tNFwGfRBs|=%>f_|A;RD^=JO$^U_==8k#F_F$EuLP1M#TsLWwvT0T8V5a0&?&@gx{w+&)c_e ze>~wKe29Xx##bp;oMU$+#s1~nbn*UGY`Uxs;}r=PXD4Q6W~bdk#RKG=+@vV}`6o)f zNCTQ42h3>bI~3kb`XOH9y|_9BbdJN)JPt7gqTLq5V=M*=ntj*67}vV^CxrK8Ko-V0 z%cjE2M-3x{W9?VmT)A@o@WiB3&94124JleYL&k>ao_39@?S4oa153;O0%97uU2m`^ zPQ=+JfqQ$d`(=LYmR$r_=r^B`kYT9R=3nKoa2mgTe}lwnb>0_&nqX7L10{Z##iO@F zWHo&@3b>yi^ws~hg6m*JLqj@>JAH9mPftF+=+IDs!m?7;6BZ40p6^~G!q#4xFQyBD zIS;_bMbM;-d&-EmF2?Mo(nxq1E``NkHdZ`S-0N-dBH%L;2&vpSz9F}GLWJT3`v-zg+ZGrlkj&5P8jcUD;qhp*y*cP zR~Dzlm@y&_y7fkkfD+Wd$pa)@u}4rNkAVo&% z0F2;-YiX9k0?qF^+WW9YF*pDFSl+-qYfpI+n%*BfI^t5NTN%mrTeR-Uo4Zbu{!^pk_d$I#&~UT<^`YIN_B{5^f71Yi$qKbTxV9#aL{sMTpVjr zyZ5 zBP7z~bLkNs)|L=XzAt>C#XlYjw-W&GxL8WUm+G!8-oTQic+Q)1JKP!1O1Ike$T!;945}n9yTxM^ggA7>tJnhd(i{`3i zJmeGc`H7bb!OHK3`;~~*(wCz)?;5}C`*BzA>Fa}wfa_T>>v?W2eUs2tV8Ue+RRRr6 zfQ7i3Gyih?@!kvF7@Bc|hmB-iAGpQtS(*Uxj1z8W9HEGEO=? zPhd_^!1NTPeyE6*cJAEiA1_pF`ku_q%}ofa7X^^JZ`kmwudfkcKyWba-*=o$Z=$ag zzi+|!rBiU>6&zB`-FlIJnO|OCeD=(1pBZ}#V$O&1`A%AABUlJh(a>jT(9cgT(21xK zC?3m&VB@iI<2gDzIJ4O4JavOnY2IkeKE?KjWV#^iPirVf~XlONIWeFgzrOqdKxuD{}!dwUE6j6T?$w} z*B~rJgxYK&KXX%MQn?clTYRISxZ0p}dEqB|-axW-Smqc^1e{76U^LAKxkTtDV_o3*9bQjoZ19~S0F7uAJHa#&)yq)S5BuGN= z*A)6smI`}u2WiON#f2iCS2w4pG2;Q8ia)&_9`vjqc!M=5#A)9fH5QzS zb`*vJZQLB#I*;52Y;3ZzO)lQXy0{RRy-nte6&Fb^08a0SN{8}ebj@3yU)4( zXpFwn`m9s0Je;i|jb@RU5Jjer(cgZhU8`b6_3xU9^}Bc|<*lu2UxJrl=ec{4Uw&BfL9HJi9^T;y;vs#U zt@|s{^Tf@G(&5904~0`~EpB=*WiM&q0yy*OtOkeNzrazWM3hct^GJF#yy+ibDWk*X z`miVr0I7)Y3W}Mm53;9+z~7YMUQ<0VUaHcr7eI7A*QB?X`Mx-B2=^7$e+Q}(Jrvu! z`gYXWz9ZItJM)s?uiJi__8p&zYG84MTr#;D;D{zqyJ}UGVW_NpA}S^<0zp_~BDPn@i$T z3yi%L8(l4MIJ-?vVa1pBmeOIoRt(f$=$Gyd_F{C8_@n zM;sM0RQruBXTAk@{~j{(cj?Ujon`xW>oEOt*m+)Q>r-?}Rgpr!Vf2WMCn5Kp)c@`& zjiq6bvymnNR5dpXiysaiIhf z5B%?bLXc-UHvTjBb*lXOwQC(f7gRw$|#gn)8qP9D8XLkN+_RW0&if7957MB z^%RkzqZG^b-^)#?C3F^&mX#werYmZCv`?1=e}(SO$_^;hqvT!4TWk6UN&VEy^4FCF zdD|Q3(@hb9Rx@qbjgV!8@_;v!Qt&qc@H|Gx z#=d~?vKn3(R>MngySfi6JG*j19TZZO??+5IUAo%aw>cMZP}d0N>`6|9c1@p5?(%OCFq7#q+!GL?9SNT;*kBt{et*k$HfrkVIisF9M{KdhV)f~DWT z2Q8d?M&%F>84^U&(|P`j6FQxS?*qmz>_1FM-P>YxwXq=odnMGR zjnK5iqvFL2Pi)^-jfG1NM(&?j@_-^o*S5fusn{!am$!Qe#mH&(8R1wC{X%>~LKl4A zo^vazub;TI%Om9qb2t77`m2D50p}Dv(vN7F%+Fa9d?|eOj5z7Pdh!BmL0C>`@r+`) z=j?#1MtQhoDV_@|DGY0GhzVprI4H_QIgDdT+b2Xf4$`x?udmiRn1gE_y7XK1tYL0( zPKZaDSe?n9y_b2r&`5<*fnDdb;eJz>zBjaej6~cfuaMTrLgdZMdk4fnHLvl2i0#LZ zT^p*e)9~>)+k4v7_|0c0RO%Mzot(x&f<2Dy~~!Z>*)$WhkuJU$;( zG%G*I+`YjRmv#?O^PChIN#&{0lSSl&u^@P^z)L4Bb$ljRIo&15M+oLcuzyO2Up!|! z`vDLtbpZzh=4+;YTTFHNZ$)}axE^%JVPUcfb?EscKTUo_t7iUeNlww21FT)VqILPW z7$Dxolfh9OCtTxD3{~%i;&>_DIx)M%*D0FGCS2@JJ5|l|QSRtc;b@{J+$<)RXT2tR z8(E3yN|J{)8N;F8Oi*;7&$d9KhgRo^=F(2yr@w+62d~#&X%0VZ&8ksBi+TstcEAA@;4v#ummv^ap@2PS4ED zOiWX zN|8f&h&^Ua;h$iT_eZM_4#7~h?bx-e(yOGn7y|^kTtv!lUj9|xUjP=J>B=TsnpseN z9dTe#(O?vflbc)4q4ZBU2hU9(mtU*k%fvQc-G7?J8RBvMG&P~gQBh#NlF8JEm_T?$ zLLYSGC_4Yxc5oAm}A`SQNr*xnPouqt`2%DI99oF-@q+}P1+DQ>!C)tl`g@ECbV0MM- z_B!A8(-?CG^tG?xMhge)9Ms+om6K0-RaYDW7wysrW(}-yRkw#1Z)6 zrhUqd0XdT>dZ2dQ^QV`_Cwx-KVOVG7;S2e#N_)V1iq=J5ApP%=NAriA{fr)Hvlet* zLi6vgebSngVx|bufF=Q3hu#1hwsDQ{%fy2a-Cd5RkTGQk3R?X=Sb7X=%Q~n0uthYqu!nzjy{Px%V;AM~b`)-o{$_y* zgug*hyLZBcldLJT8fiX?7?Ms(YTW-)KlkTi24%yxJ->gQz1EY@+VxE5xQ_IVTiaAC$zNmS?K%w*jYK>mW-1#eL>cvdfrQ4LyU`U4z}&* zq3t3FmBBfjYuDPy#a&*WxBZ(uV*GKwK%94TL3&9+bfxbh@umJP4aYO zQ7pHvei&oRn%A%r*45PovC8|MW=Yc2&)nGg1+Sv`#NFK|4sf&Y@SL4a_E+tFp~sMy ztq#KI>KD=%F7XAc$kuiU`}zBubt?-~eJOz#HCXTN{&G;p;Lp#V$ISDLUiY+JICV$w z|Lcber!mjH$=?okr5y#$pMg(t$$pOt3!;P4LlYujtjlHFw_N7_L0sBKI~Dvm?Ts3Q z7K>Ixmsc^;3bw!qZBTh!x7cyfrRjvS885!tgUUk+mwIhxCCmy5bB^aNfix4i3G4oy z-MnFGB(y|>V<_#o(#2qICr^2AU+;K}#PN}VqqLk>(4b;?h6eX$@VtnWN7$P7*jFsM zub0>K&(HOR8p|VcOy*gE)jvzPs&4uQXfh?<6D<9>;ldLcqzIrZBs{XCu0>6uM1V%* z;Wupypv5`4xGW4r8#*3;WxzG=ksT|YLT{0{e)oM^led8-35;Fh(V0N6izCCNIB1Wr zzB#wP8-G#l4Udjq!W^%O*}j63?avn;}$q_6+{?99Yz4SdT0aVJ-IXHU;ig=3gg z?RV{ndQ>@xb^k3=VFxT$C+FrupBGmDDa&Fk2av1H#VSnqG3nPk>cta@>w`YruEf@D9vs#1fOKbwbm7E@%5EXM8RzQ;pm( zf4+M5F$TW`C^);h3Cdam#vz?~GGN3RtVxjkIOM23;3`nt)l~w(-eXJh3rV>Y#4>I( zK#4G7qWl?pDfKQQB0N?|};gd zS+sh=94eS;4~*Y@(^>;PCa@X|l2tUqH}qY^R}tq;KX)iSDp?ruV=0H0kLkaQMZ5e5 zVIqhVh`#K?RyqNmPw@et6*PaZpxGFIdK8@e@4tTSu`DMY`=!ek8SDF)c|9~ZScv1fVdjO1{aukdY1$lmy9Yq&iV9mO!rW*79bs_MXU4~O zKooL9k?Mgx1tK5j75G1vU7DXP+?^{-)?WR&HL~>>eiDnUccQ-<#kFE(zR$_d@@04p zVHld}H&8nX3I%R|%k)rI0s4bPWi`2>D~aMN5X~msRnJ8FiyMH>gaPTc#J4 zQjmxBmX@B^wF#?t~H$X4&Ird3;6;$dc@E_S@Qx!CLR#ZBp5AJ zd!BoB@%0?@2SJ4&LXn?bdE&RsFlTS1d>*-WEm z+v@v2;g$FbA_q`_)9w{Ds~xE)Ql6tW<^qzty^c`txqJ6+J5)bMeHa)*;ewTSF+hvT z^eP9`Qd2AaD_?ucuI!z8Y(-i*qQL7~G2u!T$a?Y zYcb_~8g9Ji);?Y_>aBUA!<67PdUQ5c_pP)$ByJzPa_2&#>#Vo!NeijXXP@y^SB@|4 zsZl7H?UDEMPA+TfblB}B{HegYmXnE#o4TpF8M&i{xjFxuHQT!e(R@3dMYX_KJ|)aW z3Xtz+H*9SLea_BK*ZKD)>e#rr%;w=l_P*v9PmfB$&j1?Q$m`ePGn=~KP>(VhD0lh% zUN%~8x8?I(+}wMw>a0sRo@ZOX;bOwATbwqd$F89LaQ7~s%I2lbZf9Ga&yL1XW*E!4MDPN%CfjIGznHRpQH=|bQI?a_yzK?l!JzX{-Cz;h`=b#OTemjb zDHzC6Df*802A<4?qB+}6{eI|;Z@c-v({b+L!Z?Y@Jr5h4zQ14Vy^od@8AvR*(L}$& zB!xn~B_FIOPpzFXTb&IJ*>Cs3y{Pum;rv$|Hq`eFh9tP0E~K0q0F=_yBpNHIYhdu` z0ZjagcC$-_H=EqbW`z*}l!#`H(6r1qao&-u<2W9z&X(tSpzN zqaQiAT^X5~neWwaPKgERie11HzRzO2s%p2TY}&(zOP3j$s8jntV)Cst zSr|%kJB1q&5jADZnVFgV*U!QPYSD1sAqq|621ZGRmd~I2HTI6{*3gnAx;XYU5Er)Us`KD^7ChOKV_xX5dwL0d8exC=o=yCaer!JD{<~rZ}H8_F+C+OCuh3lu!BRksQZT!3s27% z2I>8h*FWtV8GA*7xK3tpDavb9ec2AU=CtvdGY`BDC7D3PP|n2&M}(>2=FQ8~DDPor zi1Zj28x0MO%OBFX7_kMmJ4e^O7*(b52QeHM9oI~Ih~lk{HRyFlXm4D5ZqHP3Gt01q zeQjM(1K^k3-ia1F5!-Y zs*;tinOyz=fvr3(Y>1`JA<}h@%Gx#{S&7o12YOlS!&;<)}!D+htP6#>T{> zul^bwK51cksjK z_NM&Ezw?(qaoc>g-?B?9P-Zas=z}Uw=Z8@-JIauH>G2}Rl?S>Oy1OlI@4tQf_RP#r zvyxh$XbaN-{%{(M`MIv$k8`_Irk!<)ro4qXENWG)=2DyWSGqw5dPl+ZNVGODoZ)2? z?6V1aCxQ#bEeUbKIpz=dMl$IT>*^DgQc>MmdH;St2nMK19z7DDcr~^5`hbG^rWFho z@+sRRDS0(n%{0x1#^O~RHEEHJ_^rEl*Ggn5n$2MpCc?yidJH)AU?!`)VE}?MI5hOr ztr&gVo}QE5#@u@7#jw3P)EoBFI?)iJa$Qz;cNgGAwTnHfxA(8*=Pw4Stg1@8XP5d( zzL!W+0aqY6;xzDIfAsPtUnCz%e;e_On|j>T^QC1k;qTYf=$4(#J(8U{0`?iY5kOc~ zwr_U^FxI1F{#Z#_c@D(WYv*T4gRCU|jjNwJe^n#j+}P7|4u=hk?%jXpJr#}{CNrl= zI6+=o&>`hoB>|aN_%*+1t)vnGqs73?jFJaBatja@R=mi d|F3_{sO4x4$F-ilMos)xy?q9IpJ-ZA{s-|GWl{hD literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0035.png b/docs/img/fsm-image-0035.png new file mode 100644 index 0000000000000000000000000000000000000000..81b2651499c3b1a175f351513d7957c35905f84a GIT binary patch literal 32624 zcmd?Rc{J4j{|8DbDN>V^y)a~o3fUt&W7mvbQnDrco;^!iEW;%Gl4T4L*~*s4R(46* zWlzbL?DskJS-#)j@1Ar2x%ZxX?&qA(QH^;ouh(;VJRi@;^A)P8u1Ir`@gNx)8I7`% zoHiNR-os>Md*lx6fq%IWJ(dnXsO**Woyf=z*OC4w$DcjSL`LSzt}G{waZi{{Ts5R? zSSzJGAb#@MeHA0EaPE#Wxlq<{#*U{q`_lppsXI=J-ngKkdL%OC+^DXg!s7?`4>|^D zNz3K>{`AiC;QPjVH`C%lUR=_5r|k=$R5e`Gmie)6y1G)wkHD?T$le59sW`Orr--sE z_?25e<<67B|RmX{XQZE>F4l43>E3;o8tro>8IaWO(f|jnQjVt=V#vkFD}62 z?J++pe^t)&?%kWl!PVPa@jg>4L%tegGWB7N@!ONhzMZ9e_wJR9flc>2h~e>mPJr=`R#C3FZT*sS_C#xU>SPU>P zF5T`qnK}RX5G$gy?NV<0Y z?bEbuk~TIr5*`a<&kakjRr`GBZFyt>@+CeQgL|`Sq(4VZhe~FVTT(2xrSV`)hf*L&n9)@@!mOoOOUv zrRT?jj8v(mg>e7-yf{ef|9t-e*C++na8dpV`wxz3AQ|JdWG# z6B;@$woXnKarg?p^Mv;ykF_P9V)~f_sfC674fZz^1*JqUV zlqe>{u-c+yO?*~^=(TTFz;>y>x#xeFMU1n{Yh}Q#$n0$(mEB;)2y$s+b7kl}AKw!d z^#qZ~n3$}s_Sw%bUtwL>Q>(Yu-q%iu*Q2~w$77ZXDl5Hz^uOYdN=Qfu4&MK&xq;1B z^-$B*><|1cOVeF*gOz8y;F}@zxS8x~`8ak;AAC$qj-jrxF^hpvsi0PPK*-+7=Wiy; zWh|t~$U4ah+G}Z6r=3qr-uWVke&zc8%TR#L=fWV#PMOc~X;Hy>(*peb1gm@^aWo09 z|I)l8U(tTwrVQ?(Dm3*+xkoWGVXG5z&Jtbj?S=R2$3>-4+^a_>@fA|7;n z{;aI>gwGhYxVqG(@ya-wW+qYGZR%_NW2TSKQ|M!S0=~GfxlXnwM*A(vnF2udvx2wxW#u;$E%8>$T3Sa}9I0p)PcezR z|2*}+f4VEH_OSKgc!kL`?jrVs2W_g(I{(^Bc8bLhm2uwYw7$Onq1uk#-o~b_^`UL; zLNH0Mhi-L+2 ziMpe+^R3EYg@^3EQ{D_LEE(ZuE*>5pvtHcl3B!W@_RY_Gt9_-sIn}g$E$bgK6lo6f z9NIi>7tf}HN2AeZDjE^-M5|s}%eJ5N7yC->bluc3i$|_Cpr)DkQHtfbpM8Nh_Ah{# z2mWKhE){Z~i3p2+%gjtb9_zw?U+-ls<@&1E7+y3zIR}NqIS8h*dB@f=6yR3ag{pV+km&!ZQ{o^h zF6Su$Hga)oPoKyxv>Hk)|n&qcApDxh4H_@ zMw|uj#aA5)-|FBoGchqaMQx_9KNwTM|tLOYj2tLD#y!zdust z$%~%$2SGtWY~D+e9DRnRwk%RUUSKOZy7}fB2eHWI5QsRvd+BuWW{Ql9U&E#LN1F=H zQiii$b~MAs#~W&DzL~q3j*e55mUlXS49nkt9I}cZ*n)sqtzRP(yYoy0t5xPId~s@% zh|OVMEiEoCI4j}1)nCAVT;pX#KhxM0-;LirC#AURuZ@;{S_J=k^yra>)Fy^Pkz9efyNZxVZQ!&$!%cbwN3bt4S#7 z(97#JdlU~xa}}aI`n=kR>4&+we@j=peCwH4{B>__&GS-=MY6X!0WC9kMxDGqhhHwQT$_&*SH#QrB9q}$l;C#@AY3z6dFkqJm=08 zrJ*HW$1X%}PwM7hbGYfTwc&AXG!yOR_9%q8NvOP{B7rTeukhAG)uwv^EF96F3)pjT zr7bJDXig``VbRLdBZnyV^3UVGd@)!nyVEBW+w_=8m|s9Zw&k9yOmD!ej1JL*hn;nH z_6~xoUtmCT{l1>n>h1MvceXzFJ&*|ndClD2pNc;w)T9Kj!Y*w1t#xN#9lvrUQP2$W z(!3=t==kyD-~g9hXHRYl=HJRgWBeekpkJ9aA(~^m{iX7ZUTK%q*b?icx%SrRNjjdU z)uXDo>lUo7o-BF!h>cO-moJQrjFtk63k&W`i03lDzW$PB6&iYps$3boyExGz?lME? zts)-yWaFF3w)T2`VL-&YPA-g&&cx)T6TcO!#MYxHQGKuE`wy!$Z=!IT_%Oo6 zu9N0+^_j|Q;~q_>SGPa$Eob`(W7$PSMs!WQkummT%FT5b`F{WU-sh<2cB;45`CAWf zC(o8~4`V4C z5oNpNE+0&t${kI8Q{o!b>O&T~HydHRT31fTvoD+{5JjHyuD$)prs0>qK3TRmth#YY zNv!DhzCNahGM&|H&G@s%#>SD{T^Ibn7$CU51g@{l*2T?j{i@@` zKacW)NH?P@D=Vw@G61FDkcLt=Z;5l6YEOlw_7$pO-n|e)A1{;Gba5c!Af`#IKoc1v z@Xqqro`>oAsDW2bS$TOsN{4*P8Nx#9A^A!P)L%3?PDYl_8b`WxTe6g!**;h`jk?t? z4XJ^!11v{riDUMe2Lx`si&?^E+-wMoW&XsO_Tt5hMrxvGCWFA;U$gt_HSw?eA00od zlPi#!mUbiOV!7K~9{1A-OzU&dxY=17>(puLbe2|qSb?j?#&=4UA6!vTsK+5y!0i$(XZhS#`8`9&1w-rQ_iAqmQGNUV>_Dk!* z2GQF+=bS>G3R>Y(n>F{|?3K&d?|fM~WO-@O?GBx z{Y4Ib*ke~L&#Qlb+@XuKQi8(8bjL~_pdOzU(b@CQw?m0&$8MoZeUUpK%i(bY5uBPC z&F&|lHtb{+#^QpPKAHGhnS&jKQDV^y|2(MDZDt}Tjk1DDCnY$NHx_;Q-=FE>RY^}# z7?z0|sJy!}{yt`luE{qAji2W`02X%XMn z0jE>{<=LcSm1i%!peB+WLLoWXm1eR(9!;uKBl!Ps{+d^IPENVwcyn*>Qx6?@C`)1| zfYvWxzKDs5&1htM?I&Uw<^ssbt{A*@p4KKle)#a=ZuC%%GXx(O#9DP_szv7#2#p)3 zxK91E#5%~(P?DDm@L_Ru^z@lH_{**(>@4tnyF59pqA(VH5dsIczWfxtYfo7=juQT= z-=GQJJ^e99cPqM|{qJkW5r|`!woFV+CRJVxLTBObHrd+R;>R%AVAbSgenkoBj$8(bhtmu#O#A&&ScA4#m6ZdF410l1*bB(KyWeO2-3jnBjIQpw z>ycYhUGc(pqm=`5WLRQRl0Z)9UGP&$hSE8^Ss~ z^E4y}fm@KMjivb%wC&@wr1*CgDS4lkRpO_Gtg0XBaT!QfwKkQb-Ti|SmMJ$!8^M6v zy#vYmrjB9pWe(rJe}5cz9KK$H;bHiX3sdEw85kH2(VuG<(aLF}7W+o}EvKEr|EFP5+d|Daoy~imS?AT8-9o-qRfB$|}(x(?_->A~yv!P}&u z0nGw_^ou6{lj)>^2|9Jl?@wkVJ)sf{NN_+qR17Cx@+0)YlNn!0O=k`DE z=|Fl&HSCunt7Aw&?=t`@%U{3V;EA_`$oPDcn)D)N;PI_x7-ifIu*Sc(cXSV|{2+$s zT>{mAzCSgV=hA=wn@u(;)e27k_rJr=^NWFl{Moqshrl7~)Je|O5-+@E)9t?VprQ_# zX{hg+{K?hS)aE6FL*G6;aemZWu=76rR>Qg5kSB5;_Q%alCV7Ui91g= zS*L{*n|$!_q14`_#`o{Vou@P_!cEhDLVWGe-|SFid$;}~UGmngTixg0{kazSO}uyn z*D)?t0)b#@W#zHC=2B6*&az`X>SwTNCA@?SQUnkEH}(nJpVp<-dR z!(6av_W!fbc(HN7F7-S&Y>KF_uixN#CI82$GxlpDj}vgPbKqvAAO+<&VllPEfqZw@ zcrN*&vajI1_7cthY~H1vltpsb|N88!b_SgQIM>e3WOS1e)AwK(Xb3o}oe-?;4ilNA9Ch2P!z(I9;8A79tr zL)2DQR<^aZg&6Jaz4`O!3uTB$TO(?{RL@!^yJP3hn zRl$>p%Uy!PExU)kdSyZtc8|1NJT+LJ?%4W|YBX5>)B7-1P=%#VBJSuyL946#36jMU zz>-ykxEyVQK*y`BLgmgbBW=@P4#qr;221_(FFi=obd`5b5*syqk>3+rF zKjBU}3yr8M)@WVYSam9er69eo)~ZWuMp{}Ll)ds*ZHIQg!~`LIRuvGFEeU#(b~2owCT+0O!(g;bwjIDMpI`TFHaDAToC zAxRJFv^6&`=-gIH7a(zvn#i^~V?4N9Qe{wH5?j?c#7W_y&iN-0Q|n8+KYjYNPruYQ zapaYpYP`_ngz}7xeIGNVB7`Hzd!I)mfVX5`Jci$XK}pLRi2A&5=gue;>ch5-mD06q zte2ty6KEpsfWy^@opBvPfROhj4oct={inM*>F#(iG579ntj(Gss}H4ESQM}iR6;mzFAecbLWnZ&XWOjQ{d?6 z4Y4I*yTL~T8tt*OtLI#(d+KOJzyjq)bF^pg59lFfB_3fNAO4`hE~xtY`ocNtfK|_Df2{H)lv}pktd~7G?TQ%cE!;@q zm-Y^AtiHUwyo$?>U-1A1{<_{NCeY1;t)NZ36#Vh_=I z8=NSPHnaKdz1EA1yQMS)ztTFPyd|2&n815TZfn>CUAhh2SaiJt! zv*TFbGZ_(g)a~r@Nv>8ESwb|sp@jH@E~5R2a^LkWEGGY8X_6P`nN(jhFhFP305MFx zGLHNPQ8@^ON4wZgeN= z%HqdfMaRY}bKkRoptlpSX%0FpEukki+$T{AI}l8~5f{Ofl! z{7}j=r017mB;w@6x`5LWfx-#CuteoT;%HLP?DN>{*RMU5ZJr9mrGo{ z&+z%_$kJb>c0-4;3-wU5WYhEU@;+XfzFzl;L4djq8GxUMjthZr({y>}V>+=wgsr)` znc#SGPG4Tp_;t%MK@;ag74ObJKImQThN!f-IMG8S5Wu#^xQfx1UWByqB}7l zh*2BjkNLlTi-2o7mqp6T+W1V>*wH(C%y+g}rq*+M?~YyeYG(}jZhLQUZ9=X(cmDhk z6uF_txGP$&{qUFC-gudv&GR;pdWklR0wd$weDiP)ZbafIH5fWZI|C?uI}*fRRro^0 zwNi*{?J|%bu-T=hE6Lj%7MA%|IyyZy_b6R5ov{pzjMlermwT_5Y@tkiH!p26W65o) zfT35QfXQvWGP?UBtSiZbehODllDs=%C&=&YqN}`CvXq{d4W=Un`CZx|LsYy$hy0ztR4KBymNquYsFq96H@!o=)P;BVt z89As#I1|l|?m`=S(i?yiK%^yw^3CH``Mue@Yfr7r_dgKObJTxjLD=yttHt zhPQlIPS;01sndRiq0|VX@9~hc{_P=rp^F3#g(|TU*RdGp4Pj|P`Yv)j}Ry91Uq*rfmulvGS z6EvkBuPB%nl99@of0YGS@PVNyUX!W>*P*S=^#MeM=kf!^GbR=8k&-{4IXftP=~A|p zlBMP8%FgwL1xJ^nt1d2=c$ryPjH`VrUst50_^B#Q44=%Avs+kPyfs=_Soq`#eF*BZ z_gb`#YD*L@mYP#l5Ax8zizx7e3tEsbZ+!6vX8UO8&y z3J3sei_E~=5)%_480Y5XJgb%lFEcS=n@k~g0-WII=Lb+}$@@but>?!*T1ic;m6@3t z)Wk(*fXu7+K}YY9cY09vr&5al`O+3x&#ev7l?FMV=tK*Pjg7^&pkP#}{Z0JDhuEun zdW0|UYpg-QQ{|w!X`f6?j<4%?J zPaOw1RU)UeHiUL>&4rWDy*!Eo7_3zYOz(kwBF4ZV4vz;Cohv3ns3RQhfg=j?^UI9K zUN8;#8e9IouQUgeJ{!_$<9id~Obc`X8eTCWVA-IMJ8nqq?(6HL2n3?MaPT(qu#&9Z5rj8X`Ow zEhj5m+nJ$~{bTrxfPg@hx{i;f`WfO9tj-b2}^v*6RB8Q^SXOq9-dOpY9aJ z*?PK2_Sx>N6MRMyRGlwfg8}v(!ai_GAHTcu<5e*lfPX_63zM$93~gir2dn`Xu}-#x zqwdPg+*}**=8cUhi&x4Box($2z)_vVeS?1^5{VG2v>Df2uJK_*X(s`Fvz*0QDPu?D zo!`BCmv8j5LKtyucg4$zOCup{`v)b*XZ*H^OWcW~PUc^B)*v?rM;rM>9}l)4&ZGHN z$qI_L>9cE=xa!le5@~2q#)v1Mfo2{}d`encK$UT&=Ote=EV#RFm)Eb)7DqAD;B`- zCw`z@u~tyBLT&0a+3Mn@azdaeLERus9e#+`|OZXDdKuMujFzcxZ$B z5fKd>r$RIjeMmIq#B$x`AonLREZPM*XxQ?MYR<~(A3t=ek*`J$`cG7DT%lCYOkLsR^Gd9b2qF~*n;lhERUV5I*`i&vO@TC3)OSul{qciAUvj`I*v1$Y&aAbyL{96Auv`=@6idB zoY@UACTLDZM)2tB=-_Vh>KDpkk*q3m@0Hztf3bb{;CPW)N1A+e@Fys?(FzK|k3_SH zh(vlL6&(yl(?yp1Vxm|7V@_LCC(y8!Kp~?dt04;^G1Y!$@t& z^ujq|VO`gacGvmKn=In4Mpa&`;IOmy-M^byd32D(Ac76XPLoC<#-qO^Ti3xWL-_75 zn_6QCm^FE*)nito0jQ&1#lDLZYHh1fL?D8RoB^#W3U4PL1frrfBv;46rMB!jw;;i- z5-0p{`)M7sH>51c*}EaTp-8sV;sa zw1`<6KP8uu-?;3tz-PDfN)yDohzpS?JLbimCR7a#4Jp2#WMq5|PYyL)V5l4+^Vxg! zr5ndKRji)(^yr9XINN^ywY1dE&;x{o1BadEutz^@ghkD6Fz0cOS=oS5wbRK5PgU9Q&Tmb}?$Z*On^;l$#0NA;%{{C%R& z_v+zyR4v^;(6@dP9sMH2;daA_D-Af@buGNt!y@L>dRI<~Bn74?UzNd+?!)llcYQH5 z2o>2(M@RRf83>Tcv9WX4DxOAV6Vn+EKgLdj)@q?t56_%>3yU2+t!P@i)b{kEtSk2m zl&Edz(PW=B9^OfA-QTeYv{jie1*VDmA#uzw{gw_=EGmZ%NGi9rB`b6;FjEgsXl7vc z@1!9lJ&|)Tm@c(Bk?nz`!zjghUD7MYQDR4hP6^un1_G>c1k@$38XDE`jaFuMBz0MK zc9iZmfDhFd;yO*qO79~>TyosQ#%zG^yMotd+SxGt$?VQ|4^Ql^;eJFg=Vrsno@BX+ zcYd4dp@}@YM7He4z)H(!_u*AG;<(?E<=WEp%G^*E1>Eh|Yu!PhgaeGR3*4QBsi$+1@mQZdPmy^~9A_SMyjwRa>otLIMuKc9Z7hQ_)88wme-I6#DuzbEm_fazO z+wAPpKbJn;S$MJ<^;pl<;+ZN+smuBVI?-&|)L)71@aK58<0p1n~0Q z*RSJtgc!|NDM*-V^6mTifeF$gNERsPa_~N!C*VpqV27y)+Sb5u03bw&V_!oj?$qyu zNY4`=QqeLT%yGh4M2%25chXlI<*OjK%V>>5NR!AkF10wi`zJH(D@a$&LrcmT^yngY zeMvI|`YI$c6(9oxqTJrHo7L!*V@6JnN@Ay62vDOqbNR4QAyO`ZoPKm&>HQjUjF0^e#B69f{{C3ZuPCX&+aM?d723CedIQmT^I;6b6Y(MeCh-48-((I5`A z>VJLeZw8{NT=aq|c@s%idccFs5!OOx*Dd@}q7h$pB{!F@mIK31qVxd@f@hM%lhr*? zzkeKuB;VH3k_f6RP+^LhY3~mg-Z(4suM1dOS`vsvG4Hja*4*f?dq^uvB9V_iGadNW z^ZeQ6yR*F)Uj=$w0!;|m;Xnn`vjC1kKD`%LT1;(ha-ThWmYJDZQX=F`y$#76id*;K z_qz(!YOhs(3_j`d-JUOB(6OW{fjAhF7dC2pCmV z4q>RK_WqA619(-rt8>}JPOV^ zI|0z4dQkRITAB)KM^&5{Z7v_ zNQ3R`u#`V_OKk_z!cDy9gWSarWj;Fd<v-1pXP>Tq^n5 zR#}LggBUA&`wQK}pgqc^3=(eG)@$Bf4J*qswkfvl--^H}&z>2z+yiz*Un5F>CN|-A z#9*N7iHic}A5!wC0_pj#@;7<@0t|70BDutA?9F98=zl9=n_)*bSL%UWZHxaNERRL| zR|(5vNwvxF;Ve}??1KT11AtKCQN~qXpIg43IHz}I(*E3o8Jcajaj4>+wvr1&E>B_% zy~a{g?lF7x<0XtgJw1K%@Ha0d;FFm3BaM&K(E&@oaJjgVSQq;tS!yU!Syq;$n8-y- za35t6QDpWeAfono+d-RJp!zr_l#~zC(4Zo07<7c-4NK<*y2_%2I98Xj+g?!E2c0A$ zLM8{HC0psU{=IZYx8L!_1<@HsvG*)aj~UWryjcw#Lm+3OJ{7kaR7ryWvH>CS>l-` z{?}N#&M$r!-I@Un(Twicf){I}^k>Y9_r`ofQN)b&H4srvT`sm?@)@e~Ug+c2NV0FE z1nJH>a!8q(^pP_w6%`W2GE{g!DDxK(*Sst5Z$nkJtup%|f_e1@x+sEU9KEQ~mge zO>D=b4CYi$(|bZ8Lq|vaz?z`kFV+i&Rx}Ikv(VC!==&rA-usY{knAsM<0?K?( zM`2a-@ud;?pPLyvzG{lV>=4hqTslPNMz8;ik4{Hpp(y zrbZF1d%TA#^_sO*5JHwOw_5#o*5x9ot%b!$-b)g@WvbZ?)3ACke^U$;-;VJNQ@|pR z=6=rp9+?GnmJ?wMT6duDAnqx<7BQd4jCivt%Wp$bYY|X!EsHCea^0@4L=DK?^|@PX zV=Ou8Ln~bDI=&9mcLgv={@@_K_FH^e&Kp6`l6cPvf(vTj`yC+uQ%IFc7A>a&iqo{% zJG}|FBn#bBCr^LhfbP(8;ZKmQMo&z2Jp20PCTu+l7QyIkC-ZD= zv3iczwPp_?aya_%?&c91?zg_a^3zIuIdF9%-pS#%EaT5~rsGy*rU2?e*AQu3UqY_nyNjYL~zu!+d7qD5gy1F{sC06sqKlOHYyUpI34%TosDV=JxEB3*c6)r)d z)b{!yxVS;S>4~vMUM$|Zm7bpQwO&lMe9YIPK!Z!gWJM1!$d;%Jf)=Ad*kH=1WLCh; zLRQm(sz|-5M!5;Sv9ANhfL0V9VM418Ryjf+JN|6HVb7zC z+-Ff|BfqDmLjNvW-IFSSbm@3%Vb9?bTOXLpx(VfeB0 zScKhN;o<=%9`cPNX^hDV6pHh{i!H)g>WPkHq86btJ@St-7nwWLrt4830WLf!~Ynnt_HQ~#Duxa@gD~gB)vVm@90AM0?up=<}3Eh zNj5QI%chfMa&q+w*lmLUS_LUg6Ei^@bxaXE^Zom{j?wcQ?ztE7Xtkziw$q3NDeZ4E zww$t;98XGS<`voYfm@46mNk4?8)(1CqGYA$Bg|VHYRLfZ9q!1F( z6RcKA^QEinYmdhfa)1p`-V4T=#Z+Z{Wj~>C+_vY?+6i$PW?rZs^IR=06lh9v8C3KY zH&fA2v1rGN5E0UkAIRdTXg~PcSYIcMq`It<&w|2tVayUt+N~QfAahQmW=8)sE;+f{ zZb-5;<&Mt%;+=;|)!kg}UomGrcRftp$T0*Zf7!4iTf5t)cH*22hG$8_sNlvvwuZPk z#@9>vxw#4aw+^zz&8vUhv%`LXe%R^26(UV{4jsz~srffNaPmDg03xf?j@jsQKPgm) z_U+Cy{w8(;$5tHRA7MGrE!UKy??2qAxVF7mig`{E(Do+BR7z>zo*JvCQ;dl*_A2yB zC6)tm|Bea=4o@BxGH?rg`7RzM-y&qw$}WeOYxGdEE{AM&sSk>l9OI*L;QGLtS5#Dl z56N8Owi8L#0<3`P`gUN2G^jL`2pz^rDwZy2Wjsl)9S$w{V`My_wIglo*Lx_Bo0gXM zx7+aXzD9#zTI#02-VN-o|sbqHA%!FO{_z$`}%vC^G_VRp_Tr@AC;mto`v1}a0clO~U&Y%$RnS{XY+7Z1SC@d87+M7e0ZC&t zAm9+$Q@^ylJg?WCnwm<2_^*6`w~LHCdWq(_jFmg9OB0L@TAmIM{x&yfXKQP zXod|W`td$X&_s&%DBKu%{=al#Xo+>*uT7xDuM zV6&jabI+?+qt={2aa0s>ZQ9$z7#Azv5}FH@$o8@eqAq6Y6&6^&?bR^Hzq3)YpJCb`DmIIi*KwKu{Y7z{|ph` z!(O<-FROpb4UnY1uC5}oskwRP+qakHBcWheP%r+6&Z{aLv{IpBku(imQKlaHczQk~ zf`Uz7mG|8%p>fBtc)79yG?0JL!NqW#fXSQ(oge`0o-pSI2^loQ{zFLiI};to6_Qmg z>Ns`)c)hjp7?W;6iLUen-OuqT{F5itpv&#z0{V*W9~(&!(^B zd1~qi&i_r=8Rc=v6@TD#;W?uA!2`&@RdkTkU=_wf zx%Kg5{XRGto+9#>QJ%>~!AzJ06qeoRc#U62FN_4-GB>yFFS{snU=_ojNi4D*czwlQ zEDWGrr!RCsQYtGGxx4tzor_*EtdzG`3M`}5!SLJ)B=w4S)(ZNKp@G^Nq%l(~cihjN znJ68+d)_h>G%)-1^Zo*Cfq%GL-bJ)g5%EYE^-@@AOyb9++_fquTi412r}Sx{zDHVVkemUoR88AFuc@ z-{@86k6>=Df~y%2(YkDnD%>5Wbb$paih31(!RE!;QK(d)_MXv&N1C{hezeCECSseS z*05mWZ{A!vC#2a6B;)^h5`ybfXgorynd*ZPzn>-s2CX2@FAN|-=|dQO{n+H>wx)EH zR*o{8)hd+ABsM|?#9lR}QfFxLFWqX6e)Nc%A?s9eXd2XIrf?9J>!nl zRM`vOYfG@(Fd_`YB_YkqRuip>Os3DlJ3+3{@fI4KTl8j7sVqg(*AwiTV6&9}d$ZE$ z-JrEwA$BRlnKv(3pWO$DctF4E5s(X7P8!?i5IKF-%_T)d${>+6s|hhJ9m5>^JTMc8 z%&dKFB@8;n_h032NdJ&&Xw=FG9_0K26QR7*v$L3}mZul*(I2LzEq^Y5=@jqh*Dg7) z>4%Os=2RL{g>$xx%df@Qf6fig|sW3wcc>|A-D?@V`LnPFl{FWgl`uyXU zFP?LQmqUCqgbKoPpo-?Oem>2eF5obCis4azTWV()jC-=Cl=M5l0Lej`PDASL$g&7A zk(t}99`w)pvUP%8vL0#5{H=x6L`M1%Db9@=7X5%88<6SV0GOanpS!PHVo}#tHr#ID zwadnD2h_;S$DGem?1WCJK?(CDJuyNCPjr)WM?0P{7(eIz?_~3{st)-sAmuLiNr!qSBB3 zr*p{RNn_-f&Zrbq%l-=_j|Ju8t%}HF;jfxGCI~2<$wLD z%i*Qr1Fr8Jo&#U?Jnya3o?x_}(Zk`aO3R1c$3>0qVzKyT8ag593j9ZC`t#zR%QMF* zi=iydBM?Mdfq=jGVmt3q(D;8s{s&85T4_zg}WH@TIdeO)B=p-xxs^ z6FMBqPvWEId{@U$c$yd*g85(5kBUIaCti)Evw(vgtOI~O=4V|FVYhf&8Vqa@42hjR z1_L#XRIdFKcZL2MLPVZo*5uv;t^(s@W2#z{FQL?e?l5rJ!J#PQSB}UdUXT20y9-df z21RE$IPP@^HvG=NRw*`<(EKk|2}tIaeBf9EAgiG%mT+pO?n5rxj5i&ft{6=_uKcdC zr6nU%x9r1;&Edb_54?ayAJ6H$S_b(VvSaB~;@`-n9fN#r_Byj2#&3;{)ecSPKzGs! zt%D56%dP7xK=-#C^H3iLW=nJVt?;HtZYT8PrXaFxPOiWQ6T+h@$d?1efrgTUz;7|1_g)ih3W>v!M*VP7g{a6;xx}lhQ2ZNZ08nbjpRCm4csivDx9INe zB|HhKB{Z8VYi6XAK|)uM58pRuU{B8`CmgA=*GS9i6u=dWXe4nly^4F;T@z`%#BSFjn3k9>mBnvdwZP%x#bgT;X`~Ag zv|*GEQG&68qZM=*-4(Z+;psAiuFyPvmJ@9ArXx`{ZFp)qn?UGgd<&tTg+-}bP)sb= z+S;1gTPyw4JO)xd0o{dwZv-$_NHzuVjzrTS|PNDSF)YFoDUtg2M z{J=@E9J)J7x%X~_$B<50IrwXRuDW3@1fX*0GqkNhit-qB-O@G5@*lsSHr5&7q5Zk{ z=p?4fcY7<0MLaG(e)a5=ba1oIZxCcXOuIv4Q_(ONs0`9Q5Rt>_A|eugae5!g%7{p| z!i9%CA3z+?a7zie!!cP5rD(nLLkA)PYi%nfEr~9E`JHCD5nu^HiAl)j{xYpJ9)nQC zv(s90O)UiDyf>FWk&m06ZD^3=>LJ#RD6xOY-T=DI6PlY|%T#5c5u}KA7}DZ4hi;)u zl?7=k7^n}a2GrxQFd4k_Z_uPK(S#c8YY0kwK#4{1@I<~uvt0Ic1_&f^jKMgRG`syo zr~?N4jg$m;n*ncu(UHH;)Uj%`a|8^&?1}M1y_`;%#iw^s9|x@!MF4p$A(-dPnc=w8 z92~Mg+6c!4;K+&QDUy=Nl_ukV-C+fQH&sDGLirkuelC zwlR3n{N28DCqpoX&p2(#mPnV%85a8jngo1%+vH%b2RBQUyCapjmpQjMKRDydOXkeQMUtyg{wkl&({@5PqoE3v2#4h^-vG*ZTP@`T>xI!Ed}hGwE6ogAt?T`LQ8 zH#%2cq!P||Xg|i!2b9ELvxM1?jj?I@XKJA35?D{I>Fm-fJ=5KzL~*tdnye3WKD>Yb zzO~iWs19=P)x%J;(A(Aat3ACStuFq~K8g#=W34RLsHz8q5C+0)e?$@s7C)7%`7+~M z6i5m@d`r2g|Eds4Fzlu-{&y>h`*bn)dkvKTso!#{y}4^^Yrn(vrgX;?qE~x+Pu}h- zy!D=$s`suQ-WkmZG?WpzJ2?AY*lszoxA?iU7v<> zV53EzJb)M}OtSDJ)quM+XFv1EEXMuh@8RKLHs1|tJDukS<4QXUKjkb=_OVE-KMOW- z6K_U+nsi2v=!0h`x?t{^>o4adU3?SH<0)iQveL zjr+Tp)Q@?}LfDih;?$KvO|m~b3r%9TyShHh9D)|iO^gjt;{Uqp6BG>$?Z9Y!75?H> zS}WPRZ9d#d#rw}d1~NH5u2rn^kwHI+?WHU5T6J7=AFZQ`9fm&9 z0(The<>q>hIXBIT{@_F@-=vs`?Dn0LK$xLCeoH=|j+!QorK!(kcS5a^-q6J)X$*Mi zrhz-lEDF;h~7LXB`1j3pCPK9}==>9X1x70_m)B}T`@tjrr)8Z$hz12rqKgwdhT zTFK-=DHd|r&D?w<#>7XtcpJ_#fP-fuA|s_^<`SS|&+xp^tO*CiF-(&!HsH_dqCL{S z#Cjo*P#Y7DqjTIh?>WO0VSu}}({R4;6t*l5yjgH#m zhRdMF2AS0=@3?Y3hf~UgDTo`xm|VMM&KnddO+ditTvm!dLn2a&A<}9X6q>g%mU_J#e~@R}WCy33)m|&?+WrY!(h zNk>xb%n{z%v1HOnD&!M5u0f-(%zgfb%julXxJ$Pi?cl(IHW8jkV>LME>AEcLLxSj4 z^|G;&C#`f}3P76!EDxM3B=k3EeUXOdJQGb7-gn#9b|Ngf{W>|&!yD8*qAieojAD#lxcEQRA7@>P>Aa+c5eOE~jwepp!^&jFyVy;B!u9ygiCU(Os7#cFIrOO0d zzpW=c9+jBL+wi<=^#l1)Xybo0OMMphYi>wG<+n0+9wr@bNAz2z-kw%9`VO4#?g$Mz zoc}R0{}eL~csFW;j++n{L8NMEbhVvh2jh{Eg zg>3EDHBX?iae`Ke%E)z1JnP}$DmdBb6@3nZGVjp^9c7DAYqr09lQPS(*%<5%}1ToK_=b4{~?&|<*n(+@OR4~k8Jq- z(&Bybm|R3EFk8w?l(OzegIt{mSl3XkrOD|)J&2-e)Vh^450kFBbqCIgqch|A8Ho~j^h5lu;ReM zzg-K)dtfG5SNYNDoC6f+!*6WL#(}Dm(G!8inkfNmZ&+gEzf-#4`BTD zp)n(pBqF5E5@l;smMp_4qErTBOO{Zglgh4QtSy!hT8WhPzQ5G()V=rI*S&w-zwSMM zoik@V^Zh>0=XpNc`~7}&82;L!2ni;g;O4$$ro5!`HBpf5CPoH&Zpk;z)W0#vi=2(k|76?=zf^su>p1{g;w&ZjJ1mpG)4o(h|DKG7`dTg<`4)Tc9xEgsmO}M zPYBJQ)@G4 zNaU4H1ZfeYT6&1mTHe=uOre4}77*nl9t;|iy7#KptEIGIgJH8)yt#F2owS_E+kYXp z04kB(E@e;p`sXfDf^$kt5bQm%QiT89J0Ti_LxlA3cPr#`W(h3|@d){lPCm=Oz6u&i z$YcfyCWnUTs1SjFHp7TG{lvV1MnKh}8FcF|^ zZsX7#9NvOPPf+X;lhMKF-kiSuNlD3Jy;;ZJHz~MV1u-~mw)VD->YIPBPc_^65hne; zqphF`{L|w3M)y7LI{!N81ltv(F*mW?+A{e>6idwPaI)`JQ}!Oq$Erhk#BFp)VR`I& z6ao^?!prsdf?lTj&`k7UmSn%Yy=GX|xXwEP+Hzf&_-}E>+)piyy^e@2$v5JDgmW z?*rk$=e<@oickfGm7wA}6PDZ5dL;LN3*?mUD&geAHVRMCL~jcLE?PLINLxVY4SIlxAgBo8>$CW zk@wJPS}f+&zf-OMa;(3FE{I?U!bUCMr5XO?+DtZre^}}F>G&DPI+X}D??c_?mmVcC z?!;sL+#Du~#{bjT{{Kh)p&UZsc>XWVszfiHlbZS-MN>j(XhKau<2-SkeOHNrG|wHc zQRP~}Ng1zM2?TC5>mnjw)F43Au_RPMz*1!+FAlA8Z2Cj_9^`unNuwX|gGmJ}^ndA}MdqLD3iojm>3-mVF1_ZF5UN|HB>9$c22B(xLiJGU{0=~fGtH6!de=-|}- z45qcMZKj->_t-8CaI|M3GbZ%$GUU3~Z^s7G(PEGVHVr(-acoI&f8BuKcY?0f(L|;s z7#Ge}kjgDv9`|mm_`-#K5ropnvZQcX;umEZ3oqh< z^mJx2n0k@2QW+CZ7sH^mxxbr&@1cv$jYN{$X7CL#2&yrIsbhOxs40|~kt~|jtQap# zP|JxX_uOv1C@TRR$Y6aj+mGU@s*^!C4|~^o26KKTNz&35c=7onB0`2z;wQ;x&lWq> z)z)f@oxqU*;Wx^IDrd+qz*`PwYNIP;$010g@!zz8O3o3gI?@>`=h&D5)t3vXE8K5buJ8z875qBs*NMnOM@;o7A}J-1}$(?(dA|CQ16Kej$>ICU(|yyPI3m98q(v zbPy@nNGM+oZc#Z&{17ao(qO6vf`sSkmeb77X#Pz{uRx^>$XKKSYr2xFQ9JI6?J6GC zzJk#sYUv&FpyN2XQH>^cNMaxXCJTr-lhJL~qW5|Iemog;6Xd>J^<|9aldkyD-R- z+tGmPhlVi+00mis+>ukO`&h%c^!+R-x6QIsG4{J5gX~F{5GI(GA9m6fdcOBS?$l(x z6TEwi`r6-9$Rd_XNuh4v1~t1)cR0+zTXPg)ngXB^iL1IDu8dOPo>|28DZc)`;TCvu zxA10E4dA&e`+0*kkybp(ty?H;{pPiURkQYm#?!kAH8v^teCGbD4T-cqj^JfCctUQ1 z?ROsh7NXJVJ7yxq6jNRSEOnGpLXU}*LedgUHAKodH_-ZJZegkRGd96$9U0^!eplPU zg;?LuutV_eY=}ff!AYNw;r|CkeMxPz73$t_$HJqLwTeHK{nhL9%TmoGATj)0W| z(y)=Jtymln_RdgJ*8XP_$}51Gcv_+4N2kas9$SG?Aj1d5Cg%aSP&67CDGaoVc!cjl zAWwI&fyBSWUxsZW@1*Ymae%->*O0YM3Pa6G;(74BF;YM#7!EbPGyqyJ&W1Yt|Md4r<+@@= zAZ_svQ{ZR6T6x9RV6oZ?i0G*jjmKXX2pLrDX_~ot>OV|>*GSyyqhCK+Rz_c=-bVrF zm$+>xsUK%gwWgBWr`Ug(A!Q)EM8iji2OT=0TsP6cXX>~xqL7sxY4HP$X%kB_h05h0 z6+gc&PCY8g8T2RR71(7_GuLgSqt&+JRqljoA^lA(uyCi6q5!6}7klVz$VLg^ z@o*P=8TVB2aKmGv3DLih@DY%2>9xRqY?Jd;#t64a6S9GlQW~TELJS4$<61od#?<@p zU--X^SEAp`i;r&~c=qeZC%&v=C;%s1{maLY28&JVgou0nFCQy`AIMMu^{eKWk6Rmj z0GIgn_ww9&B^U}29 ze2o^1^l1hiCkRQ0ihA%iMkV~3!Y>~~#9o(CiJI5H`?$H#fKdrN#IJ*(M9WF~29y5v z+XNGkQJG~|^Xs>VhKD&xk+S>zZH{A6UirXG?vFcsd{r2=;WH^vNam=}yt(OFEz@1H z(`Kj9OoHcx)Jp8VTjw8JeSAY~?&0?9CGqn*GTbybpP3=C^FxI0Z0UCK7av<+Rz=3F z-N2LX>#nYGcv;x7W$Q{KRrQw%EnbZJLutGX7HnQ|7`3aXhb$w5?7gz21VKhFxabcb zK0KG#{p!{3gc>Df#s^#PxGTs{UZcu z(tSokLPAzYR5{jW2Xj;u6^*YH=jP_Zc1kaYmv`9j-@n17Cwz81Z~zdopPQNq6w$nj zva*Z~1>ou^C=5Kiv9&yK2}?(cWTM;Ge7upnSzFt5kpa1}W@Kapus80Zmakr89nQ*2 zB_!IBZ8ptKb!Bs`r*k%wr`+Ee6%)hSw$05g_fc;gQw^1#a=8_1^6KgZ?LNTrEeMH@ zPI+$V&NG51g}dx7b?OFWn)4^uW7V!Qc^1Jemw>0r@AM> z{{BosTEMVavSbY!tW7P5$^o#~BBQ-$Y_C_Szu@-Bt=6|E=@KjwPu$tF(;K-P7V!tX zrbwOe_wx(2{e)_IdEoY$t6AaUi2)zCNx5GR`-{8_dm)woLqyK@$grzdo1Z=FMgJWd zLhik1&YS^;&fSy7$;>p`eTT`D5#DqwoXJFCZk{K(vZ~4p4p{aLfQ=ff!4q|U+LrfF z{ZoO|#F8hTI(>SdgH^B3E?TKCsG!`cOC1KY4ULVLSwS#``)Dwpd5mMla{cfE#EevL z1qjBJT!Qx62~Qg3z4V!p@{f6#d`(S2e^?J6rA7jE0PLfxx z(z~sg;gT(TiJoz-{-#B;c`$VI%Xv{DiLit>VF-`vvSH{UAt7k!I0jjVy-4$4KJoBn z=KdEhDA30cBlUCeRuVJZ=H;T3+j@|wo$E?*5>bb7KRe!{ zb!wH8Qsel{eH}Vdv{@Tt{eyxcQZKY#rrPL8ouKOIgxDIY%IGqpI?nq$)y|F+rydu!<7@P+aq&>rQeyX=q~4orIdC6MNrtdngVK#fHAxk-d;Qx8L0cX1`9MuOd5M8%%cBw z?Hiw_TU0+kzk)S~f{T|vGd42H?A!XlJ5G?pv)IrPPVTniJOeo`a-%Ui{;Gbkd<0TM z-0Pf*_l9L+Hs`*?8QS{zqSPEI|W z!!-kJH<4GY2x%!PE29~tIU^Q{@yajnm~ATgF%V}h&;a2T%FbOO>MZW9&9W9p6R>MK z0WGI@zH2VDc$~-<6ck*?&SV-nNtOU< z*r5+9!zLMQP0!=UhlENye)}|T?UW>b#(*EJ2H+wUX$~D^`R(mNXwhUfD|uZ z8!#?y;xxIuM0Rys5yz_Wj4-N?_vFTCf~?sANAx06c$cwA;~~^IL7$D;!09zMC5lUX zuA$BPB=FtF6C0!i$_KQ`Q^qC_gdT(~7gLE_lYahNw!Vv%>pYujfBzwH78%*yU0vyT zqp2fW6Z^f^Vti;vV-x5PX4vyTMkE8Wb6XKG6XFS<5~{2<-Qk74-*A7?Wv9@OVIPj> zS&!Y=8f@%d1Bv{MEBmSrtX#i(8n%Y9yOzV*$r*&7Y;2NWzn&Oa^XB#Io31nh$h)vJ zx!y0=Uf_Se^5W|UG1?g&%qV9xW`y=Q!VKRnMdxNDEXx38R$&fvpGk4h_W zTzQWVH`8_qu0Og?Ny+`-!K&dC$a?Nu_Il2SK3&fzvTuB@kulw{i%)2LK` znx5ndjsa+AiZyt8IQxnjt*smWOEf&)kQ)=T4c{%IE1J4 zz`5vpaZXU;1U)!U4x^-ZFW{U|X;y#EogP4~E(QQ36xI!&7pZ=<{rv3~Ao4eFKJze4 zc@Cwfxp~dfWA7STN7Yy!&3rg@J~5{TT)4o>?w*+_tjOvAb7K0SmA_NebT6?y7Cn0$ zSzD2d)LlJsJt1MM%or}1y4cNR3P#FEOV`X>v~VF!JndVWQT1|pd1o)L-E2b3gl}3F zon}suWah`tlVqt&U>lQMS|3brY{Enke)X?<2v*(}>LRpZb#%_(zNizkZ4dgUvYv2w z3s81)a@34eJdrRA^i4;SpWiHos;@y;{vE>m8C&Ga@fxb!(qRYx21l{6)K?dgz@M4e z&<~i*urN{nVyI+}C`9L*iMm1WLFCUr{}dHf)6lqS4(3gKL8^_(Wgav*=!gmR^4GJt zR6xC~=09y{(7_bftz@-N=kk!=QeskvF`qdZb`q>~Ntfdvj1u%qn-R5k-^4K;7D>JY zwK?A3%N(?N%03w#>#=1F(v%fBj8XT-y>38zv zlp=;$@NxwOMjD)xA>gzijofiV_L?mw&5_Kxg2+c07vUg0wN^ulNYT^7TFE$Ep^`rR zDZ$zUu(6tr-Sq|?lNgEXhzT$R-U1+naVaR76^>*`vJ zzmF3nsVrut>!TZKTEZF7Dppmaf%MBu;_*^K_){Yy5Bho3o3{Tw&oi zh>)$VArbT<-`#2N-nQTZqbWYbsoXG?V{MC50fmL%`u-FYq|s>CN9`|bLliDGH5IV^ zU7v^Zyc~A(5#j8f-{Y8$c`rXSG?=)Hy>4qmz5xY;&ZBvlHUN;=r&g+{oUpX~YiRa~ z6DRf|XirfnOn#fwSvwI;+}`-FETx@7$oxUoiLYKcrt19p-||2gtQ%6Mum?6FPZ2*) z&qzRZ)qMv0+~eZni;JK?ATtf-TpPrO{pUPalasq4E~lilQm*5so`8TrbD_7zJc_Pv zSY%K3I(d1?npckuo}$V?v{0ci*Vt$}N*yuFtZr_$*s){zF^2J2tHp&MGkyYim5a9N zxw#Do?!S1k3lr$QAa6urUz{zTzG zW_Wl%{H=p@@1aUTfyTzhp>KeL!&N$bn}2V@L#Izil(={A-u49^fMLp7aW!=j0`C4@ zvj!S_OFQ=3+xMVkSi7Ww%z|<;;MidG?dv7fTQUi;G|tLw!ST9PAFE`y)&ZvQBQe?L zJCT^Ab`)i;`ms2Cl=wuob>&y*#HOU^JqB9eZ8gt@W1OY_bTWRy;MLpgNMKXLB5{Zr z3y+$82IdtZ^T5^^AkHW9j+^bFCUgHa+C8t)ombS)6|K|ID6B|_cUs^Id99B?x+o9n2KvL7XdE(+%uU^G64FVT1SM9gti7foUNm#_0 zGd%7yE^6@atls>XsG;o+i$%pLok@km^;H0$K}lYo{(ef|p~~yGZuLSgHbd;EY(abm z5iL!u1$W8w@@UR}H@6L|R#mhf&fO(*lkyY=^hZ?kC`yDw#BEa6HiVPHn<->wX5yIX zvk?^*UT)Riyl+&~WFomEc7qxcVNT3CFzHN>Zrr{5%C5_iksO(%Sl|ZggTEp8Pl|PmfAeyCR&HFexocC;u?U=d|YM!GVDX?Cq%||Y z2F=rOeb=y1Rlbf0A4z75SqA_v(`N)I@7u1a`4^CdIJdcB(lNNOA%V{|Z`YE5c1P$N z5H?nAa*kiLdS)e@ll@IV5?owek9!-iapqxP!Cvqu$=dA$@r)pE2leCqi4~27-i3kN zb)_UFk#D=Jd8f||`C$OI)zXNcdQx62oE3!V2RIFp8TEB_Amum|8(xfvP>#{}e0m;~ z-ozA zMA|R{Ov@vAyL?B6NW`duq=qS+GXt>(>}ft~Xt<^A|D8hqYc|c!(IX3P zY^~Phe<0fVHqN)qW3{1s_p0!gHJgntur zid%SA(r^b1G0p$&uZz_6_h&ho!tcHH6er|l^RD5J#YnIIu24P5l%@?{AOdJLr#0J3;O-x-AG`{`jJQ+Z|;Jo>C*N6UwdB<6f7a7 zBh3D|yX^vkXOK27VWnG|8nB`E9nWTW3s_ONZNr&n-hGMC z|3lWG5u2CS7(&HoC_yw`LTwYWp{9j`lteh^Q9dF;B^Q4(AVSaqE1&_hdSv66&ebTT z?bAnUK669jB9h{K;*}rKna2qNiFG@=1ztPj9&EC)zw49|2pE#{hD9i|4}G}%ksAcM z(wsaOEiz>WAietfms3LG~s$&*Z34iz`545FNh%F5L4X}l!F8^m1C5fscgw30U8z5!P-TALs* z@iOK75!u+#u-`MV>5Vfo636?f3hC*guG$_y{`ui1;$&>PJ<<4kmk8PPkstctyq(^9&`a~=Q zc9#F#YuuGnyGEL|)CvtGn-u)7I0H5U#;%#UFAqlM**+0_zZZ$` zSc_z74E)`K8wWj+NFLOgT@j)#=!EQ;VMQlr=j0ILtjpSOHeTC-O@pA^l0zS=xjNQv z>QCicc^QW^3{TtxwM$Ue%oY*JP7aZS5Om_S*i+a`bOXSW^pzJ1lu9$NFF=4C5L5zhk9h)a%?V*p>0T(Ij z34CtR5cE3vIPJrtS-?K%`g2}xN2#P*aO;pA@;216aRFgHjnMC>tN2HJ+sdKO-U$vq zDwoDGQaNqs1W4)UvB~yU z`D5FMc?+&;c(hJXqPMq~=l~Uz#}ij9`_kP> z1#^+w3vA5@YEo(3bKY~}qaAC!{m!3%DxMryFlG75_@LQ^M_xbf3!X;WgIrW`RR9M! z7)TTW6Mpk5$MvyJmx;I$D&)dM;=(t7!2-XaAjLRlc!;r*Z0MCMGIGcV@(ab=?pYP{ zkEV{m1i9kLl3WSk3b~I}A$+~R_pVFN_11hUpbb5z9{`&=sAfYVEuGwPeQv7u3ERQ$ zLo{M;{t_Fny$#V*_G|x?z|e49nKNsrs)#kNT!c!+i>#g0t5o=SATrZ9oX~+q%Fa5S zBkvD+8(j@5ZH|kRBt*9xu)!J{R^&+d-3Z#91cbbXe|3F*4(RZpkibuu@R{FrT}>vJ zwI1G|F#nU9S&nj{&zH06Bp(;Ne#+dKRI`OT_F5_?Dr#ykKUp~%KMo8I26oUwB;Dza z{=l~=brw-JbzgZlW!oL8-lw9cXxN!!Gb%%-Gkcz1ALrw-rv=C z^S|gdYfV@6vui)LtGYwwWyR2t@sZ!Wd4nb)F0A#Q{8u_;_2g7~|1@QF=I-k0$)u~a;|=4WM?lB&_L@6ZbKBzbN#xIZQkzn+*d@E-sIc1bV$48307Wh!^dR{(vM& zwkhWKp&<60Ou%pY|8aP2HaT<6~CUmnu9PNBe~@Aocy}lVZW<$tzdAbvfTJUR}AHVWQ0(_ zlsJw>K1-MtZ9H>WXZu*R1T;3At{4kczwssh<&!3vNW^r_M^(p?z<5C_Ynv;hR|2U} zX!-%+sCHWugL_YSBGTslfsLAyk@C1`uZxujGjaO6F-5#F9ay zW4BzcbpD$@5G^m(`uxEy^Q`D$f=4Gps5i!;j$AY56(ctsO@H*epbgPXg!3He{?vW2 zMrV(BgvbXEjaLeskd?!LTqevcptmxM^eXZyb3UgzlPud@0DvF|?J`)k#wLOC zU#5x10A&c{%~;y}Njym{c3+@%frKhD(h|N|9_DLP+M@mSgPE_c6`7iXP3FRvzHK$= z+brt2tEvUgSv+vr!|DI2@t{v(Y4R9ndJV1GY{ zHI)PawXuxHc~a85>nUfUK^Rg|#_y&B+#il^N|cGX0lR)4+$soJj*Ek4R$|3u*ACM-s7 z96d0E4znhnvP!e#9q#3-g@w>+b^zu)_Yz6VoZP>TKQIU5^6P^cY?JM9ef9xO)OoPXLaORuA&x>?8H2gQLUw!nINr5W- zp5lF1S!Az#>hgqSyWhWvB`<^wLMrjml|iowOnLYw9nFPskJkBl11?2pG-Cp z*oBpeo8}v7TI{=t7a=sX-aJKF{gc-k^2}iMuViD9OkvdFbg>xsq_%2FIe7m%8(XW( zQt#jF{eLbJuNl&uuvGmwU(cU6u4Yd$G?y+tc3RVUdH?%=xJ^M{KG9s5;Xib?ri}bB zCfub)_OJRVIP`xZK51#NMfS$xr{~(AEiAx?OGu6i^Ze>%%aZB8K$J(p*|hz;HI~yh zci!iE>*REpVPv2KVR5H4VBEjUp$2mflw$?wNMz^0Z=5c$2iLHtr~Ju1bM|~KV6Q2x z{>-p@Bue>KaVVx<%q9_GRd4+}95gZ<^c61y0J8o>$h26^=sxiHZ4)g|C$RvraXEK9=o^Oy9Ss|Br`w80q_f430@}k zj6v?-B}i2oyKq-Af^FWUR#ThRCq@{{Tvr4i{$E0qW~CQ4Ouj=4 zQ7(Eiyjd!Q=G_sbrO5w6DWQfN!G~Rb27Ud%T+Ph1ri=2Sm(eA*q5H9+GME3@*+`M! znz6JraHAvR@io*ZAv^cr{6>e%yfG%HT5%_zJF`+?ec0bqZ^eyzqSs5gD$+D3G(X0V z@GyXxyV?5g-`PFqy_%Y!>(;Q`?!AU0eL;IossG zfJo-X!&?geUYdlq;KPO|m{t|YJ%$<>M(%x^!`p<7k zSqBbZCz>bcr!`_&eE#!xKa7?ve#)FHKATnHl@KRM<-f4(Ob0;L{?2W=v!6f6YPLi-E#1LejyKVnn^A@zcNbCh&l8 zTMhjx^>MS3Jl#27dA!Uvyl63vaM$N-vz2oF?kOZuHp{mJ)3o@_bdmqHfW7=8uNq9(#0GI`e} z@Ia=D%oR>yA1LZshCc{+Hoa&f+N6IB+r%~KZSct{hyv+7?))gR7o2gY?j<{<`DsIZ z?P0SU*d@|Y%i97CGyi|tE8jPiGhgCSw3$U{?yJlXGwGD`=X@Z6a?8Ymyst`n|L3tEXRj`mz@+Tpc=rEo zn-z&FN^+u)Qza1msFr)SK^?cA#kBbpdKQ@lb%}FSnZ>c5#lK-DF2Sp7m(?en54>6S z4?Nt=6XWA?5auz07Ju>%RwUOloxDsip18I+yKU;d%F6`un~IMObR!WG>DYLyEp`HU zA7MkW6OqKsUe_T4C5CSVeeho{!r$`n@;@q%D>C;ow1Eru?urQ0ZuPQfEeB#;&ZkZ6 zMV>EOc767Jf*FDcQRlIn^jq~|Q}rNolY@NDIb3hH{sS58@26?)`;Du<0l+bFsJ+%} zZz&G&#SjaJfB&LfgikBc^IqvTz=`~c{htE$TANos(uam1b+*4J;`HJer*f zRV8B7+ARDehnc^2!ezn!%jIwM&%hu{8tV-q|6>LNT+hhHQU6KOLqt)h0@FSC9HLI@WS)?X z4^f-TJEMVZ)PFK79)(A8x1>JTb5?2j697Cx$vY(P*wDl)N@@P(`Z|SdrD`D&#(C*X|{XIv2Zu@=J$Da`IusMfC`?J2MN zok$mKusls%TrZ84$;XYZh}fJTEt%(e-Z^CB*h#%2sTXC@-m9cAeNo4pu!sDCRNBCZ zR%B2<^R%4~9q9&@*!-{4bn6s^f0hyjFN<`d>{c<|N7M`OpS2k>#4-%KvP!zD;&hfN zRcfHg?G}RnHJcp=&C5Gq@n$?xW+A{nkQgd6Mm_roMl^r|fj@=}P$_=&xNyCcs++G| zMt<|};swIt9Xo|E)R>nn#J>V#=0%%)d>Yf3#x{kOI?}r$f(ToQx{98z!$G=X%<8rl zwrZOQ530TRHCX3dX!nP|(63a5ze;aiq4y4LU5Gb(!bFLstSfP2cmjYsRxnwAgi-__ z_r2jut)xw{kWa1EWfB=5v-i72iijX3dj(?zv|lV%s-2U?sE3c4fJrmhgl{N0gmZG#hx{{9cCKQ2 zS`NF5hTjV&$o3o$FSHFvWy?;}leOG5)pIri#_UtOL7yrN$W6MR84;1A$8G*8P^RE= zd0B}yc7cHUA%Lz!FDvw`cu;{Ic4?-jQ`FRWi2NS!Uurwu=Lf-pN%wmLr)@9IHD(^H zqI}D)-TB8SUA-*QpaTGK%OHoy$-c_B(Pm)7M|<3|G~e5VbLz8w4GRq(K*%KYgR?fr zixzBz1Z&?j7C&>n?;~5Vx9(zqeW())$HDfkjZfJ#+l7%9-8+JYiU~r;uNmmifnxIk z(>CVd?P8PZu`A#_+UuiZYanU|M$EhDg;Ua(`_JaW$T19z^2SYpqg9_@s-sG*sH$|4Z zr*6#8=Mn{UtHll}K{X)bfDm}_?CD7t{1{9f+uY1npAe2AdNYvvN%HqpvuR~5FcS4` zm*vne$MmeqXFj$>3K&(Z`WN*km$3=ZOS{L-gh?-%h{{mC7+E>8trtf&Bhbxxbww;W zbm>LbJkJc^;&i!=^D)xczLskIb7Bsl4ku0aLabFm zJbg&_{WleV9H39T;q5jg%SX4%;r`*9_3BGQnd&B{PF?8^$~?VZ3;3o~9>M>25P;Dw^7Uj^El- z0H4{uID#!ToJq;E<+kr4&xdH~WxtbNtLcY!o5#%&@Uzd|SW*^!GKc5-8n%hBD91c6ofcnpj>=)K zkXCxMo&G0@jZTX$1U-I|2t$)96gT=@Z3E>}wP^h2pqsI}?-RNmkGGy1InVy^0CyK? zh(ey8`^`<$Ko^Jobon9>%==Qu*r{EAP?Uv}U$fY6;9vX&#qa&h8R$_Q`p55Igc@C2 zidrlo)+E`AEEk$pv(8=!W;+Qtw1(lqj^un*#Eg|C9jIL-99u5Ym}yfQ_cfO0tljB5{?}uNvS?y5^J|Zi{{D}%GWPP#xp!`pWV-g zE|iKG^(x0M%P#Gu1gvac1e0R+W(~3PM3?O+;ybwTLVX>V+MX(wX8NM%+%eVrm(%rz z8sfbY^o~6G0+1rLTuipiG5jm>o~|fRr^dS(d~fqs_iId@RKfK)qA~sF;l34Fe#%{k zJo$OU`>vzU`dH^P!e~qUkkEv_U!Gl!BJ0eL{{31o$KMzF1tXbJ|0L#IvJqd9A{oxc-@R)OKg%&yiC>2Bb-m zDRi&J|4ZfA;=r`X-1{zW+CY1S@{|J_*rYir^G`jc2(%~WkyJtOnDUN!G}WfqqoFyn zhs=`HGCF5I*228OUxSO=byC=dECP-v*D-1W=Q+^Ho9P|c-0Zq#0`Y80WHB#85Hb;5@LeWbp3Z3H3Jnki5 zzzGeEM2UDv|wBx>dCKf?{J&o}%7p2#J%<3SSjfAtJQ9mCc0{tmMwapU<4hyW6y;sVCI4U>Ybd|PXDT5US*Oz5=b*= z<{V;YZ2?kU&W)cXD#|Kumj7CvYwsGfMBD6;`U+zxHyL#w|HVEG2b(t4I40~>4RwB0 z4g9+GMfsPN>)dv`5OlMg<%{8xMji!an3b9E!Xjv2QZrB6mumzrR1FKTukB8U1X{uw z#rnX3|Dv=MavoLoqL7u8vol~E@OfHOkwYx8m?3VRA$;(U4E++iiF>h(F|KRlZo7ML z^ld*aS(1s2xSe+}+++IRvRMuV5hN?=ssWmi_eOBmRO3 zjP^Re*z(AdDl?W4I;Dr_&-zmeR)*dypY6CDBdZ3`gH+DrwFyCU-VtOB-f|o@f%x6! z_OgCl#^(`9~$lo4=m|v1(Lw)8HaFM=6Dq%_xMrbRnJ8YtF1}jJ}U_jDl zOEx=0+X51F2D*MpvKFke91$JHPvv>+#F0rnMXOHMgBR6zvLkLlK{z)q9ilq?6LOI{ z2~)~%g~vRFS(cw`y%N(>C&R!&s`KOg@pMyWI=$d)d5SnF_ z9W7Ilo~zA!6s$#$Pdb#$Yp;TSraoWY&3s9WHS1Ux!gf}7_m>?7Xv|v7%iN$17cg=& ztQONQ!El0(8Ou8ZKEUchQJQ!SbEV6HQ9H8&HRv`CPYUKH-g$rb5KQpR76M zB{N+XobBjo?EW2`-u5Blv){TtoFC(Jzpa9ZMRWN0?DW-O7Rh=aC;VOe9cLy7x9Tz5-QsjnoV5tL#3nG$ox6uCW;WT-cdu z6U~nkhp& zlVJ{2seuj&PlUEN&xWv5?cRW`l{ylgzwu0=jK54gsww~ZsahHKR_}h;XK0_ds@dUy zqqU*r83?S4Vx`!-<4`;o^mKZ*)c?qHFHyKs(WnLT-rNJ3BT^@-{%dZUwe&t0(pQ^hwge`1(RxKAd#|p8RTI><6eN` z?#GND_ZaQ2<JA^-k`u|wPHvKx z{hLVTTb&K#k_qLfOx9cOtQpyVj(c6ww>fh%^kg(Rc#-WU)p789%D)GT{prT_1!N32 zP9Jq9Bo0g3QwoXgLL3jgH*L2#P6s#`GHFHkl@~8JTPWk3w{It8vYk&hvQxq$`C}j{1#^GqZZY%l6X|4U7pYUEoqy9nPm{cV@Nh5C3_I_V(5%5GWAA z{$;=RXvpvPS$kKbs%bU7K)JB);T!AV;b2t;q)j0b*c$YB z6H47yPIeG7o7ZEd;C&#@*F@5aFHPF7{V_HitBP#dUPdRPvKK(38DZ0}DDJtcyVgUY zL6?Htb**iDQaN+Ty@TMhSK)S#OmSktrqzV!yJwVVAu=9fl1+>IUlVOj}NVzSUVatY1zAuwD^J?!uU;&tRrOG zJGBf;iVE4)8ylJc&v9uTt~&Fxk=fk!6FS{7PXpV4O=Ya<0Wwi~&hpAX8LvW_&|6k2 zO=!%SIlg;yCgrBe#=(1m$%95_AHQ15&(bIPg$v9Xd?BXyN33l1T^pm`+!Haz)FV4ApE6)o5g+W!Ze_aO0D^c z`1O2;D)85`E?>T7h|JChI`NC{;ze;$PF5{w z%FjteSf^FR`KdeIc$i*2s#^nm^*kR|QZ4ZMM!U}4yO=Rz|6cCtFpT-R^F_+rYx}}o!bt^O{O6o$_E#_`>tHXl zNqTp66K86mX%ra}DrAxY6`3&7(wuo|kV#Gx;@JOm>V?ZZVo?-05;Bk(sR^4N?*;t* zIGy`8OJ8_@m8yQwfS%egL8G=hFs6o6%rjaXI?1+Jlz3d29s5!NNL04*k^hbP1|O(` zwRz62*Bd@{Jqv|lfRFRI~RSGmROlC%9q&R&Kt zqPNP32A_bn&_0#o@skt*)!`4fK!l7y;Jy5Ec?aR5CimV~kvPvnP9v5mEX>6I{9HBv?uemS`6$E_wRXCkN`;BPNwa6q3kwAx(M!*QK>Ag6r5_SM^< z?8hEt-{EWVo~aRrUc^5RpO8>}u5yrCoHb0|*P=tkKcpgCN9q%(`gb93Rzsz zg*Z>L0G&UBnVgc!Id3l7&Sdm7%Y=P*j7sL_OR@SmGSNewpp@M>wF*lm^E~@X2=g&Hg_uCheS&fT@NI4?toAsZ&tJH zG*W+8+h>8`fsM~*d3W$U;r5=T_@!?jQe!AC#He3}rzSNJH!>FJoCeC@ivx$HP`^zk z2`->)$1n|qa1M}+8^C&snRq$OX=5m3+3o38M3zlAc2sh|ovJ7l5Bi}^3|5n(#Z zhMIocc-Yu;SeHYk(&>>?_O6NpIS@o>7;stx+N0uB%6Og9wmXrf`@xnQors$&;6fyd zM*mDA5~YQIb7AyxYnu^-kF?rZq^u?xZ`=6{uS93-FAD)=)S>+~j%?!S`|}%?;fbQ# z;00f?Me@?m4Z13z+?T?xN|DC;6MQ9I8*iepwcNr5YT#hwR<42 z^4wDRx{e4!W2(;LRHwT*gLx5_<*Sq;MFje&7gpM&48%z@oTLfF=VdjmDa~}Khe*Zd zFN7MH^+oBELA>MCZrqoZww*pMViu<|1|l5PMJ!it@WwKFz@}NCzG%551m1!kV9q5e zA2dvgo77|pT8aM5sx?IC%kL}bDA`|=RvE1jG)p^rNmK_vxepA=_52eZ7EiTzk3qJTy)JpBicX9gEu{DT+EW-S%R#|DqjI2j zL;(C~AQDKjA9!ul@X@qVg1&m?PtDvuLg91#X5RU9Dz>eAG_uJ+(F1R*;nPPqk_P>& zS>>khWlL=^*TzbBJYtbE*KfS^AJ0IRQ$Tj!j#nr|Q z*;0RpqN4VG8OP;#3zlqOa@YOm@_}FO_-*x|*ZZ^J`|?!OW$RPxm(28!Ja1HY)A8Vf zv9eCbm~pW?(Lv32^vc!=!+hvs!hE7ZSz>;cD9)|Wl||yUD!5PT7qd|k8&rqVBk13hYReH`2omA5_*0>iPyf=E^59<^?Vb=iqYH_ZUq`RCh_3+_1*WnI$?*S;Pdv6RrsZX*DMD2?&M!S~ep zV|0#4hVkfd%IYB@OdqefawdlrUq%orF}Ex@78Hp=aFcS^!HLMo ztn!@pc+41Nt|LOJ*@a9dIfSPC^H#Q&iiM7|m9*mK=r4N&&{wW00PaGORd@rRyT{`R>9A>|Ea9=l{k+~yB* zezjI0t1sZm@CP2%1M0D9V!DFp{cs{v(9;SGPLBxMinQoE)O z5|260KZJA3z&;^-K{ghWE_#U>`GSa&$TjX5kI^R>sj7&$i);PFMe)Tt5ScUj=!KC) zBtjiw^99K%p#a*Zx`krX7O0aBtaB<{h`WU8Oi=S9-Y$IRKvrDMDJ@d4EpnZ+^v28V zTcmmz(xbiWw$dP^PQ1Idyq{S6ksc`YRPOT~jA|8@B2#|V4{T&W!}(^5#nzytbjHPp z-8eaL^$sd=av|FPl^6AHG=MkKu!$KljOUxB8N*gyw_!;hH zM^YXCsOQ}5%{LYtV}_cCn6f*|#znshIlfG_oac9mzpu`Xv2uwx_&llV2={jCzI>+L zB)b#7iwG&64LNZ-R^x5OY0RDxSTt#YWtX1Srv}}==Zl!=I2$!^(||(@-drQ8f}4ro z!O8K~uvNagu^+Ir@>6{nN!Su&s8SJM4hV8gb2Y$atu%@g!oi4yCz^@=aH5h^7fvKt zq`1`-;ec4r8YcSzOT_w|B}t+2qwblIE%Gbntb%RCdmWQczb4<$Ak6uzm>FZ0{w)Go z@*{zpe0k46xy|#A1`RWJJr+1{UW~lkF+d;-jwAN9n<22>i24q8}GJcq+WC@%d0*j5S@L#lBv3<532V3j8Cn|>G&Jg+YT*v%q1PI zD`kgj271{-7}TA=&gV6tIg2TloZrnB0x_#R7`IQ7kw8jeTjKR0o=V&4;~D3tv6^CiKM_}0>@@0QFQ523SfRTcmPu=>9uXKzy?*8+6}m#)s)f?Q#cqg|FP?=RmB ze~V4@sz)p@^zz~fr;8B0C9bdd>+~v!*kB#XP2W-n1x|PCn}9y`xP`0~chGaCpU+C! z*9u){bKARJmC-a&^lKKdvd_tgV?iwNc_^Ds-LV&Na~@sM-j3nwHtT2vr^f_xX|)+Be^r zSSJFX*3zVIs%5AiddlY?%3hVS?8s={VQJA<@WW+?g*tttK7waAB}lHr3YV^~Xl8Z) zC1n}#RC&Ou>-bdJ(xyBw+@e}h#RMXc<1JL&K^@aAW}@kNq%%2w9DT3ZYk83uqcRY* zczG!8q5z&`QC8)aILbrI_s+>}-(c7%v>9x?@)L8bs$eWHQ*XPMNQ*~3)nsRz9D{k}fILz#ZlvciR@z+iSFv?wm818iF!l`hM<4B6gj z^#GRWtD?d=v$PR2vfq=380Pr&t$V4=nkPR}^r|{44TWfhGt6G{kbEuWQ8o{A;kzfO zeOef(zKRtU>O68&I{I~~byVIzTC3P1DO8Q9i4~V!3Qb?AR~S+=SH_VF@d#*0)?@iS zfB21^eGw%TD^fMjl!sT~6)rz{sFetSOO21wxFA%H{%n8zIAa0*BwC*>aU*n)uk?OV z662W(?w4&L0m&ElxvP%b)(x(0zjW3Igx=W z$Z2U^>u}o@Y!z2;ZSY<314gay;Q*nwQ%Q2ZeV#S3*$l~qaDH8o zmf2M5LCEdGWW+{>2G1FS6f$B(+YA@ffYT#r-XZT&dVmF!ZE_7rFDG6&MT=Tbvf7h) ztLI7dB!ijStm36&0oJ!0pIfb72-M`&UOgsW8skJ6u`v4jnV!DE`QlWDA5 z3m!fJFld>`2a}2@K*sO|&64=X+7d-XuFAjsrJPs{>w$s;u!Rem0#mmP%uwBbU#mM(gpIx}~L4d}83_X4K;Uf7-0D^I(T zcxNX)X1DaHgS1~5UBO*HKqldPvU8Um;es6fS`!tc&(CA3Ed>1C!KNc>W@TvLkS%%RNk32A3&4CD56%_=<5Vs-D{4fTA&op>ado11Z>HxJWj!edXW-h z&G+&B(?uMiich7SAS$9z3GCg7i1OBpRw42ipZB2>33~|E{b3a6G~-r!1)VzZdLlU< z%Z~w#{Q$Je%Qw1X%qr@wsY4-ol=45?GwlPO*qjUW`GZ=SZD-%0nPi3CYr)h^S5x_K`dIB9N8* zUiz2(byTg>qiv(!D@T;1pDlie;bwAhoXY7759BuPFGyZNn77G~5sGqKiKAKhnwLeq z7u$I9931eaqw7>V(x0O$-rLfTFT>v3dNNJxSsJ;P^RzE3U*$@h`vb|VEvRt5h6y<- zOYI5m+5a_!NbXA|ZUm2%yyJdqq}Qe}WB zCNcHm{QVYQq4X76u%oJGRFqM1`A8VSZB@GSr|sOan+eG)|LNjLFbX)rr5AOCzZcPq zs9nFnsAC#$gl;(rtXLJmthv*-9;fdg(8lH15E#0q#XD`n>ADutxCL;xxiTf*lJ56k znSW2Q{W1!0)Fk`r{_+#pmPO z3Z6#W$)oezx_*+!JxJqg+_8Zo#sgE)XIf$o&tF#0A9sc>)n^J%WnS|^>`j8^+qi2& zb)&p>0|&PLM|iO#E?nb+PiVQNuFdfLs&IJ2)YbPNQ3rG4%+`B9ko({rk2N-cDUyox zm)1Ek_qWHG+IQY;cV*UUHm!+S2_S(#$eJ>otOU%@4#_W6KJv-ge%0I^F+fah;#&5| zDEI)ny*4ksLAR^wA%LRHFlW@!E_SB!alNfBMM$mVA;y>FevA3p;J07|%q>Q~V6T6P(Zi32tUXM?3vIaycN>pA#_jqwTB z)mix|bp}s-IBT%7O`CF*hIyX$C8Mg|p9_kH`z$umHGr`tI#AnFNL5h68l8OjjSlU! zjxVSjmZNpebjq+mQQybvx$26fvzQ%^=paQN$IVg6ook%WuJK2&P;L zD{fv4c&=Sg+j=fJ<#eF(s&;a4d)_mvyXh_`r{atfQ`xwukU7y>?UC*e`ceB-D?Xw| z-GH8Y8p@Duv=%keL+tl+-OFenRT1@dKzCeH-W{PL$|` zWlD((QTNp=;z|TcM|O@+NL?8D%<=t_9N(~2Fa1;lr-viT@oA7fN4bk;4B&Nlay~Se z1%};^0KQi_bNs+*Fxuic%V5`2vj?9i7yiEMXxfyb%-4+2Yo{&oGSh3`snvXemkU=p zTuhCsm-dtStI(XhFF5Llq$s@TwW#?A9z?FU*Tw(mQC5A>#nb<)zK&YXR>$KHmHqw7 zy}Y5c-4=OQbw7dkQG0~VQdcjDQibo@IjYF6R}si>+)c^`m3~&KqBsQkfsPL8&cT;Xv+QqBS?7H z-}uR*(ADeFF{5^C#T3_-4z+@((_?BvgMvrl3DAL^Ns~!FHY(}dMORLp_{@%*QTr}0 z0TGr+Gl^tvB7Qlp%F3;db9hpcRPmdOJD|u(KHCFP^-uTbZ#$`4darFqgeT3E!pWcP zU78Q7IQaP`uXYAzV!o*AS!<@7m?#c=8*tIS%I05*9M zecnTSpp>?2Xl98!etB`PKpq6UHt}RaLm*Vro8|RBG=VA171_&sfEjuK?Fc{Y$HFH( zrIJy$bk6JN5)Tjl9wh|7H=FCnq@-do+n>l-hKEcvjUO}iM{iF?v#ycQrRtSY_QDg= zI75^+ir{slSaB!$;Hll%(v{FkQt6@i*b(Q|0HI^+p|9PEZ{L)(&0ijvfOdOUy8P7? zBcLvYR16DEO+5=RE{&Nn!yEp=E%<}H7;Xt#j<2jt zPb*DV2tDdKi5_)?alWbWS|=^R9T#Q$ckUktW-PAJud0$CKk2FgAyDz<3MNJ54EN@T zf?ccib0A9J!r^1>-)p|}<8=ZvNZ$H$j*113-Y_`(>Hc@txt7e0NfKt4=R{h$L(+hO z_6eV3CqMr*3OHfh3n7{p0G5N6H=xTPfeC*;5+OBgJ3Ezrg_tG)JRhsdHJ^hxs3O+H z*B|@BBK=!$Zupltm)n-@hwjvl zMjkf}DNAi9hX9{wO~F?lR7MPuJzVU3Y>@IeF@&kDJ}d|U3r>(g1A>v2k?CS#CTAVm zA~NGZE?63^({KpS>_ig^M*nVIoNT#>P{9T|pu)Nx=U04cRVBsm4%O`nF*(;@;bMq{ zYzw8cb94`yU;Tg)@9Jd`L^v*WTdSOvrnk%XK3C+TfpJ^%AFMOIal!i@Kwf_`3xhc= zx1y7@xh`>b!orkK=V-Dz!5b<#VLmlh1yItVkWOqFe-2^av!4cE6>pvlMoYgj7G^s5 zLh~)Z(}Omhd76zr^FEL1RZRFMZVVc9Eq5DC@bftRQS!efV4l*;+Sa?r6f$xEcAGk> zpSA4tjuFGkzc3Tta^9#MorGZfg_byrb|T#^Z2tW=u#6|_^H62TIopLZm`Q()k27@oA)|r=a2q;y`HSk- zCyqT55Cj)b^2uygp6uUbU@9*3a1OR=Jwb#DDc|B!=}W(x=p-{azIilO*#L zZ|OoH9R)DweTj8PVheAE^3c_H4FYDNU=-$Q4COCU@;z0Y%C+yeqe*m;&&=1(@uO07 z&QV3h=T>pRjpxS`pL>khnLvUMmEm+-KlJ9x;u+SC2pv%*7Xj&biTP_r9w@w@FW1{Q zUqT+lHp(3&iEjo{CNuvc*>)$FBR%`!Bv@C}y|Ngr_;kcze00n>JI`Xk|5N6z+zo8+ zSZ<##6U84Df9L0u_Zsj!^ATmWVvW<>et74-$L7NGwzlk5;_ihDV~{)ZdKQ?us(0ee zLwi?lBfm|J-s|qURUk+aHHdzSY>fiDbtPri+!g5*-7UMn_s)Tk7Ztf;DGUFHU~}3) zh8xaWLv#+PATNlFN%qj>nUg{&$6dDRx@sEsz1Bf;JP-0Juvli{G*MWb#+CAZW4##s ziepGOj%{8P(PMe$I~(#~Xd(JUP5zvToU; zVhmWK7GU*_F}@Law~a{u0WPO`8VDu0qztR5?3uqyIP0$Boj87a+tNM+Mz`wISQ7tm zIdm4)Z&k8t-mz#1)n4lOMkeH?N*iQI0ys0%g05>oNvB*07RGqw)mFE|1J@a#d#t!L zfL=seLT(B?*8!ie-;2lxA63odJSQyhf?bf>A#FWs>!XjBJveJ5CThKGzVD+kzVk%- z^poLzR`RRgP}chP)myCu?ywzsgudhiUyWB(XS9h0O6Jrjj)j;(uhfZl-8f&>$8t8O zE`C*U2eV~DHKI7s8LVxQ)=5?o>_nZbmfWV|f5Vs){--snFM)%m21KQ?DV97W=$dPL zaY1xj3RD$+!9XH8n;&pKdCDfA1U} zA89nIb^*G!&U6OeepY|X>5?>UKjn(8C!K3jEgd_V_cEW`Z!!it||x|CgTA`&^f`#!&n)hREM z$UKI3#Ov;Q=Zg=2VXT_{UBU+HO-2r0>*k{5ri*NxAayO?k<-u;GY<#;NZ}xjH*W}n z1kBcr@108N8B4cGWzn&ESsc|^Pu`&h$@=8$3j5=(UOzA-B{KTEMzA}4idaR41e8eK zR01qb8ap$?Ols}Ld$KYN5H_3_xzEaXo`ZdS#trNY?7<0!_&*Y00x!zMC$>+Npj4za zTh8^_hv;91kasVG>enTuC<(EP6NwU!#IUHG9E~0C%2BYJ#1SNpBafAkICzkI>>**w zfs%J*h}q1PIbthib~WXJuxutJV)c+u?!-v?$gzYH5|ZC->9Ko6?b0Oaokg70|2sEP zXV`>Ax;TNUY9<3Tc)(LtS+wtn7Us#RKsR;BQt72Zp*E@NRjJm~CQD_CJ_}u&30njh zsOm1Qtjvnt3flfL8GumXEE#dik?z`Zck%TuT2r+9j#oL!^*labiH1FP%nax-81KJ? z>^T;^{4qVNG~(sljGn20yOw-Z^FGx6Ht>SGU2>;nzEcRDDM>uJwqZTtsa{pjyn$Cp zJ@8V`xe;UHtItV(50ttw9jl6`hkf%Rqo`FJnPHNrSv*H*|L0UMeREk+ZfXzc+Hf~V zhj~x@4JQE2?G{q7Y0{ju`J+_yrX1(4*Ccdm1>Gm!O^=P;>I?;@CiR2c;ZZ_3;))_DQw9NbfGHL?THxU>|XO6BnxHqfO zRZzg?O5I$Y-N&`nC(i;|l^fW3w9iNzqXmdl@2I1QZrs7M>}{sXz<2<)YTMs*n>uVz z+G*oL)SVt(2pZ#mX}wzkw0+@pk-^RDL85e#)!gEp564 z7Ji-b^|Lsq<_Le1>On4<`eV%lH**X2OiV2$E^Ie-)T5ltn;52 zExvCLMVlWF&9cC^{O7^x$_DWrMIL__XmzhotF|xg@YkL;XHKhGO@Dm1>{H9)OYuMw zieP=e5m~U#=LT%2wt9pz(!XqCzvN8TG#qMFylxe4R_X4etfO7=4!g6pY-^blDj3!~ z-C380<**p4;ZMXiP@_?UHfY!uiH@W9@E-0`;ITDIh)g()WtX6PSIbv#>p&j1S_Bv0 z=lNprQ>;U7`eWIu#WFE(X%B$#EK9$RoO93F^D7-k+nt^?BQlgT!@LGR;fRdRNSm-z9y<3Tr&fTN0Qy0n1k*u`;ndY*|*UN?LAD4{$&MA$YhogA^zKIxsVp z)iYNA{^%te$2FgT>XkxvOQ5C1jhnYsk$$PT8__=EO_apN|h)!fAyypH(BZ^f%J|E*$?6PDU7|(Xymw&8bUGRUt@}MmP%Da0EBMYMR}G2 z$9eM*=GY_*>Vocl)M-@oSN!4d6BASH{-%`*34;(oQI^8@_r;tX81BVJKxr4Aec2Vp zA|kB3FsWp@l;f7<2$NS?4x#4}3lb>xm%vkY$E=p%W^XY{iXWD*RQS#e5?_zMp!(lO zWeXi=KG*?CZ{8oT{&vk}b!t9tM)UVLtNkf@_Q$IU{X*ymm-BF;zJ8nI8GmIn^)2D% z>7BoLTmh@sDbKbjC#-GH^eJD63E17(nlP&kW5rgED48W1eV9Y`Pr3>;k z;MovUK)yZgwXsJ#1n`liNq%2|K=$jF<+t=Yi=sZ*Pt=Gjsk|GH13ZSt(G0Ty$VZ^!8I2@{Mu zkScIdrv$Ar_o%1L_xVvOr|>`W+KW(6pyUmOk8(-SG?naXv-{b_-0+7rbac1o{exKx zJh%*8chF80&w*zHk`LT~x_VS@1R57Oj0|E!Q8DK~Lzq7GIWenc{+eiRP_5=R(Ass7i|CjC!~M=0K^6NWd?wRObL!@uNBNNN|)F z*?=@t3}qGLG?6#yn?61>`PI9>ld>O09gayuEYTFTQ2w=tn;uOaeSmnpJo^dZrJ=;F zoB&w%j&5mweUUf5^+5!5$|Wx-nkZqMz8o?mvwtVgnWw!Ob^Zoi?QBRl-bXBVjxBH7 z-75SuZsB5_N_>#E+LiI|c{tg28SrE}lcnK%#7~{ThwYv)e-OmO(qR38xcQfq{o!1y z50VYYgiW$jPp8#|+t*x~R#EA-P4=Pz0`S2VGw(h zmRK*+3mdVCk^B|v9>pmfL1GjV`}RM*`njAW7Fo8IBl2znDQMx{Yk0puobhVwBd#NX z{i(59Iw9)w$q8@j9PVo+!Kx{aop*_YBwJBh4@NxexXF=bT(kXV<6FgvV*j@t9!02} zRufH=9hqOn`DfiwXP;(_2m~J@y92RM2r3>@!-}ui%9+gbVFp%?J;FZ?h3n%GUZW@T z*qvn}rmxFmXchF|?BdBXxH{cm#?@+~d^PgKMAXrmQrUwik)38$^4dUO+aEdQ4clLJZc54`9eNkNK&;GpFr zdw0)T%YL?#ob`_tQX3>e&YJgTOBw{_p1FQ{nH;HYXaU{mp*&?;woHFWWEga9EF3rcl0});Lae=-M%hg?%?6&Z4=_m+q`1y3&17}Hx$5lU@TN{3# z_=NO;b=7-A1QpPCTDx!4QF^CWaY6_2shZevw^Iw3!4*X>XZzo(6}x0*o_p?>T`~!g zW2>P}UV}?nIfUrm2Bo{8VLg&lig(%mkli zGIx|P}Mp>bxO7I4a$6c6-5|eu7rG)MP#}S^x&YSK_T^Sgio^%YaY0>;1rdf%E=Dx%7mnpgqTy ziyqxyV^9c-r96c&U(T;6WfCLr;{Rx-{4LdDo&_ALYw9=rh}|1OFs=4L;rjkWh7d~K zi7rX_;yKGgCt5^7R&_YA2|aqZVz+=TcWLJJZ<>lxGmZ!h}iZ2~)l>uBP z5@h=rm_iyY(15%Y5mgiIiBfKB0PwZp$kz^#6@E5zK<<8gT<&$TR%%h3y!gs#=7^BhajEX+`Zg{j16 z6@@Hzhf+}uX_?MtL4ZtH9DCdNdSAp>BK;0YlBSMDE)-&wO3n}!x+Yd@bz?i@s z0n2^G(ekInemMVu6Buej*&xaT`)<*~4d9!?4$!4cObPN~@Xy5^l90dT2!r2V#O2|) z$DvY3GWqx8Y;|Sw^rz9zI2~>cSzs8=lj08nlJ>(u)+_k>h!W*KbN{pt1?!zoE`d>< zVuu~8e5Fwu4^y4-XT65lq*=Zq{7-cOtl_^F9APtKX{X#h?;Jmd)P-*&B5mZ8G7eQV zMiVVg(aMpk6-c4er|$h~EttHS6R@!b{u)c7_`d2IjVrTDB|qF}NTO<|Et2*3xl%rd zYzp)vC!hQrbdXl`bLrNYK_!>T#sPSvC!Snqc7Z4i$SuKu_yh(u!Zme@hu5);%Vcfv zGI8(IzN%+hJ{mW?GyclShvi(9%qARtVBuAOR0l)NJHWFBIW!(G>%w13oi~C!B8g9k zx<@{o66?Deb&12j8ylptVoWA$zTFr>!q?K|_Vw4)t>p1?^BW67tTsjd;YoXz5p>Oz zdlU$^S#-Yk1_zNJHtYAAyyV1h{}D~*9AmUe;57!51N#=4cac_-v$330V#Q+nxp+|Y z``6C;L48x=*3z6+o0IS1n#9#|N(%??CasZ-0)cJ~w7AKc5bZl5o_teEi0?tv$PQAy{8TzY2cJlF8o)Q z%IpE`x30#?J@gqouyZ(t-xZI9vO$ zpZkh+W$x=!GIX@a3)PKsR^k$mPylOZO7a&>zC;){$|DCP0PY3m_v8epSq#<0ZB($W8~C5_e`Gc+4n|TuE1Um{~ag|?=5GGgp|R;X=MAD><+Pc+^g1= z0G=Sgk|Vse@rzwFQ9F>0k%EusQ}-5|3sk2p?h6`tGKCY{yVo7hmHAlAjg)^~Ur-Q! zn&boD-k8cg9zdt(B51$+euog!?NS3U&{{qvW5b|cvqL7ScLkB*Xa2Rb-j|Qnf%Kse%E4+@5Th6fcMK?5@ zjEFeu@e*_v%tqXrRJ$EoFLQBT4XP~UIW#C?TuJ=Jw=!=eR$RTCpe6{zEYtnf9XoTV z^}X$=3T;9=5&n3EQKKnh^e!o!Hn4)BheW$130Ai>Bhd~@iPX`}`GQY75~HGiOo>f1 zlg1O^6F4*-iGENLJvSg>6TY8wv(+k7Qph&V4Wnr8nxvM?u4p#+=e3|hE{M}3!7EPryz>0(hYnUP+KeCJ)CWH| z@%B+lPUw1W*-u&B5#DnKvnnWOO664Sx8=zknA3VF{yB9dZ$9#bg?jR?-O=VY(#)Mg{S_X4O^L*7E<3E9iNEzaCVDOUy^%j>Y8{ zDzlV(CpE}COtYlK%R-aBF^7E_kcMtgI+l31MITO1fF84r3Gk-|J+mEAB>YW#Tp6>v z%$~DgOt4`xj3x?MnuE?QDE3&+!|HkHEUIqx^$iO5JOIAUbt3wcRaieM;_kLUz_-=ed zo-W%BcXhr7B!xPMi-hV#zBrlJ-u@dHOb%Cmb!1a@hzSM$?ls*HB`-YvX2gR-wJTu_ z^;E+5T18TBa<}0Y7TDm@%pz$d^pOR_U2H_WX7MD?PRiOxMB8-tYjD37n65_UK=t1x z^Y(x>Wa4RmQ{QOc?QM*3qy1t(#PK@P$V;I$rbs=UPs+MQ^X`w_vwQ*!d&w;6wSzPN1i+*bBt1uX`=q6L2mI`Z zG@9YH~+ae?wZb@-)aemj91mjfqg^bf3vq~ zf^z7#{ods!EwiV#0EWA`DNx5&Y4Qsrn>;x+O7`9|1+(}Mep|lCal4)FQ~?>)=o~T7 z1_0um35E+Qn!fY%ipgV;2%+79TSTr?zX7*0U1Drpj|Lv!-uu18Gqvw^Y<8%o1sP_I zECLx&^FQ+ebCGFf*IHS_z8U8!UGH>k@T++@N*QvxKV4xzi=8qK7I+z_S090y6>)d# zef$IKR>8Bo2)9bnd6V#Y97ND^#n(1Ot22UviEeH)6>;tF!+y_JBtp+6JxhgfV}l%o z_$&h|QTVXIv~JWYqX<07NXmv7yA$~;`(VC0*m>hkd%)0O&flbQ7MA2m zaU5actih;=o-v1K=aWh;WoU3BWVmD7mW&d7A@M^ z`xk7*z_wC?w#dY~6|Q1rWV_)q`vIjib#xt5KgrY%On1bXnWbfak+vqhffHv4rf)|l zRW#GWi}tt%9Zx%FJgm0WC&%8hk4y<+x`$}W{M488nZpreATyvY460Fi|C>9yrcm^dg2h3FA^iy4ABn{WYV-*zWjRZgyl-#SmSbnw2C9M?%0wqOBpT4P zJ3``N&6`yi*53Urk9QVIQG+Spt#rvNcW@s$SnCvl{cn{;dZYe4cY!mJ3)s9>0ts=8 zVCif;z(JL3_HO}D{@PnFnLP_qqf?N4rh~B0!wHAe><)#*Hi@vqdHXeXdG{j>0pNEx zHmTQSvBAjJc9X)c2T-SOYyxH z4PO?P^hW5{5p>L6*w6k6?X9^8gDttNns%BK_&|>!G%9>5Y->bT2A`)sN3y>Sw=2TF z31or+VDM>QDont4cf93{*I}cxPPlj^8n12uVoeYjMlH=bku9JsVmW-9PXrJ;kW`Em zmK@&VXbQ2pQO^D&(-=0ZRA)6#n;!k}BM)K}Q zNWZJ>`J`ON<;awafk0nyMnV}vL!O!%CsrZ;V z*t?8iiJb(}wd;34I^GjCJ*UIN&DJ`9SRkeBth1{Gif5n|9x55+wYtB9#l&Oa z)+S-jPac$*kIPto5X%_~HuzGt=}-xbk|K=~NS6KnYmZc9HMD@8s~E}vOps{9ty=NB zzCN2vDNYN^cJJ@jkQY3aGe+JXI;Ad-QJ9$==HV(#$_^h_87%XCmMcXMVpRM~vr+=M zmxNu5U8j3xLQwEo@_WBshiG_Njsc4@?gdPS5jA?mu^^gS!d1l-#pRGcnR!Ohm7>OS z8pWIIsIi~v270Pb&@}>J-i&u%igf*1+$i#${g{+jk0EVsFYFBSZO_J=PNY;ldZOK! zA3c9=W|nt+$-d6tGH$poG;?jD{DU($o2U2^WFE#PaiH|8d`@v{T)?@3-Yu*BqM@QC z*uwwG`GYi8Z2`7`$QCTvMT_SuEkVtXHYM#Tt(1eKChyy`vpg|2TI&Hg?6#0ITnH(k z==Ad)AW`mgd96=qS3-vDxX{)@G;OSSXi@waQ7m|G{I)^CU@VQ!sq#8_&gZPy;g9Xj zt3rLSK#9aCs-f#ZMEewQw+D+`fnhILv^X?Jm(_Zcl)IFO0D^5w^nbSrlQY+!I z^|}yo9=F3z5!78dHXeh9^G7`+Gx=j}E5M|!rkaf2?wTssy0e;cEhG)~rDt9-OI)|U zpmzAV^xdScoyoSyBZ%Cis&I124`T2Vfe z^88P7aKO)kz5RNwD25B^)E%5=D!w06fB# zL_fvr7dhz2=&Uak)q_tz6Hcg>Kj>|(y3{gvv<@+g>?3%{o2Y!-b2NNZPIU}w>yyrd*(Syp9LOeV-9&WS>pT}64NiU7ZPI^eQ2AN%j? z9vNMW@whReK9i5$8kqMCxTFB_2&!#bi?YvG{wd-8ZUNZyciyB*OVwE#^S1Xa7`YvK zgIr@J$sR{3_s>=HylUUHBRiux+h@qEZk6S7x-pq@1D(#k>9Q;qD^E{uw<<@#SaAcz zM%X+Y&X;k4fj3U{iqDXhQ+8FSz$&ik?DlpZ6{mbqp?;}?vLi1`OGA}8Q17#F9LSDu zCqBL#xHs~m@zY;jUF7dMSi)Ch4I_P#PiJ?MJ9WhOJVqWXq1i@9^ET_7Z{HAdM^t&0 z-|!~R9BVE5dpI}7iqUu;m`bnS-s!yue!EdFj%x|s+><< zF6p5zzz?kf?mSt5oR>0AB71ITO;d1BQX|B*iYQ zBuZ$Jg`9O>Qc8TeW6iN~VOoNf5JkWBkFwSFtI7sf#n8;`xw1K=6NlLgEERAWG5?=8gW`ts zOJrEdvEwR*Tthp2xsFT6&TnyCC|3h$cTY7|S`Owzc8sv4%)(EToKE%PxmqOmVFj}K zk%WUBzEc%nvC-9~rSzI~FDBFcCHk=|ZDw4z-R$rpO+f#*^@PNK#`Y=rCLANB6R}P! zLq}U%lU8W_ctCCVxKE5@yrZl+ad6jtQaye}XDl6{OjTCkXfNBGj2OX&HF_*5gGZSQ zP(BGV7+rfS@|=EH9IrVO&|PZyVZnXpeftVUu4m=`>-s;%g(;&>WG3&%mv!q40qn&k zxjZ*o>hypolPqVtuUP2QGE}5Uf5{-~v|9Fg*>rv-ggrQ?N50KI!9gB1PU;fkGVfI6 z6>;6Ln6Im&-w__}NqBd*%m#~;F(hJSOTf>dv^(cjLfET{Cy^(Q^2^`IxO02OMdd6G z`Eu$Qblp5YcJ!lC-0EAi`UaY23NS*9Oo=A+osrbz{=gl4Flc)832}|8ZNs&S?4OLI zAhSiVu~Acj&@L6l>RY{IHkL~K50ma5CF-}7zSmh`(#drC#5>ACN1<0wR$%i;rGx{s z(+)Dv9?Aj*xnW3X&T|p6b0T+OU(tW)cnj($PUB&+2#vXPBDiJ!+pV!K>;3G0;6j3W zwnx(`s8wq|mO596<<463O}D`t6unLJ@EJjC((sk*Ixy@|)|Z(3X$%_}V(vufW7(;u z#P%d~?3riqZsgfyE)-bm6?iUD=md|#p07Ur+y(tgyHGjKt8p|kMH^;~Fd>P(`FfXDxcC8x3cV-ZvsnA8qgIAaFbm-y@-8%F$wEZ3taH^Nq^ z@e<$uF9YUUw>bYEf32sK?d)Iw@Cje`ZzwKkzx@;b2fq+tv~n3g>RWV!mepy!UZPv~ zB(`J(7EjUKn(7rtHcs%&a40KCx`iZ%YO=;%?H7{+&2MW1K+&R|QeFOmte$Q4AnHbft3 zta|#B#(~jl%Kg8-!R@&BtG!uIILA5z1@dMV zk-u>vCG*0c%-DRPAtJ!Lmpjh5WIrdwtq#4ukB^sEPmoFM%p0qN``V1dV)s%BBvVGb zEF0C0p_Z50w>e$h4je!QxID?IbTP)U;&ScxO{ zPoO#QYE?Leh*L(!)%QpSTGvaRAk}%<-MSoH7)JILai9m7>+>w_cXvs;kQbci9siK| zA5mhVgUe4j-e^(0;53qL&&NMs>%2 zG{OjUY80HGGBGfWQNkL3Lbrs5lmkQkyRToqzD-!H&`0?2VqaPE28&O>OFyJabKsrD zhQ>WhsxaUK`fr=5m>ihT)GYBzYCcmtBhh|9HGVeSp%eV0881_=Q1)-;AykI8?R3dw zLG|TcRb}F4u9Jv3T$ZG$n8yI=L#2lC@J%vi3WG-2e)v9yqDD$dLu3JUfIea9s>`8q zLhnSwXIS>t5gKFg11>WkhK98|zr|UPVXyNUG65}7AQlUtrs_I7O@}C@aC@^VS7i7G zQ~n>%#%*qo1K07hB!G%gn>Z~E9RPnJ?99n2)z!S>GxXEY_yif%J8%Gp!cmHoA#-mw zS|8|g#sH+p{rfL<#vq#2khx*|K!|R?P~g6n!I}NvlfI%{YQ)zVE&n@V`~I+Ed@bTz z6UJ<{g3kvUjQp6$*))Wf$)AwV0<)aeD4Rdd5tojo|J?p_-84UUyfZKvaJh0_C`S_= zIpZtrlU@*M4c&bC2KjhnYfkPJXB76>K_7|k(1=lUMBI?}5E-3?x_0eTI5$y%F0j(#Uk0W_%v9v*hBvEsStEL~ z(KN46={3!gx2KYW4c(-c@LiV-oW%AW=zmRDxiN+IA8 z1f)YBYxaesrBv>To1*M7o!Ff?cYCrLeN!FITTg0*d=by1yKJkI`CW}nmHbY(2feF` zs$k_Ttp$$p5=i`a(=CHQTYC&vwS_19e0}>#1U&r0f%$M0{7J*+?^_*r$Mq_o@9J{R z<)%aB&vVT$Ie(>e%j>6*r;`heT7G%-+T~gfWDk=O?|SUn>@4DPxs!x;1fvOjFH-x3?n*g{65oHU>V{sd#R)zdVjYb{l&+npT0 z-_QL@m5U`Y0^p_00uPw`cWqs_-gs z9zUQK@rLs&7DirW3*IX8UrQG6r(`7l)7d!SrWn)bb=+t-fgQ~rJ`mR#{|-16yYzh4 zfp0#IS{x$)%%S7)QLSt~RSGWeD(|C%Vk_ezKhIrG&&0j+RX8;4D4s2$ppynA{b{wB z0&1pOrWVJ2!t)Ah?ZB%wH!!9K60_^4Y5x609Odz#oygv+4QKN^<_lIjf1`lyNpYdq z^%mhEG6PffQJF)6C&W1onagYW!(!=+wnM6_`s$yP<{FcvKM|(!7?kA4X>DAXtG|Ck zMx2_N<*b2pNx{RK-J*_OQA`xRxTDp9C--RFl2fzYv8c6dU$(3Nr`v zXnestb%hrZ1N>t;Y!=xqdzNf^VX{YZ`;*wd7qwu5qDTplN>+3VNx~5n<&`2*6;CS4 zI*I4pMIlw-@yX9f%vsqm=m14p-CY%dSu_@ekidjkg%_p_?c2NW3w z%K~Ox-)JG%UFcO()CAhjgfd{DkaW1Op>Uy**k+wYp2mUGlIhZc(P zxW%XY&M=o}+4|Qo+@w>kj=Yc8jdK5B)_8+Vsnoi?sWxnAp*??6HdSv``PVwI?)sW; zLWT=>d%3zTF*GI46PH8Jt2a>fHkOhQu=tkO!RdA|idj62#!r3HeF7Je(N9?+1PwTl znUkNW~>ib}tX(Fj-_Euu$mhWy;==8EY>9$^=kyXY)( zo33l}YmT~!NW@HN0FX-i`z{@?p|N#BE~xoXgvn?pu6(!P%TAt8Fs}mKe$geN_Zj`1wZG*h%w8&UOz8iQCj7SEp&Q4*Zlzqw?hFBDn>3%cTo|0?OemXLn zYm)bnz+#PnGFk58|KqS&Dvj5VygCeL)NCGy_m}H>Y#7EkEQ0Kmd@Slzq=;+Jx8v2O zB(Ibybbp#}GGc(jo&`(B1iJLmS2BGsP*8Z0E2CH+_*K$-00DE7t0^{%kZj+bb`Tc{ z5Mvy%f=&CBP$5or+%G22^9O+$GD!T%*H1`*hp~d53_j2>1XCCDG3M3;S6TmQu5+9i z_+dG)pQdCZ&4RV_J~YfY=I#rZi&C;=-|?Sa&3R%h!Zz!B;_Hg2Tg-O@tqw%+3y6Wl z5sn)T-CQITV)GAIt+j9Kl*fn#+>MJUA%`ZRUoEvs@ z!RDw2Dz}V%(Iv8hbhkKHIvI)8Yd+5sozz@EQqNMgdKS?$=0&Jz!&(XYWRH6|NJw(i z)y8=%I-+Gt-?nxZ|3lFTcGHGLb~(?tC^{S(0UVt)gvtGoqyhbuve{Pov&S7qF4r|3 z#mc;JJC^_De=?GN?95wTE*dkL;U7ck5Bd-#PHfT?r^$xnr!3483Eb4l50U(aE@Tb= zmkG$vu&94<&SEL!=69O36rm$&W6CCAlhKlzy(5efb*kIAZo!O1yyQ0%*qR{nRrkNo zOcMxAe*f7O{QnRCPY4=Ypa#h2U8r7B2nWSv^w}nIglr*b7Z#fQcqnCknP;wPN+ZO~ zN0V}f(EK`|AvjxBF$7VS+$Jlz!lcVO6Ey$#b*W-zLEA4&Mxx`e%F#FGbRQW7^%Q;p zTEbD(aW=rK_GWoLl)t16ka6kcQ7VRyOx+)g6@vQsmA5_ReQSTySJ0ILvB^s4Q$ncZ zPlJ|NJbx+)<>MU@xFaTw)_h^DUk2r->30z?GPlX-yscv&X$zKcc$-Nv<-(8ZEU01DM*}Y`2x+H zV@a*Bm*)LWT4S)K9XEdM5g^IQuBmi}`2O8)!v#Rav2ixu(MO9HXz7GoiWi@o_;*i| zKZkUU5d&#M0@^86Z^rMa($!V%i5YmxjoJMWceg_6C8^K{p#k1f?3C}tX!dp6%;B|L zSDks(Sf(PWhmVymBVkaAMF-RoG7X%n^Q-k3jNQhdd0Wg)_M!WwtCj=x9N!$Qi)G|} z0LRp9_RtBqnh$$8I@3rZGx6k>S zgAq)B9An3Oyle%bk?TmxN#uE~i$Z_+)m~ZWJ!s%Xhj-d@F7QCj2^ZD6&(@yXy=#_7 zA;E?9v7AcuIPpO^Afz(v200v~B6LY6B0-j#C^4&?e(iB$Lg-gY2sww7-UR9Qg~h$= z4r#Hjhpx$Jsh`!yIb300ii;bWJo{8q21O3(CklXAV#y+o@{-r_D#`Co&FQ{5$xIGRh0VP3cdU;%AK$gM-ePxXwv)PAzXd*m(Z@YsHCxS#q^Zy8I8X8y1R9_b&-RSeQ|KORp$gqPC^LPH6T<(n-0kEDhg9-m>h>o9Q(t<{su}*;JN+bMAXFXis%x~a-2vw;|HUqe?6xy(KsWc*?Ug09!@fg$TF+Q*@`yZ5)2 zlaK z&1HF$)yyx44)I0>g#kgWvbOk_&L;(c2>$AE8a0*RFZO*|P3IXwEPrzEmgf6Eev|L> z{A;N6#6i>aGZZ$mSYG-Wu_<=#h_kj3i~g1u)v)gGd>g3AtP)4lKOldt3TAR3r`3sE zEb3nORD=jCbIp=6)q!zt@O?K~7)NR%dh0V{NOH=0nt`j9e%aX3;`iBvns-f0wlDx< z;Cil0-`C9m^66fJT*;Z&kNK2sf)Phlam9J4R~!V87c}|Ep1W(;VfQ<~Ks3Lbdz~|$ zTEi}fB`7!XabFCsZDot|P=pdwSE6o#|8IxQ829bkr$2hB61FSVo9zxu!>8O4RO%_h z6Y2d|$mgd5xn(6W(PaWneo#YWH@z5dCe zC+&?vE*B75@uVk;AL%3feT{hpC*#=t43>Dpd6sQU@qq7oxLo+HbHyuwcCv-r**jPD zRk-WTG0pX0^I%a|>+f@ax>ZAbH(r{*`E=(~6R3xm*eKh=MF=Clfb!=R^(YwS1WH}+ zl&&4wzW!h=x-`!%$+{L+9Qbmw?wh7S+LN0+^=ZG3wqglFKDuH;dfynpJQv|VNdgeL z*zO_a@ZcaD1D`uG^M%6_X|o>CmLF6ixop1by`O`?^V4=bUkwj-L|#QY$P7y@R%1XO z@^KV>a*|+7U!TBd(YCQ!2Tnoq|FFW~ni( zxyqhun(xY6GaX48LOyA;X5mUTJk0}N|GK2Vo9;2Gb=9!bEJH7*=*$QicaZIxpW5~UIQodoU+p&3)&$SW)Pvz zeak|<;z-EZd-Sp~Ir+28WrpqgBli4yekoPXh14nk(+8#917Wa)&2h)QqvnGbxLzs^ zGl9O0;kA=LOMSCGL;N&%Tlip2<-x$-pdC)Tz#4WV)=yYnT~;7@az!kx@_J?c1jn)9 zkLzm>)0=4?&Y^#yo&3877lU;5_sIs%n$Dq5_JT~P?q#+H*H=>*PIRC!UXaGJC~qbz z*6THMD=-`$p8-656xpPaT@9|ir!Ijkj;6Jb^NI8+1~ODiK-oW^Q#&qX_#6!yjsJml>Slm7!tky|YYrRnc{`{gZ3WwQa-1Lq`@8I( zOK5Z_=EDidZ9WPcKeEqO`l@iR#4#9!avQy1%$?@e@r@+*IT8;KVs^;T1?F0ums4jTwred3&jZL z)6jvFcI>Ix-^jQWnIHzX7R)91%!(rT1cN9(xST!+GO*D^vvubQVU@QDmTMSIJc+3n2@CGa#zM13xYEP^W3Zm7<-na;TwWO! zBx~naR!8t>*3^_@=rcYdz)~r!e15Q0eepthk_vbt3~risw>yH0S(ataZtFZpmjry~ z!0kb%+O}!Q4wQ1Mr!X{*45FoWJas-9Y;+wnpf2$U8Mtp*%Ds)i zSm=Fta=q;F<@1)a{9xtj9LHv_8zcH6euqR#>jkaf@y_7It^|90Pzq3osgRk zh1L;CJhv^IsE`4KLtLkG2xLc-y~J-S++kRVw1$g!k&Kzmkx8hyjq)>`OjA8c=k^C%~>wBecgLes|vDAMmNq zpj3$nU1pWQ@f0cLO{xJ!lRv+`JiZBoSf6O}O^#q?Gh_et2~Uw(pu{ z9u|8y`k8B?`bm1|0(0KL18u242=R_heqU7H4oR>P$n0`xlZVK%Fz1BK{#(!c-swoW z%?)RTO{%v(tpDwQb?5*8ny>qQVG8<2o0^ZK%z${NE67h<9~5!@z~CCtNfAX&5<&?5 zuH4#F>G3u$LH1uj{Y*6{7q&e&S@U|tF`0;?;^PkWlD$~fvB`MM2lxz1%CEUe-NzEO zmrB&``ofN=Xb1RIUK4Gy)RU7u;KOwcE>HHK(*byve+MZ5n=5TZ01SEMtUPfxmR^h@ zcx~0*rCa+$VSu?7n~EIH7vI;ZwDQ?!%&=C!0HSE>!chD+@FNeUIKQ)0X;WrcsbIa{ z^@LBYH8;><1Vf{CP(k7Iv2SRmFRg^{8RHe?8?lduq!GEJK6WXeo=`}uAB?tEI8gG5 z#qspYUNI)eYAOC=Dep5Y7p6f$-PicU$mDGTF>vXj)i*bgI<1uLldJa(g5CnRRJPAn zGo_M_ItvG6H^)Ceo?g+L>Z!)|1shz)XKJ8^`V_YJ#TM_W=DYAKvN9xPaYkXm=J*)H z=$Gg68k*JCZQ=6W8}KMKldDrQ29fz?z^B^`h6YSU&gTdAO4ay3t4t`$XbR~;tH$by zjT|m4(F~S-9;kdsvbz=86mWVW;oto|S+5}E#=ko~26FC=564l~)xZ9>ydT9p4?kO4 z5;08OKtv;6SsQt6UarwEe|@w#AfL8mTk%7M6)l0=wFF!**6wYMw;(l_okG#pw(u#B zeo<4xJ|0(FRXQ`;+I2wTEig0aYj%;DfNWrBr)a`7ueC4lb+dq>F(W#N7 zp&BcZPS2~&8qY*BwzKEX`-_H6onI}tFGs=vZ5HZ_r`frtGl%bLK$wgLgdUF&I6PU| z)ps-3&I}l$)S$N7nCv8eQ?iL0HASDN1pzi@z?*6pe=l*|7hE{&Y5gDlY@qLs2Q2>m z2KgTHpHZn|7n|1ZJa4*AJ|WXE3gWo*m}1>Y{s0KP)Zp5X>@L#`qY8HuLJyJqfnoy5qY7|b*jS@hFMV~Kn6nJxz_ z)!2Bls?}M!{!_RkiSac!^P0hT{{NUXA};tn9U=5YrzpLxzCTvN`y#(&NZ2%)Fp}Ab zI^|#*7pJ@kqw{4N{df+>(hyOKeyEEA31@nXf4rMgygiyqgEqCJ2jquKc3hMSfMIe-4sr>2O1Jv>Tplww**`Ym)!N4(z+ z)ff}5RS&Gr=Cwb4c&b(5C~h3?RsXWHSU1HL^L+NiQDr5&E%BpvO$6D0XoZkI>@nprcZp*-k3FsX)$eHdr@=9ou$tp)Z3xa(& zZ?&47a6OK1@xzHl1#I`KTS=IJ#OP6k+)EX@2O2}I0&@2LP$v2^82D}+=P|@Y<$!Zj zT7JV8X+NPb8a^QSC6(QMY)sGx#z>Yu#k^|H+uZZ(E9Ch?S4aLttpiQx*R`=;)jl{N zE5r@#0p0@Pv3Efc>RWsAHY+ohsCluH`lImn=Bvu zB7ruCSBXQ8^hMd9?P%im#}2kx(Zh^kyaS0C16gOXk)-&Z{*O$QJ%0}05a@bPjD~)| zaj%S}PGj?v*YUJ1*>x4nIXCxe^G1!D)35>6=zfBadU;OT?+mAmdGTaYlaw;zii;9M6?g69WbEY%g6U`MU% za{z7u!U%@|5-Hxr*X=3$bKFZ+s|MBEl3YU9%rfBHt!)1(%a5fuF3hxzvYC zXIB0)`I3x3R^P?$-dVnmFC_z<{#A2fLYe~wN#8EGspnR*>f@Lm9tteM+71~-y%NkK zLzJ{iC&Od4cX%P2khzXZ4NdyxuSei_B1&u==@F(@;`S^y`YCG^udnduyp*lk_QV0? zgqr4ezSCk}AJxpl^omi!zD2h>0}n9}2`WKzt$rtp#1_*njZ)uRJrFBMHdb(J00O?!^goEHF)8Sxa zkS}ng&W(*iYGM-wW<+m3ZdvmTj7IF~-)k)4eF)s~u&M9QcAO+|iah||#Nwgrf@tc~ zw%z|z-Brd#)pdI*N$ExyV923Ua*&~ik&dC15)_e^kO3Y#B!@;}02x}kLv-jCq@+tw zx}@Wd&->o*_v<~M&sk@$+W-Ck?X~yfRTu8`>;-W~;JC-E2uZVo!&5*@fOygYg z3&l|D{r%Fer9%#g!CQJBvIvG?P)Q)JlGLI&tyx9_w>b)LCNEf=q0ekRUpDRCv=q<7Ey&oPz2#eBQtrJgEgpsMlzi$kx>SO9LJWMv zNXtkbcu*g3IB-W0pvIXm37B5C+)cwQcb0n^fT!&Bn1A&?^)vD)CrZyzCGm_vm}*U% zM)?_VsHJ>!?U^;->O*fu_Y-h6l`V}9d%LVrl-)<8$U!cclD{#dVFGQu%b>}Bf+uYU zfB?WY;QY(x%_i?f4rmfS!>wPU_){3q=|56yLOkD9G&upt8 zEFU9d_Q94mQBD9~F;zTMr$!_|C;AA*K=BvLy`Dw4y5)OoWBZLfAadv`IH!uD(e2%k zJ56JA{P6LgsS1AsxHxOY^em0A6*U}Oo+A{%P~uC}`>K(a9?;|FMx)G*36Ji3lbDC+ zesg^V@8y#^Rq5TfXnYyp;TYpGcR3Ry=iSn$(enKTh1EY4!9ZAEis`%^VERW-Fg+cjgAmYja zJxK$!kNP!_?Zg8n+tf2ZTMtG~N<23h_h2~1hJ+qMkF&U?{)}^6S+mq*zZ$yxI&XPr zrQ^gQ`qean6Ju42cNOPV%jy?n^yu)$QvKUPm=jC9wQjZ$L3d;q3|R?P-(K!qyLvbi z9G1Ht0N={2?ws`v_2}>4fj^OV!(_(O0UJICPfB!m)-WYy*IQuKN*15EVu30Kbor9Y ze1{$4>fhIp6ul(;elu85B;)w>i-X3gL=udn{i5KQ$~fhbkClD|GlM#QT#Nm-N#VQlPe(Wz$^!$|y z&X>%T<=|O@_oleZvekI}XL==TGHZeH0exYaF-M3REcTypZ?2~fzmcY;1wbhI`zBvd zBpkZ$Rw(kZ@DuHk2633($_>e!Y_}dnXeMHt6!!=UqX;$HB&v0ez03C(2_4)_&l~Or zwtk2ct7~mO`Bx4stJ-a`ySZP_Oqc5td&k#Vws?B9SrzVYxShduq~siln`rj|rmxbO z_8Laeu}JRlLdH4ZVVQbCrRiFZnU|`Hh!JthC zByjOT&jbK6MI#EVrE{==2)kj2HIaI zamVufly*e3s|J!2@^w67#}z%Ig=vfZnWtYzA1mW)I8b}kc8qRytJ2wW7Psc*o{JKe zcJg^L;}n9E#>=<#R*A<6pB`@i<~m)d+t-gJ@NA}a$X{L3aP`Gi`bw-%C)pAj@#kol zurQ`}gLkXutytzsw58Ex356jX!ANSYPQ;HK(&l{w9E{R>YRl<5l$!ZRI2k6IEId-q zn_{G7fB7LPp(&q0vZD*6YXnEsC1H_9U{Hew3tZ-A|I)Itfuk{eECa(%D?EKi`gJu3 zNo6br!!GKHvFZ8gzbwy~5#gqTe<<5t<#IZ~-7&B2nIHg_RSwA{Zg;TaD&%6vtc7Ga z?}2~vIM$nq@R!)b-Jt>Y4tL^}7p;w0F<1%&$}rknT4QxPLB$KuQeWCI7`fG!s@#>x z1X%Tff^Xlr&mLPLyTnsZxTX>3#aru7O!-uEtV5!FSEc<~Td+J3JBDQ=WC;r^uu=&8 z_Og|N9jtN@!ITdO$`4z<7KgEaF7izsty)GFoREfRy5S+oDlkSvZp+j>W-(2IyV9O# zSiOv~B!iK6uec`92cJRNgXK#wNk7q1eNcGuplCZ0Ks3IYM-6J8mn}f?o>Ml3dh2_w zsIecUHt(6o(D={a|E?kGKxE*Q$nP2-59L$dleKwCksab$`aQA%P1ST*1@8O!ZjXeX ze3Gxbo(7DN@)I{(Y2i}%PcJM_t<)SoqJkch$~QjrDw&vj-|UZFL6wT0KgRGT0|!$? z-+V7XSklXHU3zBUSRNjsSvyu-<&zl8?LGn-%6#QNGwp2r#dZ$_&W!9=Kw+tVAs2G62(8TyrrI>Q`adtpq{={sbL&&Inaua*|94XK5 zh(7iHtoOZGZu-}1TzQY!b3||I9pY#gf59kk=TBSTDCAFlk&b)p9JArzd&0n9C9@y9 z{_aC)4&>_*a=%!H?tLuYm}~<|LU|qpdT&wm{XdebsD)*GXz9aD?$4k|-zn5E#%u8) zw?8f!zUlVUHaMc6*T?TyZ3CK-wAL{g|6#o@IN&~iY}Ef#Z|eC51s#rSU^d==Y@f(x zT-n*|k%YOv0|mG`+bagvNVdG!(L+HK>1_ca}zD^<(5%y#BRLTY{0yJ73}+D+9?kp8TWROs?W>QgS@z zL-jx%(=M7C{hha+k(?Lb@OarVr_EeW%a#ja>Hb0|x;6?wy2s}n@HZQ=i~h9Og(wWl zL5Dbf-RhiCyRGX&wj@XbC-9(O))&=s^h5^q;_;c<;u*c{Tn70-Z`UJF1YIGu-|ku` zjM%UD_mh&PbGAF+f7GN*DV*^7Rb^_+J-8I7K5CnJ$xPp$;=_rnL>Wl8m^T1m@~=hO zipzN*0|4)VOqaE+&IYTNKjuglV#trZ>O7bhlg8sI%%$`RFoeB=!M&>4HmJ(1Q)q#G zQHs`E4)S*mA|Te4>!|Ke-sr(wTVQVI7gmd<*mmu6zh4L4@gmU3uL&s&q2%vIR-;AT zZ{kIsC0zIDdGhj^|Ep^xSWu1oYOCItHed9x4(uF0k*1^nqS1r*8is!{<8E@SU)9L+ z7{Lt0nKijD25)9M`iuSQMUGPv z$`86(mDpPC#@qBAYGT-w0$2nL)zuID6Y!buH?IG*OAs~9D2WWZ_LIMAzDlrUjh&Yd zSoaYB@Mmslp@A8&p1K!$pPU&y0aB8B@hdmjRh@o%L}S1c3_G>r4h(V^T_A60)e-c_+dkTs@#IWYyq&F>V|* z70jD;DHp$K6YN-$^-QC5 z^86bLDQ8>r=EJd3NNaGzo}bd~As}4WaO!@B6MK(tN()twDx->RzW2?y%ycOLN6+Xq zN>Z9|>^c+m!Wa(12S5ER2rywX12ZFkc7m`eqEdr^KexYlp_xWe7ApvN(0^o;n)LG{ zhZ(qB91DnCgOSGSX$cYb7gYm&M`MZq&pw{`^(WUzkDnKNsF%=g(i>SQE&k)x#d#%j zI~$T_Yo!ER_Wc!JpPg6&;W>kYZ_9XQD3F{-A76@KZwi~$(R2sR#J{irXylaWgYnT| zhvY@qHK#6Yl0lie=hj5l@&wqW1|oHtIBYR6r$0(U0PXISxKWhB+AUq0QNK@N{hMQl z$(H;I_KF!+el9@hs}(L_LqI9G{LtYLK3sBBWovRA6X`B>61 zj@%o3LqSHv4CrWY#zl&FFmZ1P-$1o(dO~VZDK3Qe7=JbY)984qnvoYmZy*UJN8@=9 znhk&W!If^)QePLuC6*uSHc}i(Om)em>rZz}oMBv{j4{v>$JKj%b#^BE+{;q33SmvTgPowk7?1w>ztUd zrxpk7W&thcw0uFwO|Z))s?Yxo6}PXtb_H%HU? zukPmLri0W3ar$4;gl$>dp1T zD>Z+*$?u=vT~*rnduJam4ZLA+*=a382+Jh+>i`tI%nWIr=;>PG*_yO@Tq4SPW_2_Y zR?Wjof>R=?dn1PzA9n9nC)?6Cz-yRPD9EHSjC~VtIP!M<3Crovkd-l;J;wJYvWJ{O zeR48N(@#;CaHp>JWW|4+cLS6hPOiKt#50FsE{87Ao~g}O0m148h=1iGahNYyhWOYM zXnC19Di6~h%C~Vy_(vJqNVi?xM5i8@tFEy2xhmHCPZ`bRs^P($WxQTU84)OaCQsH~ zXs)KBS6?~Ubw617edD>Jy?|62Mpd4sfhOFeZ0mY6om5j~z+nwk%=>tPrIo1Z8Mu#n zhKX-Nm?+B%+4SpgoHDbb?ns!`USixH>stF8=O%h5JY*YzIa6RtdoFtQJBK9Ra8-Ks zx$<+VCGtnZF+(J%FcKl)N5v5%V1CzOF#7Dvd@yWeQr~XHg2X9Tej_jN-V^9ZaNu0I zoOLRZHKZ%7K&yTAADkA>4WE;^P&u$doIv$HL)b`&~#{X=a(b$+zV zs(VHeq#CT(Jzt=SY-)TpH^9WiC~0bw$Gn#=Jxfu^YKT|GVx}1*5cl6fAL*kP6e8G9 zP%^;awi{UTLLxz>*DdnpSyl`IlY%_Xw()%8esa=yO6A*pc_(Y z`F(9X$O)d>%G|K3Rd$azOk;NtO@1}g?3QVUsf+M1c)Z& zkaCkdKH?|~fBIEr&S#c#IQYpUDSs2gvC6;@blv*v5cJ*bnl3 zyCDqgMK&KeST}B*g4bcXxJlTQCAV_vq@r|ARO2e^6x*yZNWL~9ymNOEGEZijv&vLl zgLpYSzG?bbbNyYGxDVT#1Y6nU?(Eusn@(?W8kKQQTZE%Yu@+df1iQi`ZYBkS>=Xu3Opu+glbWEJaiUv(Hw zjoS+$qH{!kV|&^ETIm(vI5R1B3%((J{LjwMlCEQpg$;^r#wmvR9_8QVoqi;ZV&OYy zq|^oVw6xrn{D;A?kDfFWQ|{lqbx@|MfB%3K=}Q#hjNE`~$INqrHU-s*88-XdH)b_o z)=80g%@tbm=*L(l&yDH4WE0Wl&PhP}!|n9A=c>iIaqex3of6f_=53YzPoSEBZzM5o7a0Ma@{XTYGO-u{b_I2tY>%b?Yv{lcm%r0EsCbZ8=EFX!!#ofSC&=$c3Sv4_7f9Hh0KuUx@xNBy}P&w@*K<%%PYjJSxJd&*|&%M?QOsVXGjmnabji90vw z7)jdOuU(@iDKExwM57DstiES(Rr^tS5Kl?iw#@@Zk}gM)m{$$2UYTk+8I*OV>|7j) zJrQ)yuO|t*nv-<)C)1B-G)FO2KwVk$2?Je;nYxt;dV~W0cLJ)DAeu5BI!0+-P{Zen$2@my? zDD3N7|9qiHf`sS2xW=xZ8t;@s! zk1s9x%I)6Oy?8d=Dpsw}4;uH?di`(befj%mqr|Ecvu}l;oKswbRM^F8ztx2!$DHo; zf!I>!G@dGIEtg&*-P|!b{Ly^0D=Y^uFLo|J{bY)y6teD*F{c}He}5|l=PtDpPc1i= zaa|liN7Qwtpx}3sCZX8(QLiSsIc0=tdt$dXlx^s9r0%&~7!+{siontT^O67laae>0 zUj1A_N^*#;wB>N%sK#$DYt)XKa$6#W*V%g#IWB*_e=)?Oi^m$DK_eBJVq*VMTk0*P zx?u%Qi&f;;Y)$^`D>}Rw&fSV7sREKE;;5C;bGJl3({6CzTS@mzYx0ntLrf~_POvUM z#9d+{Wq_L{Ce+uM(mhpa!yy0ep;>oa7u9E+t%Q$L5B@oloVP042(`4e>s;2x4gFG^ zPC0Zcauwe5QXt%B$}Na9QMLm*t&D_-sxD17p{@GWs-ZuI)ymnP)p zzp$2*?#5J*%r%9&I3&ohcHC@Nl|UvOE$!&7%d_T7#J6WmI`_7mG>dd9Z70jjx?+|C zi4Au9$m+*GY@sn)X4=IwtCt0?jus>eCHhWN)@r*{`1D_6mrP`D{`5yCT zL(rR>x0{Z}bl>yXjOD8re3S@l#jSVSA{G4Sf{+B%REv?xsg6pEu`>H?DaXrECIo_In{OD1s^=SSe=G(YO-Bwte6pW?1EbTh(OjX{zwi8kR+omFE@6`i&PR%YMZ(*ZKlsdaej3z;16B@ z`{$@9hE6Vml*boqL525*mt2SYvfA{piG+ucYFsIORSItlTlLKU@^Hp%4A|Q*?X>Tb z`0GrDU=-2o=$36nKWI!pnj{c)2~pglPQapf|P9hAbVdaWwg-K*h9#cp(VY z9ESw|@cb}AYK$289F4M4;S&(-VTi2{W+GjM)gRe%+fICT-&-7te<&46e)1g-Urz$t zc#-ak{Ebp5sGZF4?lBpMh>;}A!uN{r9#ZL6*$O!D*^CvQ5ZsjQ7qtz)_egYX(Q7$g z(>QJz?GI-Hv$||Qdv=jQqd@prV54d)Qnu0a=$|4gjn1&WrCi@_HLrZOluEQ_hU9Z~ z;3v@1g#8UAVnLU9leFCXzFG{4dwhp@`*Da|XA)P=I}LbD>yg~6*9o#&w9B&4S0X78 z$Fp8L%UH2-aRE2<>s(41Ml-sx?y@p!eSZG>8d{W!ljPOUsZabaS_836F55#0=$1E1 znkh3=*v(XVc#9kMyYcB{x$S3aB*N}i+KeX{_3?Gwescc2L~(e|bED{=I#2tC;I|v& zITW}#xHseFag6tCrvsAFSdTy=KCCUW+$ zkG$3+()Izkw27P#|2>%Ai(hd&6dTl^E-6{|swxSgZFMHFy_d5xw}@}9_Sw!lr1AQ@^d?rI72gage3luc zzpuqHiB;pdd??vJaglo5)Npxm_PI^aW4|U&otbH4yyUH5y2mdPi=bLQ0aQJUi4G=1 zR7kgP03;~$=@q?*(Unz!WqLnJ=ui(wqk z^<`va_`126ZDFPF%|T0lG?8U5l`VL-S*~4Xnx|i1#ile+OOr4hsL&TiY;7lp>%7t@ zvEY~hr~++eImmIbpf44ndK21k6t>*VLCT0uvI;G0ZrEg0UsR0YEs22S7j_Mg{IZwgldSiZ|*#H%Vm2vg)QJP{{_&{+xt%_7dc&42lQ&4M3nW=?X~Z= z#N3^>|51lFE*1SMcVaa6S!T+b9-rTZ_hd6H?(@DODdhtf^8|979tt7P3b^J`oyj~l zQy)@qrIT7zI0UaKBC2tk-ho4_Z;5VRayupXLDjc#5}JM5IZwHv>>#Y?$d9sYIu%d(D~Tpp zt`AL2WS|qTd{3fzCJ@mznXO=(*0M0fu19qp9s3nU0*9e6&hp_e4AH%;6uCt9N(_x6 z9XiK$=%5os>1Mk7n{eXT$V^bcOgf0#esdtdtJLJ18iCp6<2mo^G%~WXF%L&~_~wi@ z&-W$)+TK$ExVa@C;dZ5LVifw)*22_|@{6dTWd}ZTcBp#_$=szBC)|8FTq3@E9mJg( zP!V@+r-uh|?IJZ4iR`Ur?hAX@VBkENR{GRjk z8~&ugqEX1W9MF*;Z~dY}zurxTKl+o~))XHCSBihKMjmy{$QQeCp9!Aj*hx?bx<3>| z?{hp{eK!5kdidLRxO>yqK$_3ja1x17!cu}g@7`lh?(tyB8kkb5nNr;!*Z=YPei|9d}&978xo61o# zpNXE)A($m}Q~IrE+R%b2L@!JWi?dhXJx%Y;RETipsSR5v=)q`Dq+fB=-(o!C~CwZ#8@T+k0 zWIMBVb)vED?|9CttlMSRK0e!96NQDU)Les=^PMvQp3M8xgy4SRGsohUCDZfm zxU_$7+&ZijV|v9dmXlp(q=?5_X)xWay!G%Sz4iD0K!qN%;i61Qmo|;y%8H5Q!#=Z! zJ04%}itlKhn$DvjX_qAw-hBKuY*t>{V<@_kdNNAOKf_IuIOKcE?5A6UD`aRnj2F;S zTXmd+E!~qPb ie53#vIe=`mEq833a@gsvFDtOV2c-Lx7*}$4}H#0qe+6gy6k*# zb=g@7suqsM?@UCI*u6T(K#N{Yq<3IKN{RQ(*yof`0NyQa{BVF4MmT6QTDO_Q`=~%* zdShtJ!s=Gl-)pX>FDsntp8jl#phvfmuh2A7%F2$Pad@8_ggOkULiKRs%x=6`9}SbR z6K?Fq`Kj}-?@VtTu!xxB*mQZlQQN1f;>bkDL`}@+wyGkK?j|tur15Gqvmg5%)r#0> zUWpG3Ll)48hHXBLJ9jYV+N?6uReEqn`h`FR_$cu4-v#I6ZH+btm6a z{*TS`O=bLx!f!cNhz0)3`4=sbnE!plLELzGOC)sI_z2()FE_@DOuj_|u57V@y_)m+ ztxs$asx17*IpijB{vZ)nX~rBS!cCr6rM$CJQQv<2$%xP_Nwn6`YQrj^hUAAvts{A= zd;E4&6?;Fwm1vbx>sY<%7#n@5QhxXm8P{@Gm)Pl$vgjUNbV*da<|{+Lzv@_@_(6-A z3A9n)I5xfI^}%+vajg6%Lu@tL!LO)sv(Sd~t?~?HPLr_7%URIM+Z64fWwP4!Q%I(+4< zTwOxwcaJmE26?jNVXL{>L7bRmB)P{%Q8lmK)M0V`HnT?IJT8&wjS3c4b%A^W>b~xdNOK=ZAh- zgY|2DYUz2+JBz_B(|By_wa^@`hCf9BIQet9mhSr}@BCMY`xZQeu^2*7;#Y+j6_6$O zw~L-UbtXcR6m-eF+E<6JC;_Vx7w`g#neo7aup1HWUGVy8eG$^~>IXch57rUnO@5cY zGFK>U#|lZ#uUPyp?uaGhiCKE8>z3R?5?!=jR+VIZZI8j$`}dERuy)0|)x|=3WTsRq zrE-gv#&UnX{~nDiAH09jG#NytUsG&LQ>pVIIN8=lZy58V<|S$Vp|>KtUe%k|ZRK@4 zRWhEyqDKofn-_w}maYz8$s)2>mz+EpR(kRXby=)}+}uOInS%qm&Tv0kETuH!d1tS6O2iWnCLZm9=NBj6 zo-BT>d%3e+=_^@?v(ePjX|^7c(9B>xl|Nl#*bInW|K$%?=9*-cK$+$k9J>{qpn)Y( z;H~ObpWGBB>(MWY9-ttGV;EY|9A)TZ7oYNXZ({$Jd zdnoi1Ix8`U0joZizq&Z6P~jkVMNe)H1R4&x=a_fJpp^^(*r$7M5Y!cou4Sp!gEqx& zcRd%YAUvqIVIUIgUQWdIwXpkSNL-4tH6fug=AV@a6g#Xb23ArZcU4r^oatTAs%@Bu zHOru06PJickx-)>q3+q`+B1|c+B=@7D#b2t)0J!}{5HXEr+birP8jAE8m={ zbq0{riIa}JeZOk!bMgdkxK^e0XaEKQK!#eMGdH$(?Gd&#H4`Y7KSRyC;}7RaF^O~e z%j@gxp65H^6TV&ux6LmH2Y-LhaR9~sQRm8=G)BvQd^B#@AIs1`s%f~T5=r31bltY| zj(SAl*SGiIcF#3>ReRfP3@mguC@LWu;?S-OtgtEMO<+W+~`h4JU;$%&2C;pz_FW7E)4+Rn~#$RqUDF5 zg6~;f02=OKP*ca33*4NpF0trO11K7D&ik}#xjSBro_R7i9ZBga-Axl{3*tRUZsh^d z8eTTB4!!q|JT!h&Fl8qk8ud_$;`gLOCmU7uYO&(0$dWlIf>rx24*<;v1FinFes`ng zy(im|DKDZT_Y=Q0vb(R3HP;zY8=Me zTM^@a#m_P&jgfWVHnM8qmar7pi1yu@6!om3#|+25i9@<1B>IScfnceiE0%%gCL#V< zppO6EgK0UAMnVtKn2`)e{#}V+VsY8crXfkbh=1;4*n}IIP5D5T<)KQ#f_aIj>GTUoFq_HwDS!`jW`dMn~lr zmuPHtWLPq%S?JdK^Om;n&zL|QFbAX^xD>}VM8W59WhV!hLSUX}CR;A?>UH$h+)?hO zO}k-Sw(1{lWHF%Ks>DkezlQG|Q{A*Vel_QW;Lp1|q~)9P^U7#)=0mRuutC=e6cYvl z8=L4^V$kT4#nt5B^0by&E%&p_nhM38gC8&FWK8843QLPhamaYXEs+A>Px}x%;%Uo0 ziR1LHf`S7N2r&|VQb&9Y#*qzd%TCzD=HT-N2~kBFG1eY)@{kI^5lu_Jg_k9Ns#dAuC7rbq%6B-5=+%Jfx*%K z=8@eLcUBU&RSe@4Xo$NOpl3#lWy{8MPuFkPVX@yU5_a_@B~uxGrv{kh!C}XFn?Vp44PW#$@8dhRY*{FHe z^RI~ijnZ@$!b<4#e0M9CdPnS#`qSG!K7S5HdJUmnv5&BBqz-1M7%C%uUMUHm-ca&V zQBnCkwV;xmLvdN4@HJEFZGv`YB8Ooy&s%~P5hLX8L!A4vo65;Sj&4?GvrRsTP^2Hl z38pI@Kgee)wybVGA8Glb1z$vY71hoAj4OE`trwImHt<-Fd_J02iL^QX01&#f7IdIh zl_svc90uXU*ai%sWcvP$c#MuiI#Qrn;(fZm;B!S}rp&Bo(p(X>WKhu(&{T&(cnfEXh-WsK#~ zX)6{-uz_3;C;m^(lrZ19(etP`dV>*lybmb>@AvT2tsarFz*nA{Rv8^kCTd4rA+T983!7SA6QMg`z`ukoUd`TACDF3X3ym; z88&*l+9ooqGwb+N*^KuE3hBxUn}5IOK}$XRQg?Q%uXjH{G#zl<0Oh#+d8v6f=-Qm-T`yV-NK?+WU^gh>mGf4$WXRiSR38D z(nfziWvE__NncDdoi*B)(!927uc2YCu8#ucIt8lGIQzQn?|AbC(aO-IMFY_mZQJR= ziri1u?mRPe5PDh|SbOQ+s1V|uok<#JB~(k(uvsGHbT>)PZKMQx>^dT1y`FuNCo$!5 zTogyx`~mG;KesureQkL}Q{OdSm0@nX{4W2x)6MvvZCSi$K%Fs6&eVO_xoix4|7+SQ0c$dey}~*uz)ZYR-x~3?7W}Qx03qODzrenFHh7KZ;DevII1ll5m`02mpKyotu0vJQFmAsklA2xTSyYfsNh2@S$Ut~<^}#_%Q?=DF`ef_91|H4B zQTCO;pu=x5j-a;umNmemvS!T^gA;<68gLb{r|}Vl*9T5YsoxYX__bs&T$#CLuhZ=7 zLqSuBw9y!(R)6%FU_+W4PelugRoso3m7-VIW_{1>NLC8O)NR9u1HFE>T+=MpTl=1y z3>{t8c1Ut+NmuYZ_X{Qw^Qx~dZSC=m;kT+BTzrI*n+_mDPEnU6UODI?6;%OXgvYco zT0opB?0QP>=y|J{HrQ?Mh(CHZ3Cv4OMH{n~(lV5E0Y?WM7Y^+DKM6dy8zgqe01Nd4 z=@?FE{?W9$rR-Hj7x2exZOJ3;du^PCg%pd@dtQae_-|jyT=zhamfk2AO69tan>9ZF z*{DrTI7ZC*L62`psL|W-r!fz+*h!FohbV9?r20gjyoz6(hUU*dyjd{L3E7H(0e1^M z|7vNO3I{djQX!5&Ce=@!L|yK<^?I|KhCYFRIS(g-+K&2L-rNjZCg*c_%7bMEteu*z zbzOzMA-@~tci$mZDBKwI7>91f*yX&x)HVC`K1X8(apw84Q`U17&^g7U)1@dUhh-4k zRF=#ZuixpDpAdGKe|ny&C@)`O@^-VdZ^k%}Zo>K6xHf%2R0T?px`uZxHFDe3a_fgo zEWHf(GDJGONICm$-EvD*8jPKny90l(La$q5@fcipc~j>6<<(UnQ9~E0?1%OVxs>8J zyf*j0#T5EoUW8C_Tdd;QN><&b5TJebC^(s`5$!=9zG?tnZasJ*2KM#zXWw^iPPpBZEpF5XQLn9{I2xmZ~Fu!SF(~o zAB?!OT2V*(r}gV%M;Kk)KJ-D)t3l=6bM}TaZn@EdYKg();23Pa(<8HO^Ch|dEK52X zn#jz{IUfid_zMJ23SOQc@LHsbc!FJwKmDdnAsUEs zYd^gQcNa@=`cGVI&n}+#tV0_Pq)%+8D)LzUZyLBSpe61TM-tY6u@^Ic+wY_e+PoI{ zRfM`Xf719Qvg>n;gxz^L<0y5yznsnMkTm;l51iVcqFKM9$@UYiu*~j?<*kDWsPDB^ zsghJvUXug(DTsCP{-DtBnM~E)&Ua?@cO&h;)IPIf%pVaHE?vnlC=Pv=j;}89SFTy? zRQ=9b(*}Ad!yLe`2G65)K0L}P=-vIl%BEzE z8<}h{{WM2Di5j2DNHk^n&23?ag$obkhsGHDZc?~UE>1GFQV(-u@ntU@lHqnX?{~AL zd+&D@YL_>i{vsnp&vuGV=6Fjf6k6VM%jVf#L0SjZMz_<~do#}cAww8tOQniyF`lMN zvXK3dO=M>q3sfq#7#RW*XoKvqjJSFp_GZ_r=wkvVA>%{1s5lWc7AKE62af{j{Pfhi zV(470l~}&LfBeFJ;&Vpf4^}2BbyC$tW+C_82W4zppFbEN@+sCFGb`OcRt@JUjeqiE zy501n#xe6eci@+PtpXfh-1dZmC_lZy?fRupkE9th+Yx3%UfzrL9~1Pt%^iF1)XMx# z-|~Ts*5YCh?pBSOoqIB{dl1m~rfN=qy{8I0ZuHVH6-A6xmo#(|vub@ne{LbIh|6K; z9|1t|O9z8enxNOQt$Rp>jtu7u!Pnf!MS8XPAJNmxS^UIxiOHt#AYR0U;7Sh}k#rtI zlICgYi@G&>p^`otOAgcCWS%*{OQ9Lfes*V8xoeZJUGf^>{Ixqj*ab zLm#tWy^rH`hR>rOFE!7b>mLYM$om@l%)SH&%@o@e>5tjoM5MOx@e5ixJKPIU>@C(C|S~?=#oN&KgzAhSTda#)zYJqbV;Xg%LE;jmAN?u7$zLT(=!wi9#ja z>?!_siRPW-hFPAvIF(g-O-KW)%6ym#gz2v256h%{i>kyht)_71tlV#(}B)=<92Fv8Md+49#O2v+^~;YGey zvSj&*IdqafQ-1GeN#6YaQNUu=m+)Qa<%nttAov!4v@l|hAX1d+JcKt1=|p}n6g|=Z zxDWw`wk)z1Dr!s8Z${k&)sR#pal{Hn*>ih`sRxNe(hY^1HEJA-W&{O}o|N~CM)CVi zMcrj?Gnqz`^M8I;WjhI|Azi*UF8$YLYM)Jx8&iZhjdhw-8qrvKgPZec`}4j!snLhI zsyb>!_GGpP=GUrQq+di*arlu{x?X+rGTJ|{YW>hjG1)3eFYXU^5akhbzD?sHcw zwl3_%yZpvuSo;f$Q9SJY_>6;8Jt8UH@zZ^Z;neYHD4Q^F!vYOVn5TnJK59 z{9RMpnyPH$ItOa;{QBGO9esAuTOo2_0t;ahv$L9r#>T`r{raw*tDFIr^iuEp#}JTujKcrI*YR~AsyeILd}b~V4vWe=t{7w;50UkG^MOaLQK>tfIwUr%z&tf zEVJmROv2FqbS>J>$}&M)a2D(zi(huaCclz;aYqYWrld<6k6zv;!EE83oIeQ)pD$VZ zO*etnN>+=?W*oK0Qq=AG{hd0K{n{ole9#q$@RA|jx+HX*2%rN;hHK{;LMfvl7(HOf+m$anlC!|aB@1L@_sEDt^4Wq0o)k=;( z>YwL!(qPGq#@JGpN*BlOuu7i$txu*Gxtyh~tMt466(Z()&|jk0_mSA-_@dIuA!G>b zVm2yw#pevA2LT*aLU1e98nDKL+im3!@*Kl?AW@ke}$P%_5W>D#!|GR?VV0T za2awI5H(;B>-2rUY5Sk^h;lhQ(}`*zi#vaCviEa;1@3h_?lKq7g4lm1W*7~E5aI(E zcyV^Q3&6skKtKxg=}!PoPR{4w+{UF83@)Lqao70I*3|Q!%n(SwaqGU%;b1^O0IPP{ zJeSw;=83$#JczUNkZ~A};{PA-HI>48MRcIlL~3;&WWv873wnc83M!T9zveTB$V~N% zIg~>5PyX4_(G)2#CjONvozHO*_$jn>w+wpoy;_u2LQA{U9lz0b`-$N6`M-}(1-9=) z{s7yaD8f@0qomk=o8nq@|C13_rEDs{7w`Ys%_w>4nF%BAtRiiiFaXy66c_!M=WnYo z!-r@7d!QMK=g7YAE~vzKRu=8V0)Q6Nw-J1G?;@rD`&PuSDZp{XCVdR-9kn!|P%F2A zsVT+!@E%|IqubQ~J+A%@H$IcE;VJyiqL_gGL;ElOo)uuNWAjy+7(*f$Jh@v`+ti=i zfVlxh-UVdU8%=}6*7BWyeX||Q4pBDVP9E^z8DzhPh|5-uy#FM!wHboC_~PD+Aq?%i zE`J-jii=QMCA^sSDv@*}zsLzQ|$FL#gjA|Bs&zNkW6wR@rLT z4UJqQD9!XrX|}`~{J!TkKT*9JViR0YNg2PIue4N^8x=g*o@+KFP5c%?#(=T;dW@Ln z*Ngx4yybr}600M_oz&x1BI{n zh!kEsoe+FF02LZJiWFOxmS?1#|2{ANdte3AwRZ}2tJ$fjsGx$5>pAx-JpSR*!&VKZ zp3KLfkdTm*F|+`VV`I<%i37ZJ%LPW$0Co$Ma;1k~u%;_*s%^&Et%AlFGc6ME{+C-I zVo{8@@a7iEq&nGM0C~Xxz>|xlAPg&L4$+`iQ-*BM6qz<{#UQ%o$>?q*dT#Z0(fi*` zD}}5QVZ*U;y=9uZ7>D;g6T#4@|82pZC2|Gh|MNV6OLbc;U`9U2)(*W7bT|`%Mh#3& zTdfc4C>-~mdd>4Ea4mBG?386-dznGfvo*48(E~#kjRdKV_6N>V>+OLo%6F|s*2e6L zrVyz8)w_Xm9}V1Yz5ZNxrHk)A^K~N?)fT2D)uu9HYF_m6(vGOb>=*o7lSO7zs#yv+ zbQY)L7$62O4(ZmYdbr_<*JA38zo4?c25+{s_zLXU!w3o;f_+him0%(fVl8P>!;V{K z6vEyU+1Q)eCj5?tw_Jq05Jgy z5OkjOs}{=fprIX8JMVIp*lDVMdcjZUw6--ORF<(UFLp6hxY@c_d!UmpGCzE*=SfwT z;rEG+6&gl>DdZ+{q`yrpK+-{-shwFT78e6A4s?faWJ!)37VxxDNH;+P(kDGpLA+rS-sI>h}K4rqS=7i{;dXQGBOD3|FTl zPk#`317S*3Yz~#>X4QHKtQEL-g}2%AQQ^~ta?>vVLiF2|tX}NlQES>+IVRxFW+!<5 z-eyxN&Qw7agD?!#XO^02w^@PTM^Ll|)X_7(91IA+j1pBKTsty(olG*>Dx=q^0Uuu< zE85_>Kh3?Sq8OpzX*UCmCqPan&8mu#sljq`buiP_w)<2Ps}N@|(a_fe@S!qxo`8<^SU&%J0UlR+7qhoo|tqtegPALH%K07Ld&B3#bZIFmgQ)#8%^I<)Yt`_Xlc@-t0 zBen0C2rfS2{gz6U0d%Hkt-p3d$l$q(;E-x{Fn!L=?oLaKb=A>3exQ+7!-^E>)$YSG z+G@M#?lAcMKmCWhDC@lJ2j*jk);4`HMU<(FJKn4mlOx{$O&=KAP{1De7Y;kwr9FpysEfgO-gyixK;_ z^dJx?>`FekZfAO5SrJ@X6RB1Y(3*Zgz;J)L2jZ=;+4v+FESwAcGbL0mx2uW*YaEni zZP!3^?T6C=R`o}#W$k!XPO#j1ApOapkbHZ;w}Xl?HYthL+kk=cm_sZ*F4p9Tz`TkEGtP$SPO2Yi1vm2^z>s2-GsB>JGWW7 zlejG4yZ|VU+yX~TN|45KD65MuQST#-x1%)JT+n6bjY!4YgO)I4x)V9lvoAQ0U62)bDL00P?huQAkusg5wJI(I+3eUL~1SbCNjZj?F$oc+t(}v5wU30eEDKDF$+qVovKEmmg8)Lhl(N@-49TwAS)7R9gQ1! z&0Y$MM`hlh%5j4p{A-5GeW_&W5L71*rF|l5szOD4S6t5tBo?~+Be$)IZZ6u}&o$}u zBtuc@ns0BU@TBMyC-j}0SkQgZh$aCu1P@F_S-~u`$LkMvYNedF*sX=lnRWjek*M!R z(tZs|$gE}wqh`tOpfR3`(FT2?g6xmWr$()wC*UUs;}H^Ai9g07WPJ6clpwn`1QG@= z8p~?n*DB|$F%j;AJY51o{96RC+&xVAvL3=1#O}j90{$QIng-f=Ds+>Qfglj5^N<2( z!nupZ)wVpIua0HLsFD>-O9?ULpuftBYH{9y?I^=Y?*ID_fORpbwSle_xA31dHa$!fu?sSr!F_@x zLR_apcx6y#bW^5E#T6V11)=zc8S1F^C@H!e7lq&@{NnqbX=WCDNv=InJkr|3T%sAH>VY?~<=QD%mcgf=gs zgf4ADg14X47%I5u3G^Ar(?7szI@x<*B94wr&RDbs!FCCCazS@x{M#g);vYk*0WABcey=;JHUPn>|i!<(fhXW$%6~XXJtnU zkG*~nLH78oX20-RA^92jwKV;xD5Al}E_9mZNXwA{#fP*>xrw?WH~1*TNhYTzs3J)P zTo@xokI*&i>7jgbKG?HB3b1Pap8w$o@ij5kV%T_i^S@1QmS-aQO44CPkFiO}l%oO$ z;y0*q1fDduCzvXXB&f-glY=gX^oj$%MS`3t=gHtgHBLo_ ztsNL}iV-pZ+{*B(p4a=tfsJ#@aWQs6NW?rX1Y&b~)g_9fMvcMx<=eCuPlx|mKScpyK zXgtJ^Q7=wt0Y%3i&`T()@)Zi%W*d2VWhQX3UT znDTm<=wzQvY~U{P2Uk{D)4(IUU5}vkXP9GLq!jqT2-=B2<52Z{wU%__wvT=LE)meB zBjYRM8;!rR&3Q z@D1ABl^0sGL|R+1&(tvsjry+$K%k3It3m2_m{R*^F>kckgJa#^)~|+_f5w5UjX_Y3 z_+X&;HU7OYEydnm#rX!nV9*VJX6=5)qmk?+_w95W_#mQk0VlHVRg+wracPU=y}W2q z;1xpXmwWqxQX^71*G!tY9UO=Q4_x;DK({S&o^jCF#Vy@ zRR#=THaMh0nVSER^6uTcpz~oE$B7kvY&CR4!V?gvS@RJCznnONFg@53*_i>LvgRwK zihHn`Y8>p+<87Dkki=6v@=&m06$(hP=7diV#8R(73PXnfG0ZQr6+H(zeTYVxlB`mQ zgdxBQWJ-0tb@yA7CFUjm_h?e+HED-gKoZbOZm1-+fw4G8!mAywu4_YR%XyFiO>BOy zd5$s}Lw%sZ&L>Hc77X|CVQ4|WF7_!#r<6+JV zUsW55%yQhu&nQ+9YL3yJGe6%xiKOOvF)PSlQI}jrAy)?NTL&>>{0&AJ?B@edj={<( z|9eaSLnz#Tzb{T;8!uBU5K$JT^t~$>3kffHYR{P$fw|iMU*jRA;5YDdO2;(?eSy=%C z5A9egA!%0koqz=U$Z&Y)s~`~Z_qHM;;WU@}jS z+DQ7B#Lnl}`(bhCTQB@#bE)umW@=UC*>(6c6v`~12LVh@;6CZT$$g(JnPcQ_N{oxH!ytBC}ASUN8pi1#C%;TxAh273){3i>X~1 zXunY!rFU3tHz^w%1|2z_9Zq4LXEYpjZZgHDCNJBSS{Xlwy)YkqiobyCA`^l2VeQ3Jz)>c<2~ z&uD>Ba8BxR(EFHYdSrs?pOG<`GX^8!YuzWM^hjc^c4>x+wiSS_%SS0eDR4L%nRGrW zrs@=GJu1C(3rB4YytV-e<#sZa7s#?lMy)rrot0pCta5%8p?tTAR9!j9+N|R ztn-zI`jMctQC|u_-9uKk<_TYjc|eZ$=l9#_6jJA#<^79#Dhp25phcp1!MK`KB#P0#~ynVUo`cN_r%0u~Va4JWxyEOqsHL`z_iUA2VwVgu@W z?0vi6&sS;GzQ2(NQ^k(~Ag54}zC?jgzNy``1h}F!;2^ho7&XG&*Hh2l;l759zUD7969i z=@7hW+9-U*S(^9>C)o|ViZvoO z{HOyJPqI8IU;NHw_d(q1Gl~030lS1_^j}wizDz@h0$uaw%hNE9Cimk>i)@4mFc;P4 zTp8xA6kseNG@$Tj1~zS4#=|a1GjJj5w0{beUUyxY#&xA_=kE^Cylqt;doLj&*=e1b zCr>bO#d;-jRAE|xoGl5fU$2Pf`EJSvDf1A^Be+r%&ZFv@3aJ7|;S!_Fp^k2FP-qoF z%0HA8oXEJn?g!3W!bC=dzEvS(m4trhL`IakkpK%#X>Sw$7D87n-bpq!JwE(X7{Ar^ z;@;j_)t#?@@6u1qvPs)>UJgP=2UV^RI}HaYaRw|dI7f2)CgH|X4d*Ua+zcpKbPKMc z#!dZsA8(ismi`W9x4|{R4*7W^wXLt3Vtul|lx@gHf@oAOA~j28q%S|^5q?%}%Aga% zq`B*Zu)y$wN=pAmd2s6N(-$OjvE9D6IK8Oy>#)2 zXXJI=BZDPkPB-^$q0xpDL)(pgWB1%ru1LMH@(FqBG@&Z8$;q7lK&~;ZcE0RtRjG3{2Tz(h?qkL3G|sbZeQrj^Q`F^|AKdcn8P8YZNL zXI)lFKBp{EKcz%JwfK0c<&x&M^K8i(jvO&)e4Vy#tCMfp+5I1rH+<8*O6N0vZBWxZ z+-$X>Qc30Q?`y(|G3nz@F=0eLwEj;Xp5)#UT}1nLgr{!6j1p#>{=_zXb@|aSQplQ! zei)AL+a@C+qwiUhO6gzN_vo6ZL5~bO>C)QJ<T~HE`tnF${{FaQ<%>#RUHf;eK#a_NJA4~icWx2RTb|GTmR2gS0tfLTTcJcR5) zd`X|7<{xlSVOl__Q^kfG8 zsM;=+7j~FG#+X-yCr6r>0*MvWXbrF-8~81-?=$0v$7DWVox&pR@C|o$qv9aYWp2du zdbwGbq|SrL;_3Y1;k9R-y#;qtI_on)AJMP0Cc4-{H8Nm-C~WiYqe9z5E-Knc6ll5> zxxCvNS#T@n*SWrVnOLpLgc0;)Vm2Mq`hm&c_YIJoLP&gDnsUlD>b0ZfzZSV-LsKDD$E$B$=J7eY-%m`n4_4m(H z*+p<57eh(8E$71ztc*s)?E-ZbMs&)&xRC(FN~1KWfOL1aq)1C_Y9k;e-7WQv{k-SB_%6=z%Ac|KVy!vn7~@|n z_$3^j^dF^_WYJEeU8c4NN*9_5Ej@&jeB9aMU!EgXBe1?+L2+@cQfZU!M18a{>@>zt z0TCP!L@;T6K+saSQvQFbE&1B&&JNe^a<;l0Z<|=QDkI2mEUVoJjeUgBz40yfmjT1g z5Cra_M8W2A0KOxN3t46f(AvNYoU|jJE&_=oHVww<0QSn9oFZtZ$;pgBqHG!9Fxh5D zD?QM-R(5}7B-qE?@S&dfwLtQ#Ys392*4Hf*{Vj2IG}C49E#H3J711}0qiXjy?8erA zSKKl&58@Cswb;%J)rTXB?CTBF^Z@IGWKJN;8;v}o&yVNBR}s^cI_9k}MQ`}5x&$AR zT1BW3;i?u&^;iFLR=lwmRn55!sSOR-4leAehPl0=eja^6M!7DnktzIE+Aq4Q?!SOu zSEnn|LX6c-rs} zoaaY1(X3-irCVMGSEmCYzv<2%aIDeGDkIp)q-^{9r+Qy9( zSdkCct2)8#>BJb8Qg2tRuhCNA5t*hn4wi0F;d;nDQD4PmG z-n0z$hM*n=bDQrFN3$_=c~MP@S%pOzZG+rX_)cdz0TP~>$<}$X2-st@t*!(1z)L_q z*=V&15`n-5BdQ`yFBqo-|4LOwUEWj?nLVcr*G*Pcv3n5lw*jag$J2;%Gyf~}m!Mv`8C}@B9>=Z&LduW8FM7v~=)U}aHzKid4#jPOBh?oc z<&u=!M2Z7W-9tSJ5>o1Ipt`?3q*KQO` zyT`X-L=>Qthr&3h=G&_}Z-#`d)q!&@B4NGu?`r;7v2n5N#7FZ|*`Fyz_kQHu#d&~- z2J1D+LYRfnQ&hcNU!-^g=_C}&(3X1-%-q2@&TBRB8}NrV_BX@|Us)KiZn?gFBv3DK zmtzS8tC)y18dYW(k;*rIJF?--;e#Dy%+L*OfYqypbv-0b>&S}ZvPl$0OVa!yf_4+f z#uoMt%FqF-s7)et*7hJ1#(TH=y^;{%ptUB5f7xXNUJ~#7&9(c6b&q`fg7VKH`Yv28 zSwMLAM>52x#a6uO;b9Wf+zu{qMC9Hz3)M~h1pZ{s?!JSRhxy#W>+Rp-3~Mt@Vn)eO>!TGW8$8Nc z#vsv$x8fby5=7N3ux$LY5atFvPAtH6h1wSehsaj|yj5iCntGv{vl+?e*CD;CN=-pw zLydU|jkl6%o7jdj@Y}+XfuuLv0ZT-Tg_^9WYi`)@|3?PH@K%VYjZn9UO&uT7Iu$ z%wFFeI1)sLpG%Q$sl?{>uSA+boyic=M6h#9Fx~h#8QWNV^MGw|^`=La{S*a%ky%$1 z%I~&+j3rPXgL!EI*eiN%Q5T)`t{-L@S31@T$j@*MGpB_7aSTW+EskS^2V4@7#^t)K{^LkE;V^CGPV*`v3jf=Hb1@gD z8T*`MDjpPc+OTi4Q=N8DI#_WvC>~ZwdLmoKHfkGUm@zdp-$JGqQ8lmc#Tm0k5#t9j zbbg<;C*q&^m|b*$jD)A7wio@jaY#G&BI=(40?6?)J6DbX z?x@j&bG(J*?Dw1d_9&Ws@E=QFxO>|9EfSsOiT{PBakczbfv+6xR7+8WJ+m z6Vr}z;za7vP;32N&Tv?Q;Abj=9e(^8)IKym$0i?06YVY#2{5mz&Mb5MB7t+-=GPUG zheL8p5?k}&sg{4#*@Fh^cE9aki^F>;cq;{P=@$MR*IdhFZ_+MXtOtT3Qd7c2z9C&u z$>o=9T^43qk8Ih9;%DkyUsCCV)*e6zU3y2MD>@nq?P`|Een(bcf+XgjTB-86(2(Lk zuqlIRLYgCFC^bqxduNLvcC^9cl@~|tIB<1ls921p$qx|X~E@mGX9GmO8P2DjV%FT$aB5WNW9nyRnfph9$1J4jgt zT82@BV@0QqVb1lV7{48Ap;f7Cm#zXK;4s~=u#KVni#WJzmW_CcKh6WI-erX@dzinJ zTIwxYu+@f<2ZV5}#RL_u~1S%2wR&6efbr zq?z|(me4mxrPTf<^*0A=V*pYaD(E-3I|ZR&;BsB4 z#3n%Fg5L>}K69uIhbp(k!P4e`-uwnlFF~<^^R%V{h)9KBnrW?`C*#MHGZ%40U$#`W zCi8y+cS9=MRpy(+c!~H$ zOmW%>8Zrh3XXyRFGE1Y-O7)u`y&rXxMZK!du0hCFAQ^p3)h=L4%6W2shMickd(!_v zoZG$h6vqx|8SOy^TZKxu<_EbO$yWPkYSi7e-+--r_ifqwc3PwqS9S2z8hz{MK5*F6 z68P~mUbK4|8Z~pcyn`d@{=DX&pUGQK3l>4F7+XHRvZ#5Kc^l0XI}16GO5(3i(73|% z^4L6J101}bV)qT)r%5NvY?rRT_eo70iXK|~i7^$dt-PQLb&op#0VVe)Eo~TurHzH? zEwj8<7W|U0xSx5k6Ls`3p_R9Ohd{l}t?jBN zSpr5O!H`&)D7Oq@m0*0=V}W=bL_^B6wT-*-3?vz7 zvdUpP4A^rwVV)HiDHjT|_#v@{x^K{Y007!^-f9?8O6{3)hPeV>!_`Y@UE;63pfryO zK{vo`cMPFR1n({_ImvV8%}5*~w!X~7QzHA2Vl<6ekND`Ve9s2dlh#0zalC@UTZ1sz z1^*GSq`^yAzMH7Z>)3O3zhC3day1DMsSschSH2h92^Mn-UA)D$dUFz3)QFQ?-?_hE zkqx_Ja!EVS9AXeDC7E>c44fv#3>gLwq*qrno(1UB7uT4>c97^x7ZHFC)gNi!7$}AI zE6*hXj7k>7tW~IYyO=a6=scM}Rs&zQcfx*{KvWp%6NoT+*-#>ltu;>~A=-s@tyumI zo~vXhPWjEEw?N#jI)^nd(*K?UegDaLJiVaYSOrWP*KT(1(Qi4hL@KU) zyn|dLo<|&H7XRrLvs}4<&&le}IQg2!AHAUmt+ONsF{M&^KXX`W)yWUi z$TIB~;l3lO8f>cZcRbhOs1N!95uu;lD<#D(cshjZq46FdW`9j_L7zqa zwbY#4Vc(1=va;dvj!a-_SI#YQ3UZi65?z+IXWJINt<8J<9TuS_kA&1gAPujc;wXfx z3yz^3?+RG-rAb%pj->jkz~4D^oMY!-S5wY&PB443E7b&_W5EQp2(eW_iJcAIl`oM#^{s^hR~3R^Rg7Gh%Y~5AH;W9H8O@ZU0!%ufBgzE0YNE`nXCE@ zkDqntCji@NKfReA~r9-k+ zQExUrz%e(^a_Rq5=nYV*Z;muZiBN60#n=MkC=Ne_d&hWc7|(5_j+ti2p)gk2TXybt zMMF~VYZSsRCZ#29?=wGhLN$WDORUqjW^fvkyND+3W!M|QL0TrO-o0w0$H(=ldfHR5 zLW|B!4kJ=R!O&1?&J5<=_yEn47vDo*11Uu3f6OlZ$cXuvE>7dVltm}d7@wZ5BvNf6 zYQby>O2vt)ZJBzqmXSk{5aHLvAPZ?&+N$>!dn`E(@-zcz((gd#;lO!~jGdvh{KB<^ zjma?unqFO*=f903_-U+w721t`xFeXX~BP$2&k4ojR7U=M6h|ac@**0g!n2`w&ovSw<|$;Jqh0XfMwY zBXpdtNwrDLd4g;>P|NlBY?TBQYNs?LgqV~x-tj_Xe-Nbs!GAemL4;Ho(o0Yw;S{wV zeo;^gHG(-!c&$>(+pN{3ML+Bqdh9n;axYVo?oarytHx;$D+J(n5g9s8n8%OgYG8NA zGON(6{PU-;c}c>}5~2^wPzh}1XrJ}170{F;6Vlw8VBJQ&%2m&d;8A~Z3ZrOseAeb$ z9#Cq|l>-S$QuY_*@DDE35IzE<)nEgvo7aSb(v!p-W z)`HPg^~N)sMZo=rUdl$Q^5J584%_#@ zk<@cGGQEsXW@8l{iB;G6$<8zSgn;)cU12k%7Phk!sSN~o?-Uh6tX>@Z(agZW z^*Hqw8XT=!t{pg!Jw}tAjxxAs4DaI<+U$mOirFtg&$+E39@Ui*_JT()Y^=6D!Q>&g zR%MO~=%~!3^5$HvKd31y%>b7K>`wQtn{ym=R<7&gY9G$R3{4L*j+rMwCgXLx?4M`| zLQQsiU^wmr>ijo)Q3Clf|2Urjd~T(%K-lExw(30MnZ2#}BrOb;!kO|X(<`SaI84O+ zsx+CsEck~piW;GPtqUoA>cf!FtY|-=khlr5A)Jp4li)SHA$piM7j_W*G{XXj&$*}R zaDJ3;P>G_R78_bDhE(L_L~wpPmcR@6(ge+vnGlWAJ6|Tin@*wa`_tQW<=igC%?`LY zbxe+j%;xK-%AgE+4<(Hg%bhN4>IB-buHr)&8fu>q{=M@V?S4|j_qYUzNw;Z)NyH;n z0kc%GRym-z%U1SUXTY^3=rSLH2($Lp5(mKW(AiD9U*EvW@}j7NE?H}UH)E`)lwZ%wZf+dbG7t~=O*b7= z_zh%Ql35l&gbMxAE+BaIPnHzTSZp%iTFK&17SN3{D}T7mUH|=pvsCB)%If`7JJSkV z*l*^*8`PZEC<(OJzs?9OpPLo$o2LcYgFgX}GwY>dX`55TP!$_<_6s~0kZY>8=ToC} z3M&3|I_HBHEdDZn$6^=d5``IB_zbwM`#D;rk`0|Bm~=A7>$Ha|_#MkEc!qv$nFZs| z?dzD+Zg)`{GLt&_$r-i((=T*88DA9TtU$3McLd=R0kcu z2LDtCT1b@{mL>w5xO~_#JE(R;V~}SU4~EXqujKHxVZ8(a*ng zzOBDgQ7j{;rDV(2R;);3?sH#lo)S=UTnM>suTRPM>fpADQ$dn0Z^eOyXsskc{w-PKLGOwi{~{;J5)))-t}zT`OJMVQC0R*hzZ)ZY zI)8g7T7rTJDg}=^k;#u!uf<{C1cIU}MSuKv;5S>dKQZm??SN+0#SCY) z+yCkUqVcI_*V?mKBE4VQ8v~h%4MHr~Ejj{+o%MTC-HZ69?-|~e3gNab_u-?$uQxay zoELgE@HfVitT-G24)>Bm_-c3@L+m25e7TD4RT_TMVg9(dR3G2C%Aic4%N1!ZvB^6& zOYM<(`>V#hsL|L__HVIvCozg8k$RjgchGSwOZwAI;=qh zNmyQA#}}gxkY7?8uO6zE&Z;Dg7d@JS_?r_qhCQ#zICIYD@Hz|fWN^~7bnt;9KJ#XI z?SSt$kV{0)CW07te|oMoA=dCuxn!eJ!x;_OAp!oL^4e+yPlP9+65H9zI6vo%>tg*9(bBY+oRAR!;>)5NcX}peJ=`5Pa zM>vIJIUS~;d&wIf|ot9Oc?Gmc#MzeZ_SsaZv0o1%!9B}#U{M(lMRYpYVB zq;d7RnDiD`$$`TIajh=@jc)t;Wm+x$WWM92zH+z`hv!P9`^cp9g}30f(06 zvZ?VDzc2R^Q=s3X{?nhYm(p=jt?#iJg1P+JZe@x*p}~p7RtT{axhbt~Bac`5!F;T9 z0hjlZ@}xX0>+>%EB?aY6pP8BLwQ}!6R}OxJ;L&K;>A~|P^sk=t1#jPs{A$AU|Rl4@{ zC%n&+M4OtF-~-+?shYv(zQt;~e(u(K;VfF~EBW8gJ-s+DnaP+am>#B2~D=13iD9&ah z%EBu%J}0}<-_Y6*Ksi=cR*tpdoFN!*UxC5Ea7t~EUlcTYrT3^zBy(h zkuQ4A_mJV4wlLFYcBR(ThF8ruOc&jB!HKu{1LH&wC69ZJX%G;N5hy_B)fu)&pL~u|+PR{dnxE1e% zglunWQ~xETxNrA(L*k`CyqqX;&XY#$q}N9i%QS`Zf?6IP9zf=k3&R64NhO$kFMwc4 z&BABSJch3WcbcY_R##JDT=LcAvreBy(B%Tc7tsc9*FhqYW`Wk)s7h;3K0ZDp$PTHh z(&h^Z4ILgH{`V3|;Sz&fbMTJkxgvq;#Kf*0*_@sSU?vs zK8Wmqf)i#Vba&y0imrJLDl?EScvgVWRL95Xv0L0f7*B%eGy?e+4%u6>T(Fp4WxT#9 zSygqwYjWRtfzA@ME7g6P<_HW8w9CT|gm)ho$SO#62M%>cH$=~)Utt7kF;hGMzIAlS z7*@%ApLd#I6^p`@VYX6TEx08Z>y3q8JsE7=uFEK$W5ur^zGakxM`Y9c}tZ?HC1e*{Tjw)SNPd(fkmm6tEdf;C|%$$4i8p&q!nxVZbwHp3Cx zvfXDOlQT_6>kmd$bTl;5{-&U5Lp%7Ic$V19vK-aGj=XX zO%>;4;^b60gjVuX$Y)3&zK=pgD-&?mAUa_jGrki5Y5XW!}^}oE;2Uwb!-6ADLsp#wJMHy=iP3+Z+6-lm0uvP(KIq^Ju zvszp!A&)Ludg)91ypw*qEJ9(cyT791(fLo>rW#Oiz~h0JFdd!GJys7y#s6n1z=2;W z8$LPWTVI1!%9>l5{(_}JJLt2x-jhIB*+eGh~lFg`?BEy>T${e#hL%mG`g zDOI;MCoix2>%y?@(2uQlz&94&)nrhd);f*}BWt@P18zh*kJL|lashBMc$;VhXwhL3 zSgG^Ay{oG>Cjz^*Mh)&Jm=Ra6UM=Gh7S<;my@7T&+~rb6!~_1m9?T!NjWIFPC0 zhLPf@l6WX7DHVAK4Kk_^`AVtZm2uhFV3|Z@DAk+*+y@@Oxt(L!s}vLzS2*uL zc{skQ1{LaFE@NNI+}sQKX3&8NJCK%NbQ)6(T)XZ|u3|Ehs`RAPnhp`JFUx=4WbWnC zb{Dl@jY&w1B1%V?bPrcR4-qAuMR5KDJ2TOKsxH%krKq^LI%3EaSlzhKpKD?BH|GV4 z5w<&Su}xNGC0t80Pt;5@->gPl@Gi%$y^2HJ_eF#j2Ai(n{?A_DBjt@L1_xq)SJ%o! z`4U`Hl3RKel%nJgVc&~}%^e-=v&F9SjhMB8`wt(Q<(xSj5Ki1i$=oxu^P}<2q-osx zmFqD9H?iqfsnGs)hsi2CDB^JM#&`(~=l-H!A0iAd8HsM~!klCsgWCSYMLL%tiN98A zrd-5x-^#iLHWtj-AWDK$W|f;CZf%k%4|*m3rqc=RPxxA33{s$FPXDC%cvuycx0DtC z+uU3#2r0FVj%s_i7DKH7gB2qqBLMU+@;^^pSI;i^&>wOk5t%D_`_tC~%BtU?MFgKv zR7U^yIMVq9cCL5yIrXrK?aalaTeoTd^Oc%`7jv9GXA^jwsE@f*+6eSUeQsVvE~4K@M@Q@3wm=D2R?mrzfsvvJJr(r57U=^JzW68D|Jz>#USewQ7z-)rhYG>}#E_R( LmMW5X{`UU?Wj`t9 literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0054.png b/docs/img/fsm-image-0054.png new file mode 100644 index 0000000000000000000000000000000000000000..afd82989333d54b0d19a542e2ef9cdf46fbd321d GIT binary patch literal 32841 zcmc$`WmHys^fjs?-7SqMDczFNAQFm7N~d&#lr%_pgM@%Zr;^f*pme85cf(!JIp_c0 z`{5mT+z;; zb8bJqUl{V%(D2nOtT24^d=(Qe)aOk#MPHJ4)l?m1<9i8+@lGB&^rOWF-NgEJZ6!Xr zDy}BVgT;f5aX2-qThI6Vh3%&w-_Gl@pB-)Ec8+|VguixrI2sM2Hi;zB?grq=J!rhX znjM(+o{YNws#%W1A0qC!=F5B`o>yZrtRf%dF3%o_2cL|5Q|P(#$jWMbkH;!{vUa}F z0X=)F+-!EDa{YMglAdh&zsq4&D7ejibEa_Fo{8)Eb;X$U@uS7(mtWC|%K!O52#e)t z(QGXbUs7nm@?Q0pzWsCY zg#q03*t6h)yog%qobN81rc2KdKPD+1$7eZ0Z`S+azyJR4Nu`IPbuj+t|9vV2 zDFAc;_x``nLTB;+`-OUp%V@sh-G-ADzOIOn zQew*0^FCd!p7k!@yEt1fzM34&k|QfDt8BlzLq;7puCD3-va;O4?hOCkG^gBg#yxna zn)2*%=#@bWWj52_$aqYf_Hncu8e7MzZE0MGIMBQOFQ3LCy)z~8PFajUZbLJ)&fMRl zLf@GFo<#BG<0ax{83!$Kcd2UboI-eApEq6~UsG4jeNgZEa-8Nx?d7I-Au7v{+s_cL zqZM~WvZzn^(62=A-pZg{{S!FtPSMz&`$pZjYA;R}qj0qwDk#Tp@Dm*3r^>JiT9uv4 zNt~8{etWhxUd~vnN!zUYT(EZS`eE0u#w|FCr&10nPsY#{SNhV9my`6UGlRJzx+$U} zYL|incXNh$yqUCSf@p4YwHX^xPd8M$ZtK2OZAP5oxHSCWlWqOGjw`)u)87R$TZ7fa z8ctWzp61F%+~G1@>Wo=zkD%Z&#k%5c#ENvOl~(H8wl*_uVRyVSWPo(EF+S|@uNU*MbGyK#KlEKLp_hS$IDm8jG~l64Jg{eNcF0$$Z&ZC zg#Myex)T)NdVUB8B-e7ZHr$c(M(#mP@r>8;be;QtmDM;w!t!=puD3DdV~7r2?GtXS zOar5>soE^hJq5cOKkZ&3>S#ul%!||g7Vo{~9`*N+ZdzR&46FF=f6WyfYyV_DUiQIt zd&YIzd9-p=_xw+8)X|@x_cRxgT{p&l7CyaK!8zqM*W}y9w3n5!HT`{G_uE>2+R)HY z^yDGQH9wSB5iGzLBhnMNZlfzZkljqxw*IVDW8~%R! zOq)7*%d<$kn!Sb9Gs!FH<9LjiMxZIWCOHKQZ&;`7O3Lrktu@sTR`%Uik=Vfw|ss z(=@-%A@g(jl}`UlCgjf?-_tI!TpLYaGm)lc60_v#Ee_*U=?P!4yv>#h9w~g9t6Tpj zdv8)R@jRHuyR+T%Pj+xk-16={JRCs*J$OPVc*5(k^kg0OkvO8-`$tWtF&hKZ-(69Q z(kQYjupgYF(s({&<|}{3k!=x zKbnEyMch00d8GKhr*fNMB#;v^Kg)w`!c#(-DKT(2F?;+j%c0-zaC=rh(J$k?SR-ht90$-1eQ=u4Kgcq zKcDOKS*zc>iyf$IK5qA~#PB82@a^v>UAG0hgj0&M#u3-@B4-OF%ebqdYldZ85ynck_wB6vB}UR~9I>(n}sjg!9be*Wa(a8Ui%^4udXnVuiPBb{)t{bJ`E ze#n?4Y+6o(?4|GhwrqAldACa#AzU@Zd3i!%GbYXw_;dpzv6DM zhZGmpu8<49SsVI5)_Ta6qR9ibID{d#=US4ngx=I4MXQW1i8Y~(fs|E)(`{!CUTFQ{ zh<1js>(=kjq)zWT?l;ITLxby;WFP&aS?;#GaJs*`C_ihfXwjm)RB8S*=YwKWDvJR{ z`_YWYw)5X#YY_r7cLeQd9Rym85?1@tg3G-xb~~^s_%)gc^yWJQF-foPv@8X!+b;}Q z4Ci~npV>B?GW-q1DAKBA=?uD{FX9cA)>xjY_dr#Cqe}IMVItdQb3&qOH8-Fg-Dz`z zm^sU_|6w8KJL|Unl@638VhKm3OcD3td?j5H9yRSSld}{1=mr(alzw=I?s#U1@KS$5 zq^3^$kuRErjLJG?#^@9LTnya{^U|FrR~M)2zdkP3i%S`;+*}_m=FqKkgR9x0qOAE( zA^MS=!>PEWgz&ml@6FmI#iBs!8ncVf#SIv>U4%#!aD=@{9J9L}G}pyGmp!}@$1x{;27v0~=o2gu<>{a(|&BZ&3*0S7JQd~Cm-Sj+nWumA> zl|^=?@594s`goEAl*y(%P%jE6%Je?7@Oji}?>~0|T}{wz+JKHQSQi@m`9Ndz{E>v8nd)*$0UJ;2&^}-$s1y z&VzYlaLjE`0x!=_uTKYj27k%CBbtv`A|-jWKJN%+d?rmzO)W(X;~K8H@b=S-&q1&2 z#rH?85TQm3q1G&@`Yds`r(Wl*gzVnOmSEfh@A2ovi+uvKSW}ipHO5Z{GDVrm$hwya zRYWA>PWE~@>JKQ8fu!>jDKOcr$+ z`ShYEI30Zg729LKPeA)-^vcJA%(N4!mRT>0bh=`p zy$N@k2PFaw!k1@9r;dQQ-I#6ki4I4{=puKtn14`W*!J#0QOyF)^@$wB&U+JFk)21` zl7WRvoJcOeCYnopp*EY~*Lp%M)|%rh)$)$m6=Yq_2h;eC8PjH-LvPu0V^a1< z!Je}g#Hy{f9E~LDw3~wh-~qZ)Gz=lxH(o43VUFPTK50^U8XQ}ym7{6ZuHp|yxD zFm(g-;$nZG+}ql9;g04R&AVR(Dp?g3yz#>U2}9`b)jq2iSE-d{avHA$M3Cxv;uqv1 z`?*y+n77GMyI&rT=H4LHvAc=Ua>=ByztZ=#LrwW%TM*tHztrm*PxBXg;eBZWEsyPY z%(A>pyJp-LBg}iDME9lgg$diM+hzATEO(Ee$a|JWN(Et+vS5+(qBSHFWG!10zCGz; z@GEg>cp8KhS>I4D1eml{vj+7GRbB$*v!p!xb&o%;m^@#pXl)8-rblf>@HeG$G|?4* z4bPZn7EiijTCZ*X+kvyDOi4&6SntCw&S$S>{Z8_j#rAu7cEXQmeX_XN2&K32;_s#j zVMA?`qwqB|sPfpZ7YI>Ug4ky&8++)wr9&M`_w#h;^rsw+=68FNo|~kM$6uQly!N^n zdv6&AJAN#G4=|{N=|o`kzGTA)YKF{N_7wDcZ$Z7DL^l5e-F5MYi8oy2C8~?({3v%V z1dz(a<^u@rcHkFvh+>IKek9|pdbBvI=c9COnl~u>+Ge(aY9!>$d$*0$MP@9S(w4kc zP6YvW3fgAs*0p6yRRB+NtZp@z$= z^X)ZVsJQJ})l&|b!yok;#jZRdDaOeG{h=?+G#S1Z>7>fCV+c~VA1N_t`7#nEcE+T} zu3kjxnuGtRrXa&5bz3e-zcc{)NswNCe*VNoLbQrm$hf#i^>^p>_8(t#Y8{}2v$l|# zr8g&2ZoEr!gK(&dd^VEQBuCHDc;RqoCQe0c?Re73YD27}h9)LH}BVOwXUc0L9)>1+}(d&zS7Y|h_RBZAk(PEV> zG3HV(ED1lnMIB!C2y#BZgV*Lqb>f%to;JDo(Q{B$Nb|NyMlm}8L*9vrQ`AmJj@nnw z5Xx}qW_D{GihD=G_P25mH+@j5&JZBr@!_bR_;%gC;8Ub5IF$0;qTv{Z@X!yFeNI)e zX^?i41jR@uL&UudyN{CZHPO+<<+hL6{*2G{rSCej>FhUWT`9k89YTgD`p-0Ry_Ix! zDL%D!=M>_xCSs%Sy~QAA*_k|C9}RxF-b?32*Z~1d$5+wFM~k~J`Lh0v^Ixl$AZ&w( zlYrQfk7}z>bC{my+%9UfuAVk!#-$NUeel62oN$F`v`+O$af*O=`O*_q4XRkxHHb?n)UH+X%o*1aD&OI%2_JmeCTldQ{I zdks)pO3|UoJlY#41?rzf4pY)plUkP6aE<+*dOI(^YkJQ#=S@ouF*jn9RBUTEpbBYuoWxEgSQ(LC)hn>0R=W(=T1D(b)?EWX8k4~;cS$a0BXK@k&q&&~o3c{6c#VJyJ4Y5^v%x^RG zC)eruXXthFpB33_^Bh}JnmDA@c`TrO%)KE z=%3+rc7U$qiHV-y%J8Kri&j8{lzm;jrj`DE(tE3&1Wb;)Xv~F@NTwHd?=weK-=+jg zmzoV^Uc!wGtK#_Bez<~d0DxO%aq{!et&W`%ZK_LT9nP=8}!zs zh{X<04U?Z}g6q&6kJNQ6bpg8UNGr3mp%_^PEvmGCo0IbONHB~Hj-hvz#1(r;9`#g| zMukPEhVxkJ@WOBMgz}-o{f&1;D46I|L?lN?lF4Hc9#D#<+JpcTH+XpjmA!v6CgpoW z0D2i$2c`cn0DphhHNI$Yt@K;a^J6X*WO*BsvMHBFuL3NxGTF(P;JafM6lvl? z^YM7-gQBM7nDJw)F&a{T9RQ=Pp@eFNmy48iUC8#)RCUp7fI~X+59i7Ofo_nP@p&Dr zS54X|hPu8VCT%=jp{ROTKr+7%w1jSrUG{d%t7@AJ=aYqZ#$Lo5a<>FnrBMfP4EJ9R z>gbFYKwwY3H=-BPqdOliR_Ral?GDa~@*S?ZD;2~U*;8%nL2G^Vm+D%!15zO%+g$7L zmFx7f9O>Knmf-!fNNUjuE8JsF!rhf%+m!D#j-dlsBmaZqrYc6S*#@t*7rqqRC#aFN2S|iZ=U`Bo zd@~2}{00VSebWJveXLyju~T^G+6^LHe0+yr%KHsZ@ZQ{fQ2!?<3^2O;xJi<|yCl1# z)a#KiWUalEBm{%yj9?20m{Lq&G2z&| z3&&!_&UC*U(Ch;ytJA$@>qqlBGGRDl(!L&!0=IE81D?>hFX3O*x@>A;ewh$TvU^$7 zy+r(w=gVw*aE8~>ICH5%Qug;B!Dp#_mhGbq&f7B?8Pj#+Fi4adcg0?!b5sPNITSvi zc(<-#UzPH4KS5nL)HaNiVxu5ayyAiaxt;5y*Vosangqrj zYBO)v*?R1Me|n+g#bYO4YPG?!^(}sk;*F&9=xYQaDDpE_aX4_$DARyZB8#c9kthOFmAwz$M8y@S!1yQ2YUvp2P=~go{kVuHE<;A((*a$!(B%8=X z5k32pi{&ICRT_K*a0I3Q<8kp&>B9$5gNuqdJaC(PHoWJ>d-B@osJk z+f9-4y-xSs>k?e&@Hq{m3R0;$dN1LB@!|wv`&iX;S#d@5IXPt88yAIzFFua|u#Yr8 z{cATntE6f_)>t3cRbX@|q~C%V!EXJG?V+VMK^H|s#i5jE+!1!93|H6+pcZR`n(Vdy zwYsb&^`{^P>irdnv{YV;*lpVn6qnQ{HT;-PU zLgx6Iy)uv$HO1q3y#%ouHT5+;{~nF^Np>HT9;BfKA?^tb#s<+HG(L47qF} z#P_p07Zt@tjk?3-r*PMV=2%9FXJcQq5VO>@kG#mU-$V30Ro>+RIb? z_2d1iQ$nK1vMy$s72lQ}vqr40(|r7_%KWDx6kaioYxX{*e3}~Vwjid1KR^9ZMjCy5 z(%vnNI+$^l_}1wU+>?t;=QQ|HX3|q-JKNv#1?x%lewlx-(&!R*2sZx-G_&ZHKRV7Amx!;z~i$+az+pUL*ve92BlOx7N-W!Q$!~wlH70giDEMxuud}}-s?xIilVYrK#*Lmgeny? zE@B>i7C?tBcPBg?4d!=g>iobFUb_L=JHYkDvnS_LaF^kAL-IJ~>uU!YOIb(h zfh)l(w71tIDy{Pl@}cy&hnm4I6y=|zPZov&>J5Fm6xxBBA#Dl=ZB3b7pBH?d zO_iQ#sbcP#u*hW$jLvLF2ALE2LSR!A>476RH`Zf%9C7UQHxn^;DS8GQUALxE2=sWS zguZ9J`OB;kmgNNCe-fXtEAso8s-pN%ys&`n%v6pHW~4&pi&@P)_E+CdUUr`yE=Zh} z&|o&2^dwGHS{Agv+%-mZ!fQ-&BPKv)AMH-r>|E4SRpnNE;NiZ-S&hu{k(9T>mVk1CQbolBh#a%;CxDD2c0c|Aa?| z{ZdC?mPE5kbysqN^qqbn4u8R}0cRR{mSFb%5ac(P1P`m`&jan7I%Dox>*93aJG3jz z8D?caTx|GQYc1L)@uQ@%H4#0b^$|P3Y)z>2`*5^`|`hnj*S%>CGYY5X!9nP<+3Tgf+`#>WU zWbiajQO|3r(o#Ly1m=8e;wSa8h{qU#6JfI=d^0=^&o{Q)Aujdcnu=8+rU$7gQ2L%i zdiH{mzA2J-0d5|$vTz-%mOg8Ih6z4~mw^!sP8{_ILm93!RT*{Mi&vpx$pCMzr;k;;hi?G0ED~jVW9znCJ zx*O9l(qrv~KV7umA1a_|fH zYe`>(>Kv!ty(7Pan0~AmeDbzht(b)}T>b~&jLbGw#8qZT1E;@_N0FJilpu3U$zUI< zF}`FAph2Ij@Aghn?PUEJ9dd3x>qS#jN~Rlzt1;V(=45X5f$S@Nh;?H3YO;*Wh*S1c zylhRwD;Wty;M2v#^)y9f8PTwdS!0A)hf@jjcVsLogz5~n5Ez+WY1`gdAryOQ=Fj2P z?P8c?Y&?eFOPf>uNEpZ#O^vN%K?zx^vh2M8jOYxm;_Ne&HHz-zBZf$+KCLzGgOb6$ z#O!KmEvn6dXyKq#uK4Mj#KW@V3|q(Jfi6Kp-=%WW1)tFUD##j7=Y*<4#{;8WaH?^{ zzapUE0;rEP|Ehj;VN2Yn|EhfWn)j2s z-7rSRA;t4woiKzowr7cuuXgG2N_JZ>uo!6>F9zGPo2u*B;%tC;PaGWRKlcS8Eo9>! zTs9_F(Sz*04^4G*b@X~AyARq`+d|##Z1o7U)yhZk=31K(zZ^c*;4s)IDiYF&{Iiku z=Y=dKisZHfu$C01&9g{HMe^4}@`z_UhI>+RS{l+V>Jxuzf1R`W=v9#q)5cBQHdg-w z4p~yTVr(Qlq_iA+SA*BNP8u>{=cT}gNcIroypsE-t}wh9KD zjNINLbF>+>pS4QiKjt`#^@bblLcA#Wki?hs!)8<(E9h-7D@|0}&gLtn!Kmf4(~L@_ zB!o>Y_s(6=cXslV6b*2wz#au#9yB50?mV6+Mg?fB)ekvs7i(*+M+r9to=k-Y7k2Iw!qp z0vuvUZLGkyP?AHOFg|lYzv-t#!md-}alEzI5tRkaFi#=Qv_GA!b)xx8NSwF6$cmY6 z2|-eS_PwA)qoot&;yjTim8YxJlquN{x%3)OB3)~m9&Q0SbIHX|+)nydL370PgT$La z6J%>_+RvhEWiVPHwleM-)khS02)F;VwEOoat=X^^nTz>dg zGLfuCKks8zf(z&iFi2aCm1L_wIX}4dnhZ_@m-KI`=3441!iKLUS z#af7szr&5Cq<*Z|Txumx-}rZ`mS^b8tM4vzId3HxY$cY|Lw#S^6y)az%?w)WJ$*0L zk)}Ekgv=q;x3Ksz{jF_G&tMwA{lbIdx>x~Q-Odj(FT4(=Jlur5k?hRBRKV%{uaqGo zlKr7N+q%Ygx5Ni%u5z;hY9XgTfayky^=vcxQTW!|NcHmg?MED=z3mL}Xa++EUVMJp zm%_6OWJ@NTc_N$kt?Gd99QPxQc`IK3{^T2$twqV}BqFvtkR=Y|f>IiP$`wlg4n)W< z=oreWyrC&uGxZeCDPmq{5CN=I;vFF)f&eoBt=LS}#B>1D$5WaRJ=dGU^HWSQiG7(< z&32$+@w|35Q|iHskI&ko$ST{bE$4$Pa&{jcvJhnuBT7r)zokV}tzRN&{**LCTXoQM zCj1Up&YQ!(px3QdnDldrU!5$%&`;6Y!K|Dqa++)u+3c+>bQ9X?;)ZGZEqcEMt*w$A zo&k|KbQ0!g0sHR;Vxj^Na~)dJB4~+{60Fd%M6F z!23%)E%O4J6m$`_&O%oSCXPmv2g^~-<*L+6+*V_p!ZUPVbVjJF=_!UK3lJUcpUnEx zX@d8em2DNo@kMH_FkD^Wix;$$Y0^=KMt~=zu&KF8hs@WS8FNog>$Tt)yZIlC>2|m7 zW9c=g>@eQOG|O-rQW(q!0{-+Prz-FLA;2IY>U{%pO)zr=`LgWClMJCsm}Lb?7usy^ ze(Ai`MGZ=vygNdz^=#-L5Wm)eiI0d_0m1>hYvE4(ZI82qHNsZZx0%i(nq#3~Z0mO0 zf!rA0w4?hgLwvQytmV|Zt&WFSVg%u+vZ>#Jk;m7t_BRtS*J4ds**Ef3#NP|Y=>L_cK&b3fYBU1j9d@PRZ{%;p zp6#0b>qyvdjQAjhU87_!oTvZonl89ovd5P@4}e$+`u57{r;N9S!aASn>YzsLGhg7&<}96jf;dP#2bw$>iRI;& zkOeNi=e6$pD`V)Ql`=^;v>m`6@rAaGLfC~h@FYf#S|R=Nav_YPOtz7+&YzJ7j-yT9 z(FKPD&fVb=z%Dx;PO+0Y|An?N?W70P^T-%PO_>OxxYi>hd?|M9&!aoUtQZ}2pkMQQ z1f|Ld^J-Q^4EEai+&F$jvPmB&Hhete5tT7l)iJ`hn%o2P^~vJp^K2l1cBUXp((D%w zHYclr#ku9$2^?s9IS?rc;yzajaSZmA_l^v6+2v7Dy5^XoC`H^j{qvz*dR-3wt*m}90a0J`sw$*?gzWS9S1}^ zeq@2LeByXN%pk>p~S#wyEe6?$SYPkW8 zMKkx!}-Sd&}X)r*&uw2?Z-!Np06GZcbD>ndf)iVU$YCHm;ri zqRGP$o0{59sKl;W0`9RAzrmN5*xmG`R%J0C$=K`3BoTmlL6wlL_P{oTf4?M{D|4%Q z=FNl5?@$ZsXMIZK+3RnN$3EIng>*RvHTGuiY~eqY&ib=J8an?eIVfX%pjpw@^qE`l1b95Ai8Py)eNv41l(f>4+5OE zB}j8Mc6VFxg&#^1kx57yIOobmnPQ~>?$=;e&J*J{IVzZiFqFq`_-_7_j`D_*beSNv zkIh5{bI5FPd?)1K*t%bdBUI7ns}MBIcG)jI4?$kOw+;>_RQB`~zWuB12pO;b$Z3biokc0iul-fINz2I00cS>p;`+4{M=@y?qS6zB6d_|<`V zYvs$was2=qM=O=A!84uekB(->80TA1Q_Ea4KTz>o-I?sTRUt-oEqSkL1PnUw>jRlW zzFv0u-bM)Z(VUHE(3oRLw=~Li|EW~s>E>M@48#n&xeZ&<8bp_~t}o2&-uqgPD~Mn? zbfuQ@kRBe^&pUB%80_GF6@rPkiHy-|1KcIewcx_Tt>k~+rF$P?d6^8SIib@l3RyfQ zZ}B|c69rcEWO&L3oHy|g(LM`I(2BX?19t9<)cfwjrq|x7lge-1O>+n4kC>=}h2wTG zO8L3%>_LNRGXN&8?AvX7y{QTdLdR0xVsL20kFneaPg%9BqN3ttsZlWY!{z4qo@Rg4 z^IZy^@f&=He1_d1K^rWVC)5xIgEH8xHDo(?!!m0l;2{E%QUck9h5fkvWVym(_`RUp z!i+-?+mUr-(zozP9fE$7Od;pj=FF7WKP=y!hcaerz%3x)MFaGCvk$E#3Bb@YB8^U9 zy;vB4%B|)P;i?WC7*D?-(j+jR4Ig}tr(O4DB2O?ZbbWv`0s9KLv^oW8oT6PiZ(FD% z3R7xlJ@h)@HM1=zB1*xk0V?d?@*_wqsqQ2l2L*8Cs5VpB*8O}fT}vtM?P-{xUZfK` zY|m~PV4K9M`Rv1E;(kI&A!3&2HJ}hgb7O=WqV9UTr?J_r>u?Kq(l365B;8eucO!Y- ztn7GSqtV;z7n;x2y52R$6mCJ&^l7w1KFQJ+X*|MrONL;JFLek#-1eLGigiUuz>__7tk3<6BuyOniXh}iQ59VG6??#T|cGAc`#c%mJ`%B^m&DgG0h^2wJI@i zUfcGu6D_vjiepV7{{=BZ=?u{r|G+-r#@BDsp#+F}()eLcYd3lhSNx($(7Z7C6~byv0*ZZO zkpW(qrsTaH`693^WHyX6eze)$koua!XZbSPn)NWgSvJ;^V=nxQZ+O~Gp+Q}*T3yIu9}xV+=9!ly_;RAdG}{J=2b(kXX~;WBVb=blYfiHp#gYFI zRauA*R>(vKamB1rf)Gr1=5U8PSj)>x6oaH6vu{R>0pn-3{&ddjy>SrLax3nOibMgA z$y&z(`a3l}296hAbTjxdlI9jqyiH=BM%7OTXJyY)OPuMElY}0ujXg;nLHH6tS`@KXlzp6?@cq<&@=@(9zh=Tv4%lHTM0-ruK}oHx72?)M#4yQWa@WZNc#PHjLYHgw+$A#&JfxF{TS;=!gkp3&70;&mG)D zg!0$Mq9fHIeV2>ZxdgbI@yC>pQV4vim3(g@LzyD4!OIm$ZhiPdm-q7A;lfYCRB;5G z>Tf8{0tyL1C9T&S-fZeBa=(d>>oyZ(wjQaAM{@7Dd4JQIMWMh{x9Rz%>P^Kd)O%hR zzdOvW8&!Cg#>JE@Q9XYHOpgrXn@f_A?A1U7Dh47Yk9t?6NL6%1OdyxZ@^F!tWgjRw zEyd0_PJ$T&gr{Sukh51u0-iYvv)<>Mt)Xh!Z7ucb^wcS1DO=}*o7#%jtNj^?ArEs7 z&CSM2w>+A>T#gD9UKd)We4Sf7W-Pi%R8x~rm1RDVt-IIin;c3Jx)$&Yp`JDF_X}TB z`>SJQu|Bb(J3zdnAkfCqGe5$#*GHNQoTtp9-D7Tkup7PlA9_y%4tt|vsTHS@2}{^z zw<~nuJ&d!pUG)EM1JK1nKMal7$LupL!BLG}EH(d+=u3pQll6Dr?3Zb2nnL-OSttcgpx#E95+}TC{{fE>8rClZ4BJc|7l|Le{*TYiltZt zfhRV(l7-kzsP+M`pYbx?KlG~fZ7_#`pC6T?Lc#MHug~c+sW6Ji(blwO#}aGOqko@V zo&Z{3I(Pnu4{Ul3b)W^p@7f-M8nra9OvN`0w``yjzWKm zkB^6L{-KrWc_AKxs1WtOa6@B?EcM>^m-(L;%T}9r#N{>);GIt9-IUX?5+In(-=9Z; z3enAx4i$0Vi)VT98uaMef&YF64Q|PJX%A3q+bT>&b#D$|zhgLRL4&H00+ZM+WDHMG zwFG7V5!EF_{OPqEEP#bWl|1#p+VaIWJpX~o@kBwv9h7tjku=8tV(kY+D_IrRRDgXA zt?L!oOQ9C}>4+WvQj=g$r2hw>;n9`Bhx`xjaacs38->$|ivfY=zPFSD?cau^F8)92 zS^6nEG;wvu4v<0m!0rap1L*a=P|wn0WB1xAY@3AHno^MdhmwXcq=_Ihp~70 zwKS;h>l2lqEtWwDbx8bQk*Vc?4rim-wq)J|gQx(Id0@)XS>=X%sGfGw*3u%^8;axk zpQ{3=Ps{&e>bmP@5|}i+S2JAqmb#GItY#bP+y7m)S$YduR4+AU8WJqM4~{A$j#FS; z4s7g!Hu(3gkqM?@wK4dFm4Ypzjtd6&BAH)^gT9NC2sxDzTf8(+jnlB?0>7H^IGpm~ zvwzMM&AtTQ5`w|5nV%g*Iy>IZVr++C_{GK!7e@T4BK!EUQaL53{#!k(KX8m0ycR<+ zuEoa2KKrUwIkog(cp5{EC5QQ8>4y|d+Agr)LBVh32L2?+k3FF3fR4u7;kDrVZ|34N zI5^ZoctTmThQ0v3boqh1JlM47QsJ85!t$~ixr_1N+u=mm@Fb`xU@rHAY9HJ|Ndz~@^HUD>wali9l)cwEc6#tua{{JR{JalrfSpVjT ze**D$nsG5qV^?8VthvYW*EnGlJFC{+x4>3Zai{Z#uJP-vtHYaD+8#6s;;hdtHx6b^ zm4eu4gmz1&v$CtLmrwf8;IPZ0fHHyPdrPY+?ZY*X-I?Kb%z&6x z3(SD$8xAj~TEdEjtEAX=EW>H*!LURXIFvK2&KNKAD~37>|( z&hcv)5u@7ZQvUMuR=UL514AWJ3?7=-o)w4HcjR29VW;HkJ_s!X*>Q?tS-a!nkSE|& zS4rkHfMpNFE|IXy2H5U*PFkgYEzK3)=Ot*mI`Ey;`CI841BB}m!^f7W-&C0yV>pei zbNt(c-;3=ySroSq7|+DZZ8Ywiprj-wR)LSaxbf-@WNLPcdv+um6^HqIsy5ON8{} z@<7%#AiVhxyGK556NJQBJZ8#aBy3yt$1}i;PzF&#%Kmd-35p_ln;)NK=joBJkIMZa zXnGEYfGgl!E8%gV^r(pJwt}5P@^I*yW<YiMi!X?>q%ihBX_V60Vs(CqcmPzn z{U5~rNwFn07{$1QmB|c_IhW81j6Ev91X&Ee7AU2moElkufqKDN0Je%}yrmLc-Mg&Y z;10!LzE=r6h1~%DXy!|OUP(YxKUqm%IX%UT($Vr9?YK8ya(y=1C^%yHBLICQgUVP1 z^|F|Jspqp)?ZLcpW6-a=$boAx-NQ8Z>G{Vp{bs+G=v=6pUCY-yqHjp<^k_b-P3pm7 zG`MXOBkAGocnuy!n~X&$jA-eo_lqn$)d++|FEtZi72a>~Pe48Y5ila(ZFfnky~5D> zY6IgG@h%H(p+G{xyVF_;L@2(eE?7n>$F2(B$3aLb^B-{xmnzM(yad9m(duLrS0ht-8VwSZ{8`_owy{1q z8k>l^$-4n_qJZ@TQH_RC^o#>-v$=|~C=432{odA!)KTl^F^c6~mp0RnAKVeJ*$jkrkJn z#Iw+LwjsJ7#E{&d?}(TQ@^Dq@7i9WSe}fP1ZR`9&sO&N+N^r3Vs8cdf+B8aNKkERW zF&0W6_BRWhC}Y<{iX6ymi_Iqiy16mgKkRJ2kK1({MTrJkS|`oH%yS8F_2RGOi4_dX zU9t4`M(^_l^MOM-u>z7zdNx+F=TV(oIJSJHTFtK}C?}SU0+KzM2-jBd?gGj<&a??r z5-_5>K)^RyKgWnX2fkv*?N``Ql-gisp)f|Gria!|U^j=s=5zI~!~-4Mp#@uD$Garv z#!E}ywcIGNzeq<{3pt{QeV;FrJkR9-WTn6naFDs2E}!7XdelMXat z`Rwz4;cX3z@_R{hprDyzj0juIHIIK*=kRpyPYd}fW5uWH_8s<^BT9BzgJEq(8a=IN8?r2+K;WKKfqRH^l~k1_po`H z(>sm>*%BSGeuvy%5Y`+Xqe#_WIfsZ@Rap!({6PzQ3L3>2y2p@xeZ$EDrsBm)nyu`! zlFv=pclBivYgLiK_chM|-NS$&;-mb$Sa*8pww~7^v7?z&9Ck>HDoV{n7h_g$%UoFv zNn;y=Gyy5_eWN*{j$Ar2QVajl+~_SLj79;!1N%2T8$b;1KkiF^$kTb$9Z%LxZ<`IP ze5+tOESqIHd9fHlMh?8zLtX}!1Wk`U1dpO!{=RmHXR&U--^icV9`kwQ(S)TS78w3?(>*^-C(^m%Psj=&=mreBJ2w3|~jHh?KzU z9hr+Rg1=T($%{h0MubYR>+9ElL3r4R5z%kD;qhl-w|B^8ZK#d>Hm=)!zYILI`(brg z1J|eGJ1iRqEQv~UPgorRjP9bv`%A#)$IDIaG$9pGg z-UKCUPyd9AbV54y7p-Y4iRob%82re#rCZJ>p3081;TRld{0#N#@TQmrX$skF9_Qei zvW(g@C0l34t_8bL%#~fMBE;9AqGY?FgG=>M=K}0Wmzk?fc_TKpifn<<@;Y&Z5?%L4 zBxZT3zWZ+82}Wbt#qWv+@A$aJ{4yJrsyxy@)(LQ>by>njc5;&R)8l9EE|l7p-f0~3Mf?%XpH-`x6f{hE#8ui63{ z7C7c=c` zZ~o*r=LhFL?rZiU&k(CFpQ75U!*{y4auE%I`3VAOT0NOa=v!&$h=@}bLf1oVbiH)o zXD}<%#fphF}QP`oiGfAc68R?MH442GVNz1`Cm=i5@? zod8MN>_c~n=+n+g+eRwq4!*KAVJkvj6g)rQy00BKzT{W zsdHX`>HRxf3iSdVJy&Y+V`z9u+-I2|C8rwGm6oHH#q}tY%AvTGtk3e$ker!In|%_m z!2O}NkSo~{MMKkS_(}v}ej&-0&hHF7*9?OW+&mx~Ntsg4=mbK;Skx(e6GFK-(QW_c;|A15lx1z*V1YMW_Tv6(Liv;*=`ySjNW zZ4vXJebo?5P_<^zOu|VPA3RWic(Q*oUS<+QTSt=xpud>ZU+Tv7QFSAi(p1)gg|>ev zb2x=SWT}dvYhfdWL(pYS{!6Oa`krUwmdy{bF)0w5+Pmja(y|msntQO89Xg}$W}__K z(c~p>39##nAB#pG5hy>BrZXoa>SD-Bq56S&s?rqp-q~2szK!_gFHA3owiMN&r%Xt; zJ-ri-09#SNhu6UujikWjE_!-F=%*+Pjl0c`iiPNyCdg(NYqlJ#x}`kvcVrl{oT94~(>5B=4G!;zCw zE_|(nuQnz+N^g$XUgy1&{dhIydB;@IY+p=QQ2;8ulJ{*0gNo|*9xJ18N0cjfu})ln zNYXWAk2ZS8*L_28UNc^CsSMB~63XNSE_!MQ&}Nah5u(L?Y9j^23Wdw75NvUi;aAST zU%Ygk!iCb>auYlwvUuM`A=6ECieZ5XWy{96>9^Ko^~2Aw{ARTN`!I(8!3?;QYO_ez zjpD*pTJ-z_lnoxd{hDFy0e1KhPegaS=I&x8A1>(q;HQD#{Xy^O5A^3&Zyjd-@5pKH z93~@~@{M3?IQa{d)xP@etPPE7y`b#b;OOVFxtAf&EN@=i6Vmt4Iz$ku_K$${^Cq?w zlYmhttiuxq7k;Rd_6w~i4;w{tQNmUnSpt z5=ON*-$w**q3wiDd5LnhNy+@i@6YOTukro>q|fyO3;Fs3KRHa(uj^E$p$7BW&QNw#*v@*J zAf}nz*C(y~>cq>^&OHk-P|EqjHv+WW%uoLb4Th8k2XH06%a22-F8+C{Zh7TaKm-w;TiIRRx6?p#{1TU zeTP~H2OPSjtL(P%X157GtFb}xruVMlR$id*i{Zz6!a@Pbmew~Xi^4^MsArM*UtmtY zK%qeRKmZVhEk5M6(~(c&(MYvTp~+yIz(pfhRYg zCdZFi*$ZH?V}S< z?5J@$mShB89bLamF#&lkLQeWetw^S90PQ3SbN=b&3V6;boja0%2C=fs7dE8!I_pAf;Tzj8cs}7<`hC{C zYFO}?$Ow!*2AHN#)GGOa>*}k7%m*7gc(g_!8||@yk;w;liKU@vA|JA4bjikh*=QH0 zznqs|A7UbiQc>Gawn%k=UPcBWl~W z2ZuH8hp1pS?hGnH^37v_k8?$!ta-@e4leK=g8^bGUm_=ba4kxuymCL~nKx*pHZKQJ zNPxLgFcZguI>*43rvI5&J8$AG&A@L=d~ZOU<%5&zzEXfs#a{z+7jj0HS|<5%Go&;( z`}+dcrUA#!!jF$n?xa=%X#%xfx3t#heJTCnD@o#fO*1^Uz2|vpfr8+d*77|djBVP@ zD%+(JvI*A*m+&dfh-Yi$;U{3SvbPK%kh|(6&(Cz4{(g1d5Zb#25D{+v0A&K=3j-Q9 zMM@dRjW4NUv*ea$uAQU-)$jS3A^Sjv)yg2@a1~PF@``e>q{b76xtO019$eX#@{}eF z^{>+-nccszMx>t$Sud$VlItXUFXq!d*FW#`TB;4!Ia?B3D|lEyY;z%)$?NjFwhqgq zGfNJM zxv7@kW3D{oj_sJLB)G`SbE5*z2RM#(*$709Z13mb?)@1dG~Hv7jifog?%qw$9}s6~ z&B7#RV9)%gd;j=d+f$byOe@B1*nBqWUG^8xoTaLkY7LBGQdwGRP?I>!DIQrb?fhGT zA$Q4ogYA#0_*TfaS=oiyP$yN9MN;hP0W{HLZCnz0OmG}`HL~;Ja^Cqq09Bh{x1Er% zD<}e^Qm`Wf23{Z**f}2LD8xWVMdEi# zZa!VA>x8FOQ#irz+?B*Y_)^4D^?{1-ghCC{Wh_L+L|Qo#WaqJ!P#al0=d zwoBe7?wJOz+d@zs;s7GwfMoC8>u7lg_K!Ta(_#yeOx9j?wKsLcx$*E)i8Fg(GDE45 zPG1mT#1KqF1Fi*#%N^yB#$uWY^K`eH22`Xe;hs%FLR1z|dz8D55<7wB>yiCXErk0B z|7CkEEn5#*oucln2{VJf?_7LH1ytfodk_p`XxqEgi}|t^-odkjL&;YYG_NFdff+o* z->f=yOJi>S4K3@VxIM>fzeyV>CMxP>cIo4K5`)R4AY`HyZb^e4G8cq^d)Wc^-aR|a zoq3oJ(BCYTeb$?G35l5>o26=`AK)0N;=RD$La%T@IrFo|xYp5B#iP>L$ip4;i*>lS z!2#t3$uE-2fI8l=pEPbreN4Q-YdGCQ)dlht7@qM)P4eU!u6;2(o+pmo=Y8Y1b>O5C*-bvE+oNp%xB;F zrj(4XQf7W$l(84E*n{VaF7=JtUX5e|c9jctNcJ;SS(AJJf*6AD8pW@iiw8N1D60e}JAGs>jYn_``Vu1G5vg$few#^eE zj0ZpfbeF28E+F8j(TT(>Y7#70)p!6 zD;{vTnK`zt7-Ca=1_lMmq65At8vJ+6RE9Iov`b#*Y+q-NWar+9psf$=^FkoOD5 zWO4XdBWuN$l8jG$g+=-n(Xri40luRuokH`;{j=M)-p6_CuL_l?FT@U5EmZ=H6DX zyMPLw1UZY)l#?c;aSS+lE7SzrGHlH%NXk%xdN%q1${3^F$^Ej-5#!ASaUk=uT7g>SnYABDXITVRxWx zF{3>sFISZI(Ija|TvSgCN`^#0z6#dh{>z=FM~xjnb+1@C^k~rFl`EOWH97nY3<_3v znk}Ia(Mj8M(~zvGXFWh!t(enf%35 zZl2LE)mTfTmp|9aU0S#HR*l;mp%@W=sBpfTQGNoFi)-*gZN<0dS#FVD)Tpkxru*#+ zw@X|~3Kf-^-ROoAGxnxN5{>L1rPw#S_pNDDvpt&LozBha9(j+Kn9hW*nAc3)4m{ng z)B=w1#0S<{CJkK$n%?RF>>pg|!JP@sIJLHQGj>=J(p~5(!&t*^)y0_yfjj)cO?6{? z>n&=e3hMG_qJ`xh6B)%_G;2UGk%Q&i7Dopfe{%(eV^ zy>?6r3Ng|X^47B+9}2p3htTW`)6e$^@7pR+NYi{n-Uj4Ww+D!~Zi~^XB zw7L-{bB(luQ=0oxzeG@8>RfQ35O(#ZA2aDOg>*0z0W48SioUyYi*jcEV?`l$$1#}& zi)d++S*A!4TyeS;c$X^#sRKof{=$QZXYAKk7KG7q9nhAvnV;VNGgw0Q;8TRpb4IV zbiUIL$uoOzypu!uL?v)k1phM)iSTj)H+1XPtrKPlA?VFqBlm_0G5Nogl71+&5||Kat>ESH!D`kY$4Yp9NXn@lUEM|hgblJr8UC#-o)ISM@CMRC zLfQ^53VTJhysbJ2t*Zv9IUk{G1;wkBNq77$KVC7wSUT^6)@Q}@iwwR<7C#r)s+zW{ zz0<36qn->&Z|D>t0If|j16+FZ^rSYgp&G*|Aj8O-=%o-xsfM<>dET?>->U$AyP8Fy ztxLr9U08#w?bxViRbKRitOllLP$wOHRHR!yBtE#SqMs7#er6kKrAJ!P*Ei)_f20C)<)ARGmdm ziJgTW)3bWrcb@Bw9z6?Lr8JpN%5>I~c~n*IzQ6Va+=0-dn}3a@JHpZWq66u}u>-Q)iZ}0i-qu4C<&83lZStD_A_*Bx z5JnTTN;wj01`2$+fpd;=I4O6Ct^lr_ixth~4M{_<5=xEqQ1 z?UaATpDUe?^TSln`e%PPVnc>QteS%Pz(LOvq;J7}!0i;9zKx5v~U^(10#BgVS#TI>qm z%T09X>pI=<2=g>v5@*+EN)=8A`i1)j2Tpy?6|TY&F#23$n3q)X8ElNuAix-4K}1+P z_DBH_h5g&ODd9Pr6`o;l9BcnQiYE(&W{n(w)eNxG(=&_4@6GZwJS3mrk$mo%D`D?t zS{-YAHR#mr#LSi)z|N3o-{m^gsBy*mQn6pAgNM_p6}^O-W~sYK<)-i>$9FD zp3%1jZ%%Y3Zsukre9d*khKgJs)Rv_2y1Y}$=?S)tE3e4SNyRhH|d<=RU z=AJFmg~Zf{b#G$6S9HYTJ1d<6YN|<=T?r0+Xx{vT zc+8bSK|V#qsfyWR4&}6n?^=U{6<$q%_^ZtxFnh9vYCjX)1Bz5KRgxiVA$$+Zoh zoaUhK-3XC{R|!338wEc8dJlktBn`--L5ilx(iQ@r3i<8l0oGRXK*4`k6#5Hw3Y2eJ z<_VZFNh{}W*{wk(s(D!OU|0g=hAFoVeFdzH;>G!t<7d57v)V2l>OlCT41IdKF^F=$*1jFJHuvB>5vcqKHwd_Y45kdJm#61Oo@ZWW! z0kAtjDyu8um(Ojw+E+9vrrGNqXV%W9WwJq}6X9H~2}wg^kT-I+)Vc8(k>N%$Q;yl97Spi2tAZGLRUnm$YAjDNM+SLEG_c$&3tPZ9+uv_>A08O$7u}3dkuCSKW z0-qhG-d?VKqx1M`8>zF@*ua*0J`C|!G62tMRn3pWcS#kr)(s(MQGcl)BgUi7R6(3_ zaWMSU!27iF6kEopKf)ezmKd553p9DwoOP2=Icz1`t|&RV`R}9AqLv#Wb@wT4own1x4HAaQKolQe5epcO=T{NdA^{f^C_ zx^}++c>H1raD7Wg$ULVE3LXfVF#ki0upPX=nUOQ;vs(G%uP`ugQ0Cw2igOlud3k{9 z>b)#$Fku-&1)FRHGy#SZN?+%df;?VJPc!o;%-DYRcA(3l^vA_#LZZ7OE^P8eLp~`P zO%zAVcM|G2YUp~(bkx~I9w7Nhc8r`|XS3Q`UO;$lkag%E(P7#Q6dA_B#Nqn`hS%Vq zSt+9-bxfuvJ|r5t`~jE=!t`bBWxWH^0DbE)Ny5BVKH z{RlknlHK@zTj4JGi^Mn|MGlC5Lr-8hCYFJ>o|8>=Y?kJM33l zfnIYX1I^8+rlKnX@GNxc2a*&?Uu$k^zj&(NPVv+gBgFCj{b(;@#W~J(Y<#M>(GdRp zHf`R)2zbp-ig@j2?sk>llPO2#{kV1|@;VQrK{y;!SZmezob_OJVLOE3cecMP z%Y0I;%?^XT|Sn}o2ubZ?Vd3k9A!`Jk8#&e)E`4QH9xWHmJE;R!!**^Bew zr55G@xjM~nm@D=_Ju==ngdF$T#u?BXG(*0N8#t%nyd$QDXNMx5(f(*fYLOTUypaqt zz!S{Oi2R-P^8~)qbsEegRD{n)udC@)T9BQ!VStoyLy^bfiZn$4!yqW(a@)vRg^m?H z&6!+yZ_3wJYzC93Zj-3{)?A(=xI+0VfbiC*XmCBT^+Q)S;KLPvR_RP;c%_Vkv^vZYV%2t`yB^ER%v?DLLrja%CwEa&rO@a~ zI=~G2SFMBllBJ_f$s$9d*Q76ml-GfT=0~Q!dOhrj`9eS+Bju6Y$pggi)04<_!+v7s z0M5CC$_}KY_l%c=Q~dxQp7b(@tuFn7R7k5mh7~D zr&7JZ&=ltkb|yR%>{6Un7}$lcewRntUrj#};}j71q1H5q`3Yu_gDTJ-OZ>cH_=B#G zyjU}j;8+=dk3g>}ggRP@%@!&?<_L*3hQ>*!M&K})s(L*r$e6yx@p9xD4B!lh*{X>w z#sk?8xfAce+IFQ2WF45PkHCnEChsctID-&c$VFWxJVr;WNWR5v)WNsAoz;fFh#L z$L0aapcV`s-KbA^To<^$)FM2i7FNq=f6nW*Z5hJ2-YA0x8t;$#wXu!Lqr$1J@rE9V z@Ie@N_0Yr0T9rnbgI3J7q!H(BiJLJJ2XwQ|>QUdR5yxRb#>uN7sJc(!tgcs6509k1 zgVHH$8xs5KIrQOQX%+z!zWb1?(JbXQNPw|^Q(T&@DWqYlWe#!ZG&AoIS|FZ)W!vum zc3%9s9RK5c(Fwa7Sj1!)r9U3y(&kE&8FCI+8ChDhGChzdwYH|^x+f*NS`k?_K3p{) z<#odL&}=CANa}~^JzYozU6j!{acs4bTDCjO zU%BcY>Ba9?LmHm7iWX3Eo{aT-nY|0x`*!oO2Y|c-A7=386B|!hPL^N>SglWYk=rJt zX%QJ3?J(1(FkKd=F#V!((~;IGzz>IT8gwx5SJk~j24A#A_tUj*llYh-($pJAXtp8x zcRKhY#wqHk?Ae?l_xRtYDctDQXeCLkiN>Wxz6+GRDK&NQa8kaPh7X)weN}KpLg@&wu$Mm+*y> z`tes_wQ9t#?#-}?<%~_8%+sHbRvj}(CfrYp3xWrF8OJ88>8n&NpNFw62wDF2a8rA+ zFj$#TY)GDMM*Vu9r=09t-ozB8*N>iLUjIUW`b)mDva*Dw0*})Kc6Z6$U|}!bsO+dI zmrEQW8G^GnO&WM-1KV@}77t2kt$tkm)H-NX`(g$0d!NU1{&alT{9Li z7p7gxskkcNK&diI=v{(lJN|x39C?6HoVRnsINBq=4Gg@(?f7$a>l6ULzm~kFsTAB1(K0F$;Z8bLup;GYdICK(x{J_B`8}6{ZxNAuS@}63`l( zR|^+SCHR_DToE+OZMSLL+Hz1*j1Wii)x?rAui=r%Wn&|>v5eP2E4@3PLge#fSmDdX z5EAfk=TKKyHe=8M0?F7Mzl2j(v82o@#k~LUp(0#R?8MUGm}`K0dODm@OH1oG z0W3RA>z5Stm=hs1g1f4`=a=rmix&{kaGSN(x|(l1b*IY8OXZ|@>#337HenT4Tu(mR z9a-X{2yX1OiacBTdNb{7SAXHeKG?dE1{iMXu5(Q*IF;i$7#5rzQpNaYUg#^w2Sm|2Sf>eTT0*$&wcX>Is`J)T)sEuHxn(( zM6-K9U5wCtwk(N-vyU9(pMF(U1CtgyE%YsY>JhM|Wv@qvyzK8ay!zEzxDhkPn5V@4zI{z%nvQ%_P@Q+3MU|jc2RZpv$G@kfjRjxVY}3Lj z9*-A`F9CoezZcQ}W+|_@W^{L68sa8cuw}0jJ6_zZWVg^pZV>vlnxllel0m}7#Z^U$ zV=$9(1~biQdxF}=0|!NiE>pCmN>X{dA^Q>~&2~`GDFiN9a-1REVn&SQaPFeSMiZv1 zB}9J4IFdcri$(!BA^S!FnhAX0whk7s);s4wVBZZ+Ei*?-U`sANDM(SHJka zE6@9ag5L_L!otGm&tV9z;A_Du%}Ya6pQ3$XmWUdi1D0brsF>slzU4l=d>>KbHxz z=HzbZn41nX53&+HTEJn2=4{7h5b$hb!VH{&#I3C@dGO^!0`I38U)+3d2ud)0D;?8~ z8sw@CzZmLOCERWgS2;}5d#-3^9JNq1T+lKWwG``%vivj}1EchKXF9p|xq%BA<3 zn*zJafR(EF?n8R7Zk>ME%iLa@Vaj6%%aZ3JCNlcB>G~+SyEyBF-FJRL9D>8u?&^kJ zE`!#-<2D_c$FI!Wo`^%z5)5lgZ+@QKq^OdrL=?0W<_q63?Q1UYNgdBrR>t0%FRGsI zBDT9iR0CAV1afr((CfFQeRlL zEq9Z4k?sdq+{p{P#|@d8J{{u*Hu9?><=P$&p+b%90BQd0x&;2TU5zhjTJFi@zsl!3 zd6E&wp+7mEm6ETu3z1ZbttnQC?V0#A9{nix%yZk>RQ#XH`YwnElRgvSgZTvK6_eFE z3u2Y{Z)79_7K|6de>VY*ea9})_Ki~eMMN8+JknhB2WdK9zka>h*_$!B48iQUwXqp~ zAZL}94K3r)A*2!BK%mf7^Szm67?W-)Cq3H^IQ$FyieSIg$PHADr56R8rr!GHH-R#~ zhjvD0C8btwIXQU(3mW*eWi}6uks7QRlhwBqS#nd!?0@KcV!`i^gNxMDsR+DiaYcBP%Pb3MyUP zrheh@A7*y*<4^~#oSR~{Bi9+6P*((e-8{mPJn(tK-9R?OwN#6zdT_ypdN^pLrI3|CDMtoL3J59>4qYt1kui*0Qs=Q*_-?78U5&mJt=X@S385lMeaw1t)E7L z`V~NHbCk!O2q;FHmb=V{!!11@-oKaj0dc0hv93t!4bxTI_alRYzEcAS@R7sxx2r>X z@^1DMM#{Aj#Q17ER)!@%rvH48>G)cLYeYX>H1qC&JcwfStK43pPFbNl^#9$7+#Tuo z`1pd;LwVLwkylscu%=q>pUqaV{b>;+Z@ogN;cEq%x) zv7%?bux<(S@1ww|^MDTzTPJITd!{Gq_l5oWl*y6PDKZ|JKQl3qcDB^ zE5xapetOCsJMlx)!>FkS>yQe8H03{=B=`TaTNw!(lz2+gx4$LRaLO7ewhbMSsPt8d zzF*}hIXNBicuOonds%QQAK65W_UlFhxT^#>(j5GyxKV+Er{)oR=Fz< zQEn?MD*&^(0R#i>=|%`%TymYvQs=59u1C$$?PU)e2D5JE1*J8%L|2DTYR7Z!BXfpk zwi*ghAL<7N80_NkfRCuJpTs$_({N$%noU3r^HBu_#XV5*{L7b|RL;cdZB}dxrLYGf$EV=^`xg3ZtRqTD$w_nqsqOk| zsmb}#Z~mTHEq#5Ugv{=tqQY1fOEtnbxWq`4n^}o@J!mPhB}wJJan_B+IJ1!89iIMn zlhCI~wKzEaY&uAm6~SEPy=$(sKG!|rC%l}41@n=T0Dz9Id z{7L*+-tXs5)XP?TzceF1_7(DF4js_~k1k4`^1PEHmX%mK_^DLgLhQ!#YS{0m$NM-G z>_~`0p6B@Z3vniK2gQc{&+EW$ttRN%B*)Hv`gw|4Ht=xEN*H5GARJ-SHchh8RUeR+ zUAnsj(Z64#X}2j-@o)D!57p9Ob+TaqZ{Wzg7MzuRRvT4OSI*u#4s|C+-R{RLvwLhOk+g z$vYRO?^*KueA%cPI^X9J7X$3>N2-8^R=k#%# z2JY!@eO9g+uG?ejC(rBX@f)kC|7r{RnD&*}^U~N9XIKB#+@7~N{S_Ha`}z;z`ta5! z=#ZsjP_=kt-aD?Bc%PTEeEJQxK1Ib&xPqtzDAKpgD^sj1ngk*3gxOpg`h4SP68jzx z2MPOZ){5DeY&A{VL)6nDJQcMVzKZtYIgBL4zj$f{}{MtsT(OA zq}nQ5X3fX~As9iGnN9HXtAU^6ut_i1-tWQ`yr)N&A)<*)WMOM@=p1A8&-AmA{E=xY zG0O5C^Kgg{s%wm6^4o{PY!NZeiWG_+6coOvre{$7k)$1WFt>BCvs+kLSS>loUrYIz z!I+~4PZ&sAq{kNqJ6Jsrn)fqY_=!qBng37dMF6z7pORVwc52M^|3xYc#iDANy+S$6 zbL6srXV(W#{ZDMdP^?_7Rrg>gfm;IoKOejT%kS83Hd{W{{ezy-vm!bi?tjr33S6)& z1{I5iw&`A2qRslGeg8Sv3z(lcQ0@j!Om3LW)eZEHNq*D$Ki@fQwwvoVt*}zA0Eoe# zD62yOh1(y(oygo(nR8DHvHr(M#&)-S=U5ozwln9D@Xi+?hF`2r9;4HA_BWXWC9z<# z`Nf;?U!{X@)Otz^Z!QjsZGp_#(%KrI$`OgH3BJfs%PGd%pnvlbZ~tE<_}~6SvJN_~ zs-E!Cho^f^O`dqaXadWy#1PdL_}*OhtA(~(znZCB*oFUPRL&#xK% z)l^fH#6Wh8O=kFpwHw2FB;MT?(pvHuAq~|@9@imIgop965yt%AcX`TI%g@rzvs|KZ zp7268)J#b!>?faz`F2SxuS1iDB7KyY z(=Q^S@eB8cwzOg;j#W3*3$oqd&D%@2H6tHPs-2cqGL*6YAJ%>9aaT;FoN3LZ%&0LYh5gNa^3zebjC4w z-fjnt&2Y)PcZ-ln?}IBXDq_!S!kGB56`%@o&|1U*+9$YRH)5OxnVdg&&t z#&a}!rVol!P*vF_u70@o71k~qRa+4gyp$OK9_+2qlWCOSumd)~OTMZOmAF*gBSgwe zr=z3O`HY!n#O0NK1DF(H`P=jmRktx^-iQ4B60g#B~sr-a(>~Hhf&R+M_M&l1e)%H&H3cWyow4ZKr(rd5%o^>IgV4K8y^(^*#pE-_B`(Gj180XFS zj#Z@hb1-wlpU!64=Esj8J&{*<78xW@f2(c&0iotbT|q(T9w#@qB#7kSW}->{#g=Tb zK5=+%sC40B`iRotdOdu8uBPPxODLc zm+H$kse`mP$m$_d^e^h?XAdDlB=OPNadWQy%xSbwTwn<_-~L2c4DcqZRYvVsznr{H z@@y89eFi>x96~xg`k|!m)cDu0r4FzO>BJz?XNI4GY@)_Kd)4J&!!WYjpUDAtUmsEc z<;~Y7s{0>@nAje(N^FL7b#+DK`?d(wnx{J^!9u}Zbt?<8lq~dcE!WZu#1Id2VKw9_ z0M1pqeq9+FIloTFv1>5H0QJa)LYc8_0vPZO5g@PZrLB9i)|@&0AxDDw+&E7l$A!xw zBmywljKd!Dnsd-#dUdiSdagNU%-`D3e3i7z)ZlUUQ79zc@rstd+3MLOv%JsfW`f`Kg5br>c(H-)0Eq6XEPxFxybnY zTi?3rjOlp$cqv{y`+o;!@QGrg{&-Iks0_+v4&k@t?-2DoxcwBab-8Fe1(|%}5=v(d z&tnt{OH5VFM~QuZ@jX5|N%M^1WDuUJc*f-&!CUy>uHQ)lxcIA?n-wq{{AeQPEd&9| z41N3YVH^w0S*_gnO&=#8&^rc0;Tm;vC=^+b~TCr-4)Q| zv&)?xt=f9yM$zz^D1bv%$QN2(QfdHcD7UWrX%p4voFw1St#;-$Cz>wXWv`9ucYFSF zk(QQvtNS`PvbSd8_i_iO><-+BteO(2i6m11;eD_RQ0LQ9qkB<&`9Z#_zu;D2C=ySQ z@)yl*lKTV_{|W%Jyr%;i>qE#6T}l=I?)*Qk7_?m7(Z6pw z|L=hJzcC$vZv3??LnFN;8b({D|>^;G%4A2Ex9q1Mv?ZE2aUsq4V_ r-#_EO@n6sx)SLgkO#Z5z6qwE(Jxoa~$?jubfIo`&RAoO(KlA;6{n}wa literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0055.png b/docs/img/fsm-image-0055.png new file mode 100644 index 0000000000000000000000000000000000000000..a233a9be3f06840388c02b70cad9b45383f930bf GIT binary patch literal 13836 zcmd6OWmHvBw>DDJpopY|2uMpe2m(rXmvpyucY{jTA&+#ow3L8^gmlLN>2CPe@qO>O z|L*V4F;oWZz0clj&o!T#L@3I?$HpMRKtMpimii#BjDUc|1HO(!Lj?bR_)(1p{zG^8 zpyiB!fYS~C`zVnShZF&Ut4&H=RMkCWFBAQf+GOhk$6HD~F}!v9$X}F-$@qEf{n0O9 zx50Msm6V<&X+ z--k@+E}EvMpCLDQOn>xXkmeEL_SO-@J$v7q20ww}D0bh=mB-!?^p2o|wwrx1Qkq~q zF*Ha0E2_hThZ?VufJRKyLB0T9j-KUe{276Tk87Jf3&lRdU2QbRvnXFVmK z!K=gpfgjwM-$bNJf|A-_&1L<^`*J0WaN^~EjvwmD8~d5cVg9GWK*aDzFs4Nu{4Tn$ zU`C3T-Cv=12M_lLOZVpuZ>-StDI$Y{9^(#Y@Hvk_g!DhgAReshJAFgTA{MMfygf^| zZ>t(`{2E1WvmIm|Ad~okLeaW=eT%oqZF=pPx`a^Z}H?HIN>@87j(FFw}OZLFJXJp_H11(zurTG}7c$p*f}bu-y^ zRwGufT%wh*iqlc0PxX3aTa<$7RakKUAsbTbQvzJj5$nQqK1Y!YFjF1PWk9m!4BbUiDr4UxbhG| zbX(*QPSb&BjBB2sY)o8Ud~BQb?kh*?XCx^evJS-1Yap|nZ)E!w9v4T!ZIc4~m3E|Y z(&i5FlN=F8S|%1$A01a zBt|+?=-K!qm0Uj-L2rnNL0y{}B!+!v+jm2)%~JgOu+)s_Ew)JF*5;{1ajJ4KDudEe zN<0(tx70wI}v*YNXce8RcP#>z9))tF7w;)=Sh51=0%x+hS|+cWr3R4C2kYLNQ1w zg!7hdq*{g-T3({V@)sKED)kB%*hi6r>R_W*y$3gxS6MmQ{a#EAF?cqbnB$F2g+crM z$%qK+>(>s+v#CaFjl&}@JAatIkjlFdx>fwfMc*>gBVd6}+-S z6t_V9&MgONM5UXI|I^kWYkP)>-#u8KWy7SdZ2u10xUw{z}VX|yhR43ZtTqznPK z{eeWroE7&)!aqq_S#bu*%sQ^?Kc7GZeQ$Z&a%Eaq1N-Z|*Lq_x30VXkSA)3*Aj5nM z!EGBaN-4gTYu7PwaB$Gk!InFNPD!q58MXMnzvST|v`53L=fby(CZXW>GP~Gc*m~5W zWbq9}cy@5PjQ}(uqi7-(OS^djJ?qaF1dQr7U=v$eS$TU4>RH>))^-+d^V{<_8vUf; zvU)b4QKTHA0-ZAOy9-3YY*{rH3DrkyyuZ77he%69Qzh@c`kdGDJ|Eu5A|fJQ`zt)_ zfH{u{!93$+OP6aDvV_4_LfGo8CRe?-SZF)C?s`~# zmFle2tTj$HhjK(0^i8kg=@fFQKtqppZd{FI-2cAAqLN{V`~tN6#^CVJ(kF7aD9u)Z z=FS7s;-E7Ihk9^tIq|k{rdjjWP8BK{FSdBt;k)k7&r}+|GuwaLzrWC|61%(;jE-L# z@Vedm>bSO+^AKy@$JR@2Psk@vCDOXu(+_sS{5)W@% z7C(*4eQsxJv6^H^Cl-J7iCUP-fHHdPrrQ`SF9kn#^6d()-^YR+W2(F({4-*P-QRhS zub;cD?&M3ww@^+^%~Vzjexlb$5`G~#K4;(lBC-a&C2SJTj?@rL!h1zzj5X2aeH-EZ z#b!7A7Kt~galW^gN9ueS2h&wZT+4LRxIHt)Y9(evX)6oMsmtyHU{A40y;4mZIanPx z1TR9RK>n;}H>~qY#ggd7&G}xl+kw7S^8CE+Yph7_W;~3K%N+r{GmVaG6>Zm%bO41Z zS>3;ueakA9hEyr!FjH5x; z@+4aTOm z6jxSzqLe;vk3mm;ewYzm4KP+LTc>l|mi`bpXu&s6s~L}RFiQTO!ofabbGu{Pcm}g2y)3D^p^K{AFSTSLL7yN@W&2>0qKTKh>+K6 zzQ>y8J2NxdCA%;-Ev#2k-@%md-@D%YdpEOQQeYk|x7F5)?)NJPL@~23$ z8N6TQf+m)Or@qOhx8%O@<2+pNkGHh6v{-&j;oZUiaM>p!ruJ5G&{_w{*Z6o$ zOw0v58WJRW{}PDTKA(mZ^4wLN-))zW$fqquU6h!uq;*k?ENBSy!wCrfJ)ydiY$nFWzp}!N7r}8GT;HP_L7rLSbZC|2k0#Dr@3;m@Bx zI~3H4Rka%IGGT>m!qm5?ppR?M>uk9;Ia=byCNgS-zC6{FImu?9k!cj*$8+O(qTt}h z`Pl7YJzl|`V6Qvfzg>U+t4tEpiU-e{wuJy3uExZFCY$Az(wGYQT%QVE%y(VUM~~_^ zg=L%+jPbtc4M^pC<}g~WCy;Wtl^0jN-i0d?{Z+{8ytbIh_A&BvlWx$Ow?{p9tNIkH z!Did{Q6hTBo~c&eJgCm(x;LB10ioJO{_F|CSGqsyqgXSMmX6dA6e=aGZdjO%a?Oa3 zud$h7FX{8iHr*SknL#h>`YJdw70Qzvhiy)2tA*5!U19sDlz8za=H99xyW8n(y)7y1 z<<)o)u5h@{vSW&e+1mp7OovGs*4Fh!Ht}Xzxj?UjR>Fott(r}P`(r{k(FhG)j5RgU zD*aZ^tKvZHh6PtrUlI;8EJ8BDlV2R}8`||Y{UTU4%$WJi(cLm)aW)P_S)f#isGl+n z5$**2-iYB@{3wXmD&2t*wkI!8(Z#>}owiys1R`tQTKFa7CNQ z2DbEY?df6^EGqNZ57>2RFc47bO{4&@+1_4=Oaw|ooMX#g>3#8)R-5A#jV zF!eI+9DA_0=kngiHFi`7`P`gWVQCOK^x~_p=>5>}?kCw1KUeL^vv@w`Gj>R+d z-jaW2U^-BRCoJ5S&U3hUz@*@+qs3n{;M1BaWe+2v?XvdwKAac)-Ge#b6+d4R9Y}U3GQ5wv1Y4+R@43FNu-SN zJsk`B?O_+L*ZFRf!-`l2v52p?lM{O{#EIqbbht*k3t^kF--9K3=Qfh+dC>l7&O5jL z`6izm*FtQpvCD2Y9M|3HM?K3ZB<>?Z7t3P0c5QgEje!2-dsb?3xP^@h`&@UU97_k+ z#~WiE-0vd|1(#;(d1neo;};7WRkdpM+sN zab$eQ{d8IR`T5!Fok5RBkiUjG(xX}(B3cz`*IB8L08sT1AepbT)_7g>p4O5_rN@bxzS_WN);YOO*N1MI*bCwV?mEiu)m(|F(uON!3g1h^`2<#U;T+lq z+qqCrLT;^3cbd+97i z&QrbNcH2GJ%9aZ7kt*4WU3&cJqBP&o=A|-D0r7;x39HsT2#@f{i--n=zy^*pmVerAuhySv!hyoY?S_#?BMjNJsS+;*w06&7yn zEuSTvsr^UpH=+Kj5y0zYWEfuw^8{`f%sI3+D?YrC(``AwB5;77nz}3VSf}#iqdLU3 zNkym4U#Y4D+-I9IuJ&>_$Ahdz84!<6Vw{!RZP1#QiFT#cnlmhgug~|axf^|4{!Y-# zV~)Ky)hN@fLUhUXN}a1ucsWf##?=04dyE#4A=}vmV$X#$Y$|W3O_!SYLB>F|_ zV%t!ojE9g7*+%6ZIJ>A@aP_AhZ5&ZFz6kzY|5$i3|XCDZCFK zQ8zFp)7OSf;$ntjllCqb18II0K?flcbU#$^J;q-wH0p_LlcOSj_ORc4z+S+Zm)Y{x zLeQ#yTS8*=larJCVvG!f8P`Kk56`Q2gpdDhGE&Ujas6&x^%1<4lC=V)xkgqC#tYuZjOLypVLPGaK zD%hBlRmSiCawxX@`k>K9C!293d@iH%XZQECm@0oUur>>*`-tn+dL_Tp`Ueay&*fD_ zz7F?~$~pou=Eqa>XAmzR`(kL0_1lsBsRH7#1}p7@b#@66L@ zea)_W$0$y=Gu2R_3?}^g`}?Q#y_m_Z!EXIHspqy}%8bG6Gmp)2*#ChSZ3f`hI~3Q0 z#XCU%Oe{!fzUc+>1astMY}k+G_sj7tAg@F79EYg!)eb6?;)U{6CNT5Wx21S|2g?d9p@e^jCt2Gzra!ECpIUQm377LcQt6>(-(-?m z3Ns=kbTu;m$3WWoa1zOhU2x8Azu4lmF~H}%$)wwO2tZ8#h$}@HCT(cALTKP!1t72| ziqL+#vhy3-!kH&>S4%`nm2saDR@+9Bj!m-U649>{QXacs0|VNvo^>HmXA&0og)B>U zBOZQpN&a|)dZb6gCg$dOeEZ&6I=11(Eni>Gzniw)7|Ln%y}Q<`F&oMhAmo1AOeX9- zEf@~Lx9M|d=TXHutHknJ9Gk5EHCtzW#Car3mCW1_o-WSQ9bHf%;lBC?OWS$2*3$iS zuHN<}lFqq)x7&=#6c)a^ZPir1Jc+Q#YicbA=S3R$szG06^N`BD>s^#qCY zmSSj6=DNR1b1dLxbWeKQw5`nW$j%;a5AyzvGC$4`!gAjP&?jrlZ!`Vo;*a@>Mk?{Q z6K}Vp#8apZuX8zqN4b8jl+>*8*TDuvPH`GY9&G-3qD6s#fu%~nVC8X}F0pUDr*&!#~=KDvVrV}GhIa(wq(|;0b)QpImt{ZnY$!&&y8|hzH zcd6f=T1JFWtI4f58U4iE9sOJW7cF!=7Oo4|U#RO;lAT(LXE{&EEv|46a7_<5Q;ame zZL58n4i@ueFE9q2O#^m%feu>kQ1PvJE3P{05wgaa_ebC7Y!XnIhtmR)7n~NOQLJs@ zzLovF3hxf$Vuvi&?uZ3kcad-f4?liTMCcjj^>Fko-0W@=hmWQAIZ`Wf>dMxoyoW8U)5ZvJ|%c{37q$N39T z?D5SUiy23W4cCjU3M%vCW8?+T} z7nn{X25<0<2_l)(JF#-U&qGNUTt!Rh zN`^I^gh>wOIH8KWeBx%38=-54h7Em$*XH}i3h<$Yuf*Co-2zACqzH6rZ33K>3OUQy zPI^L5UQJy~W$C>gSSLNXTJ%MpC#ZwTdeVUvMFk&&sZO5c*!?z33%7IS7rVgFv9~T_9 zB0Fu|q~UoMRIpEOyVlwE7z(r|ojeCuX5q^p%f*eJsSn6YkAs-cXU2-zyP6~`A~(|5 zavU7nBiO4Y`jz~#=vt8Eh`!^P$GO-Roa1C_%m??Y6s)R!YRLQo*plH-s6%lnVv)RK z0q@RqTRe(n3>~vzngW3V0$G-@&n&@XLo}3=zo`xV3-`yXJ(JxNoraiNC;lL6X;u(A zn^=nz5e5xRun$nsrv_AC7BcmLeh**$0F_`nIy!QcctuOQdNM3D*>yZ6Q*I{qo%33mBa)+7`T16W2n9UE4^al@>&i2KqCrO0!b;CGtJu}ceD%D7vQmQMAK+ry z+Tjd0T)SM+wHU5#r(-gsWIPL>#%b1MNQFE<0V`HJ7=r$%5Bj(|CAm4uHfN0YW}7cg zvJGBzqVSdeY(X?QRjRedmt(9eZ8;v5gOao(pNDffWbYfqZ{K>RKIMmBkCy}6ZD_x< zH8Oh1IRvdze^PdNciyYX!4Ye(JjpAu1*<-v;&E#pz zL2trE6GH<8g@t`Vi@nu0I!&>x1JZtDeVs|W)?%jG6kw#Y-*JXV13ZzEtOK5F%|>dQ zx8>%UXh`E3;Mq#HqN0x?wPtZt+v#q~3BqFab;Y;F!`i97&b zOIWS1qVN`Y=HiT$cJ76>x#Zr-yyPPxwaPenVjByT<@E$*I%}WaqM)NO@x@hSre#uhz$&peLHu*prdiIt_jOZS3wU#J@t7=z4u> zxuh9e;k@}P;P`D7-~sTLX1~s==z8t4gE1t zvl&8O+|t#`EX}$+XEi{6Z=spz*;7v>mv03HIt=VYANilEEqLyf0lR`BtSAhZqMndT zK!Ehzz;(v7mV=LvxXgc1f^Y@@_(}CI{WD;0SHm39J=^>q?%(*IXD6@AfbOki_mXFA zd$?}{27B0G_4Bwr>dPSl-SWObcT(!prCJ;r0ry=;z+cOOI*jAf$uIBd*teP{)u2wG zZv@M5+f4iGjG8T?xvVDEtW%GEQB)oOCRdY43_SN!VbI&Z_RQmmM;+o%y=%0#TtGM4 z(n#nmmp!ItC!i{hBUgWEI`u{qCnX?fUEVyR)2X-FCKzFf zVh0+Wmd+#Sru+Vvzl}sHsGCXWmn+kUqnO-KI9eyf0zQSg)r%0ClaM1qw6f^A!yKK! z+IIQ!6-lW6hlct2`AQC)574Vi{*Km*#VB@qdU_B%aGahs*gOcD~?W1G}q){ zvGvGK@Zt8Pvg6Rh8FuS5p^}*;-F@aA+y?*lo#&=Wi+4MV=61 zo>H0C&x2P&R7#b|MDYORY~N_bsA!8WPnSHGpAg{iSzK8cfa%~iCG6E9mD&r z#{-O6KIdBU^s`pttl4iaC;zyQ9c-+!+`p{?rP+S5=%x4lQ`7zR4k_Taa3_|^DhlZ_ z&Sm#=PVC`4lL*r2++onU*jh?~r3UC*HApf|NIl+f zaddu^;ElL=4Uxbyw{I{a)&+;bFc)P zWa^T27@IX`iyLobNYq`4Ui=;xD@U)y^Q|8&mDm{7WLg5TUzAK&-6eWYz5NmnCIF?8 zfP8gTa(?XauVhXHseTlPQJ$Bt z?|mPI->+$p@VLK|C9Im29p7G*y{ZAx#M~cs+{Oqs5C`R4DJv_lBHrMen3!a1)3$t2 zP$;P9^}c))U!3x@W)ex*K3*f=((`;b0+Yh;_Gs@BIJw@q565>C*sGxTfZ}A5O{@oS z^JgTx7mUQLX?Ry#+MpG1DbX<)))j_L+OhuNkFkp8fN-;d6y=>-U$a*%;yYGH9+Z(U zO?C)bay6?6+SsfnAY`V;1Dm+&MMZXvJceR_P9fv|# zkd5t$3;Xe}vT<=blvLBz6mHwJ1+>Hp&8om^0EIrA9BZA;2W?SVX`Gf%ZE`RL!cZJ` zKx8a7yZVFQH$n+Adj z7k}1Oj4a?uQ)xIg${_33iDnV!kAWU{g||(lQ)7nhBLN)FGqNg_knT6$6P9*-I^i7y zHPzKmpFVY10+4mBE}AwKbI)g>o7VGrg;5{U_*Pq6Iu}eC9q)iBvo$*zZ?YPd#m>}T zHkCc(Z&FWT(&+29q;lylOjK)-VGcvsS}l50redr=4(Xu#^y{y-I7EDa0-^o-#gnw7 zS_!}XKmo*_4#yo3*JJAoYI?$Lzt!kI`<5eA*khrB69e}Zl)_xV6f)@tkj=_7!OLO$ zumk#i6&=co%ho6rYqsT|ay=RBqvoZ%;jx08_3N`85319!5}ekzM8ecviyp8S90Z6@ zCTD$`U3Nkd!3E%f-n_2SXVFILk{{+>q1b>icQkUAWF=;Ef4k(Vxw$xq#;)9vNyKxvQ7X@Z!4HFch7@qfjvp!#WU=kW>}7b z6?U!&{NJL>kiOCIk&s8}Jz8I1|2X=i@y6ic%wVHdl_92)*y1QPBO`jhOtnZEWM$y) z-2k!Sh$9?+%hrg=?19if213Z|9)2ET7Tv_Evk5;L#b+!Zi>J z_%GL@|Cb_mL0HMK^C)W(C3iqh322a4PhR#wq-q+>Bnpc5)=x}nvQR1L_YrEs0AT1s z<_zPsc;LPIf+3T&jE$tva-fM+!NPYz1_ZH+L)l^odRna4d=7j)T>}zUK8Iz5t}ELn zQ*G_pFbZD_a&?lfb&qJ&wFN3`a*)(GD?0X`fN=<8)M}1ldDvrI@_e>(d5HiJpeD=4 z$k-0B#|a*XpAk*D_Y#zmQx;PAiH$CU40w8PxA^Yn!udDSO%l3`$n_TWIhE&qP8hjS zEtFYZLJedQ9)Os>vk_dD`d94CU*>EV#J(^Q6C`7}ZjWsM{gKmGro8wg$|F&7%k>yh zJAh3efHROF!1&W_^KQEO&h(twy$6*w6^!(NaH-yACa}PmBYi)97E}W8g{!NpDbpQn z(ncyjGyS!n=jY&XUilG{&STHblg>(jCcX%#v!MM!Ws+xg>Ex=X*)Lw`z)eMdL@BbIxXqo*Yo5l=>|;4EzQX>(LK)_KmUpa91M|7c zAw*+8_fgH#evdH^l5WJ_@ua1Wy;jN0FXy&(W#D>JNxv6g8?(6y0Dw>P>eWPv#sN@Z zz_^dAWR9Uvl;r9ts#OraGMOw^V??xB_@3xXxzk_iHvd!v$6L9nsc8^!5YYaF>Etth zn&NpUm`B10pMlFp!tr5>naz1qmKJlUzyFAgxRbuJ(g&3dFwV&gi%B*u4~w_v39$`sqsD zls@Lj7`V&wJ~m#nEQ19C(wF>vbIPa{m$R4(!b;%zXz-he6$V2#bu#-3xpF6wpq;v` z6K&t4|2|T56q0FcFU))9grs2j`PecamkPG_dvH0}W@@X-Y?whK%1FFVFe$(iBP=wR& z3>!_lz|Hoslk~r>74fsE_C!whKkit!;J@k!#`_%(?=b-JIsdUUV5G(TgB@TvQ2$?t zNIaXfrrKqG{?Btm1ilB!+<717dNNEu16(Z_&s3a&*~#I7ahBc(?$3oEQzbsP%$~II zlH02R??x=#;fr(>Sv@*Gn9%A1vpRqgv1UubPi?dSKY6Vygg`w$KE4M2tmf8o0CxcG z$r<<<9G&H>yNe}rFtkHUD+XP;{GkmWG7gWzFRK0UPT=AGqP?%LkB)|>Nk$lc5|y;v zx@ua8iDOZo7wyaY{Ns{Z0P~%Uk>tjxsa`EuK2frKz7Q7#lnszMt3XW<Z5w0Vh%tGMGbvNR&9U+<6~ohth}yQ#L5Dn z6=ru5nE(J71YXsESAtnX@l+6|t}NHj*~!Stc6S1p?6L-?G2HYH?}a+z{3cTpJovU8 z7TxU6fDM2?4=APyWuO(okqW>Lag62wTF@vJ41VLdiW!Tht`va&y9m-+Fte7eTLNHI zR5U;!jt_)SfW_a>g2^)YqzhlLIY@iK$3T8wjb)G6FgSpD6%fJQbBqW&!!q53!2<9u zHrQurw0Vq#7uE{wHzLiJfD{R^CNo>0hIg0RMb=e;8$tPcTRtdrLKAo{OOM}@g82}? zF$Hcg62Q7ln=ge;$}Qdxy4mLD<}u4?parjw%Ro0MRI%{N@XxG6WM)@aSD?#O)Bjjs zHyOwTiR-USfvK1+21c;7aOs|FJ6-vxIBFJ?fLz$S5zN(HT7rQ)CXegW?ciW!v}zCv zeCNUl%DSo-#H5Uou zZH7U-KtiFm1p%W9X(U@9?*wC}jm@0ZvIz#z1;2;8&nkeyaL8H4mXiy4cK7u?{MBe@ ze<9_Vnwn}UEH>{6lCDvGppNUc=>CdVvFLr*Ov5FYP3Ml`vS3tzKV`5482v+8csKMm zfeiSVpdjo>VnJc``T`$hAF;R=KHABx>paBuUwuef26tIUK#+?eBI@KJ!H9H7@YN2K zSox#DWY&UnpdX18av8i|u$zQeFw!bOG7=Jkvj*0L;d=&*hUXBEJXL9N@}M@=ih9mW zsU;apMqCdsQ@{{m?6Ppewh)#BJ0L-1FRqCbvD@dr5O< zvC28ZVJsLe3V4I*k2saYD8?=%95&WK_Db>ZdO-RHV0VS#jtmaYfr^96B>SaUW3u(l zO>+KEXtPn6f{uj6Rbc;&e1Y)s@lEIh^HQP;?Du-`1>lL~ybjs0U0Z)?S!l?fz`xtK z!Ov-ZJYSJu;4ED-zHE0aym<|9(;W*jwki~w7ECUJ!m z>y3IaiW`6wJNXr_ARNKLv*caF`tVca{s_1De_y>kbY?RpKRf#)*fb!cy_>A?Rf9?s zghRk^*r2YRp`vdhd=e`d0ciEJ$O4qA+geu$Nfg77zqGM$43PDsDfBAe-mKe!-%6f zpg2$mf56p&)KEIYA{T7T&d$!@N`4O&eFJo94M5h@Fd7;fU?ZM}f}*-T9;7Nj#Uff> zWOP6Chjl~u<{CyaOe$eO3vOJ2PB0N?1)sOz_z&8kMg826sd3w-ra*!Mo zVm>F6>0+9fZJfF|P+qfNMB}j;NI(aSeWrTH#7c5Oj+Qglm|md4DdY);(BPv;8;ly| zvUh#aZNRP>jsDkQe!O&Q6;espzpH8a~BgUSFFXRPx92s2<`WrlCcta(cQr#V_)^M6}G8{vkZf)@7SV9ipXqS>IcKb|iB>(|w_ zH83gupHqq%eh>ECW&UvWpXo(XPi#hN{nstDfD^bjydjvaX~?d_N;%O6G6K+aIdZ{7 ztKN#1wr`@@>~B?);n6SW@BBZ=DSr15`d16!fdPL=E$2P%7H&4NDNI^KUNCHfsr$Izx!LTHs+?Lpy76M z51o?r>1ffnOFPq~MbK$TG@<-BylP7RnfD)B@npO8@i4^`-8DF=_(u``y_)}7$yW=m-(W)dfy9C*Nrmw|goFeiPx8qE{{0@_ z2ieXybGO&4H|A?_ItE5!bDY!5w?z$uGaea!CzFgeX}B%d3QwKSwESo7hYpoe_h>U1 zvoq#oZ|E@^_it}@Z*NL(FF2`CR~vQ}rnjdZTB>ADClZRaYu#L;TFzHfCU|T-A=%o0 z>o948&^TZ4NIjB=Trq?Z`xzyC`LaiX>glz_t3gnpt~|$$8%ZrOSjq&C>|~Z6(nF(> z@r=Qbf5Zt9b>AYvJ=vP%b(n2zx!!hgSsNS}7|6w#z$8z2rtr>DRo1-Bq&u>xsHm|~ zbX<5DH6KgNgC+B=g&#$nIrR`kp~e#;FwA z>B;yk_f}IJeqpJ6GHi;ah{FaI7&IFKs*`&4b9k62yu?ufqqJ0jncNsIlD&1Z|@?7_d4{D==0 z$Ez&NRSGrOPpG=M@#qUe(i`xkLR21;K}WUywV0&9(J6UnOIZjMW%*)t- znf0)Cr|2!Lh+^(rRWI?+!>YiwepSZ=wI8zE@vMST&eOxDOcD~*9!<_G3VdfC_*-lS zF5463OTU#R26}t7n|;r3t}n$bG&p@soH$;q42BW1Hs4&>q(`O}Y810DF*(xzB#TES z7qHc;bu=BVAM2nF9z0+gE6l?`i0j{M)3hEh(JR## zbEjM+K|Skm0-@WV;$UH^clym_iA4&~=5Q zx*HonEc0`7`5k6`Z?4YgeCnsu%jt2cL~CEfGJIp%NfS#Kl2dEN-)4j3%skXw9vCY% zpjHeDEYWK)x3KUTd8n}`Z2gBRz8&kUd=!<0eyCMzUoI)MjT+dv~nP;lX%PbUazyZJ*IZPmUWVFUi-5biZ?q@=IxSNh!Qc;1Js^ zf*Fz=J!;I^=lImk7yj-DA|I)u0bSc_IgTPFqUEM%1`Y1p7bn{yu78I)^y>Fy z_D1BX7sdloaTj5JmnY99tH~W2N3aeW4+k4S@ld0^Iv)zCKF(gyxAYPsO2&*7ahz}E z9TJVj5wx4)JN>EAv3`Ab25ec}Qk)mY?Kb=nh{?s#xrwi$T-wBx2W8 z4Y!D4@4t-|oKyK3{$$=G#X5qU7^d=B$V=-G+64e!V<}XCq(by=K5p>pYsAmgGVRta%Juk@B7d ze8QnrnFqPAGGAfV=ZN(a>=TL1=vU5*v#CN&P6DY<4J+|FhK9ttj>tD*T5Va@xhJ2Q#tA3_P89uNjHdFBf^%%*bQ7#21^{L7zL>p3z3CH9hb z5q*2QGc%Jau!!AweKK8{&2KZ7sP!(6Rr5g3u-={44(s%6P-J)S#4gKZ&XYg>d`!n- zA)i>^^F90FY0K@*flp-G8?ox{{STl<2t9m=y5m+z2r+#XKGY{Dcye>OD{q{yl-5|0 zynBt=#o5dnc`On&H6o?=P`A0H0cW2I{U zYwsaarng#J6W>%J1RvygJE7_k^!RthO9sLxXF2mDO7s9t-^a?z3M6vlTw}cJPt} z*7^Cl&}@|r@$Gc0=W^5OZ1~cTK-31eua$ZpGj3;AiyfgmufBu{v1cGk^aDmdxxccx zcn%s9?JYN(Em91+(`Cx0m|Qcp^>Tlk4UE`Ns{I#38TX94L}pnW;af z$WIk7z(H!fw%5W=Mm9JkqIE7+D$Zi$x0@pHAI_Eyud*3G$i_NIN_*2}rquE3(ydUT z;FshsuhcAvcjMA*+b3%Oo}<#1o2laEbTOZsYk&;oB`#D!IG?(2P1HEdf$GVdUG281 zvAQ7Cmisc{fK{H}ezM}>$}YSvmPY#KPm_-aMf(#UkYI(HrJ%H*ZjN(`iCrh#lt?z@ z=H)H65bdPJ_gUTE-C71C9;xQho!TXw#(TGWpCq_Ow%-;0Y&}QfmYUOv|B>}Gmhr21 zf`NZsoYmp$bFlgnB0O3KJY2COJpH{i5f5{vJXlKH{oO4odw58FtD#mP3ikXnp@&^t z!UC&>+o#E?KH1;1F5e#KRj;){TA)J+rLw!jtVatN6q6?= zkf>DNwQ3spb@sOIMb}!6(E8`zcDdYeZm+BQ`;Z>y4 z(%r=~LXX8i81)?BA8%#R_gW$3WN=?3H&$oAM`Bbbdi+Ptv!NZU2**Ae3yXvc$-%xW zEb-HO3V2_d$84y}CoIyo8ln5o35W+%m_0(4Lwg=U|B$`8VU##WkD~V7lQb`ThL77^ zGoFa5fqKefudw3c%=(>?Znd~(_JG2r_vg36zCQHq#9 zw({7X>U5c|whO-ptEkX=(w*4$(5XLdWskK_rf%QKVwy!K#J7Il?Q7_^Y~wRHdY6m zwNuw4li&2(E2cC_N|f1ad<K;s^|E2DdXlSf9$dLvDx9YapZ_9%A}RPqHv)!FeW0 zF#?@@&RtwwyizVtwoNd!Lq}u=pOmM9OkPRjkwdG!!19S``fG46g~0!X^y07I-$d6+ zP0h^8nQd>v)@1&C5WD`pgn-V^wWLgfeEk-i1`S_-hF$c7_qs#)%l^7 zc8@T?F-mP`qow{U!qfGs-v;FZzF}2{ZnAtO8%PoK*e%q?UKu+CZ!34D14!j}*!*eH zEn|l7YjAfemt&R1*JZEKg~v+`hEfgujtMn~?8i$eE#kEflVSF=jY+J`+CF*t`CngM zl$XK2-rFA)^D83D#7k@Yy>R=-+SbHS~wAVZ*Q;ndTv5j6m`I)S;7z8 z`4Giqz8^1}7+%_TaLe_?g*AOkuJu;n?HL#d*5s0pU1@d)AHf zyq@g*BMJ#YzYEt>sUgurte56kp@q?JWE1=pOb>-s2$t+TiI9&zWZw@>5_ZWeR>2-C zdK)Ms+6^wzOGHETQswI_GitZ5TZvDxum29h`U+m>!U)UF&0X01O#gC=<>X8V_Q2ki z_9;3gvtGTc(L5JFf0gtn98jvfz&%>f7o78j-8HQsdQi@rhr+_bCgwgVHy)n7rVw(> zR5ZX=@u%p<&*n+c_hxRH@}||r?g-zIl9c@M4*CAs*qRF0bKIP<>Z29pot_WR?1}St z1zN&)aJs<(SiV8e&(ym5133yJ-rOLrm%ciki3I{_ zclqE2w|TGyFm7?{V`Wify_YN^%p@Pj^AyR+oqCSZG>f!;Y|FtzIW3oSdT!c?as$aJ zMLqF4ox5JVb`5r+I2^l&Ta9^%;59MxL0xFES7rO++3sI1A2JC;}Xpv4&M}+QZ#!7Kc z%{767eLCxdD;V5W!~CR3|DFC)nFNCIp(|NJhh6k5i*h2^;N>Q$fgGNFDDickq*-e+ zgA+fjgBy5X*p+>4V9S_U^}un@-i_Gdy>IZBNnnPd$$L)lunR0DvY{esK=Ye|bes)W za}w(gpV3^z#O(uk-jil~o0_=jq)~HvJsOyuX1|QzW6pc0B_FTvE~sgnX;9u1#_U7B z^GBWB4HKZ2EIstw?i@jr4RIU~Shdj57)x$wyGg+j*-0@Co+gyTWe{~gFh znnmn|tV8!v&j(bX2S!1l+09m3sm)J*;b{x%yvhF|K~;it)^zlDq+LPfQxW)5*<%KX zOfeCM?q3|Dj+C=NTyY@)_5s*x&z*1ln|Xs2?8DR6(XLk+B6kMZ-JHvi*jE-q@Bn~1 zh0Bo=w;ubC_Gy$}^VGRP6&;ee3o*EyTX$#pe)9gdag*-Te! zQ+cc}6+j@bUK!_qd{g34e$HPfgGSR~^(+xO9F9g!jLpo<%LDRz+OaX%5%p5G@vm6of{Q74^3LDHrS9;31Mi zb0Pz)5S{En16&F%rVOy~7<>$nOteOTThl@afD|CpMhjt+m19DzIY?GcD-5cFhDbM{ z5D<`Nfv?d#A|f?n=8*y)O3|_Lw7oVB0w02^4Wt0NO#Cjhh!r*1C-RKv&&NMhcaF|1 zIp20!|4P*6)&UP!jK+`uY$>PJ&aQ9FjbA=9yBOT?5<5zoPRt&rMeFfG80a7VRVNal z$zqq9$1Dc#qT%H^Pxl&oI@vy}c?O&CB=pDF`$@Vrpjf`t z%5EOjPnC^G4RMb?oRPmu6quIqrx5^Z%k5xHXfo8)(wBNsDHg-19KmMd(lf5a;IScNfQ}CnP0#ftGc$JD2gBv$0Gur7R?qJu@~Y22i~yalZ?#z;Q>u zthm6o{bz)TWWs;PMM~2yyYtIcs2T}ME{K6At?&wR1$t@k^{Cx@=kA(GEHlBw(tzvD zr*0e1=FdjcdTddfJxQ7`mp$I+0DmGSwMTg+PMa_!u|xaj*Le9W$*=9qzfsA^UQDXivTK zxo;eg`M!g3VZj!l~tO%%ku+3fqGR^gE#12~v4T^tTX82>O$_4<#EE)U9Z@d2j4ashVQSq!C5IQco-0HXfbwGvBNI zZUE;ZG@MyiZG1N%;v-X*-+&eghrRUXmX%8v62%wiM4O&#tNtKND*7& zrFZ~cv&s8dO3Cu=>o0NwjmA@_W8c(Bs9DO0xTOvKI^#53oAX%~*Oi2L>1x4%GMDb_5d;vEOu z06>OIY139Vsb3E?1YfAasj#ue_PFPGSSB6jw-i zra_B8K($o6$&)HSH%nXm!Ob6gi8HK#O~%)nTbv~%LSjJ!&Iz)*W^m9JjOlZ-^^-^V zZ4mqI#b#-Plj2-pETWp;?cR0xV#^q!Oz2mP!6Vh4ZBs^VJvj+(>2}rltoyXx`uaLR zG{I^8X(Hp{0^08G0+s7f-oba3KlC=nOK}IRDUd_YbSo`mbo{lowSnAJ0<=`W@Bpy2qy{1?FEGPNupv*YnR_-aj%1)%=n>Hutth=?dTR+0m#EEj>)S4a=D8Gh#} z!gzoT^)7p=#vy7mJ9-%n0N&eA0cWT=kXwN5896T!s(nuF6InIg*1=KT-dsDWve=rN z=dn|x3BxYFF86UXU~Nk?i>{@*ob1floK)LR^1yMlQ6Bz!tBUF?OS?l*gA!n-lv?4r zZ(J?vPbuX1FCvYtqP#1i&C`quEEA0)7Ce+D_%QYyMolBPRO&@^Fq^))!~nLcoW z*!NI>Z%o&e66fcgV~Wm{fM+N zmDeJ2z}@?DyGB*0;0WBhhGV+afItmrpNQ>xQ4&5&yk410pTSo7%6OzqD11{~n9~sOGRqHk_#E5OkM%Ub>K^nrV$amgSa> zf8I=IkQGi$gCecp z0l^a=BccIgCC>$h3}0-lr$bFn6_rfv;e6P$g26u z)C34!%BG}l6$tp9%HSQ0hc(ut7=tyg>#yV6%L8j6Z$g36y1F%1Oy2iOS-AxmEc>Cx z=b`Sc$_OZY>+%7^@c05mp> zYvB-xX(OuxDR#>GLz7_Djb4Dbkh{g!5ow}r)WveXQ-lK92=za!1+f_hZ{1rwfX#c8|he@nV@HydE zgXXlzy4C~mgC_~mDdC*Wp5Owa;!y0-;_gHU&T<7D^zKl$kr)9(rr2Ssn8X=}LI|&_ z9$ds0;8uZZWe3#5TlIGf+wi)_s0cL*W`SEcUhihplgj=gmf-D2lzc~i$yqWNfUu~$ zm%1VX-WS+Zyun)9`0HbrHgR1;MP4u=FAq;i z(}}i{x?~^c+lnBup1b`z(ecUk)IJ9}v}a8rV4FysX44iapwaMo;^!$Xle*iO37j_{Ysaua!vd(k5BZ6Lrcy3wc$WRVo@1WKS0c`-4R~DbTaRY#m93vQEsA| z0YG9Io@R@Z(B-bzND{s7=dNqmd;Eh)3=9m>H3!0sA&Fbx*QQp|L+hyd+=p-gE46DH zWE)^G*zaGz9F0LI>(hG|`oGGGhC?OVQ!@iXadyzhdAms5;t7N-r$*yW3{J8@^v35^ z&}bD&lB$ZgO|F?hME8t|i=T|Dvl-`@=KZ+Ve9+7K{8NQvA#SN{BT?~FprXkAsYQwy zh}UZI7xRfG^?_xy&fS~{ewi#Yk{;R@^)RG;!^Y1~=mnVne5|aX<)IeK(ur#)%&-G zzQ<&R8K8H=8Yiw9Ob##p6&k~!pJ@Bu_VC(rhc6_!_AS@$I_?~;Po$LPw1_ZzF#%fz z)&pEf0Z7^xGvlxdj93Vp6qLRmJDc2cp#kz+(%y=sUmH(%r@weL%iJ$ai~Iaa>@lZEaE? zFKoLI74(T60yi9H+-})dfb4CKAQ#mBGN@7hy$1~w1A~pyLh?-f`bez-B8U1G8D_Kx z{6%v!v$_}u&WdZwLF->R=%a8o1fP_-LW{+3KKk|2_Y#McXSr@IJq2iwP*PstafnA= zw;Ye@G*uX7S<^uHiVnrViGOwlZ5-5!YhYbB@6>NP_V2qZi|z-pA1!gbZ~gWPy#Bvq*gPXmV z0%Qcm*M4wafMk%_rteBm83|e5;1!1~->L(^KlgO79u(h6g<44EqvU(txBJw$Mq0nnn? zc%!yupp2e7b!$|>Vuuc+-~en0=*1s)nA1|%*)DQvU*;3>ECqzyxyGimQ2P+`GHdlL zw5e-{>}fSsR_GV(U7xM;ZWRW?`0J^%WuKk8ZZ{2$@3at8-!Ec~V?S{e5elEkD47Qz z&{orZ&h{2mzshB;%wWBUX?i_;u%m9=zVN@!k*&Zb;zEvYc(~-SBb5z#qwuO!{p8` zE(3eEkM6hBN3`y!&hg=oS1Ntc!wOdOWm1l(89QO~UK4Jh>Rt_1wp;*ub8U^{|s7HV|pQ2YBO$?u4|o2>Ek> zzWe_1XoP`hx|r%R_+76-AU`dc;@Q#}k#3nGax)Pjp%ul#sqxoSA@cj~LYBi%N*lRG zLwnrq_~_Q=z3GVGnzE&^6e>f)qvIRO%TnWkY}T=q6c3?8cnCP->uIv^pH> zmF>H!5nC)?a^m3yKYzP^O>x3{8t;RipvBBq6WmmxxHkP=7RYPgo`TBoL30KuseXOz zHDw-9@|TrXzgNFTi65jkOQpoUbp!7SMK^fyB6K@mRrNWWKrZ~-D7r;{#Ke_-cHvvX zs=A1Wu6^Z{d%a>SGLsxjyT$@CB^*v$#3eaeaDrRj_UNqLF#G8X|F%F_8p?fWB0>#p z#3RjW5IFQq&umFxqJm%h+DyYxKszCw^MPy&s)PbXA(ogC`njZu?C@QB@W| zx4e@P@nrrVCC57~@PE@XSC&bQ$_N9uB_|4c4&ESC%TzkV)Y1&v%r?ip=SEwRl>DWi z5yGZS-M_Jr~4kf5XsGMkh=h!q=;v1#5UMQ6d{Gu_=2#^kdhPT&fCnt zXht>;qF}ak%PeqCN}Or%V3Y-Z62oga%{$TZZ{K>;hbr5LO_oZez6 z`NyLwlz{A4KFKg3*h+;|fUSp4FIlyjN}Qt{s)r+ij%X=yI&onk*1gdGaOTn8Ch%xA zi?vr|FWsBI$Gbv z?0^|Dpib`*b}k;>N5z@Iw$4V_FEqwrdH{6w7BI{LuzU)j1N}+77JzHB--`tNB|0%J zZQ#9Me%|;5>t=B3pT$Tt-{lzjc~dY(bew8$VEPQ>7tM!S3T1?bJP5dg5XJp4`?xb< z$N6Wez?narc&fs;p5V}OU9D~i47KRzUxWdQcJ5}ryQ|S$qzj9k;WT2pNm0mLRIm&J zEGp57s&Cf#-F^|dYlpyr zDy55ak(XkB@Ifg@Y*Nlr?G=^hJTe`aq=;)4xAz6&8o9*vlEe+<_e=;RV$VY2GD)we z<_g;%sPZSk2ZbML>U%w02OOK3gJWz@5^(0A!6%vhb7ymGcr3gBd0I?M4Xa>N;P6o~c1~i(m z`RbrACM2UVQPmG{*LG!K_X(c9L2GZeCNxVYuDuRtP@CP*hXbDOd!%E;}xjb-|Q8Yg_Sidb&QqWgvfs zW{iRfn*q5N7M#>`i9y}sr{Xn-9)~tGz@Egc3fhdZ)f@of^aCrTDKqmO^Hepk)PnHJ zgND$oqzKs1D7G+oj%Iy!y|`z-k1i5)p#2019t#E`(T+x{Y&4fMgq*TxzK8A}j~hfy z2jTPNH~hizXkDU15EV})UwpY9Qbtf<(|Ng6@60l^1mJb(4Zr%9lViHv6EmDfeY5we zyn$S^W=~BZau4VO&x}Fd5OL~n+3Q0~!BnZolCQY~UNi89spUL@F5IOb?zrj(L|0-N<@NEQ%NA$G<)@H+j<)%T~?A`j(yhAI6QQx_{ME8%e6 zn=i4k?fiw8N9#-ROS1V&5|>*r_|)>@kc0&>FP#GrwD~09{A*+urlz&|0YJ{@ld6o< z;r?gr>4Le7j_o4~5qCD%GH~P4VTAH!xfYEZ}Ny zAqMndp|(;0r$H(#y;=aw)Dd7#cach+^n1C|9}%t&252?!VUE3EC>V+*D=XX6O0uvu z2`k3=_6Qog4x9{Ai_#AX1j-CT1qz?E9JIFsX9Q-`sz&Qn4-9S& zi2K@|^OQ4ogx~*K=}X|{wTS1M(ObQ;l9QE5FtT;tSl6q1mbVJPzJx2Bzwk zm$}jszQ!F86n^%i(cCbqH@<^5A@sg-J$O?9v01-7O)^O{hPVcL37!1ZP*qh0jUE{N z{8qo{@`iu1$yj8`h;)uWwtFn|>Ofb`)y3OP?{`5^KT(_OW)aDq35$po3LC0aY(}!n15qNV zCDKPE$Cue)?8$$5wLM6(HLI1A_MeZ-Y-{Eu8h1^Vz0DjVLpa$q&l9104NS2NiXU)p z=20aK>o*18%lD{{qbWA_OR}zSRDE#{Oeha+4z8WSd~5Yb(j$dPlY2&IFYOzL38L14{re(}~g z4)HmS5;jj8kMG5LzFOWZ^hV(d#Ah-jG59yQwKgORKx3(`kNEG&AE0{y@9u%wRNwth z;w!Q_!m_&!-wYxA_Ue2s?tdmf8bGKamqcFRK|y%83Bb-a{vD=A{3h}4`h>pw_cYp( zf3pNqbWi{7Bt%C3UuBH>`wyhkJfi$pXk@Y>cl!@|?)q4mJ4Oo^1?hyM>WvR@Mb literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0064.png b/docs/img/fsm-image-0064.png new file mode 100644 index 0000000000000000000000000000000000000000..1c19725c0d5e3341784a91f1de50552605818e6c GIT binary patch literal 19438 zcmb7M2RPMz`%m^JTV}Ge9U~#LL|Mm{nXQnr64^2{GqOhhDtnd6&i21g z^*rzM?)Tqyb%l;|e&hSS@6Wn__qDEEASGfXI(F;}fg*efP=)ffuBoNf*W_W0Cs?;ZQ)&d!xdyk(; zP$_utVB${m#>U2y+|#`ggJ;|OsG79qg$?iLd(ubikIXWkSXACTI^-Z$pa>@8n>5$f z9?rXfTX3CFfnuQbsJJVVPAi)G*SA>3cnTCN@No>)POAHI}7+@9%mj$tF9;w49|?tO15c_Jhz zc=$0Qs7{ljoNG=2fzm4}d@jeyS)dd~CMYP_a=2TPLwdM3ag>}aWZt~IuwZa%k094q zn*})&E%}LJ|MS0 z!bPcb4ra*Mi3hWXuLX3Y0-lVw_#eq1?F>#^S)o&;-RBc|b$zy$8E9!kk7Ajm*Ctzo z3NNSUPF%^7Yf^tGsG_1$UthoZWz@XZ5gmw2%%@*!U+FPp*5p$u@G6g74Y9ViW?bid zpU-{1FMxuYmUiv(#Pi!u!?`M2(VP0&T3VzA2BYW_gTXXJ$?Y$1hm`eCn9xhPW(O!K zQOx0r>0uvGT=jWhL*%#}U&zEI2l&BdXd<Gj1dj4tqElqNdfE;&kJXWnwR`X%P@aXtub4`5o8(|BeCQ+al$_k?6@C4; zYjeHYwqM?s8INlhg?7M|FX&)cdm}?9Xu9~On2I)2_Rjp&RKS=r>Xd%;-MuXpRn<0@ zGUR!pnzdu^aH^x2WjtV&pS)f0LB)zXHTqU=+K2EF>ZY?PrHoH+e7tn=q84+}T%s~! zNTt24ZF*)#(s|56ySFwWJ$<}Ln`49NVwY2SQ(-N$9@=YlI^^+VJ0G93d%BrE`29H_ zskpDO@xhvlJtrGxh-g088gIJuV;~LDJ34AGNai?)bs~uQ``fbdFjhYTw9-}CQQqx7 zRiB|tHe?TQbl1031d&ffk^?NM*rpXPJ6TAzhY1a?Ko8#PTe zLb>WVmYVpJ+G)84_zBje5;o`aiTqDci)kaaO=VyeUPv79L!cPjLrL3x&}-)Y2b(D( z)?=dARu{7TlhgAH7=L{G*q%c#dBap$IXE(s*j7@)bEx^;`E*Ga7FnN~%=i<&wj8pH z8aI>^t(k6K#ctid^Sz0i$0FBprQRckMfUUDTnNJ*M@Q5!15qK~S_3z|!H=)wFOx+c zNL+uvv9rFA5`wTFI`3Dam(6$~hH2?jlZ=UnyL$o>yP@LNk`9{B*!v!i-kG;KEFnAU z+=0fJPa}2Ul*|=ZDJPpR!8_#`^+Z})I#84gg*WN`wbZB@uTr+~U3tsl^S2&e=vO^G zflRMPw|95RSmjK2#Y9D`yw~TW84>U6uBW%17C^rm9K2u=*ecD#Q{;$7>G)A-c<>>M zUWmr>4)tK4E^0HZb<}I}+1x)kfI`M|HH~s~TI}SK!$m|rWdX0xZDAwCK$d(gmeEZk z0gaY*zQNk{=}zMLRVZ=S6}_3TNV(?3s;+7^Z9TXZ>H0`7R7(MZmqlWB`z6O{DK z%uM8QFVTAB*PGM0|(aTs?nVOznXUtmHNhxL| z5E15BISi_)5ig6knc@`+WLs|E$y_@{kb8^$|I^jsq@S3#G`lYh=sv!3KQhjdB6!`Z z(DB^eU2z^B`>rU4ukULkqoSx2Ps6(|bh3*j!X~-3G@_z)4-ms6x^=yohVRXH7BW2F zmKt&JC;@61i0npbBaz~6)5?8XP_l9xrynr%ynd|tWwc!A;uFoEFHu6yz&_AJA>;f- zlrd;TO2`(~6+%qc*x0xW?J{32!KOEv@KXHG1G-rnV5Fw1inrGsa179itJ&f^t$&YE z@)P%+gQl$<8aeKh5Zii?Y*WMX=hmE}?sHSJg7CBw_h%Y62htD768FY+tY zBtJhtRp(K(xI&`aBTdAOPmK&GPMq5IsB}y{DS4yDX>?%Gqp_(87sY;e|09o28dtbz zWJ0m3vGIFG{*jr_xVX6K64xUlB6g=Eg~mqJc0`Sgj9^RtSXr_7q8%cH4Rwx=fuY`a zXRX2Wdlf=L4+AlHTC6%F_I`Y?PBJvCzd2WB)0-cieLFD~D(2fV6Yq7eJ;L0m845J4 zCy78;1*`_W8E8Oo8C{051Ky+#--e$0?D}}!eJbw5IU#>-0e7gY@V*L&wa>cPnOSeLfI*ot!ft?x;F6`KC3M$3 z)#z--2T`MDccLX@pJuW3NX?h1dU#0e67Js1)*vho?UAV#zs$qOhcatAd$`bRSo-k9 zP$lkorJ<1TqqDb(>d>V|30LRe$SW;5$hW9!X$`$8)@4R9%E`$!I^L5%SkeTvFw=XluTR4aF>CYfV?&sq9N@z6Z~{6y zx;o}gRq9viEE3LR&@hBae`1Xw|AQ~)DGdFYvgt{2C80Go0&xBMtg0N9FI?cTny9jg zRMKk)gz`R6zdYe#rJ+h|e}CDtXNFEPe^e_~wK`^MDfjuPWCoqrp5H#Sp22Rr1;rZB z2@N&%MVntm@HXs;H*b&5atYP@*`{V4`~=0JT$)*O zm#e1@dolIqnzWRcB09$h|#IiWo19Q+B7{(>0Ws-8eC!mB#6L$zih=_=s zd3MjSBFxGkwh|sGV?|#!ToEQQTat}AC{!a`zz(>*@L`jPa+P$!8a~<`ZGl7dSexw* zw2ILumE(VSyibO!XL~{ZsF>#-+4pbX4*Ml1PIGc@exEQm_FouaUOJF03JnW;X<&YL z^IOCBDsj_z$9wQ6fm_qca$|AW|7dSQes5W9hpyVB#$LpA^8ET1;6fIz61>25|NR+O ziAZT<#CEAE5e4zSQWt=hZBYt2dHEKo7D~iOlQ>%*`&=MGfSPZj?Lvz0+bjd^H55Q>Cr|)C7qY)x7D2TE$FDyJ#8i_zw-{d{-TB!nSJURD;ru@O1 z$C|8>2z~?W0>(9qg-Mp} zpZ4CL3-$(R}w^?FnV3;^N}cJ~&%xzkRQ|<(V0dtO|-?swoPz*Z#JMPaGSg zKcT1DPN}KKgN%$apKhgN#x*;Obaf1jjL)xi$Dc@1r6>qZ#q^P3sMCH2y9kF7Wxtkt zkC)}kr?iA6ho84yqOf5FT&BDPxYxi}J86N&0D6_b9R&`Cy z)bzu~((7TIJy_$zy}eGb&ceu;s|=aHblj&}rh4G{{ZkX*m`=X4z$k3X(U*9>dAq!? zAv{@Z^7P4PPsiK2?;kLII;TP-cb76YQ&b{)*ggA}1X59bSt8UEFJpzQ+!Zg6OeotI z)dd7J-Ehi0%o{lVSX+O(q>`fI*agGQ86f)+j=uY=FX6H}@2n5X1NY@;QrQ(1L@FJw zK8KP3+wp}!#W{-?$F{1L$6Z=T_x85F%DB&K=r4CgpM*j@GyWOqu^KYSLve?*RO%;xA`fi zWN!+dQ%!+ht*+VNGSPgnIGhIsW2B*t2z_tRa+2|!m++-FN`bfe3eXd(3|ULs2dspu zeu@pTHg)D}u@=P0cH7-k&FoUoYhqVdSATq;Fi3f9tPRq4B90mGb(!NRlO(qm00j?7oRqHe=SZreDW8UI-pjVT3-bV8;ab; z6URtBJUwHhqc2~&^uF4T{E8j03O6DpB_)6_1JfGBj~OF2ax(&O$-#CXf8sH5TmY^@)L+EcT*ZPa?1EovmQmZC0O$4Y%vvt6BQ}?OWyupGe@^kyR+~2F$@ZrxdTcGrT|@g4;P? zQdD@<+PLvrQ@*ni?=Z)P9#14#?0By?`r_rw%m_znGNC&1_2$FfFCx}m66@On;-;fBD+WoNU|hYrytYh;|I;ZS>BczG%#T!qr3 z>S_?t3lhJ^5gpP`esV@}j!N{2)1WO8XZfxXy0F-;Mev0pv9H9|v-_(3d1``YjRYt2 zmzsFPI&WB?dHdlF=ze+!-MRBW?-^5OF`h{`GH8=>XHHn*HPFC9e|sq|F5CU>)$l>w zdI31QrKKe)|IN?nFCXfwZ?XHd1rzqCA(Xg_wev!eq7o8yS2E6mym{eqV0!McwsVGu zt@x~yxAdQwGPDhYsNun2iaPFZrB^d@WU;7<6%djVZpC3dx_{=8W41PvUY*T`TAeOb z*azGx_^HX4+7vA1nM4ExE*lpYFrIx)$IA$+2>~Htr6KnbeLqm(!Su&PxsP2YOY5IM z-&!2Tb}vZcH*jQT*h$_rY#T4H&O3E2lsG5d@;T|ahV&ValR?jF6+?)OpUNYdKjqGo z#JA@)Dk3U`ufG@Hi1`IzBhn>w5tCoOH~nBS+1l6$ws1;1leB=SSO9Hw4Qh`dT`9{?do4ery}6N0+vPvU8ckI?1djPeXk@*xjU} z)4hBCz9(LN`}*W$J43!)F1iL5T))%1D$afK>(*!Hbhj@x;-FvDPqhmiWTzkO4EjqL z6Q@PTT^q?)^AU9FPZ0@{o$E|^^eF0U_EYO8c5m>c=~XKz9}(|vMXU#UUqB)gC%HuO zg2`Np91_Yy55KzjuWxQ{uCB&i*gUtvLIhlQUcMZwpURx578LhjFTV*P#Zn#?#aD z2moVzd^`p3#V?dn7d7`vxU2#lEu5A)jf-iw400HUY6GzG)2doV&dY+eK+hto@$^opfZp$ zidPsd)A;8yxl@(#m*Qw|@rG%7Q{qfvw3oj(Z~h_|Cz_zW)@JX2e%QY}kf&>Ey5Eo# z|8A3&h?uxmt`3P2l4;U4o5Q;?sFQamkDpU{(CrSwoj27cD!E-I@MZ}kinRh8D6BOx z0|P^AYwMck(tS|M{sC=Pxs(eSRhFWEJK*2zP;_uTfYkMrDlg<4w-l~_rvNPHKoy%+bNQ4ex5`3m( zT&t|^bu$%<;sEW_f1Y65)D(FnozRtw7wGT#vtQy<{Z5> zHL(on{uJQJ9orr$P`|soAq@lxBri5^*ThZz*%(1B(vSn+DgfTW7y(Tdz&(V&V45#3cHXm zX48`h$hM7TpS-CT$Q1OFU4p()-$U#QgERR0BMo-YGE z*3vWI;Og+fBvm-xc#T7z&8Kim4vGPSWrL#7PSgcZ2f7x+vW6(2s#Nj>;W9bi5{|q* z9dX#@aygrkXm9753X0T{K3K}f+gq)CtGmCQt*|IJt@$EWmipho3mMC~@F#)?4n3BY zN_6jWw@NYHxY<>ov zEEODtny9kGlF-=LSkvR8Vq)w~E=`-X$EEA3$U$ZWaO+DWg&*_%ncGZ-8|Zgih3DoA zvix>==MgL90`0=##q&h*lX@Ho#O*gl+E4^uZdraBHTRdb?W5nKQtiz0KTLh+auMP6 z>#W#&u7giV79#GMkRE0g!H43-#oV$DdX75f&GSnlcnW(!#R}vvW8g_1Yxk4@;{cnt zkS-}sqn3CPo^!g4=M(4}+pOV#yFY)2F%^aWcZ|vLqa)i#Lp1sYd8V^OSO@=b^)bh0kJeqq9`(6bFjE$JJhz=Fz zfxc`>C$}?8_32#SER7yXacJUS?$!SbXmXj4R-`&5Of8n4`ya5SU3Y-?F-sO(T2|i` ztSj7G$NvslX2;WuRJ6E~5k!l({S9IU?k^Fc&tn*`bMIn;^W#$-9PFCHF2Lh-)TDTp z!#D~H3e@;(kxIROxDlZzPMlCwR6O&xTVAyql=1a>Uew~!$+Paf-A_wjp7`XK!Av9O z`;6i_1J_5?(wVPP|2weUn066GdRva44!O>%L-;a&`K3bmjEafxyrADz=%$fN3tOIPD zlx2neNK)B)2?ikrgzJrMS9SSCTaQ`%P+RNMsp1atnZ3qhwtW_w-m9m%xvgauzovLF z)+&&NQaa0)2>D}7M!N+&WH6cY?F--CefYTiAQLdm*O6*|3>_2XV)BS126fnu1 zyhIVK^zZzh=o8w7Rf?1yy#YuiD)iIC_V#vAKVK#5RV!X?@w*FE=<$_|__t#kyUG*; zxW%Doja?3YLBmQLIZCQvu0IW+1fAROe!eQC8x@z8l@%Yq)=n-D>Yy>A<9^CU_U79o zh>hNxewMHOjg2NNTu1oi5UNmrH;0L%sV(qU2OQNY(TXB3(daY9V`}Tc|;qc&o^f z=Y&YHna||9GB1&R)86n8{0o|%)XYa6a^^|lX<9@xk4d?FQ&&K zB0_oa(u(;ra73K%<5EZj_5Bp&RJGWujdSy6d0KdJQ4xVC&gL~#PTEGdRsUpml3pU1 z7+^4gjZpAId3Zwjxnt7r&u_D{)1e+(f7`+)eZ1`nNV?WH^V2r&vpr&jbj8O)aK=DL zP+pF%l$4Y-D9Kj>3>&W2`x6FY$+{d6Be7eFV_xK4h(#vI1w4Bu)uFug?UX_y7neDy zN@C2UJOV*O<)O*Hx$PQelKizQbo&3W-Jlv_aXw1>L^- zM{qEXTX)q_W2jQ=2jxcwNgzvaB+~tttdI5$y_xO=Zj`x1wM^_4H@DUA`j>1+{dvxu zd9ypFqoB}QuFoRpYZ%XU)7|}@hqK>M-|(XJ4IzO}?bM!vYsn(UWZwyT${dUQUXUS6 z^rHo?UAu;ZkKZd_zzwFt@8nNm<5Y}06FvQ_=B7H(Q=dJ11}r9zaLdWcDi;JADA&*^ zu2r6W3ue}s=f*d12luwFN2*q_cD}X&Z>>%B^V>51L*-}m=|&+KB!~p&I;7iGDB?qw zI1qZt{{F|Vm4R%kPg67l7H_6-6E)Fejo$85{0Ur_b@or+)jb5Q3gSY><{!{)&A$*k`JbT7fm?=H)>s$)s3^b3ZjX zxvncBkqiGk8LfbE@asnZBfmaMIEt`25Sn_h=AGN$>x(O4 zoso3hr%%6lo&Pe?aveY%WT?3O)?ZsiIKJ59eEcSr<8=-yK&t}e7|7DZKj-{UxNpe9 z)APsJ*jRpkKJ2l{Tj1tVJQfxguK~+)#GsA_6@X<4Ds;7N|JvqeXBPOD*FQB%?{&X^ zP32SWXbC)fo0B@ku@B6m<*~{Yizw|g%d-gwAD-VPj>o@XyKEGln1 zEva*-GI(_hH6-nx=>q8JaS z_!qIaM&%@NBrME*@b1inJHh;1!sSyVxUqFQPcWwKO~O}weZd>en{Q1BW@oRQyR|q3 z)OlTRl1Zu=$WwdQRZ~+#Khi#R`GXDJKBq}m<4{WqB`J=IM+VVv-n;=Dh!oVz@i1>x zx1U7|J9_f#LIO>(M6lR6N{^q3$l)88BzY&b9?t!Xr)O@Zb`i9JoI3kqrcpHAO8U0z; zvqnRlKfSE(6R*w@uL!G`8rSj-@C3iJYI=votZzY%-TA=r#gd3*@ar!ks?PU62aH%povKXuAH~E_{TK~2pNFJf!p6p}M=b!yZ-4t> z^qfN0vMm@QL^%lnrL59ZaVQT^33b#J4u2B@d zmc0~yuqvaa@U6UiFBCPDD=EvI{AjNrhJb65jEnA`9_NMga@86mzo4P6o}lko9GZMU zL`}+h`n1If$4b?-|4l}Gud#gXC5Cp~Dj}lbv;TOgb=xa4P3$6B>>3gJ#c?juMdqsw z9;75B)RNZ=9Tx}VjQ9qfEC$`(-3z{?ML{A)?0TKyLh|C^a;St%hT(j97Dw6x6oe6s zMKUkaCi)^iWLu!p);Jlc^y?@PJ<5Zm|rSC6>ylGk#T)JKs>{w&O6)L zN~|*Be~=AfOX$`{eC%JsSqLS6x)F4P>*_R`T>KWPQHpUr+p z!B<3Fc5_ol$b!?ToL5qy@>Dpy#)ON6nzt2wHuU1^BO%EvBF!H=ttqe!&h-q1`t#af z`I0Kh%57H&ZL=eMCDy;AvUq-qFv04If$z9`EnQL^IPG&qFtcc^9rhv-%1<*P=ooU&^*TU9|RpF1TtE-=1^RVc0 z|JjW3KV`UHh|ZzVJs?pY?C(d7Jt=Vr|syEpFXK67_k}FVNVdqzhjDw%mLoTLHUREbj{d1y^Y25JlVX zH9yQXo(JdzhHao@=~IYZP&JcmZ;iWv=m59)jJdgaU00#w?>?_*{PYItX5UYVW)8yX z^L?q<3cP2|^!4{&e^W$>#ExHm?^M1zzq&=G%jQSBD~17%k7V!Ay5Vzil=hu;X>64&vysPu_QCSikDe1v>jN_3 zFFIjekbx4ng2Fo7cq|WKC`t$$R1|OYgNOB=-&uBdKsY&d>XfOe>6i!gNo_V4pRo!{ zkhEIGJAQUIVYl`^`>o}7rBSAcd;zC-niMv)33}K{oTsk$X@S9Xcz8HMTjP__7}d!~ z^eaDYJT856_99%QccPc7zGlAw5LMInAgxQBw3>wAHAvYe5SP@_VoQ>S=rbU6K(IQx zy6;F-hQ%R!bW7?q_T;Zj-_h*-c?tC*#>&b{P#hg5nq~3a%gnfi+TQ}u!XzGf&NQ_N z2_?l&|>sJTED60(($6uT>+sti!iVHJb5P<-g{{ zmYvc8#uv|NTPYA-k z=?Mv#^ri#pmg0~Wq}m|8c4$!Ap*luG63nDPhXI0qG90b*R_jzW2)*W{y**RAZPJn3&F#krNX`p2NBqW#r8!0zP3v%UW+a zJHg{ZNLu_I&Dg7R1(z7|UKMko!Kx3I3H&l`oEcEV`D#?9U{J)J_{?<9%e5~>L@NR0 zSf?^9z_3(}m(?k37!usQMQ%(qXT-&^7foxSA%Ie54)sK@WGD-^agj0Jddz*%LvY9V zh-McS8X6k>*ZU=*_8jc}c=&dr-u;440xk+v^;NWidDGsv1|7u=m`6D}fC{@@J(zFz zc?XdVVMT0wGI6Jegwr-wP<|n0=mD{}AsXCTShXbv(AGXHe+tvw+}x^@ z#<*r652uEot{nK1O0v6_yeK^N==M)$qdKJY6RV`uW+yLVyOrOzeU zAR4+Yob$&TQE;h%7pyrM?to@6=Fs)+L`QFz2Kq%fpk^1G&jlrn7QbxZFTjKWpe>Ip z!eIk}#6EtULL-_*W}#)JJ;k#YO)13qoyH2+kIhOgzdnicM!dTkisp< zut3-Wu3}j>2CiUgKR8aD8lTdCrk(PFEz)yxa@3R0h}`YPSv>*2eDmhbzeE5DP-7oW zrH}n#F^Pyhr0epit9oO{gQFl7v$liL7-Ig@5Rq!VUNpC6W<|LmUxmz`{$E7~q_3xb zZg%#h0Y5pb+!-}0j1?vmQQp4VsG~O6C#u@}(u|Sw9c4PJzzMAzde%_pOzNDcM%CXn z{R%p*udm;}A^bO`VYc&LJMRCGbjp~)B%P#3|AqS$!teZ-sM8!ld?pyoVUn8KD*Q{S z%;A`<6Eb>AGl0d5RhP*>PtGxSqez95Is!FYz=dK#hO6;OMmdC?#0F3Oa5_h3|W z84M7;Ly{_ti2e4WP-=tAN*GtHz>&nC$hmEg-hcn=y2!y;25P{H<{5+uK{R-$JqjI7 z%2nPN?2yvz2qis$FE88MgJcHQr+W z7mv}afCCm4zeq#tgsKDy&~gd_m}5rEDliTLfzHalE%if6=K#ks4WBN_U^DKo*1o>` zP)2Cxi}j}xGFL5h`3;_!);TlCq)0?7Y_ruV_xgRJKco(yx*H{b*ce;+PyjHVt6zZu zk@Gi1?A_we+o4s})sT+n@pQ@Ho!1!X5rfv$a=2LzPIv}b#@WeAdf09bWyZDO#&OIk2my;htuK7erXhn6`)Z(j^Ohh5&J}ctAbrA z^ijp}36&pjt)s?Hps@>Hf)nMd@nyT08SiJ{DV$Lp6L=z^-EOLT_aqZ}`TH$42qixMGd1XUuOZw@8$rz%k_h4qIj zL4j>jDmDwjR_b*|sgh(%jLX-?5HQ#1m|s{J!-#O)UYQz`>)QiP@G^>_-4Arg@j>~c zzGcaL#(m2v-AABOJZJz&BSo#_%KuZP*j7H>C<4my=Fbs0`qDp@irqNhzFfEcIWicK zv*c1H{YW#vdgpqzifr*`uNp?h#eieV3#wqCMkgjF4$AG7g8#g4b5RAjL^a`jsw;Dc zLJAw3?|J9Kq>sIjWUs=pCX191v4M5W_klPsxYMT^`)r`SF6t&&p>$AFwlVwFBmhmSi&Z(O48>+%7-Vm-KwjO*luroETwy$ zAWt77Sp-}SHb{{Kfl-AN=N|2ZYVVL&IKDE*p zm}2qy?d8N~(I zD>gfgap6fWq3G-6A(gCQ+BvUs;lj+UgDgkKpw{VG$s<-d-&g5jl$s*>;oloStN9eZ ze=v6LKyNntXvQMVDykow6YnvXoLn;mIRiyegE*@%&A(ZrUi~oEY@q#Cx>U;PLZE#D zsrj7HMkL;90I2(eCm{Bt zC?@6n;aQwO$-L*3JMLm1%Xx}{tM(^I>|{R3$usWxT>t>Ch^m5k4ph8%QoB z)WSiF0ZZv<>uJl*?(V7m=K1#s6U--= zyH(!owe_%@;KNLyU+P}oB@YP+F=jR4LvTFib7ad+ri{c=4Nh6H zUU~u6I}VB0E;#$uTs&W|M~W_A3V`Xs$`F|4oSd}S@jU1Ndjk4KD|qvXjr6Y1Uc5NJ z^|h8$J-MT^^KzCP^W_S2Dk`d`#zxgxmb;5X?2%|`us~Zg-C+*n8<;c#`oq$`+bdwO z&86!-Yx^VA^nTy4{+6K^@??BFCU2Rx(I?k|Nv)&0Rvw=xZ;a)QY0+jCi-ow?NH2O*qqH!?zB%i_lOA!Bs%vUKlzSQ}tiT_H7uoD z1sDWX>j;xA6c68`^q|UI)p^>n(F{R)NJR&h|6{WLB>{F|L&F{DaXLC95E+^@k;-;0 z92yz|ZmaIn%8SHSdJT-vu0L(=%a=%bhy%U{8*?k+@ndd0Rz2dp+}w`xC%i~&n7IZl z`w!@HCgsP{>jjwU2TBn*+v+rG66ddY^>-hiIjPwA@wW$-NA}wTOWV-E$_nT&6q)cp zYWj`6(pTiVSp7e}q*&HS6)mtE%oHX(Qd--X&8YT%yOE6^f)o-=v={uwUSR9|tQK!(if0w}`6JMT2tvabkYxr#m_`5B^rS&0eJ+jc@`r0i$7$??AD+0rVs} zan`g(Ej!68iVH$QLQ5eQ_1^SXX!MW?nY@!?6-$45DJ;}-g|`85VWY>YY>1Uf(`sF( z6mf`s)&_WoZrY0c6-e$3@MC|Dw4|+k4svJz5`I`A_$lF`U>ws?Qnu>%kk|Z=kkU|} z}d1Q6pG}s-9M;P${80e zQVidh{`9)eQ9TKgBA~(vCJ*m7^bn#=>L#!G8K3WBqP=d_10htsXLPEBk(;*ow{V6F zk#pdxIx|pmaS1uU>+g@=VcnZi+Ezh;SamR<)GFLDRdMa}n__y3 zG#G(>68M5%M0gxgMBg`wulcw86lDw@dJNZt(#(=&pgA`pGp5JR;`ML5Z;lY|#xSGL znvJmz%>MPUB4~?V4Fqz1fbhMZtkbU3D&aRg5_;D^03_scVKA(9>AF5NpLDjq05Q;8 z$^meXaIXG(+5<^OBOpbcZg7{OG}O3Euw)Qn;}WsWcl1pMk;~n4(fcDkzhiP#Gy=Uz zA6Lwm#QcG)7av$(--M~N!TRcpsH8-j=956V8BR%||7(&SXqrUvX&>U{3*{Fv9vIP+ zP!tR8Uvf@6yrFOZ$T=++`G{8h&N=;mV5FEiW?uKYTsjh*ugQD!^YeUFcVzwc4SVM9 z-xu5!YiJnoCGK78jUZ8`S_{tpFJRK`k@vdrG;b*NYK8&2J@@%aMm1d22Zx}+*MTe^U?8hh#D$51r>IupjjUCh>uZ^3q?xc}j*5j^g%=%mf^* zFcy%Cf+rHlJHFwm8~KF*1HmA^K%u+@3Tt^(6p04Y@#Dvz-&zs{gr^|zbDkdjMSd9> zlU=D0TJYAU8l@(xb8r+A1^>0tHr0W$G|v<)GX_Z1V#1rM4Jx>s6}m!5^PzqjFjq3> zvmnI|+4T@8Mp2c7-gPOw@8o^wP6Et2&?i){fm&=mbO@4qhaL{fQcUmRDNVXGvqmpB z$Ol3iXrt!?peA^hPlV`*WB^U=HyDb6VcxCRz$yyf&OzWIn2jJ5a7U)x-S?2GhTw!% zKRks8r?v)$=}>}lnxjy=hV?PY=eD+$qd1NWEa$w2Y8+nE@at>*`B)y`G7LfgjE+R` z#mb#VPYP6i--gxH4kU^GD+JK4Ms7yrfsrstJZg+NO1kHjaGXeM7?-cM8$A46Qcf#q z8g4(`E7Zbt_7)HS>qhT&h~%!lYjQ^6x!h-d8OGMbY1_R1{LYUXyu+d3eiW!b#L+{J zj%%QCqqSz2tWcE@gaX;*JOZAb5ERYUV_`$F33I`#@0tWnpG|)ME@a-mvbt)CYBjN% zXocqwg{7iap}9kgwN4XuoqPd<6&7Y}MY+#E*`l6+X~eU33koN0isSM`3#%SQI@?$= z$P%`;Tv;ChteJfK_AN!n=+Feg#%VY3NV^tu8N2HnF;k~7+YA%!VBWdWlw~;=e%6^? zn%2W6285xK(pLEBJ@*KRvhV=rN;;N~gE? f&L5A%!jAUaR@AhMtc3@79aB@eqWnbBBJlqJx}2>U literal 0 HcmV?d00001 diff --git a/docs/img/fsm-image-0073.png b/docs/img/fsm-image-0073.png new file mode 100644 index 0000000000000000000000000000000000000000..513114be837740d9b7939a2cd5fc5344f605bc1d GIT binary patch literal 19729 zcmb`v2RPP!`v#uuy)v_RMkqHjvop%xvLhmtJ+n8F8JXG2425j6l@uv6qY}4~$ogM5 zPtW^2@9%wn@9+5k|Bj=h=h1zC@B6c^>%7kMygrc{*OUnHXz@;+Iz^~_MPBRFDKuO7 zV~KMbep5gdX9s`bI$trod+HQXC+ZJcG7r(&Q>WBAl;ve~JTt#P!quSA|L~KKM{q8R zh7W@R5rvQ_w7kA za*4GFgP68tN@Ykwq^=-vf<~}Quw+PnF^w_d$TB{r#gJJJ)(K|9@%tYyHjTI6-=1`; zs1^%iBKZ8HxI13@@TR$4%h6%u%3*bN^)%mjiEq%(T`d}&e7z?|)qA6F-iWz<{rvg! z*0+k5uiVJ#qr>`2zaL+9rKMZ$Oem2gNB8B>IyL`D>8qHUntGu#|L%z~y_hS>;r{f| zf!US#@7<*G1^Fzc-Op~lPvJMqN=iz~%xrR;6t3G`{nW^#|MX*n%lv53(e&H1BDdw0 zl&sXB*^eQYntgt5uT2m8M@2`ItB{va`<6pp$#mVZD_5?hNe3M2oB2I+{i5YbZsxzcfSm1^ zsCZ)ZOw8|>P?*cjn_QC}LhYsYmodW#&${=W?%vl8$Y)Y^i4dgJ1V?ZEbCHYsbe8V%*Kl%tWb>!S@?{ zey#=v26AIzU}O7udCBlzfS-#OHH|7vo4>w$(&+x(h?&rY+CoO2B!HVc&z=iSX}&9( zYEBZ#tHwd@OJXrRTBOb><;&%X7sIKZb>_^OO2-Dy-QC?e-s;wQk|r|i-u`}aGs&)s z+}vCPxe4bp7_-ZwiS{~lmBOUAamhQg34?X#JBX#XkjP@)vXuIIk)~4x)^Sg1G1hGh zS)>*eNaPj5{`09kKEgfO`Use&fM>{^b*l_~!F089k_nNUj*DYT#QB~#Lfj9VN)s|O zSH66?i_hJ=PQ`D!@}>Y^ zI7>QUb#W1ETK-kuQ(9~yx`>#V5J?rZPBtzD;u<_X8d!;k(g9K%c%;K`6FTKaBOI;> z;oaU|YBL{exVv;sODl0jp07}%G?1B=k}@SNt-)*4rp6@XJe{E8S!uNh}!AM-@cpgpvF^H`abl=RxQ zYX+YTBri|qg*#5xIqvVQ8)@Mkk4KP=Rx`4y-;GdolhGF$sB#)zH#mO8ZGXo_U0uC= zd~|g5$5V|;A(CmywkGeHRrHcBz>wKsi>%A z5Y9LdtCI%v&Pb}UM^9WBV-*beBF|36NPJaQL52A>SHna$_Pj1S1H$J0{=RRr5LPMH z46Cc-cqQ6P$&?AFm%D{pmzwN9FD}Xwb^7@F`YOiJo!h6Op_wSvEi1g5u{vHQXPKC2 ze};mhO|b2=g!4=*oPRvc(8}==tpdDgeJ&Z8NEOQN7jEBZ5%!kh@I5@5!^u7}&P))d9++U&cV_+uw2>5M47ieb`fZbaZMrsveS>LD$X z7C(l{daZ-JWHm?PIy*bj(6Nf?;@G^?#&`*8TR$~=VB$afoCrJAAc2jI7E@$T+v2f( z4zZV)*CR=vclIicQWY+ni-Qp9y*xe5!;WUd8IuwV3Jcp^TJKFiyOlcV#&`Y28Fq8K zoS&PwH8nLuLqpvMH@JE7W^4kuAxbw;8<2V)$tWs@Y4t@Rlc;1!>SjIFy+|?Ey_x@O zBL7R)7cfAb)90`&FWL?jbF#vFd%CWSd2X*vv<9Lt4Wy6e%7wprbtO3okil%j_>Isz zU*DG8{`8`R4Fwo_x;)2rRvXu*eAb!?&k7emMtyism-!eMAKxP}H*P&Hc$=nSRX^SW zZ1vc)B6_$_)d6|LMPJvMZwtmo*uNzS5R^X+2t@R}Vre%7RY^%nYy#?$Ca+E1GQE<= zY$p#iLoZ8QS#j9*L#Kdm-|muYD~J2PAeUF#dV1T9m_MwIUXrSlBop*#$F8ibsMA)g|zShe)^QgoI}nVFe;`h3dOyAT+O z=*5=#dL}206%ZluE#DMW$1pT4Tm;5my?QlnF5_v^+|b|&FN2jb^IgZ>6FZm9AtK_j zKG${o_H760YNQ>^CN>KztA2&4-_fC8T~Dcf?d?yrU*4A+ZX##p>d4RDf>is=K3N0D zUg;rh!`ss@ABn`*XESk&x@*QZdaSkM>MNVq*jwMY(bd(3uzMp8Jiw zetbN<54CnRu%MXul$SMBR8-VBv_}iC=F;Ae5t;G~{^KijmnoW>GIZm1-&1*b$CQwQ z*v2AV!^p^}(cN)>xJc0WJiEDhS&~(q$&TpVMFNL1~Ao>(y#+B!~A(eeI%{K$(JF6;;?C@4sJZ`~_8 zWmW;EpY|_Hs@_-kqM)RtJacCGDtf%!3J%f^ zR@C4B5EeUB5=sHhlH$jY+4%X1k5t|BNZbLIRf(6MJ9o}mY>VNvjLu~UE(FQ9C;@L1 zefQj2PJsAwO&@$hWl1`3b+%<~u;9!+ zll!N-0!z-tJ3@uHB#a0WL(0R4-hiLit;}aNl0It+uIBxw@u3Qo}hrg zyR55Gox=oRa(x>K8R{zwI)qX$~}1 zHW$aq$;mr1@r$v1X1>E*-g@&GH~Nj8g^cS}hKw2ORB9b6(37_509T^Tq2?{gUa_yv-tar zq;P6x?#|}y@9&rDR}k^tf1+=YoAU;eV2*W_{bd!1^4dm5UuS1EwX`<+`CD4CwnqW2 zjpb*P%69>#YCX*$H)MaIu{6xVmmW85)6dV(f-eQjfjBc7gY^0H=ZU<|YQKJbv#skC z38BUfl=cn4V^|cY|lcB<=<9>Xhlln)YIH;{A1(erG0mtz2Y;OOmVTw6pf5%Q@*8j7hTOLOdNPx%6!&L36qzw*7xD#h6WVG zM?*vV^uq0|q<5_YttkR>?^v-Ws}KsqPCO&?r2F#a3-4g6ng1oP4eJ_qrkqM6<_NsF z>#MouwXL`T&FhGr9kHgi2Ifu(scm#xm*Q+cQcGXxT^h2)ed$@rtvIge?(VLkaX-bj zm&VS{4s!OLJ9jRykzftEn48adO#6j@r{K}+?CF6j>a1?18Kg@{(C{GvpI?#I6j;F< zqWn&893Jd>tW6<|<(HOU=dXsWvel2 zKEi^kygNpgHG;mjBRJEu?2lxe!u50Sly*a~eP}#m7Vh=!N&R^D_sM!WS=rjodD8js z-yI|DefQUU5)u*|_BMy5r(gPsi$x)Cm=uTY%)3D#QBC2Msc+rRkqI6b{{dBI*a0B; zY;lkCs_rK#9O?E0=1-!uO`k1LL_IN-*rhw4JyzGMRw$`Es?RQRup9YE2H!V)Nj%t* z-ZVv}m=(6a?%L zi^v5(NPO>~7>AE)KeN|e>Fw)-iW7olBoo?*xxW7U@$qrki9jmMy1r;^oIev576vik z!EUKKF98t} zYE6hM9*6t8;vTD)H%2t)cq7DLMA=_iSwerfPSMuoIQ{Yf5T&4SP;ju<<_@oK#6^b3 zF7EE8E&h@*7Ku=^uC*MQej=L)dt*uPjuf))&T@V{Ov4+9_kGj6!e_01&%*4Nfi%H< z*uIt6M{;X;$ABnU@N^CH^jE5O0zJaFlD9uLK=$D`s=dOV-mK3B6@KTXdr>vZ6r$_Y z`zxFlp_YeExUF8H)vYb&HMQez4)4m-D@l@zu^5F2I*9#FPvxYhrY3qpq7D+zw``F| z3{Xlwl=2g^k=_r95@2qPRG@V*uZlvmspB;foZ5M#qa$Z2%{Zb~$D$TYq6B5v><_al zue44!!yOXaCiXe3waNOmNOI0v>ipZ04sv#ai$MKQe{IhW6N(<}z6mQki1$clxmhC? zjyV@C#x2-T$hO>b9btsxH_%FR8QMn8$EYveVf)-?U#)+4i9*Q~3Tp_EGVLrZEaTUz z>|IyJHF?o4UAlCC%45n4J1(<WeW1HNjD?8vYeWF4Y;kmba&!(E9tsm-5zK5~~jgfWk$LpMD zWr0IPN^7)`*gUpx)c_<%FYexYX8p%$hQ?B?6n9Z$=c>xf_x4Wx#MZ{X#(DQeXX)(P z8o$BmbXy_@y-38Zcbzn$0vUWF;yJ9&$Piv2F{~!5VVBONIYSN}VNT-h)IqrxTvWOeC~ZPn_VW~38xa^;@m!zPQ_3&w1+OA z(=M!am>>&&eC?5#Y#$s$EJE6yU%xChax+-aK1vu!xvv;x4t*UflM{U??AUic7~gOt z+kmnl$0F@T!sRYmUU|)kHwfvAMYP3dp7g17r&(iD(9qy|1$o`KV`dlQT2WFOc=d|J zox3EgJI6LLDlsupR#tW(rAykT2A}FYa#6YZCe2yVTSG%bK%=%B*+Gm`;bT2-7|q^v zK#R?7V&lq8aFMs(B7=Dl0zT5XOs6Ezbx*FYBV$1>*X4RMQ7Q3*gdIy(AT znXndO&;Y%{}`4@4l zu-MoD6Cd{KRes0uE~BK3jYttGc+hbpSvZ!k9xtG9po}2s5pD23f9M0aXD31C@AC_UDeU8v} zQ09GnJ|;cFS0C>)%k>4UV65W-CHK_i`GrMdI4Le0pOp)eDjY)F=NgfAGoo8xMxipJ zwrrcCnPsg&J}*?yUR_$!Z}xU8P;c!=Ju`+{aIX31RB#mTIrdVQ-HjUs&Vd-3uPgiU zZa}d3dU1(In~-ukRQ>L+Eju%_X_xtK3%k~BWP(1M;Y=FPh{FzE!}PLDjoS%+>xR{` z7FU42rNaT5i{~^kG4aHGjMEj0h>)H0D(7Zz5->3x!RqcS}{Cnu)|z1DRhHXTvDrGyxoqynahN-iiS_24l@O+wus zRcL2;e0uXGa#}}5G=3B>U}(C)YXpXk4~Cc|HP2(+A}{DK!_Y(%G(gFDHI#Rq!8LfJ@RPsS}`e0REUtgbpy@pidp`5aEmD@&l&jDr0fmK{w9IC+nn$Iz{wY3oT zAXCwh;h-;x3JBcy^KtBoqF7&Fudy9gq*!_X`LngU`Y@m^#!GiP9ljV8U3+9X{kk#W z=n!UWkn;5dxx-&SA-CW}}7qrgN|=W%R{d|D`YI^%_)5p7?whLf;R zG$nwj9MYE^s$#)YL>00NI#;7#8bnp%jwL|$4ke> z#8^*4F5CrT>9uBv`ku%;FJwccY+=@I~)@eLrB?~ z9U1(OkX6)q<`mWuBn!dxG(P?z$f_|17$P#~tg&pUT^e#g24j%+m*C)7ghI)}hwVQ= z*JBnxF1Sk*2;1|fP1KVs4V~HhI+}!$2NJ#t%ggP892^`{zB?M0Sq_v^o#_~Sq`*#F z{RhN)Bxrl~p+Y{C2$gu#v%5n~Uy#ehkUrH_RAkl3r)=&G{y~~)*^&T}X+6KbF|Lc4 z!FyMx?*#Qq5TU`8$nB4wQ1gjO0-4yARbE~Wl_1B}hd5-X`mbNVZe?Yqt)&Gk5ZDhJmQk@ma> zmF9Duk;`pq`Dv3-$}Lt_3W&DqKQ;OCzG52mwzZGX(R<~TB5Rmdm$^%stFno2cI#tM z)dNfh-LPvMhlpr#aK7eMnCOF6C7|~PeohBeoPav4ZxIZV-o9I}m6lHld>P4=TX3Sx zh^_iP6G&H^fwb3+9!}%IN4XxDn3#?qYTKv-C+<7_z_(B=4bze^W$>xc0I??W?kS3C zsFx2rBYS3SrEz)AYzC;F8sbU9JjBc>=z3~ZV>1{MS}c#a|7{@sqA1<0nTA_zWs0PqgT#srMFZvNW(l6HV3=WY)<#Yt&b8CckT>Y_2_Q)(w)yFZ4$oM73*=l^F z&D7Hb0uMqA*O#&bY_`8?5l;C_<)k|(AnyRv6kSO2?zZLTeYHdd;gtvssnZ#hR&V(d z9J%;r^ z!G~FgwyyTmXshTZ{A%=~j|RSwm4&smw3y>sXI=6%V1HL`NN#(k-J$N|%DuSOHuCCB zagR91j*5=CP{+oGhDzF6Hg1Ux17RtM<&Wo=@b`dL%>Jhpu>wv(& zkZPYk6+|5sD4h*@sUNK50IANbF5m=;ysV0=#&~>OT0#QzijEc``yTrhZXmSraB&5V zDUZ?y&zm%~W^nfA-Eg=W)5`HDJk{G-mZi2aaP1GPsm(QX5XSPqmCUW1bUWacyJw9F zYJ_i8L0g7H(!RVr)$C(#p5r|R2Q0<2Ddzn~6IR?u>kGK=5i}stsAUYMJY(mz6{(&0 z(kUEpKsOa~zsuqI+o)an29nSXC>&!J@?LlWA1x}Pf}U)aixaU{FYUjdCPMrm#=2Xh zWs|$gjPeg~df5s?IF{Ymk*jP*Cr`TA8ujG_upY4q3W7$2_O;iVQDaU#xS?Y+XZ;a18hL(kKQr71q}PjIsUA-Z*`J_U&q}j zGCkXAPAkKVytPbzH4gtBJ*XGlB9Sx;E65k6fWU!uxiR9y1+owIQBo=l_k^PQ2k;5D zlHd{zQZt-(hWqx*m$zf5kVqt-ab2b3L@QOV6~EHCHl{&b!ZLdTEYCH+ZnW-A1ng7gm>j_m znH<#l48!w6K$pakNWN4S#RD1;hGAs%0f0|V7K#PLEy=r+JNq|gmJwYs(cnw$6qLNU z>KojchPx*kmgIIE3FAXdaXn~qZ12AWT48X zKh4F(Mbm4#`{XhGfIWa$?TI}bUVt{)p=6q1{dz;OqAz;*{@d=@vsTa9&W?lvas;{` zf?m5%UfP4%K%RoyGW#pCrN#HW{E;(l0tg!pHP2!SiWOMifz!TVZJR*mC&8lpFbRH zpYMt9*ADHqwp=hc$VqR0{pNNBFM&~LRJ~1-pbGsIgO1VI{eye-V7Q>1d^I!#wa%Lj z-b<`}d{4BCKp(#rhSA=7iHLx}dfL<&NM2vx{gsItP0T&SrArf|qj!O!P>Q1yG!}aY zQq8!>*6MUiz|Ym|HL{llddZ7_14*4D`35mP*7$X(l2KVc=YQ~xtiIu?u2{|VrlC;2 zL5jI`+X5uH#Ox5KJ`}^r#wM>S!5|0*sj%Yi9>xIPknLalo5LWi#l^Ase$d}|^d1fx z)L51AI>fX>5zX4e*cgp&-}J(X=yzVcZP~P^C`;(^+&m_aB#hn|AQV;Q?8=KXQ^p+# zrfF(hJv?7t9Dg@^qa>s>Av=3*adCV3HLF9-Q5(p$P(}lSGI(Ny*iTPLsA@ZnU(j{$ zzhlPdf6<*uFL}_eNr*jonxC!NMqk;|B@b5;Pzs{gmGnr{nU6Jca201I76_)YumM zchopDRBSL*rU=%|G(jt{qC{?3WI0?G82=Z?IXi$-jIT16f9hOhPG^f&sboQOc}U8t znB(^+238~^8ahT)-*M=t%13BIruucziTKYDmZD99+irPjiRus=ML-+mUOt^>|El}7 zZlC2{H@&tuM7H=*VVI{sVmFwki8+gy=uUWH?bD`djq`2-q$ zU@)ikC686Q$uP6pfM< zK;|WFVJ2#wQ#Q!W3*@zb(;Lx|~A%I+*kbxLvi`?q!50ca2wBxrmj4 zmN|R#L+!ang7elg0hWf9NnJ5$p^8iQMsO|?6y9djs3O7FJd@`-I6P2-#T^?NX~Ax# zRvkQt9)$KEA!0krTZeTa!Hnw-&L~|-p?|#9@UFZ2>R1`oI~52Qy7n|Ky+*vV-`|UB zJP8Y~IfjWHr7FqXD)rLV;!TE6fw*P(0zwWUFRg&s3y(DhYU*NB6d;p~TfOCFimr6~ zLVC!zhcWH#vW0^-{U6BY(?5;a6p>Hv|G4W(E=yUnpvALDJ}Y~sFI&RvLQ3@4MFl0L z`Hx(LI{u+7OfRR;6X9^&Q&zlSRXpvLJkB5Opzw9kZkXlXdP-`l?T>B-qU`HNX?HlI|1xOEKr)GLraiO%WW(T143LFt zK6~TM+`R;Ql8|{V+-yR2oc5>x!&J=u++L@6hX+IRQt{_f=FS;roOUkwUBt8){1*w> z2-&LSfF1(sk(PquM;DbD4sn&TC6WZgf(c7$VfHAg%VuoGD!dYT>BI=b9eu042lbT8 z1aW<=yV|%JU|*wWX+jwMVOOa*6CBQjBPVcyJN~meg##$(?l?zJtF#Chz}4LL%ER== zkxrkb@pa!75>rId@h9OB71G+AcaLx|A)la@z>uL1nlA}UkEUmdc0j$WFJ7(`9Ml!0 z!TB3k4t4qzjtx%plHl6x{1*DZxHkWX@w#KCSOP3O$|@>iUK@|SPgLTVn7#*(9({W0 zw+HmX56I0D5+>|aCypt_1+=5XpDpW06)lG!Ubx*#jycBY)JH=+RSAY z6Xe-UT|oQ4W;mBPLc>xpODqU<+kE`!C*cW_3fui8ac%4-BtfCP^m#yBBLb!;SV|UaV0V zN2yLfxI_>3_qWEMme>as&xmH(e>?_4pF#0^@#fzy!+R;xAim$*TYNPatq*f zm7;0(*4EYzmB`P%dG<~)7wErZt&Z4pOTmYOVJE9UH`Ym!3;2EN!?wH@utks zW#!@FIe-2s*dN=?yGPtSJf@+dg&=mcUEk7M2x9M0^^N`BqPCtg4{HjS!uXIfk(3gD~-#xB$~~JSYJ*L4)wvzuK13GpSBO zLC0$4)waL@gk9#PyOor!kDnx#W$Mdy1sfW0Kne2h>GiWmn|1>!s<_nS+zV-ZR8lz} zl~2IwwQ`|on?eP!rFy0)+9m3f)ET?EG~BV)Y8g_165e-8lUFz+@S@wQny9HSj#ngB zW&VG1W}TuU;K>I%tVYOkj6_AR8fj3;jn)`W6-zGBSZvLP*ihxKr8P))y9*mRfvw zwZ=DLuO>%TD)#sHcN`@?;tIK*ds%aZ=oZixnMBRumq{o{8jG>8Y=MRA6hZ95XojYSFOL|~|q|Y`l0s#Rie=c09qobqVVWPb*InU?Du~(~j zjTuKNH?b^i2Qhl*pZ!`l@_l41!NqgVA2)4Uj)W+rP1B1SwD-ZGA-!Hpr!nZCP;_ZzO)+F|j_pK?(wYl^7V3f%$l;IA+k|?}zHk&@;+yby)_7rn9p^XAtJ69q^kS z#zz4E{}(0d2W;(LN}h5J|D%JS$CfRji12W1+ONR8%f#BKk}GfDgX+1=#}*~j>^#yh z#}~K>E=SXRdtRejE*6#`N1l@Izp)GGODr<-w{JgX!>4-y+FUx{w+i^Wc(b8h$Tq2= zEXh4+?>UOcyWJ4x@Zf*`5f3Pty%r%9* zw{A`dHQDd%?E%$XBZ+E&0f~H9(ngh9)8d&dDn9D6N=qL-429}XP8>eqaU!Hbp$+IR z0U^xu)ml@N#Dprt0vaYbRh}ADwU1lkwqK*BNyg4k`tIAzb0!@9x8hau8D*`u5dC+- z^{=f|Cxk?jpPOdTpyu7bDO{f$YfghW*J9)eE_$z00qD-4>wQ1#xk9g2EQ`r=p^v&i zYP*kXIMCo=KLRgV4*?d|?ECjy*Qn;UtZi+vF){7DxRVkfrk7}Q!jGJ(ol-bH0l_}7 zR+Y_`T~RwbJCGXl@wRW>xl>(Kw6wE6A5VA5vd%RQn2ajUcfY9#1y|@Z@CL!sr7H1h zC2$01-c(x1O{~3*(!@1mGftqMl2E2BFjo-HxgC=dmWT+G#x$DQOM86qV_UE}iF5g_ zZMNSwcpJu3>VG@6(u5r!e&(DVOoG;j@Q{$3k>$+YlarGqV>>(Z@vN+@6Wc(e!}f7^ zHJqjK1*ewa^AoxvC*IO$Bu8fD`#AW(I}RZo4nGo4W&e}80Hwi77olT1KFgDcqHS5u zmcJ5y8~gf=Ms+u`sFmB7aei{TPO9+H2F9bHR!j^Ga9;I>H6?2xHa0guef&7Sxzeuv zJyBE=8U@bsMo25-Uorq|^skTbaaD?!gDrhve_8VDfa zpD-ZepXO+v_EmuX0kC|}tv(SHOM=|YR4;8L8gSU8LJ6jq{Wsn}zJ8ymQKC)(Gwr{m zF2@kuwy`mUu&^*Y`whtY%f>e~Tnk4=M!*<=w^jp#(H{L1j08(pn6*rm>hf$qfLLQB z_pZITnCnOVHMY_!^R^)H9Lcr|SX^NAgx&?nX~^yhljm=sX{~k}m>njsd3*$To22_ew%BJB8~l{)DiK(4xS4Ut7K!0MlP zf54X&zy5vrr=Y0#MVo=;PmLmK?ML?|4vU}YJ&{~aqArMnaxwYgLvFTFYWltz15;>R z*x#Ezin}O+^1_;Io91Rpc#+Yc*2eQ8zJBgnyus;_UgrxlW|f9UD?P%u-l-W-0H6OH z$nf9};0ew*3SsVE#tyypbzz~vn&BG7M;eMf_EL+wWzvVP;K|>?rLgF}Cmt&_-r7fl zKHy-e&=ESTs;x!%(r{-)zM8^9HcS-ksd)Swk%Jws+`aACJae&ar_LV3s^v!NsXtv! zKwmIw+XuLW2img%d+|2M5XD~9v@$*$^L~c$Xil>f$Hv@^y}`R>|V4ufi_`7IthY6KdlGGMEl2>o?_FH#D?Mk zXvV)o)YJQQZscicpc}^vqBDf}7!Ab8!KAq@h~oGu=0g@!94Y1C&65Aw&TDZZcuk)9 zL-6Y8{6p|M4EK+j;0M90S-V$uz~}FR7bB7C%!q|7lr)%Ocyv}ftt%rrmIA@q63IHj zPLxtY2@VQr&yMx36_%#XCNKl4fO)BSfO>s_nykQF5o2gY9pzeI3)Psj;Kl1_oU6tDUk`KxbUH z^~0Z>>yIMvB%d?S<|2QP;jBxr038Q3`1&V?tc|2B(m8#u({mX|@r=@ogB>xP=~{2s zn(U90&kL^)H4$w)N>;R%F=Js`*hL&CN*A}CbvEwYq}j(FYf{E%Dc*x04M)>dO-P&A zinE-_`^q`RlEAS#h(V!mSE8RoyUf)jT}V%Gu~)_ptp>aEtba<%EeDX5EY!W$9~Tzp z(Z#s)-uv-^35%Q-FVIYm(fBn!mM+SJK`Ci)K586chYZKrJ=<=ym3cqk6g^Df< zg4Jc@LlLL*zF*`hPq>ZX?2YyhpP>rWV|3v}^(YmPNdcq|x&DvsSD=o`l%1$!Gmx!I zN=m?^L6L=lFlK3Un5YhQ?C*}8%g4SM!wIOLT#N9@KRK~#94ACIeYZ}l zFetjXtV8*zTRm=!aP3l(rR5X+0M?7~GJXEK>wr0DmFrGSSP_4G2+~KWTZ1Jc>K?#v zzYEq)0t`X2v`M(`Pg$o~~=DJ*a(nxB`-t3jgk_mw;Y+F;7tq^Q0F#!D)Rm~qN2{s#jo%hb?+ zksKSBOV$_|&tQ(oIwhl{256Oc4W-kwAD|4A+tE%!$m0j8SVViy92>339waAsp+T?lYr`&-EYHYtbBy(dU*k}Yx0P)Rz8%h_08pekK0Ug zTmleY|6L=3yg!1|C{*k;*HyUKx8eFwp6FFB*C)=2lmS#Gx5jSigvr zPczqniJxD6J24y&7O|J%yI>Md9*eoP4#R`qEinI~Pl?-Hb1A>daIBTxjL(%Pp+EyF z&3k{R>Q{lrMHKUMVatK*A9Jo)cVJ>+RXbHYF{omZlJWNtZTM;dAi?mW znuf$&ms{T#96r(y+xhmH><{DBD=eOBLN`>SK)K-lIj|%3>}=ORiMLZ)C^y7BO+`Xd zX*bGoc0*5g?A7qF$KAVDYl(PHNmC6jtYTu`kja5a>c~DpqKsEg+*f|9#|;BHGF8nt z`YEfZxHV(Zv5Cx)Q0P7kJ?b48I3rb$FCz10!9&gCxNBf_@?Tv85of`nbgGpXzO2UM z&SC-Msjao+t^sGUzhlsrrlLIBM4Q>!|AaxyyR{ON2!6_ZB4gk4vf9zkAwrF7X^(oS zePf{?s@`Mx>85bs(m?W7HIiasVc~gWV{~JE_p*j!_lE4ZeJS8wZ&-7`bA$^%1y z(?Qn12i1FpaXpb=0c@RdIBgVERPP@vljp_?FgLt-(cRr$`1tY4WIeGTK4H|*nLj*N zew2~+=Jp@{Y*s{~2r=Vl_FeuPNM!40)>{@3q<Hd z*m{&n+)#(>*xQ%hSF>{E0{(5bGiEm!eg}wC>s%`I1{Bi*@a%&kb$tnHV!@pWb<3h_ zylBhTqBKbUabCV{s|>^~j`Apw3QT}cZJT}j#5nc(!yw-r`k9B|bv>6Ju8rxT*ySH- zpT_4c1pQo4g3NO2gwUX`3cWE$=dmRasJTHwNEOC^RT;5kGb=|9u5(H+EA(K%F(z4Z4Dq%?r z97{hQRIrtopnyUd&%3hcn^A=&*Bgah9f2PPJFr7hLoT2Vu9SP3nRIHW*P1qonpCRn z34ZqlZ0Tg)0|GSH87frDtLRHJaFa&Y3#>=Fy_Kkz`9GTkUYZz1jdzYA$vu~x zP~v$WUuhuLjM68HNDocLXy002P@da5LrICJxm!8XfPc*QUU{a^aaD;uY0{EHZU0Zkx5X zr1|VAiQS1$gpz*3P^x>QoNAyndv$wYvhaPsD%tg(x%lrt*(6Etw zoeO9g?h`3f7kYVm>>iVS^O+=QZo1y2UyyKi4K6HcS- zKQ-AxKR|wBnPMm&vjRzSu*y@~1zdM|k`Ufx6GR{RN(2**A*e}KbrKBOCk?o>%>;&h z`Lv1m<$<39%{(?L>epN}e+Z|&BR=Yll<@M+e{a5JPaQ063um*Gsdrx)7j*loL;0|` z&RhvD9O?wF6?K&d=%#xmKrnLWjyi(C#+HDby9?cgkj2(p9NXgH&Y=iEe3TRv&tJUo z2T2Lleth|D&A1*FV`2nxs=09`YY>x=B{E|JIMSYC=+1xfi>F?=3=95QpRfS+S=2!Z zr7qASa7<%Xm4XkfjI69wLSK%ZInrA0q8+ZL0!fG?P>g@DlHz{8zVMt<6bCr)fko=% z1%yENaf*wdJ%1T~KyOiuXLynroyEn8>bWRt+Su3#iPz>rIgViN1B8>9a60}0-(%|$ zPO_|WE&O3cieZW9i$^aDb0qahx>Hb4;P&-xZ*Om;l(r(tSJ6uQ@$I-s@AF^|hdxk^ zqV|%ou^jQl2ysBZ#xH%npi3BdJY?TTFsMUXixg@X31oi`Nu@sE$bVbg#3WOr(Ez~) zS30!`h^FXkYLc29F#7MvNJb~x8xX$eQ2Lk-j8zQHMQ^xlgpxAsD4t#%Og;IOd`937 zumO|?)He?w-@@GkpvfV}SR_X^6U!hxRUK|K1{GUV)AWCX3I*;dNxO8HW80jq>@(O! z%VazRV%SMNa%gdJaX~FQ`dU_za{fA=(;RdT(@FV?s*b{a9I#-UF5cy(SWc0S-{nB= zgDhzgi#*(0%i#NY&cwtdgeyxzrPKnkOe7>r<`Q1g9Yw(drZmj4@k$GHyuj49HEUFg?;VptP)(Ao1PUCPfJga~GG@ZrdQud1p7 zO77*$m(J(^Sdr7_pm#!u6%=s{Gbg8BQ@>{AaV88k6oQBlzvKGv62Lwz6= zMBowqd(*}IkK(XCbaMPEq~75ZrNgN?GBW K{8L%;p#KLuxW!}Jw#|ucdt#?Ev5kps+qOM%GO;zWZ9BRBzt8vKK6H0=^;uQ5dY!Ys zy?@_{P*#*egu{aa0Rcgjkrr140RfEx9@D~r0pBHs_Qru9unyAN&LAL2L;t-%Q|OWK zK|rXTWW+_(J+d!zpxe|nT3bI+TMx1!P*GTjoKS32jJOIRz{_C@3&}{pz~;&5=*ZS! ze#j_Oz|qm=i$^3(K=B?Q;ndP)p#X5}~yLap_;|=3>xz(`j^BwE)IVi+i zW~5qXxVg4jf0em%fAPu_E-FO(6y!uRmR4+z;y4KVm-U>X8WSNU$y*f{9Uq^rp>6V72s}1_ z;L_^XJd?p63vdC&g}G}c1*N}|fIT;q7AktgmCjSi4tdX^k;JL+-C|ZdpN^5MF_$R~ z!{!ctFAB&G$V64gzdh5x!lndh;cSU~1^m8zP*9EHCHa>5VLkR_WqL=tRBBys zG|wjhq$S;!HRUvBgl?f z5yeBCie8QP7xRR6trmsu?;b*{GAqXt=}>S5U?b@l(GzK@vr1^SB3V71R|OS%=aXWH z*n>y8w-iT_YrMsSQud^-LFzMqHh2WAPRHsH5(0;Ec#DVflc!n`lm1xjOkVeX*LsSF zYDY~t$<`-L&gAg@f;+6MVm_agQK~$pG5e9#pAz>go{fK>M*k0Lqj(GpW&1bgTce<4 zXlxLSARM8*Z0)#m=WSNv8oY5-CIBO_o89dW8I<+<3EDLeyAEuGo1xT`V>LkA=V8S~ z%!Q=3aBy>RaJv0o921=zXy${xDzAMa-D90S%ucb#>L00%-+Cw`q0d=ZL)!g7p^D*LVbg2tr>D*K;N5FeI z6vD5zWVpgYz3uPoR2J7vo{-<}KuGWB6MkYN#d4KPF(WfU$JOPUHZKM{h!f!!JWh+*d{lDD$#mBJ(FF1<^_xT7sf*R7k!aj~RqJ-F z1I7Np@Id`CSzI^OpJZ_UPf2O5;z56MwEuYA{_(s|Wj3_CZ^v!dorfBm9FFdnn!6=1QR zNeNP+PIB(6IT_ zQ4k<(Y8-s;`~JkJ-Dp-I8q#XNeRXH!BiXPWWYu3oP3WFTg6Twi`uIC0)~V#LQs}nM z^ZRwL{X(h2?cQ({7NZWEjYK5IZaVwrTFd+6ieb^ycn0ulOXVu2Zx5&HtWQbQ)=^;% z8$6!li}P$?*JT%Ga;E`IO>=$u;4ijYe|Rp`YxQ_CUBuDLli`QSx`h0CETtz>8RgQL ze2*q^a~@>zpZ`se7ZSd_pA^Lb|EsT|2y`aTTE{O^Bkkly)0JSo_h>3So&>gSjDwP; zhI*@}+;8FsOu42Atb5%xNcbLsWkS$^F1fg{IDD&+FNuG{zKa9Ga!gM!zmSl4x9^@Ji5PowN6 zFfMjDA9r2%tRFoyj44#eTg00Yr8_y#xkmF_xk{*GFW=u-Jz6f7s|0{SR{pFx%XQ~^ zSB2y6bU%8Ok;|yS zwt-mQ_{D8nrkLZvh+!A#Ym#?SGb#!cGLS8fW^nj9$!02g|1I1GQRY~^J zWJWk8bBVtR-v4QE)CiNUWCUpW(?n2lMusGY!lu#bT2REaYn6*QUaIzxOhu$q!q1ngG*=q| z_s26cUW-d+l+MS~oE;3nHFUOE;lW88p-9B-05VgCKV}fWxcc#WABIG@1{938Eid@U zIAWpNJZ|Lw77;lTergfXwDRg*6~*uY!|j&$HS3@hv2U}}p)7h#m?Q7WY7=$nR*4+; zuum%ZKCYZ(B!mc=_}GC1gf%9eI*CixxS_``Po&KPH zZg(E|UIuX`51;SPXW9v5BK~qaDkih{DXwh{}+W{BbvE%vETj89h#ylsKASbFfc)lwxUXG?G?E>KkjoerecLx);zR`((&aEwFe zilm-T7s3SBG?bxb@7Mt2DEN~L=F{1*3Et0FoU(WM&W~qHL+s~yf|Ib80eidWf8x~&Mjj`ZRIkt?Zh zryAR8F-KOFWW$|l!u`X48HeeIiU3CtsjvfZCfLr1QzUS3*sgKp^!#y)kyB>iqki8j z*;dTy>6+6A$|Ai+q1o5@T?)Ngt9-?L+m_EnE+OA5XY5a&hL!j4Dy@V<%BZw|&9^IQ z1{VX4A?Fi$0{7k@D_XOKui%jP*hV`KmWF4O^>JVNoo2L0dQDcGgGzb)OXa&clZNd^ zq*TZLxKA1=H^|FCL;k8r$5VnUOM;Y9Uf>d{7Poj52YkQQzf>#HQHQrO%DK9 znF!8{#jTQwB@%SlI~mwOk!G~{>b-ojNwYkjHft6ryr z<7#4wOA)(oeyXMYp8_(I4v(+Ew`zlqO4VWf*9bu$ZW_a@x7Ij8D&FDI`2K4##)DgW z;&9x@yadM{Q7;PCh_qh$K!TlQQjMyOVx;iHpy#l1u>vs2*VB$)xxRO|)ZA*_R-BK! z>?bvC!!Swtcr>qOFX0m=^7G^kLouY(KOFk-U6yrjMt@zLu%1C-%ex*u*yFue)osa# zk0mxFMx&eFsxs4p5c>aUtOrg{M`d93K@rInc7sx+O*gI;M=)t_^vEmH>y$dy!8L27O7P=K;%ilVb8J$*TQTMUQ zlZFwZ!D-U;>1eNu1gR1!dBUY|c%I=|QsVS;##hT*#6$WUdXhdR7YpUOuLQBMsR=Dq z-d7{J;X$bE2>yAnhwyn7F73c^W?BrCTp`czIbr6 zLE>h_Qwn|6J|PNW%@80Kq(F8HnYt2{)?!a}PTv_2%%tjpK%vPf{Y-hRg5X903D_$MQ= zPq|45{@v##Z|Bt8l{bSwa`Y^8wE35x-wUd+=Vpsv5Ydj7){n0RM<=>?-@aah?{|Io ziJ{|9ZcX^97bo5ehojPQjZQaD*tHirXb{j-kb~XqdDPg*ctC~nupvzv{MedSr`wdy zP{GmnOWB6aboJ^{z@66eQoM|zyxA!Dw@r`rh+@_C#SI3OAAAa3y6^w(DZl`7)IOx^^IP?lLHpX5GdEueu;YW9a3>b z2Y)CY=|1mzUd0f*g%M1|soXbLPg2sn7pv6L*kOoGQ~Oa|jc|EE>jb+EyPvP0VH#QS zU0fR zco6dZfJmJYP45of2e@yzz4w1Ya|^oeQOJ{m)@#xH)tSGDFdcynqp(&Ie5LRzc0oxf z$29mGgMVxr#Gq9Vl8qN#x}wCs?AjZUrb;4>x* zLZ(eBDxEwLvMiQZAe4jWh(c&8j}JLa)6Ktf2tS*o$DruS_)5jN{clBM z$+2_H?V%osqxCvQS5Y(6ED+g&?%Jq#W(6;kV|UO&J%_2f(g}eFxe__NuHl_@ZA&!O zKs|eWJ)h^S5p*^HrZ_nQX2!;La|Q*7 z*#hWWj1&qYqnmLFvn> z1rs-azwF1AtzXZCsD1GHzPaq_T3D4*1jjm~+14`amx3Aps0uwy%2rQ~C%E;Db%f#u zwNn9Kq!;*W2|G%Mh&7q@?MWls);4G!D!#%YZMj(hSP0I3yX3<#ouw``iYXLG!{~j%4}RQ=trZE z*?^#yP@njNo_9YQwiM003NL=Gf@4I z;=D_i_itE^Yg-+&DQ@MIbRSqdDOV}5&lj3jPWP$z@{-ER6~hT8ksZ=8aUuL4<2wNh z=FaG$4x!4yC6`+r*6XP?AF^)p(G{u{ce_DhC*Zj0pnkV(1Q2tuevqg)5@=I2<0Scc zKAu3Y%nR0ncz?D*H_Z4b8nN=u5CAcA*Y}9wb=BR~vAKJb? z4b%in>V-p4_b1|-G^Vu%#N;cyZkiOrAb zNSi*4sstKI_E#9``-}1rNKIzrBu{uYXON*oYpYXzH<~k69rQ906QZsE8bh`#>PCod zAO(Or6z7*A&tuJs@x#kZjE7|CWhoR=6TQ}iOWW!0B#EbSzlAk9QlSPX79dTS|De>a zmmI*SU{v11*$$*N(9<*uuhnALR(<$E|7i;}zWsra6&Q3Hl@OgZS`B;1T$~%B!>Y>U z!nb6+x1Q5RXCm$RB)Wly8bcovNa4~dh}RNqJ791r`Z81pn+W}SuW&GIq=_r3^w65s zbtM1(c(N-Z5qQ5@X|?4(p{KY^X7jN3JSMpiL+WTG6YQst8|Yt`UIn3%Op-B`cb;aH@fBF^rMu*JQ{!^L?NFP8fN6m_#{12MiHb2z8NOK{ zC+2*8P-m)d;M}F+@tbr@UVjpRJptc^L$9NTsz+C-5%6wC55af zd#)>;nMDA45*Wozd6Z-I#l~g=@51&V>2?w^GnIiT0)qt|SqX(QJhcik;}{ok$-Q@f z(j7VwqfZenapy_V4_Vm|h?gic6e8@h+mxBz@A=MS5-M1hY5wOsiS{$Bb-7qg-@w^U)B@#rVEU=wh@W=U}Ct91FFIN>&#h z+3i3Y(?Y&B8U*0iTAm3h#{e$F778xh*vI8~(G+t^KSq^>vs6X}6IPq$QYJ4i%=26Z z`l~SG1^-5;f{(|YBIQMjYOHkE?@zB)q7h)?FSE`Wi6!(tNRSeIJwT7m`~7wbWLQ6+ zi+UfIbt!&+zCVA9y|;!Alesa_B`8ro|7^|zKTHNQLa|zEt>BiBUGqhsKv*e((r}Ol zNn3^_h(PUKdUTv*Xc$j9qsNdq98UoSEMU8CH|Ak!B5aDd64Q=N=kVS7QOMI7z0Q@! z^j7XU+jF(8i_nhbUpmR)*a;cy15?Mf(>U>6EMna^fDl3Szp^}A8p!|}K*EZNo-NWe zmC1n#dW%GgRs)>DKwI7UX#s?f!sEfP=;CqS?i4(lKvMaK?|OdOm-RS+dvjKL8g_`z zCUKuuf#j40vT9ArDzce{=S+y))iXTFOqJ#fSgBzoP=W%7DTo5CG!*KC^UYrB<6H|C zTWwl6I@O7$4HMad+zL}GDfC1a$du7gHli^vk6=Fm62dX+KZrmRp9zfQrSs}eqBmq| zCzEB8jwdr?a9P>^87F_d55~Q?ZpArsQd@({6vCZTM4uE!)Sj$Vsw_<6Rj77p_qz+cr+w8F{ zoHZs}P^IsejE1>bK0P<>H2)>k9t|#O@D^c&KRtthGa=1K4+iAExt>#!Ij9!5W+53O zknXp}=kH+ja^#{1A^cp_iVoY?5US&l+iV2D_o;We*)~ZO3TO=89Zp<65d^Y??1rO~ z)4<)ZPTnn6sCA080hyl_&oHwR)Y;sZ{QqG zP%WdD$4|y+oQAwHbZS!LO$IdP5mC~e!O4g>qf~aFr{FQ2$rTvGEUqK&$>X%0H%qKA z34uHB3=`P!0@65I=wKrbuthYy4BVvHEh@s`XG)s9#JA=NMQ&r)MA|CW1`YMP7g>xE zPrhcqaU(96C^VYYZfEQfl$l5~OxWvokK2dSg~N%o-pkhY2ZDiS%LU~^hj9Y~|8cj= zwc%GL{Z1SRZ8_a%?Hs?Si&Z>Mt9WOyfa+p@aQu%Dy(kbG`S@ai4w4NGOgEAZRAOZ8 zxwQaM(6zzkD`a9b#=2VlCalw-O6wjpC4`k0_89Ha92dgHI}IyVRN;nM;LR#Es#G!4 z79?2v@z%AX?@dzzVpVPy6_uSc6*?i0~8D9ttg8|B=|m~8A5mW+of>4F@> z9cR{NqO9~@!p5#B;}-kTKJlJ=&-=l?v4lL4-zS31w(Wgw8f?88r|1Isapz-R zM1~{alKwG5?AJUtc9;=!KiTAQyJtKY%F3Q>p;lzO-g=VeBTLh2HilLVrz7$g z*rj_UQRj_^8}O0Ms&ULt>^&Tc2N6YAtawQgpxKiC38R;0jk$!kY)`VVl;e)W5*}yN zf*B4BE5Ea}v@D83fJ8;y5lHbRW6<8{bQ>{XG}_E-*0eeMRz3O@1q)&x(nVUK)7fl4 zI*lXH@_e7P8IFVw@q(4u3Q(Ji>O^CJPc;0zKaqmZ>v95Yz?3THL_#Gnj*_uc9nRHQ z0SPDgu=#e6@2f0RwV_Pd9J~(sn0~Vb>L8H$mhH|nP_xoKa06RDVqr+DwRS`0T{6eT6!CcGIwY=w$!=?aMa{tZIEc?0?O)n=Dw)z6q4={JxZV0CQfyWT(R za7Zwdf`nWSm9O{q&kd>m64g_{=W5UuAl1FYV67K>RA3^>4uKaHkd9QU#8Dv;x%dzA zBmu+ZEtXy^&n1+^k;te*CP%*&D}xmr*iyUWzFwDOjS!6U4Y1d??K~qO4sTl#kAC`O z-HF=GD!y3~qs3xDwK7SfzW+A{xKOaT%r~Y6Nq7;bcPt(Wqjk|G zAIHhNL)Se%iVUP-+v}e3=eyCjRx(^H(4owmjuDj9@!55>tVii95(z9H_jW zV0fYUf1V^_d{QfBpRn0Ml0^1>HHb-|^2Pm=u@(0GEovB!p_$2HnK*_!OL0!x69{+^ zS^0c>Y#Nz3ZE`*T*<8`>7y1hTKnr|P@Q--rT$v*+ha9krzNIs+Dw-%;=m)$cU7!=))Q=`(QcOHRY!5CNWPc87 z6a?bByuOldHXMnG)=l5lCsXP)z=g&CvJ(U%bP`&T@k@skoqN4+|L^Ze&w@LTnc31Y zJC=$Uz*>F$kZQ}LWGF&rHm@U^p4{jhY2OBv>Kr9c2))2Fsrz z%ka3K898Lrb*)&HDk8rIsjWZM8FS=?vrN_RGdJPH?4`G;&Vic>(aig;@Ih`P=_FiJ z5}tVoM_!sKzlEt+J9z&>F4hz3=^}wpx)NjmV3eq3ZEIlINUCkeOQM7}P`Mb1O2eBT zRkGxn%8~~mUp9p-}e-bpz zcv)hdam3EmWt3w#GLIsTwganmod^fr-2Z$J=0}r)R?!2Kbs*r_VD3In7kZkjh@OPO z6C7UW0c#8-^JpHIPLpH%)ZuyH(0`0w^CH7vrr-+9&^Y}R_l61GY?pjHr_wq3A2Xjj z3rSA%u8s=Jq^~>BuQTobIFGKOUlLJQL0Sb^ZpPnkPTIf1VQ)UBi?9tlJbPUb+RVv) zl>(Yn(pYRsJc2uXI?Usfe6C|gOyluIY`&Mg<@L>)tydJ+FD-T1WJXFZz48cF22nHO zHwOV`O;`oB!U14IicX-P96-8q2e0^awYlCUFfiOsKdb4Hc#vROQg?BW9VoZj)caX$ z)7~oynzi3UJKE8Ms!u86_rl}-MbHucZikkpSTVV1_NSe>aBS=|6zUmiJ^kJ;BiUWF z!!f+~z}jqN?NjCh}H13vA8HQ+wIMWRVAq3!h*jHc7Z;F4(u0~0AB%QsM zO+bLWx>fJvanp6JQRz`s`^5rFQqpz0;a#Ms+W_tjYqoPh)d@LWY<1WCFpggRzS3og24#8*Tt-lLVA|JoP{Qdp#AWX5Bh9A^aUcnzGp zC{+P-nc6Ym6DeLrImkyk_!0|67%Mu7T0pVm^x`UlD}!*ma!@!QPf{DZByM!g z-VyhY<>A}KsoXbtI(1|T$PUAx^KKaVkV@-j3NUSLZGVg>89WW3q5}6KSQIgi#?)gi zs=-m`^h~BxE6Sflaa1~dfqmG1?ugyOmyWIRQ})*~JNR4;yr!!?@9y)Axf5gzZM+!A zm9|scPFsASs6G8|paGLK+z`j-bF%@GhmFbs&ctM0^_B7y@))#-OjPY*Xx{5FaL9@|+#Nvv zHO`gOoK@hm(gkcmjtDD8+ljNk{acuOdS=T$#L7gvkZ-+LrRJh5^)bz+r+NN#KKfx0 zv5VO7S<%;#a&eKd?SA>MV%>NHLriZ-Za})=I74UB^D|x~eL}H$5iy(Q(hjn{=&oIV zfsup1J1gZ#eCyy)X^%e@r(LO%&!v;I&O5E*-I~FZ(j8b(p^=F=gt+SNO@29)yg7M? zzO6Nx9v3JZx($}Kv0_jVPWk$Jn|$4X^N+RcZtxG#pV(NggSky$bAdY;V()95)M7O{ z_x6uZIknoZy8(@2pQHTsYnjELj%=k>>d26}m>?2&2szCr4^nlI);~^$_G>e12h*`Y*?lq9n$+d1mrWMVueg5ZpI~$qPJcG@v(=E(8-B@k7 zOF$!&B*l?T;&H>y)!bRxkZ(<_n3tsGP}$__hzwx`F-#AzR&Zk=L=R$}eR>#0N zr;mYg<_FeU_)dufaVGrVC3`ttCk%|Mjp+ZLiD$h^j)4)(CNF(c(=B;1#na&S?a`CS zke`O!D=(3tZY}J^$H(7>E5pFJp%Qf_=3)#E8HZuD!^!bE*Tjpb->*Egexsz}goK9U8v0H! z=J3})oRIvCXRR1E#5?_oae-D$(}2@2t1(en=r3Nnn*9ET$Ho7DeuE({F)=YAp}L0P z^uGJC!@dTbZ1^5`ogA;6{PVl#NodeN;fxjb^*?_ZV8!(@mQm&SC$6tfiMjmUyV<3* zF0GuXVMFY3ir2n&M)f{oG&DbZvK9NQ)EEQ>1smd$lPQ#h;R1TK>yGyqlEio_9jM(o z1#O34qJEUzZBG^R42o$#|uaDnhi#KvCTCR|~pyv@PU9!Prn zCKEb#_KuOeYaSLuSeGw{1_d#(usAB7fe()HUMH&Gn|bl;dq(o0vG0gUTZT+1-}H^9 z8P0+6SlXRsq+M2izRi43c3oZFdSO3&vhVRm8plvZ;3Bdj(j@hUrR98Sm$>4=#ES1p zO%q0gmLDQ%+zLq-YS;goaOs`W3jtx)9@@{JKO6h(P2)-&nj9nOdt?brWZzMJj7G}3 z(e3ZlpDZnxxQR71Gz>qBj*hlvzOl8Uw9{$+qr#4Qhm~Eg>=8n*QOf31s7|>RVTDdt zs@VOmRHa_uNGh?pF8_HZt70zW^$&NPXYgtM9_qnlCB^ItcU(u`fpl^8OB$E(Pd|!-UsA+nV`nE?!>*G<-16HMwj;^Uaw|yEh4{O$ zELW#;+i7WOlVfOLK@-V-?u_|%wM2FZmNp^_Ow$lrnwrVt-Xg-nd)rGte(lAUs^5C} zP~ZZ!xR($w@5XGJ?>iFa)LaHHZ||eSLz}0(9d;T3A`J#P{YHUK%jOpFrtoYDzE3>({%kr23=egw4&(RSPa-V+&1prd)4{pv=rE zCh=VwD&O4P3Zfkx6yM)a$Ms+}vw(-I-NYRqK(9KV&B=GZ<^k^6}Yn zSq)@k5g{u`;tniY3oH5;(|sNkx2sHU`D}CuzCux6f5^tc@ldpag(UqfEDszMi`lJ( z!LH!wfv;8p^z`(Ki5w5U6{r8yrt;oYiy_%4@?CAX2t~nX_h%qZPG6znLEkHl?P<%4 zk)rFZlaUgIcz={^RXNQLywYeH8#7)jW>7j;pkI*_IqJP*sGQzyy7=uaoUVB7D))_< zjoGeToac5Ugay)*t&h%}BOr~DdtM~;2VT{~r6G|VHr*2GY_WNB=U;uV;G$-S$@na| z9t`m{>BtxGS@q>5ud@A9e@f07+h%-5 z<cks7%^3Fq__Efu)g&fS_s^(9~1#F^PG@yyXwqmAMH z0Ey#Wq-#=duF7>*^$V$%L~J^%rKE8dT>KyJr@A+r@R7e3&m|xxcA^dU&(6+XPp-1* z8(A5B&#I!G@0i7`l8da{f`*vtVC+6ksO-Ko;_9AJ{ctIXfFa!5*!PG(H;T(f35}bG#uIoS5b)@%gp6O zuFx*RrlzL1sIS6h<%cOx&`aNz_%OpUrbuJrO;H`oNukMzp=p5g`i)#_f@>rsOero< zvFO>@Zqci_xG`ihziz8U5q2>REACw-_#P>0)P1M4wDh|&oA^UoM{V1MfdYeFhsox< z=H_zq&NuGfy({l)HS~|d65zQ)7P^Q`?8Nd@F%Bw=F%jmclzkZXnYMPVnLKVuLq&|9 zgtJG%$bIlxr>7gPdo-fw=gsV;?#w`ZN+-k{7jrStGgGwVrhn*`??%X8{GOQcqobz?QIMWQ3~1%26y#0t9g zKJPnzg~}@AMH2K87*OurO=tPu*3*NnGoTl&A}MFv7-~-K=Tf27Id*cqgE>i0$}Cg6 zT*&pYOX4KC{*7DDhv-?XX_~Q_57{Y_Y>63OjRyX(Q66vvO~5PbrCy z`Mu2)oL>(b_6Hx(ku2p?X?Lq?tshYfo+rmubnQzGs6*k{Lj){40=kIGZ z{$1%B+nIl=p)Bem4aAT_?O09iujYkH?%X1JoMwxs{e;XSVEFv`quHaKu^l30oR)#2 zii)dNmdHdG>;_XV@l>A1D?XxT&0(bLMWK}u1EMLRl={A_<7a%^O=^uzj#tA&5XZ}| z^}Hl2SgrUeqYA{FM&?^|L+>8c*nH=)mUzla#hfm#zN#wZxoxu%nd*D&?!OpFR8St{ z{NPhR>s9Q55j|mC3ZW14JsSrTF0M}yS1Co}#Jzt$Atspbw+w(M+uhxro}Pa5=FP{C zAAfp^lergDVSBv&sDsoM;3MW_uckmdb6sSkgN(|RZKDP!QH}+*QL3elyK5`@QvP%+i{}LW}H)39}(0iAQ!^qcf>c z86Q4;=iWIH9kwsmP*f`4rTe;XM2}UzUSVQfKZ7=?&#*T6^aj@ntv3p^Um(;Cvjp?L zy#0hV+wtQ5HGP=|LhsMNOCoGs(Kf6%x$#rKAK2Cxg@tEqXHOhQjE~oe8+PHLIo7sf z?R}B*cEK%({uM+{lNK3+JFR!~Z8e^&h09=fQm)h6I}1PFJ>Vs=b6PzV^gZ^Hc@%V;%6yW)HuwUJYTe$p*)Y_2?r^A>&@p-LEN5eDX=xD=5-xpv`)O#j zOn9>HX!{4j*VngMUram87(4#`cyI+JwY#u7c_h~yL#SmP8(Yi~_W*Z4|B}S7$VG+9 zBh@DPnXQ<(yINee8snCw)EFLR{GCd~InSh%wlI@lWj4cQ;ra9DwVQqS)4bo^@1hdd zB78&kisUC$G@1F0nT}XSEh*OS;&xYB0Oq-M9t9n(m+%=#*u=y>uWBf%h^__f$wEoI zU5{uJd|>1XmofOhO^8)B#Ecoo#d9)2+nMUpF?0L)xQR?PezNxc8H!|9S^GLo1Ley^O^%at^1zzTad}q2Ih6z;b9X`vbGJ$-NKkw3V0T9LH^$R@? zl9>e!yLquq{r3s(P%9-Q+qRH@kEp;4m#s~Iqg^C|_t}o?>+?5FD++evEX#pC?w50a zcuDn!qjc`RTAr9DD7xNVZmsSAJ)07JcWFWn8BH;YW4H5P>WiUT2hYCpWg&X0#x3b1 zTrD5VFo2CR{>lH-!cmybDg3=X1yj>> zuP0njufIJ#UGqV9Df&nzCOMCXs}24a#XL4&HZp7E$eH$hBPJqZIP#&K|D%XrnK30~ zLo~MnFNK|so}PKM-|(&31zh`e4Xib};JiF0|FsV1c`Maa1oNXJ|A))Nx_UPvFujc^ z+3DySYvYIS2Kc!QYAQEWYafZQ0$ei|H+}Piyh7Al#$!jTd;QQ+9(K)iJNfNM#Jzj> z*0l!mb&+=Jgh)|`iSxd6#uk&+i1{vHIgxkdu%{Ogj#-<{PecD&n>C}B%8ytNmX#_h zVgfo4w~A2{(|zUv=tishwD#G%@krgEGBjJvC8yyREQJ31zWIPtEHkhz8FXQ4;^WM9nf{WSPf3XocJzVP^J4p9hyU57i zo~u9N>Kow7s@N|rUjegMAG!W;vGv4murS3--L(i2GH9qFd|^R(xX$|p-d?Z3gP|)F zLg;=t+3$g)wWws}9wRxlHN)=vtB-lUf^~ORj7eoavBSVB;C6GG`xK4~e4mdGO$H`g zA`?CGo8HT_cctwg0Nx!-yNWN;IK`h~X|umM-;>^#ugm+(F^k9RhCHWobslHcZ#uN% z62=-<+%_=k?8z$sjiTYaHJy?2+GJ}Tw{BGb8*Qf|)sqGXR93u60E5s7)~hO(8dSv)N5;P#y>tBKjIVjG3*YoSwvBDd*u|be*1|HsI)^JA{Hc`_rNV{XR!yw6&jK!yKmf+(ed&U z?U3)W`_9d`FJFH&U0pX7lDZi3R=IZYcGVZG{+%4gveT`W1zU|kB4Uv$R;JSDNTmLl zzS1kQ<5VeeMo#T~lG#ph%Ubw@R7pi;tln3`WfQROhK!CVUDvO%YOh6f@y2mSQ~JZ0 zfH&>BMn=lBMY0hT!QtUz4;OW8Z41l0rA5`RDxH*Bz9#NphVw~u$D&w~i`KWbC$qR{ znKo$f=vV(65Mjz#d3ku4zU5S?J+GQpNft6Kn1;?#cd&fDkl^;$;DzC#fVQ;wc$d}j zn?oK}R=>WN-rwVszhJfPhP>aItj5f;32Jyy(F6qY`oEh z*RK_;IjFC-YT9|ElJ2OaJA(4M^hCe@C6tAqQ+QNo`ren(!wa`-kdO6M-3LiPHM1u^ zl$v!}7;y3OKubpkNH__+@j$tr3aGqlf}eYY08MiBhV5A0Q6s)eF##IsN0?uL;#`Gl zmDu5PUpHq&ovpw?p2xr#e;yYX7x45cS=nJ;icIYntd-%a-c@ZkL)N;oW}%Wp!AWUk zetv$kpxx+~=e&gVrsHyS_QZsQguLO&qV7E-chA5T-P#4_B49f_XTo4~FW||OCzuOD zb^F<&K22BQ-(q}-UE@JI^K(g}|6%SLs5$?KeCfi%!t(CHkC|n4j4r=wX;2|+6ybh9 z(7-AEfOVURo!xu;`+XeDPk`@TT@z)9K{$cimywnxASA4+VbcnZh{)mK_S{}vUw3p( zynuo6=;#BM5~y>Tm6dKl-}!b9_V<^+m$r;FbzcBE4-*M;-ZDq%ZD=X6dgWGXG@m|w zq84={ZdlCT7za zUoQFA?}U1R=#tL>N5aWf*SQhMv_43K1O(2|JisG$X zq8^(TaJ-tHSq~Ow=jJlf(RrO5?ZA%sMLG&pWa@M5+S;0>rDY;C zr5_bb9QDb9V_vcM_Tc~!5ffjhmujw>&KkBIuDn^pNl!oB7SAd$$;!%VS@Zq-cg;ti z0&(x(yLWuJ(RDRJ!;3mtHe{onvtBh-;&9b}pPb6w-MvpiGbKNtZB~5S723y4#|sT@ zcpeYU-ofp?z6eVhK~B@LhD3$A&7}uk;n6FzxH-d!y8t)W5C>XljCj(0xlt~Y4;V7V zX=!PDEL{K6qzJa>G9zP_h14lC03gQoliSsrwz!6dgoy8spHnv~m`=X#YMUbBa(HxP zH(JZA!kBJ3QqAwZxm>g2h)w$A+uJ)Y1Rk*1QLD%9ZOryQ{SqoY{an<2{S&T4zC{mU zyEG9O1#4l_HE+6q>QT`kLgp%`=+*mpcNRdA@6A!t*kvv6$y3YJduQ`1&rwI`8{kWV zDxh#l%G#RmEW+biwbkEw?{B`Htbw~84&5Q8k#EjT^AUl|T3ubeaG6M2R+gB6K#0}p zVz_;GhRk7**v-zHPQFD(?=9+bB?uG!;IR>1yLJr^PqO?aX&g?>y*cQC?Vo_nOifOH z>fv&nl2a$`>41M$4Vl1+To^3c2*n}*qP)_bDO%oH%Ue`3&v5cj+y0=+%*Om&cFR+c}5F`<|NH93AY~4dj#N zsv=)VJZ99U9dhIu_L_$hP*uSYQl)4{Z{;>1Qmnn*%vBMd`l>BB!>pZzV=bR2~gg>E#H+o@hv5!;G0@sg2gf^F9N zgN~O<)NK{^{vBCiCr3veroS~C-N`BKV!FY-{e>doCuE19txWhxGUPJRT(tXE{BwDv zX5G1o3c;BR%0Oh?%j1`}0nX|-&-A%xIRb0T@IKg%6EbhTh8E2B>ViT;CpDr$UQ`Tr zR+j8H$ER<7`WYerwSe%(nUvA56=*4$1Q(99)G7n=5G;m{(ms3@Q3N4jIRGkcWAFH) z578n_wm#njM^Mkw(#Epn@tdYul|WOAW~EhG?8&}NUuax!bX4>9?OU(tTMiF$UcP+9 z`K+n2@tYdm#1mqpmqlI4!oBzC9)ybC(Bpc-!UNn*USpAU7L+BNW`nI)~);AW8a;cF||W@mTX3{a0*f%36j#hHUAvLuK%u8 z!=kfLyC}6m{>|>tg8)sI)a&4uSdZmcs?SnPRjhve^vPX(w9HaNSND1OO3YieZ@X)g z9TH@qS9+JhhaD(1xms!$=w;)@rlcHAgmMa4e0|hD2IM7De7|+Vn(1NO#;Jm`&Q(IR z-*qaX3>XBu9j>)79|2j<6jf`);*Zy`r?w1jMvxT1ppv@iruC5gXRZ52-W4e3_?MIw6=O$z zxMXbsp|=bsa2j_Cwg6_%1IhhMXJFFAR5wQl%E$NGR*?K-oKWOq*|9oAp7)`qrJ2EW7GsCeQ*psI@Pn6LC&krXfk5V z&C9a@p@z)UUbp0Z@)!Sa7Nx8{LlV`qoM!mcE#rluA#d#?h4mI^eV zY8B{x532=GdijjfEbMA_CZ@dn{49A_@K#uyoaE)@Z{50OVZk(3k4zVJo{QRKHfE)D zYAgQ;hRQ~r$PXseo9*T>Lo6i#Y)_ja`wEgj}!{m_r?n8?` z>-Mk^M9FIwqX7iBJE2<1RiWyDaAWr&t8uN zPbgMPG5yjr^`}=UtzxBpOSZ!^~vuw@iL|n5z*tgrq>SQ#^?4k zK7g435}EqKj!qOsHDRTB{9;x}6SPZ39|lSrmC%FMx_=29P60%A-Pq!?7Ts9$Y@yQ0 zV`ej#pVJjNI5^N2-Ew$g?cs?DxQcOn1huA2qr@>S91ItqKE`A2Hfmlq@ zg&wvyI?K{uvEGEW4>?Q$;Szr09k;HT@4qB8=DkBX%XZANqaty%IiPRnviL3fvb;jY zy$-VLZSJtM>pWTauI+_4>=x&sg^EI{j~(+NJBc!3U)Q$z#$>l;I-m0fiqq1R?i$V; z-od->zW?)6pzz2{P4f&&?kLu`?5jzwTb0||gwt&2rGcc^sx=QE?3;ObRF7*zHFCo; zvI*c@TDy=ncD!BD+14Aqg|+wGBSCV{1Ge^bL^k6NFE6ifn5eu@cT)zUZlm)$?3WO0 zURJIAmtb)vX=-Z91!u}e3Xlx`I+%)8nbLj%{#=K)6hWXs$K|$KFsqa5398=?!DW=W&Z?j{)k=F8@gjcHjxJPinVRuQ9woU;Dbw}@__<+t$) z-acH?J1)$zgT}ggzP2ArLqlV4EeFttp4Xni3n8-rzFw6y@sU;5jwJreSFbMrsNm!j zWA`-#Vp&De3uO!{7W{7nwS<3(*b^a2mK&y=9oLjN1tkzgxrKwzgz z!ykyLS&ORWo^0JA*eq2h0v5mwy1*Giw(NNSmQDd8!)&Lbk&~?wmO393s0$(c*wK_c`9X-^Rkh!NJTNT-!K- zb5r3j;c=Xt_i}gbhW$)TOEDxiFupigy#C6!I>aIQd9m@0*~?N>SILG|1n<*MGp@TpVt7S zF>J^LlokV>07~D9iH=4P5fLE}YMPo;KwMQC*~O-|28{)ul~{CVSp5*ezz8~r4o#f>m>QquY55-bEYbv<-+*F;I#%IrWO8s5W2Wft9MAzrnrb4t?RCq8Q|v6p>{X|Eq`<8V+AFLJF5(_h)#fJK&I1!DEO@ijLEW2YT-|EN#3U!T{!=_y*)Gi z(L)a{tpSJ!_4&{L(e~xbaJ{duf&%t~_a!AgdRdi->WR5)HuP(mQeNivg9ye{Mr7TX zX~0X=StQ?036xyv#~=if%43dZPd^Gu*UL3SDoA25Hob@ee+9x7yhf09AIeEVcLM>d#Nxx6NT~TyE)>m4V}NZx+P4+BMgi}uU#0V zf>${Iib)*o?%KDZa}pZS1cv~n?@YBuLm^;4I(cDN=TL}fZfbH_9{xTy<_i@W`f4U* zxc0~WaplCPf@$9?*9Ly=q}8?iUXm>;_>0}t)HIR9kSDSp)JAVjAlU9+pqxudNlhOZ zN;YDpcsg^@7G!PBT_Yuht#N6Fxo)h?mTx5w=M3Hd>2#$c|rKc8_dDM!RvBK(tBroLsmv=cEEdhg&|rDBHu_v zwX}>3{}CaFe>tfjDC?gkU^O60v;Wc9+F&YID99xRk|kC3n9qGy(N$lOp^Tn)<`_%M~YXSusR#6{iE z^V>+p4;D+I-EJ>k$9PT3$@_6O)l*rWG#K^*m5}`h=DmdmEJ#qbErF0&85VYhIfajw zl~oauU%a%qxCrO3*VWQCHaC)#_bDFWW_>+978U3&XHzoUHFmSHla%vb31?SUKEt;p z(DE{5^>dw;Uf#t@h@E9ja-Kf{DOZ#ks%YXdS_zw1Nit-cSusFDhf3r~{z^Ax!s~$r zB>`|LDZf>mb1O9LXG2QZ*H&Eceu+u*oZHiaq+I2Lc{WXjEKE=~0y6@yL6D*xiJA+^ zl(J^MG>S_|LE5kbgxanH}022G>8Gh@9Ijz*{>87DUe24zeqvZy^&E2a34I z)=G18vpPw5DM0FV=OI@>P??byX+vssm+q6My9rNk;CB=)asYD4lJGrTliiotQVD%w zzt8_s+sOUNER}VI^m{BTw2CYr+N65_g@kXNK`lvx5o60$BprlJOt?lL#Hqs*um#m~KZA_%`r!>c}YFsSNp+AVYfIvc0{yTys7&|hO` zKN!LtAA=$ZhJJJ|glzn}K7si%<#x(_8prm0w_B;D(#5XL^4A zmmyb>&*e=)Y7#uLfRnx14V!w2m2i>`Nx+%wdq|`d+fgxsZn1o7< zDx71wuzPTT%k58nDT({eQ)IalI?Bp;Us-Crcxab}V)Pw#aV~ObTvNFE9_hyKuN@D|qTCY7vAF(Er+H?V^ z6N`&B?ziAr=1(_&t*ZI+3h_~M21af_FWCNsu$&AEHRN?$BWv*U+b*p68>*Y`5A!8X z_PRnSD7YmDDJhfmzx{O1q5dZh>bXrDbALUhy2S@5I(V$U}pKouB3eP)@A75i=Zd-(?B;8-pe?r+^w zl9rY(B-GR*!2g|zO>|+8!(@k6;DK_(eXxF!KGe^ye*FOj$jg*@X=`Nz?upsN1%x_Ip zwAOH)RYYWHhR*8k;AgcJnu&YGkV)Au1;sC0T%=(z)2e){M?uYbriK)_l$ zE+GN*0&tK6cxe!fl#!vKZ%jj_9w!B8lcjIEUEBZ;EX+C!Wr(kAjZHB&)`Ko>t(PfB z=bj{*KVMG&cmB*a^X0kJUT^Ums_nhmG!30t1|>G-AGh_|=7mvi;~yS_YT&vs5U%~9 zkn|7=fwQwK@aya9(DeuNbu*6%H;fo%-v*= z9>1w^%zE~ePA4`Uqv^DUB^djARzZ5fr}XzlRH4xnsaik^6tg#f)R%dU1PwTPL?9p; znabJ^ZI$dH!f)tgp{Slpz=otBtu`qT|L!8Oq_8XPX>p{do|N9}h@nE1-V3PR+TU7G zwA*Lh&jr|6P+-0>_mvM0GP9AZl5Da`>O+$B4zjg)&0plFth%53%qkyjHF`iAQvPgd z`MnrRZcjb9B*_|cRnj<=44se3C@6+2?2L^}1VD8O0V9H=1&r%|*w>@_aVYsD&EH(w zzWZ-3E$3Ha)z7jwz-dtV$MpEZ0yzoEH~6C<>;u@m^_-`v;q?f3?qidg8W&z`-nfCG zgbV(Cx;tHbZ2R~MY<^$g*Vngb-LoK%*P+s9NPZmR%!AC<@!V88pR|-^-2Re%=#bfn z^T|C2%Qa@D_sWv*11)&YSxrs1CvLpJ!RskCBU;f_)MsSQS~ee*04VWo5WRaFqHk)X z779(A-zfWp^y}M#PI%k{h@`P`Xu2;A@gK8ayu{K4ZLJ)ln}?b@Iu^wd{yW&fC|dV~ru$kqx`!6% zG(CbS@7?Hp3nvg#yk48#vVpkN5y8RSBwZ!>4^p;E4tJb74sx9$uO8@qp6R9$;<0Gc zC|=epY73pROhbh9VPULfFp&I&rPzA}k1x$%cfJMdd7AI>^Vg7!kj+ImdLp|rH8X(d zU{~L{a|hHEv{b!T@eHEgmJdZ8r?8%&L)C(U+DD^zA@c3vu@3;y(!T5S=kyq%H|m-u zZBZiUaOQq#x93Hzp+I4{^I*+rFur%5j*;=j72sJ@RD;ub zHU`kH_k)GbC712;n2t;-d-Jbx_v5W2ga#>_X!*~6&Xd%x2Fnhbal z01D8%@RcNcEU_~~@t9|lOIpqp``6Zr zhI*HPpedww2E&bc)I4CeD=n8B{$@4k0HAz*1F>OVLi8HUgY1Hl9btDCHFqgrxAa~P zhCpJ@xeqchIYKSw0ad*mfk0^F+;TtOn>F^{>W3xO(N6&%Ta?<4=c7ihvKUyiwF6<& zVDCZcyR)&Qa^W%BaL2e2=oX9M0k0GEx$CaWVGuU(-Y?T2%1Jmi92ks@ek2MZNtEI9JMc^qWuzfGN8!AfA%Uf#n-h;{~7v{|#Q9VK=y%a?A0SVV- z12eM;FfW~)oYcXzE`#BQQ3(RUrG0Kmo_8>b zBf9xjYW@DuKg{Tfs*1ndXdZ#gC>E_xe^Bl5be^E`k|u@-2z{i}6RG zv2Q#iG=|OCuh$9JsQ5q!CS_pXvm4cZgGn3>BaeA{JcX@wmFGN9XyLYOjJH6q#mF7s zLV;x6#2GF`FPK8|6Q-QxjKAqo`RtnVRKc>X@jeK->JdQY7nA=J*K9jx+ObmvRlCVEGzVkkKc>NdN zsexO0Me}-S^s85|D4GSWEJKx)zSsNK4>*diw<6=@PLz}QUIAel&VnQP&%C}Wvlk=^ z;L$UOS((a?ps)V^&k>Z{#*ETDjz+F|-bLhawF_&-H%K_a^T^t4YiomCfK0L3gZjUi zB~)t;6?D9e9@M-90@+j_7-x!!!EK zn~t)8B%ay6ucIT+!a6{m`7U&b;dl|4a+{XWQ*%BE3FFEHxTHrLYi3Bg{+IJw)tR$# zqSm#D74d~JEe$u4%AVAuHGr!ny7UZo#0L!fWe91E+;gG*pJPT)ON>+dXu-mP0rJ} zF0-Iy2B>A5jP;pL(`|%x?KTehuy8Ys1ilA9#)b;fCvDseg#MH5iu!IF5t9#(c6Z%i z912+LbnemlNysl`W@dt_0s!soxpRRye)@$*&O=s*ccgGLsLe1X0tD|gUy-$%J!OC1sAow@LzND9K#{x6vWN5`-rlB0Ma{)IT&c8m0 z5{6^i1ealQ#0z{N&js`ts}TMQ@5i2=o)#+4For%j;yr0xl1jDU^YwwvS6)KuzO!sN zPy?`6LjxX}=HJob36@gZ_CBiH02 zZbb{5Q%+HF@}QOO2AW;$LY6|K4LTrY{u6$NThDIn0cO?~vw=myoSg6*INR9%ic3~Qt8(na{ZM`V$Sq(5QK59ZLocw|3v!-Nmaa;PPv{tU#x_;UX3HwhE! z2`>>^q{PfqtzdjRVjnO~ztXw*Ll^pN;5sf2&TZTSi0LrrLkJh7q|hdeHAgERNuMWG z(4Di|1*~W%{c*)X&ysa>6QYCZ*+&bjJV>-k+40KJ(jQ?p{eEY3k_gEhS0t-*w>FpA zQuf|GhCnD{s5<3v8Kl>r_`UsmzRp{}CdK0#%75VZEbGAY%yoa`ckS@#LhG5o@w+j{ zY&D_)9c#VQ5|JHe*_#tQ7_{wImat2Gyn1ns6W!O7AP^zywae8J3L)Moa##S?sRv*j zBpSt7fGKNlZx6b&`~3XeoCUMvf3U7{b$Hl^D8tG4c}TR==HZD#cYMZuXQ;xi%M@yH zjNQ?Hvah=0m^CQ!hd@r;)4mPbhip7H7ST88u7O0CIrZDT{H1ox>JL3s$SO6OfOPd$v zA{+pS7Ofw=!<&*9NISu&;pT>HiRIUq4LM3LzI=k--dtZwdvp4Gt$XQ!V+i?AI4Dm1V50HoF3x3p9uCPo)(C4fxpxT1Md4<}j~Htz&nxpeWi@TsfB%*(6cvv%7Lue78D zV%H?(=uZk1 z){J;cg7VPR;5{kLs(q_2T(tYIQ2?q$-snSUj}1Rd)Zhfh5@@%a=k2>Mx2JxBsor+) z8bAO7+LzJ$hT?aG4j=s-I$F+M5pnA^1LPs~^ol#|*i}VW_!$G7Q((eae6J(v`B~Lx zHHzpO(|7IEyFf3{OR3sXtdz@P*Whamk@M(K-v1$Aj!Qc_IpN;|;nl%49SYZ3hzf#} zq6h_AM@I*ku$o$QP5W6m@A1q*C{BiYfZ25)P*4#X+rrj(a6p;r|$pMnU z-8h|P*gZ`{Tf`C@DLDjhf$5~BHttHTerTX9dG8s_E(n&DOW>PRkhC_!HL6aM-7Q zK4DS!p8Pwaovabf2L=@~I|>I`e%~UMrh^YnGtVFF?SU$)gwO?#%z3^CM!ywIAwV?; z&t&OMD`41#XC>yJ@j|1^E?vzttP!x&UTgaHO$Tz8;@;JLPvLOFUCFP5&~f;Rx5vzjru?iq4ukE5&<6;1}z z`ikzhefl3ieuN%qPUU;F@3NogbF{y{3;aLu=~KPo6i@<4%Aj)*i(ZI4FdLF58esL{1jaVj3_y$n9Yz+5b?ZKDuYf}K7A=cNQ?`D z(eHHSpTnk9p_Y82Fl;Ki2<=*wNrM3tU?j4jY>Y2Nn%`O4Js&ilLkKsYHBRabfs}-g9yDw1vsMKo?M+|=?Gen z;;2-?Yqx>e|4kaD5s`b^kknfGBR#txdB4k z2N!58OTzM@+LRZ#GgkNa+x}E-W7|NHTY9~Gl3QDAP;D<68|B|IM*}IFMeqyy^3;01 zw~seKK4ylT`9|%aeBREr&sV1OgCSn|4#ISAg{fxj>)iFhcU&8H#dVi}8x1h>rNv9ZEy{2ys`r$Rh41O~et>gGN6yJ1Yn* zfy^FQ!nUD2pkAc+dl-&gN24^=45d<-X~^axyAK(m>3L_OQJ6m2XJTMzj-ZwQjoH)E zEPU>|!pJ+r(H__jJtsi3U$=_V)64iUpru~RqPz=6FeKdhb%KiP6JM$ddHP!ry*Zq#0Hkrdpf4 zz89RQk$d&%^U56hwFoA)MOm$nXBb)TtEyfbbECcY<=M};Hy-oz^RVUZMX3d2{*}|z z&w=3~FrL*M9NspWpv^CFe~b*DheV;)3%5uTz9`YD$Dz+(S*>r3wH zG&+DFa_PQrHr~_IRA#Uj@uOdj2VtxACkOTOFGC=5E;9on^d9ybh>@Fk`S^(QyFl2F z^p=UqI81F=1Wb4Ha&oF*XO@lvhfD4SCaXBxK;&B+kE!|>|G|^CoswIED9gk&h@fco z7oU)@DKbx1TG}Gtx+CDegBdg(7;#af9lENMdFQj-;K1?!H717su zI-@EZ7NuKZOBrbR-s5&<27dyvk=tYaN-#ZO&OyMqpJ4vbom~ao-VeF zY+#NSo&%*4(qHcHs{|kErkYwe6fC*(!d)OBg!;llH$XJ$DxF9&0cV|XD0mjYeg(>n z09M=t)?zaRQ06KmUMTV|jj7?emOe-EVGJe*To(y=;gp%7iFuVQpDQG6A!FeFs z-ZPa&_4)o`-%!Y1hV$XYZI#!^WEDIE{|saP_hwQhLSZ3Z$Kaf9GK{D=L$(FjC_46q z+;by9qbPm5_x*40$l7MW{wM@yc*Hsbey;~ELeL+qVIK_V2EPlAuguhn(UwavKBL}n z^_$46!Yu#gu9$ihfW%J}30e=>0MvwlqXs7?hHXnb>@ta@Jy5teK&9yA9w@!9B0dEw zovDVZG}_^-1&e9eg6_%s7TP1LR_Ic_4==7D>1bGw)eD_GzP?f$8yA-)v~xP&nY3<< zAQAH@Yp7(~h6Dv|ngYN#NNmEyie_9xW3K}79 zCZ?cTd-MZGnhk_SRe%cTJ+I^|bK=~DF5fm(lu0Pe2GOR4!ucTlFW|40=_2c56ukX& zSghaNIXi9%3Vf2(!`33(aCk@3+VG}H0ACP9Hw}}j=MMRWgermb)PBf6q_;JiYcVnC z;Q!aGH-QUN=#M}mT65js-sb)~$9g3~csmo5)A|tW? z&TH}nt$sS%Z<-)24PN`B;)`|Exya#F_qKt-%QKe)3bf)NRsqxp0hC4l6%|QMGe0u3VGK z+|Xly@q1wGzG{QOwX?{J=g%$IP~`OY?%t&ob>k8c5a8$E0$@bs8~O^0(Y+RctEv$7 zYys}qzhap1SJpEPa|cE=S%OPhWG>`ffjTOCu6n3>7?iem&|bVeGtKYDAeDb+WnFA-&#^yUP8Xdwf?#dSYnS)nS9<;ZJsm5D0}-= z5U&PdLjj`#Z~~h(gt&QqVqli| zWB^A}d}G4}NkO0Gs#%-kvw?s7HC_oHn3I(i(AinP|LYBi(@>H`)(YOT{Aoc2?~tY| z{^ebr^5)+**8eBJ95;gLqSUA;`}rPvS7H#hH!u8F3I1zz^!gqQqh3MP0YDcdI_G@} zB$QJ%<$WT&_aGs-wN(x#HRD2wIejb}M=q=ph&E!qf6I9WqOK!$p;QjsKoIJ1>L;3G+z6=50w&;+ zFs!besv#a9@WbpO&8hhmq|gSeX}2J75>ErY|Brc5|9Guejl<;Aa#6HcuXYCW3ds$e z3&=R6AX?o10)|5z!HXi-pdI>Ot8ufy_L%j5LAWLUDv=N;XCx-hkn``XD8&~Xm@7oT z$M1-QhV;ju5m5gyB6hu~z0aSZV3~7e!`tVwB4 z)iP0szC*^Kdn2cLB8gWNL5;<|eEE%WeO;}u0DxpZK23Pjkaut$k5wPjQ1JS2UUJ!` z{1@jiR@Fg=1I%lwHv%vNUWhVTHQ$Iu%&sTB|FQQQn$CabSaBRI8TGLxhwf{uw@p&cQ{e#p`+dz|l*hl~SJy@i94~dXq_zQ;x zgjIa&i-VC!YxY@Wq^M-@=1P`)EKF*3C=Ef`1!MzlF!8wF^b@8#se+;+8qO=gFec~G zyfiZIowDA_ZZ@YY4Jpk=5aQSuCK_M8`0U?wfG14r+vqv_nKA9kl`B(}(qB=+w`w`% zr?a?Nq+s`EJ26`M&RcOqz#akPDkq2kqq*-6=X&q|*J+SanU#_3QAUMq5klEfMl_JU zMVW<+GD4BPBcWkt#VJaOC_>2iBxI(H$oKJ1=RW8Djz53D>%OjYog+CP@9`SX=VQHw z<%qS5YK%yl8N4{Us@(iX*Iu`@|N6jH7AtpDP$L!a>c;*{xOudmgV1d%sH%oYlWw0+ z-nSzqB_sP2o%1D)ObI=->{_|UEu1#qsHnd}GBZ7+tE!=4ajF{Tsbx4>99mO`C}(U< zJ;z1Wyii##XrAAB=HkV2*W4)PXSEit98p#v;GyXVq$SLTSbEhsZ{IR?q5p8?tvKL& zEkznawOL0&%9-Q3)+_~)@U+QEwC(4bfUnv=*%LN}S-$ekbmbX`Glq$kb&7};&BpXW zi4G5rSIx~1t(hB(iBbNgBB}_4UG-^>|7LxpQ+|Y~jcn;EbR3{rXSI(VyUwB%wMy>T z2Mkqvk!~*X{N0Q5dndiB@8}>ln%2QMjY{SD#yy3O`tA0vm)5uU?v-#GrMolv{(-;l z)44am8ZCr-+hWId%O^M%P}8jX9*u48!6#703Lpja@)T7(c&Msx?MAPw5 zA$M0KP@EO+t&ORc!rV~1;V#U>$aW(+*=OMC#ye&tLAWY>W?t~{c|$n71jA6gjHlSL z1pKE;aeJ;$T@$KKeR}EJ=*7t}PclDy1Oj9_T_DtvpGxd|7O~lCG}l1#2gaVN{(qqg zbEmDgd@2_v+T;q*(tqhFPnFmjXlR6AwC6lS2MLlKBAqtdBhu-w_S5MXakJbBKxV;P zbC~fA)uCq;1PAi9*zPCXJyeV$!9S60kj#Dif%v!j*_G9`I*kZy- zxM7gW{g)QfyedP&1ONN__3N=Fqn?DSTkG~$H8r)fXU{4eKD-bjNu###Bh5=n2=#vl zCBMyl$j4vYX&nVDwyJA{Oe#;BTLiR5iSS42N$D&zB17qQ+&=$Sm>`=VFz4{eJOe-> zbTbiQyBiLa$WKR;_2I4m6XqHqv{}ec>;rg#B`2{s1bz3=1?h9SLae90jgd|&I3-2I ztC+pc$h}e6gf>;<@$5H(fuyvm`ky^&>f(HjhXlPc?~yZq(=P+{LvmGfEN9NAoejVv zkKPkMFAGX{rxH|lKd~9jxVmv1pFD~>=TOt13D zzkcyS2Y2>k6``sRq5wVq6LCmi0AXW2_nrTfb-q6z)vZ)D@z9=nPF6KUGpMF{$<*-= zM%A>^P6K0SE`jxM;aW69SmcExIyzAU%Z>DV^zVDT=*#-IrZE{!qrgwzKyDO)o9pRS zGsogDD$|_-&h?T1dF;z_dqo=wnFb0p1I-4-_B~ymrmn@7hMc~T74NHI=k1LpTEp(t z)ZH_6Sg58Y`}zNQ2W%;Ah#^zDQEk=6U2T|p^7gAOX!|lZnKie%zK3w?^T_X7WMc$v4(^ zbQ}~GzK>3lMbZ`g$8DGp{q-tIIB%FODry4L$+ZAFxoD7@E;L#guqnSG3DGDK$2#rqzn=^G5Hy(Cg3)u0H9TRAr! zxa(W>Iz*qmm9Bh2u`s|8HXrKBnAE8v`*Z#r`N1@D4zmFm^pbTy_|Bo;Um0c0?FIeX zU2Jn+IIvo&?JK}`iF^Na=y5W_q32U|x2VV!Ar+NcCF`21|Kdaoc~sBJ^KAZfh6uvx zGq{mDW7}J4fcNqgFhMh)vp&RT;lrNs$)uqnv#*|W zy%fa~-?&DV-p1zWv}#u7JneoE!Op>9)v`nQw4d5_4^#9O4p>OE7K^W=OXrh?*YVCQ zBChbv4|1$KltM>GS7g`Se%gv;4K|hs3@gHwhK-_U&i4dEg1eq;KjkuYeXo3ccJ4`a1D#7lz9(|Y@QhDp%z+CMY_^&o12C8K@ zSzviZ=95j&U%q_w-WMsEq0t~=BcVa4gf*4(O6my11)e-mYb0;sbIHotUzsA_TJ}^v%A=-htxzjGd?&aaV8+fcJcZl5J>{ zs_Vpu1yqF^FPK;3z*z>om7gPyCo?-6(a*7HYI)tHnuaK?;whb#02s!3gjaj61 zWa2EV2|<2AgrME2rZrt@({Q{#Qog#4KnD98lo#RC?)0Lv~@Xo~q%1dn}RjthHeE8bi>ve?ed`b)lrg> z9r@*RTPbnpIi#Iv#rlMtEmfXwohTbWBfW62wse%U`Qe>gxF~jnwLd1EJ*h5|$GszV z$BFh+?zA?8^kOz=->RjPZiXHaxkA2Sl4IAsG;zhv9;vC?blcW5fAqYN+*~k`oHtCi zb8%(Q%d@>c!{en9BR@4YSyQ}~N0z-XT{J?|o;G1#Lfg`uTJQ#ve~EugyqL zudJ#nZ#F8i-S=Iv4Gr$|HU)W3cJ{N#Y{Pa7CNCojc+|;#u{+ec-GIu9pl62fLzj`1 z2?3K)M|R!nqtHDWe>`lot(9)30LFPo&em2w9r0m|!Iv4y!e{DmL-|IHr;mikP*{b% ziBn`^S$z}?xrK#&=&>;PqoZjZf|alDklz;`G-Hx@A#(Phw>jyvmbSLM5VDNVT~hsV zx}cLTMC#l%}8g9a%4IjP-TcKnGKNMlhmVu4wF_a1P{$RwS! z$H;Tj&2)UNdo^2dV~_-2xsEdON-l@oN>i24VSn}V<+TG>PVgLg5|dq7KUYHB*63d4I;bhHrE8$(s6P4URX7T}w)5>2P?`R+y$vW@Ij zV{^0bSbk};&!SatP!&{wh}Tup)4S=TK5ddHE+y6W5^{(76+5p&E7zLp>D@;(12O?n z9{-7W6@xAd1%*GT!a_pcu+mXe%lqr-=-`66Wo46T>rv;7jgG!*FO{TDmi3Mw4w*l% zA85y)vifkt1e%~m(>()zl8KRVZ&JGQ%VFs`GyD-_Ez#A=5Wf{>kxX2+(3TVmq+!h$ zUBzbD)yqi3Mi%$X(|A7&WpMN*>DDYEa0YFNwV@}gBj=mKCOJn55|>s>&Z@P5B<0gq2|s`SWQ@l4glA-`r$_${UW;qpPR`DuVPQ?p z%?D2!yf9AB;xJatXbx4(`TH`g_U9+FTf9l!4*e&Q+<0YojSUE!>@k0Di3{vVsQL5_ z(XcWXthNy^^ln~WH^j*hSG?+G-M&2*{Qfco@r$D7o=!O1qRZ~c0eJb3*05`0xC$d|5hDoLIR3BH|l6sA^YCvdl= z5WX0iQBhIRokc5Yl=n4yW_9jA8lhp+6(44Dlj=kFG?lfOiJB8ms4^S|5cR;XO8f%* z5G$W$g>B)68<;4BlUyBIaZTelpI<-KR9B~;tT*#?+Sh4Psa+azho-Yh{}@jlqB&Yp zOZ$AfUFBj0jI#GRr};e)gRjoQF(*WEKA~@^{^a>%b1tZ!L`0Aat~zHcFCXOFH2dw_ zyPBGe&hjFcVU6Sqoeu=NoOZm_wCFxAJKosAMY1!zK_Orm`;>|?@oRB$F}4g!Dk}Od zTsmIIG&M_KM);iOBi9I+?Fkmz*9#L(RhJ_BI9(&I84=jqEIc+VDqi%0s4>IF*3^aj~<*(oM}!YdEIexpQ7e2M|Z> zUTfMfFE7*f!=h;^I@)$8f~A|d(lN{8F0>aB%o0&0M?sAZDO{srTiJzmxB`^<#=vaa z{wS`a^8$dljCJmEYG*Ao+jFe@|Zi57ctEWX`CYz5zIV^m4 zo?hjVF)>o_W#G3XunsD>&#Y}{ckbLdFx;v`LcGw}i3%hM$f+veR)UBGk<$ruUwES! zZFQe-0~$I^%L?7_{gM0!oj&dD?VvAHE^$q8l2p!}7m3%$QXUugd2o;;;WnKzN`kXr zL_{CCgxpe4Qw!bpVZDZi23-Vio6#HW-leXmbXv3BLRx0&3=R&baXG$Kj7v;BX0yYa z{Gr1ml>oA-r#E9_m?fM8zAqy-v1m^8*825^`6a2Tr&mvf$RX4 z#wj=2>4Pzl=_`Y11=BJTF zfmQU)&>mGzi(#6kL_~bNdUeeDJ6s1Csun3#*=Dc1-MbPB)GaQB-b?s8WhP?+#tN zf}3ty8>00G9@t(TQ&V3!T>$$6!D&z|MtWgarB*RsAhy~zI|QHWG!al=jV~*HJf55; zZ5=+D_xPz(GO$UxySq1?L*^1XRoafU${nlabeIa(Wz+)L_tN{gNzd30U)5S)&zjd|&pscB9@pW9A8j9BpfOK?kk+!p83-bP563l_@$1`>`TnxXfy^M*qxUk>^*QX{x)v_jxxUE~a?&^YNl@JHHa1xJzuiUnSzkB(mW>V#wj>8Nl^5Qg%j`p6(dM| zJ4Ya#KSPtT%e1DVCvj0f7czz6W$ZK7zT)8XXIQ@c@WUsHUZUakb7(f~DmVgSDR(TU2fg^Mbir5fX==(BnkAxGhVTeaheR^N zUx(hdA{Jo0(V-9xV0q z^NWm(1W8<8R(2hEXgL%4R06%XxWY$odPAWZ+V82BU!UokDL8i1E=~y?V7B z@N8Sa8b5wCOvc#mmFM2`8c5i=RJe{P_=9V;Sbgp6Zf4~;9C2D+z7`vtokMi> zG7O300s`Y0J76e8D7(9{o;Tf~=}%c%Iv?tdGIh~^-eMaD_Ud3HBRl>ae+wDz{EoCQ zR&KLV4m&CUg|GISSf__nLWH3LhA1><>E}YTFJ)Tf&yz)#)bx^4Qp3Z;-QvU`f@zfP zh+4oI*YF8X1h2OizP-Mvc5v-`hkFxz*TmNIe$`PZs zF786Hg<|rch{*e1L%bhl<$64ZM}R1RrdAYL9fP3fDxJDYTNwDJR6Pau7%e;Y4-lm& z52N2NDbhW0;vm*|4C62rAY?TwKRrF&^ggk!%m*otLNIQZ?gT8dNdMQiB*t9_g|MR( z)chV$Rs&5T@t`J95e)z@~Dk{6Hg7UgHp;?`a?UC&VmB>!J|N5;ZoOPg0wKvgG zCh)9`=*Cej1X*;+i)j|7s){~qwe_}98B1U%yD#-?A2g!rht^I(0EsKz56&^jvma;U zRNyk~qg&(GgZG!iPmSGfmxS}s(!y6D&j*tz#>U17ZTU^qu;{>yP!53JQ6v>Oy%jaznY8Qvo$^#hd`$U-`hEaM8>V-@9|e6i2jv!~tw?u*CEaZC5DZKi!Q6tGbmB;3?o=Bfk%~e7 zq=jc4)qP=j-YP*&mIWRHXE!%e8fs9e^iO%-b!7*Dr z&jjjsDlP@eg5a<)@6p^lpC{qxG3?^bU;3~`FJlqS75$8$0AIgKrjl+E$_9JKx`2%! zS&PkI{Nd;Cd|y$eA`9zE>j^uj;I#33RbprIWr@lA ze`^2ydQ)a+-D<(&nF@r=V35-H_T78;=xU%(nCyJSQ*~n|QR_WjNK32FUFLlLx>^BB z%EfEllHb0414tfv{W_iOGo+rUKI1>W1JD|M_%0bkv3ih*qodZ#8^+6i-yM%aX!-0M z^!DOcfnm42jF9^oXQGq*s7RfSI&|0waVL5r6!17POj;W5P_m9rIOsctAZJV4t5)M~j9T4JEU<{h+azZnHRfpUM>E?QKK zi;GkFY7LF$L+9npn~{-+gwCBkJIfRj9GohTsI!O8d2>yuM?XE-BT(T>+vf19CR1%98RPNdGzQ& z70yevf6J24@!97^aS@6%qTXZdv8r8^n>G@O&GBBWX4$pt^}@|dJGO6k$H{tJlk3SE zNGc(Y7{o;vopC}zQfvwOs9sy`>-U}LFwo#wcvBfK>2~*?v7FU(Z3lpXn)D#epm^zv z5ARV2da|f}hZ`R|HLQ;qjD$L*YInq`lUGy%@;9Dm`3ui00E|EaE$^}ng^HMjMCYvk z-G5#DMfT$WJQZPE(-y38J6f%Z#j*xVJ>KGc!)MUX*LpXRZE3hc!zRZTCieN^%-y^E zKLu@mWV9N3vkyfRhe+}4>CiO%3yCueu zzQIujmt$kM*IbkBL2e*iSsV6rJLT*4X3uB_%_!zn;=x67w~g|(o-i-~C8I`p<-;d{ z={IY(lQG}m;Hs-x9(Q2u(eqsN_ZXf=1ZB5)CVSmfK^Mky2enbJ>l!Lsm7r1Ve#*aRmBjICxO*ZO<(x*6u2|aAgcL_5I9qS<8*=*pJGv@K*Bn{6YZD76oE*%A8m6yT5OM6ry!8K_7*IODeK?M&Sc-$L$BPe0>|$+z0d zNym815F3_s9s#<^in*ZZhi`NFRugv}w9vaYagj}5CinkgVV{qk2rKjc9?do0Tpvs6 z7#N&YbHnd-!EaVhJuRSN|9Qt)x#TYZD+Bbj_1s#eB4t#q-pIvc9O+q$^m89f_s-9< zOH+rph&<%+65&4?&yep%*=>7#X+$d-!w29UAT2LWsP*GY9&DKWK|z+E?9Zj}5YK1& zoS5;cQ-M79cYgR{s7MupbvYu1toBDTg5set(hhCaG(-hor~^xg2Lx2DB6MK zcQ_clC|5%pHn;()o^X=%c?^a@Z+>R)fJ`SUfq)_Lw3@S^BKxDsPeRuXp0aojZEgU#*71=Ki~w5T)$vRM;sHZ@Adgv~op zdZCyYcGz2V9TJTrVT@es+6Z5oZS9xVU(I?I{DH`*M7Vt%SjyM zQcz}U11N;^PFgxTF>$7@>RmX&xF?5=T5QzE7Y^EO$(m1il>)C`Z49q{yNbs-tmV__ zFS_>5L0^?U^E)b-fE*r2XXkVl`m94vB%F09+hcNFp&wGf*$DavK!m5in&i%aLHU+< z3Lz&cVQi^ZOQU&(ubQPq`yXkDK7Pp(fBrgf2IP2xg?kvN(eHzFzWQ+8_)~wqtM4QC zd8zqr+a4e^u6R+%NC;bAMc}xTWA$`&oQIxMGrHlB;qiCZ&E+ra=VfW& z=$T>EfY}kY^xL;jb|9oYJt~1MZ(GyFIAtGQnMpx4L zeqBm9w{`THByzHI%(B!4My263lRu%8D=E#si)I*RZ)PcK9~?UB)zDE^CSD;D{Oh~? zLmnZx`XD5&rhnl6Fm>T`OWL9)ckvbgDFir&;aJ_D_@t@H4lC7#N=h0a6hu>4OVf`? z*O7q%0y>Aw6+mTz_o2I4zq*M-fy{fUfuV|7{Qx?KPDTMuegeTb;Ul-L;#L1CUj-cI zRLIvi0`vvy0Sz_*;E|D8QUStaW~T7aTVi3j#1$M@zs~8-`$EM)&%&~ z<8t&34b?I-tby~Rht2NB8BUq;D8FiumeQ%1^Uhibxmc*E!HMG-S*Cl$*?;&MATB9B zizBE5vKs*ZEAcjB~mx7utSVKTJ1NGisUc3t-dDy9~ zG6@;_w6^b;m_HB>!=3kKwFTLeO{6XuX5UJn;Jb#8X!@9nzQ7BIXyEb_h0px4EH5-J zs$)dM=0+fgF#-Q4a(Fpp6*;6_xSnqGzrlvlxA#)xOoR$FIMTt&>Z%jS3(cHW7BVOQ zPC$ik|J7n7?&fm^SY>ED4ma>y)$rfVLPl90_Lr8@B5O}+?%p7{(c_3)UYl)^QOrW@gQS7bqD~O+II&uj+(KC(1LL(8uG69P%=<4Y`rCay&+y=l@)}t1G|1$#Nmj&zn0g<+$?Q(gSD|B zY`QrLUji zS-KX+yJyc}d?PIztBAUaN@UnkIqIKdrYq^OSX%aVH;0B&h7%`m;fzstgh?Rw$=sX- zPS{46`r+v4C>4_!&Yt>O{EChjVat}!R(~%rzF&rz`R=}-CEBsJCceme157&^z|6>K zEi7%&II8%3`;nl)z}xu%S6?T~B$#Yr6j5ov;=`V4+rX9Wz^YDEwxZ?@3zl{2oC;1n z3+`qRR^;oy3~apfYaQVRKIoNp*w%LMaux7J4PnHn--#va-WooRE^(7QTDJ51krdEb zmHLfOGeBVmZ-=(yt!BXNEsz*G8=J`6&JCZt{8qD*%94(|PPs>&vfKiNWY+40k46)L zEFgX&qb!4$dZtPnfOG1bp3vs{=`LrvI%polCfZxx9_*jrS?)PkFdy#MNPA{*@Y+$h zWfXId@`}vURX}DPvx$!`bn?ji9I0lVGDGyGL3zkkSMf?=1ZmLv9sGw`>7xZ~ySau? zCytJd2_#*>JhHsa%twuEuVhM8Nbhng8fGSa=3w=ypDwIVRN>u@8#JOhgYXqc^MZr~ zqeF)dsi>$RC=qLB&ai^$HJURfCcES~6u-{zaB)h33Eqx*u)&s%3`X0K|IQ(9o9X3u9VY7ZL&Q z#r^9FontOS{p^NURC0 zYiZG%)|M=R6qNEx-J>sY(0Ku73Y45J!2HK+4x_8e1HhAbpULgSP9ARV#rgT?caZ~X zgIa5Gu2z5l>QaM_X$j%3^IEs}hPNE`2`%WnV-sh7j@|6PVcD0*-BoSh%B&3jd(~BA zsc!G~K-_t%UT z5ycQBgxVaMfF&N4Edg^h{t29S^)wKO*{)Tl#N;=~ z4U7eC$-B?Vm%QLX6ikzwXM66&#K`m;y~bh+hk(pn!~Dc0Mc)1(GsOAJq4+<1#?taL zs#ftWSmpgTboP?3eqI_w3$3n-#9lu)ba+9q9jRB zNEd7weivxkv}b5RKVtCF14bqYhW|HTAygEoVMFu;k@j}z5%?(lR}1hi{zFzw@WuZ> z|5&lYRhM_dL3YHR`1Ma{UA|f0#-St+q$ni&VRYs6G@=sZzqG@_5<*k`w4Yu%V zuo4-WeHlcwbA&v12vLJz@!z2QCoJU&1@6hkl?Xm>`yr&x^(;N%<8u(Wa0ku`4IgLX z*T+4mM^ZT!vCyouJZ-0VJGCSnIo<0Df=^b6Ujk|>!GAr$zaOVT1Z+acJ%S98UgS$N zMq5deJJBpjx|Jj5wd*-ML`db8TEeExS=?bSW)+k9R9IS;LP=I;m$`J9XHGIRA%GSV zUarpesIJpD!rrgamCcw`pm=4DH^dc`-g z8x{&dd=@g|s!pgu?g_Af!ly+C3S*}J(EG*oeMWbr>@iEEP5*&`| zH!>>WIoy`p(jcB3{rC9=v@$#qvUrC&o6vlFYF2;MT451ReK}jzGl$|kkqlKQ($Wku zaM8h0J!HUsiI(aV*vpE~j_r@#tT{Re=n9EOW(;XT40nt1!+16@JRKs1#kxt^!4}w{ zIc1SO%m&dAUd%GE6v0PN5r~)Gxcn_Qix(6Ez9I9lARF#734I_p#(CyQQ)Abwk$R`} z%FGYo^$6uPBIVA*VU}VCrf@Wdfa}wClXJ@~7JgoJA759t3$2NWI__KSvsFrdf(9(hErVnRUhHD9y zsm}=S`PTGuZZ2}!G=V?Wq;dn*U%7XhKVA9q4LDTAMh+9Suz>bhG zon>IERJEB-Hei%`nw`F-cDd&aYl#qI4RLyIRN2(>l#=^LMA* z52_gLomF&6D4xE3oLEGpsN>--2hc$2U1O9o+3#iAvc-FHQ1^DtnJcKO9V>d3PQq?) zsvNyJsV*Eo;h(41K5w*^qD&cnNO-+JU+=?+0*z3uxDX6~Ct2mDsfB*pS=d@N04)SO znHZ`LNFTJd8Y2JeQ9%09%QFW@4Q1df-0LybN|KrVd+_JcMLp42&26pXVk#^5n0J^!BI|H{II#C8+BD_V-@|xt0Z>}FQj}EgD1nTL1$77qWP;I01LfLE+QrJ2 zmyKSwg~sth7oo_nw^U^Ez**;tp^+E|z!`lkqN#`v17UGl>Fpi-w2=r2ok&?Kb5D$q z$-k+CCgAk`&2>S6iPTfS#plcP z%4KoLU7msf6%c*2-ymS%v{d(T*_kVQE-yKSVUzLVD0**6ICMe-|CAaa5ki69Q}(NL zHPw_Y^TFcs--nh=pny^7|2`dqZHk}ep)|4j>lmZFv}>^e zp#E&69zi$S0i=@_$2KgUHo_=uRZF-~&dF-!=^tWs2Ur%5(uqozKMntK9eZw>p6et9 z?^|;yr}TCM8U4|m-1YC*0-do5=_tzWW(~%y{q(ql2_T@1UWTGfFV6XY1R^sppdkE{ zc-B^Kb278q8m{DrfrQ(3+PqGrYRG}J#EWU7*$5gK!kjLV1MeD5+*rGB$ zxELyMuq!U0CFM{=l?JoZ;~Ka6djz@ z&e0p=7MJDxc~$6^%xUzzi41{=Y9;z@=qfwa@O{6E;P+mWwHF7i)0adC?GSltRZk3S zW1g0$z?h@mMOF5Lef33L&@}H4Kqs){*XgA|GtXOtax`ACJ{?B^MpkW(F?3wyKer!_ za7IdT1q&;Eri~XB%lZ=W_(+WFf*(0|-qz3%`(l;{xg9~*LXyMeH;Wc8cUT(cxwfC> zp<#r;AZ>zs{(DzxASib0s^sTXJ*6D8lKAE2HYUhwlK z0S_1LPVg+veR6)0NH=0a8dot0Tug}r-J?lNMDx)eRe7zr$o0{A!q0Ws(i6dxX`M!WWzAxXD^)to+)gZ6Y-MmTwbkd>VE>TwVd76X)Mp+&Gr za3l>*aN|wY@W+CJ9`H4WY6Y|bav2qfIhAa}{Tt{c4Lk0b<6kv2CB_0Mk_tF!WG&4x z9vO3ookCt&JY6>?ec)+sk#^<(lo@c62)9F#3acx8pX2bT%$VD6s3)LqP@D47Og4|^ zQ*`1C*uUXU2{TY!c8}n@;rTrqUaiBphEYEBl12(vL@B=LfwM;&4u8rxw$`ehEjHxN zyN|`oF1rh^46=LN;_=?M162lLpa1Y@no-j?sm+t#)$Fyl$bG#?&(bTza275kVS7a|MRv0jWEN!ACWLbW5>ioAfuN9;L{=*S9>FU1_+qKtC8l9oHcuLDr6&v(r1Z@RArV(+l!#GPh7ikc1~k-cVm)iEC|fBtCOK1PdRfx zl;oFLKa~G#Jv4o2AR-PgaWBI)%H}0O#K&M*bkQLwjqu?fZ6%W4p%+veNLa?T`1eV<$2d1>4Er z<+BURe(|*53E-qeiq_!%D;K|Iyt4d8nEAD~1qm=Po!y5qn|cpPEbxCZBQKVAIsTRc5=M zYXlPrsse*mo;w93kZskLp@JF77<}&lFe~ze*k$l$gbw(KC)%;S-6~mve zS{yR^ZSs9|AQF{{Zl5c`7dx9!~fBU(3?^ zT6e?0Dz)RVD>nnVqi}?KoF3m`aCDRb{LDWz+3E*fM`_{wg((DT)_(##Jr*Vl#*uaSt)Y?aecqakm02@%<6~oUoXP7lGcyB(Ag=W;n=|qj zcydAU)V z8#^mMsRQ^0z9E&lT*S}#XhQ`OXr*h@Pky+@a*|qK09W6pOarC1%SZI zz@?#WtEezYR5>mY7{dk}{Du%` z4plXD@$e12_tZT^dyPPX3GuZkr$zRNeToa@t_jlQKHavMmTd=J>yRib~bw=5BrZ;Ap-5 z;c91yIY5iV9624eu!Z^WVM1iwuY?t)S!BM7Bnym0d%oDabjdRYFJGfIw0Ojvu5+4s zgYja($X5-ge;4i>nE125Yc5Ofdbwh;Ve(5$kLEQELl%qm%zAnW`F}(aeNErtIpLUr zCI$at5qi6wWi=ht!h<<~DX;FTLxd_|f+ntQvRR&C%$VJ}v&`|mznxW@4GX889U6*x zH+iKV7{BZXCtdC4EuT224pn9R)z1>2Ua1)1T7|V*K(zOQrdyI+01&ckltI)1uzkF} zw>zAXA+WT;fzW@WbQIBn7#6rc?BR^eYN5Tau7-$%WFdGhvsadCO{wKmjk1i~@35Kl z;Np;&6A}|uRaCGTv_QnfIXO8>d?Pmc2^^-KBsQXKaDZ6fKQcqyt96-!0;qHRIP<1| zdnVsabey49vO@^VIGjJ$CUAq*>;C;&pr}?bsCT&PGq3ga%Hc7X-k-e}9>3lj6FKNd zP-Q|C_CGHD-Szk{Qe$&@o&<1?<|iX63QWzyYMRLY`m$nMZEx$Z0_R~eUH}||eG9;M zXfghqFJXZi%XT*;v#9q~9>Y_6DBBM_{RiT%Rzep(9JT4EF!&CN!{SocHriSvIFtzi zm#ab;oyWDGuSctgUpvN85f(q{zGfe@VVHA*67ftXj7jSBs;|Bg|7>dsg@DItZ#3yt z$pagz-T&hqi(s`Ha0rkc{3lVf^Y7ok9(aK6E$}TgC!PcAKyyMc*Dg9&!qdF|N0wbN zQM(ll>XSex(Yl^SI2&TmXi#L+?-2~3YhPrbeE-t2wv>t2gMdM*qtbgbZHeb;?a)?Q z(6ZxbhzPsIc*}X)36zM@4?Rm$4k3Oh7V|pqhjR!>7{CLFeJ(RCZMoi3iG@MNHoLsM z((fUwc`MKCbd?w%-+Ol$H6HsCLq;GjeuJBoiT(wI@Xt&9YcuQZ_-NKpWz$Ez9PS<% z5!ntTEDvWCy)7CK$dfz~I}B$0cF_Y%Okn@mGQHD~OxL824$=+JlY{pQKJ^ro?4i`w z)+QOOp)P()%Ff=XHtZG)Lte&&^J%K6Ks<%^-oD)K4|};ia=G4>nL%b~BmDaf+!v}c z6TNWF^6#cD;^1ParE?Rd+W21XB4uMLFg$5Rx0I-M{qjlg;r|l9@0j88o9vB#4 z39y7prXbMn@L;tj49OOFdRbc|FcPd0^1eUyy**TZzGTTy&vB<<&Aa$lB**Y&vgAjs z+=lJ|)(0;=lvcF@##ZTTm^Ev-`fmlHU>lKuyyuFVtAdWfeXbmPLWIJMg`|LFCOvY!N5p?y$x$P zZhM-5r?Z1Y6)pBxWu|e6kXlGM0C(>wwCgCSMc55OwOAfPYU}oB_Ef1wqJ|w=cbJ#6o-h8%LEPQl`!xCc&`C%;C;117g0XEQw zgL+@1pvMT#E?Md6-E95;BQ!qo})N8Yt1G={I{@iD~ZP<-c+<&P11!%YC(5 zY%Rj(Jl3dD@`=E%B1=^TU( z1UK3)-XG6L^2VLQN%QmMjEsydpg!*9_&pyT&8Xrf?Q_zObb9?E5c<(KykXoMdVP^7QygY z1ivy=KZ}m{t(L>CX;U}}B9w={9fq)(@Gs#T-2*cVi$L2Z&zpU&o36=trf>Ry7N*=A z_p=pq+}BT^2a-!jYz^b1(i#o@S6R_?JzmZ1?0ohE7)}>NQ7V2Mqc&%7|u#f>3?2FN|$=^;vM(fkl zd140>8J3ti;E<>G&9_Ov_ICFRm~LTeJ8climyW#Kv7u=V#+Hfk;?Hv=>+NKhhG69{aGXYOeYAv?pnA3bjP`84@8SRiSGqdsJJ zrm2r#N&V%oZee6f-Xh#7s8poTF!xB`?T++>RF}^X6l`1FiM0knZ^-fq$0t?oF{w7N z1Nfmq(6&E$&EYr@Gf|#leU!oA$Sc+NCo||DMtaRj4dC&hqP7Y(Ker51q0rzbYz>QV z=b|~UK2d;mI1XA1$*rH9xFL)9JE*XY!&!PzITv|2V z&&TDxH`Mz^6R8l+-w|q~9e*Ewl7;=W9^cOz_8!B3UK2IG`SJdMi;H{to0HJ|vlVA# zWDHf!{l~7=Z9IF{yh%FC*&U$l*mdM?bau$$-*0=^e83e-q}y^9+{p)$_c?_um~QAM z1V-)YS!27~G-uEVC-Ion3cvL*JC8a@3;IYkeLUYaUbK(kCz(AS2O-p#mxzQjj;W*V zOAF^m#-~ZZJkF2b9*%;Ha(25vah(66Bk@~TKoIw)&&@)m?wP}GBy-o&(vp=sG29+6 z%VrO@xp~OJkc)np)vZ*4t@mMWPWMP@i>=IaJ9OTciQQsCB==oe$P{cJr?s$T5`Aro zlCiAnaI*9M*S|gP#HMM~4jMidPLm3 zf0GX&izq3vSu)12K2H0^L9Tz{=e84k@-KH2is&zVjm%<+GzEB^ANxWOT<6=K`VtEPWFCo@XS3YV1s`{Xs1mYaZCww1x(b0Tvfr z=9)I`r>4T;y%>1q-JiAps-d`m)TM0toMw-=ZZB4?l=Khm@&OAVVSAQjLL&{Z-7Ru& zc@=!zy4l_FJPQGqX|Ltk&CF6!Mcb`|JYO`~>@tMG zf?vKKaSP4Irl#gQUE|e4q4=_XMxm;R~J zJvWuaxY}tuSh1XB}?(=N3%1 z2J9+*yfZN*;#>!~rg&+XyT;Cr z^SeggKMeT+B%PPSRUcc2pR}x@lWhMKLm?$fq<{*SM~&Dl+1EF}^=}VSxiS63 z4C^gt;f9{D1}9T4UgKuIdDLGhrhAW!74d&5DTYlIhk5drMc>nx1+WD8(kj`g@Gp%g z^*DS3U2-*AM->w{(!P$>)KEnF7L0&SgT>w$*ZF`PF8lIa89_@+k*Sw|mp6ZZ6bNbB zHpe%4XD!t{RTwUwho(+WQ)Y(-^%JF|;&hG?)|Z`+K0QUQ>p(t(>GCp5We;BEqFl7v zMRvsk_%P&LEM9dV6`mSL)@e-?)a8Zs#TWp(P~M zW+nHtCc`3B`1|+o!Oc2ChO3Z{{ZP`E1eQFl)ZBy)Wczl^@CRjq2r;JDit6L}UxOr^ z_{hY*_(Yn50AdLJAZ1aM$-R^KkM4=*%5 z!a&SZb=IpylM5CCM?#5sSrg~k>KT&Uz)Cq6!k!-2owR z_zUyB#01{$8D!xz<)8LVK^kw3zU-?#coRmn3-yYiw&La&-uPOpo7ZR~mU6U^NHBIZ zz3Lo#-J}9cMM+ee;jd#!n7Gswrd4?uDD^ab>G@^Gzu1!X$~V7_tVz5|&=g<;cm1<} z*!}ry!Ud~Lsv)`Tq*ePf9P9h2&d8rBNo*wGDHnwY|2=r972W8bty+-E+z}~J!egII z%9JiyaT+Rso|d=KxIffd)zbgvY_9tE_~Vb!26KdzeG7BX^plz_esjJr>|z2Zx9N0o zt0{Zy^-kT({56%@%T<-qk!|;P5!iPB#%_6j+^ixVS?Kfuq*0a!3 z+8_NhGyZ{>8(p}5Ag1P-NuS&9dNz2i=60@eOqR`KCaS0ecn!AF@k&3pzcRD5WY(@T z8;uq6;Wocs-1O&m+mEtu|ACbw_$Lymr(tww$8!VsD~M!f+D(uYWoku-L7Jdaj6Gqr z%=&&NK_gmRe5>R0+TE2E8>1fO^(l|A=2%&jC^bos0bNM97^%A8itOOtGjXw!`0daX z5(fDk9iKR5NvP@qZ1DZ9>U348cyr57CTPcW=Xv1%amT*d=V9WuBXA~;j+SG3x+38% zcummdMU&SJ^OhbX`GXxFlol^E>t#_c1n@%W7zwH5Y7Z|v;}YddmdG@Yc}Mvn8hKmG zg}bUZ#&L^Y1@Wv;p+%OEtvHFF2@FOs!I;9 zp4>aod~cdAsq7n@h*sF4R+MhDSxWl(Qzj^M{bP&V(2vv8eDwKi+H&ikqA;bTi{VlI zZtJ0rr^uns_iH!q^||(`t)(u_ua}31a}AA7NPyR!hRqiPp*o=beMQMx$S)X%pN*vz z3#zp|nvRqo5!&@un9TU~IAkzmybj5-^L$?1eOKwN&GsaTH6v{Cg~%H!(~b!&Ph}$s z;8K)OvCwpN-8X9p0vhMGM)l$JpRy4)UAf*=VO;r((<|@r?H&z6Rl0*N13Tg2uHGZf zBBAPPeN?`wAb?c}*RPVi4A@XFXYd-ktuEtN1+v%d@v{%#sr61%^y))g@AV5u&#QTE zOJ;+n?~B>KQrFIkSj4n^jqEqm5l9(S@=sTjutcZ)9!rki1GmJ^chtnO4?Otc!3qPt z@TuC29bE-ruEHeU=#Q z`a|W(-uIO3LJO^n7mXdQ%IcDA>>135mzG_JTWc1V&!%$rCpDVOqq@z}n4A$urvTb8%T zl={_B4HdYtlFq*qoY+K>q)j#wV;-9II29ME_*ViM_C2WZ8|_!jxtuhCH*oo03~E93 zVb*2X>U(A#Wu@d6U7t^uk~K2OJ+39Njh$lQT9lJo6S_zzN9zJz-?;bBh~o4;(Vd6- z-Aj(&60;x`Yw5>n<%|0-ZYVJRxMWZ{zAKqix zcM+Kv(^Rg8HhtV6)ep+pZd6rq?+}ZNTH(n$uOY|5z~yGTG9*;7vT|8qV_QS%!2z$= zS(UnJ`Bg3QNxQk#kxj|UWdf_M*`eRj;WoZ;1PSh=5S%ny@$gj^(Ua1;1JD zudWD7eoZKyDsLb<3nW@vi`vW1w@RrZY^E zMaW#>>kCDSd|8lTYQHuPAb9gkHbm$&W@TGuOVY|Eb~H!pj9&`uz5EOu4PYw@bz?8mWE*L&^cnLT1?Szl&Q;<0EU zsr2z%;oxr#*a01ps_i*EMRyoZf?+V66X0OZrI4$K8|oGJ$lIZHZ^8d$_G4LzI+SzX zK#yWYULgwy7Ycg5Yn-A!o?DaHG;-?5!bC-=pwzMX#NXNUOB5DezFWgwLrhhfj)d66 zp4#^;vcmAHdXG#=nQJS!V-`}_qfy)TUc&idjh}Y$!&EVLaEWdZ3IE8;*Uco*wfD*7 z@P_*&*{Veh=ftsEM*?B$27;upxOV&?2nvT%TCN`1P_&OJ%RTEPNlM9` z4Mf?#j1z&i^$|gcKhU+qADtPeF+# ze}al^&1?aKWjpSkSQ{clC?&FImI(-re1CSm-Ys_=qDFq($vI!k_Jq>N9bzT#Pp5viUd5&u`j6$Gc^hXQck~vs z7^0&Iuh@ziWykXoe-8oFU`oZ6Bg(=-klc_W8MP-0=I<2rE#vA8v^s^!^p z$u$PjRn6CGUVo|nwK{%Q_25wTy_(U5k-02g^BWgq`{8Posp0v^hm-C7Y6hF3!i0z4 z#K!NG3s1YNd*z#^sMN-*F5HaswA!m|YkVUipZ$H;G7lDsJ)QOMBXwg18{aR{OT)6)f4z(kk}Ek52MBFLdr!Ov&u&32 z5otI2&yD(i%J;an9tW4utLIsXFov!Vw@8p=HmP{)4?@x zIsegehsA*+OIXB<^k_6kRR<6Rk2~p!jOW!0h^u=Zw;m)uBl#WX{ie$j0qvK8-~>d4 z38l(_BnMDjpm)B2Ir>7flKF_1p;;augl~X*0J@Reg41idJ^v2OdMd{yGc4N}&G`)j z+Q8Z%7wB>-KGLN)(h=G08}@)LCSGyB*Acswcv8F+m&=?h!>Xf`k* zRCcHv1wAU~ezI72aq`$fKub2z6>5AhOeaqQV-S4rb!rO6_a#{JFdgh9!pFbaXm_k> zH2mwGXdbeEz!4(?oYbQGO&_mRMNO_rl-}}0qxexbkX0OwIVLX5rfi;8;+@$!^WuWu+jM9og=vtj~;aqa1`?sjp( ztTQFyZ6uT&y_xvK_~M8+j3DyHMiXPsp22!qWur53&+o3YAI| zz53qZjQZ1cOE=Jp;}3+*bN3cnyLqcZ6-sk5TKFFu=7NRx&Nay9bd|Z9j$E(z z?T8~-IjS?p^p=+aYTek*M$(5TOx)PX)u*2EiH8a4cK?Ni?VBdSw*8(ReB`g)HKj7B-JF#;AP5ksf0WXD4f|e-Y@7Qkr^7Q%U!urE zcnb81WWxc7CxIhiGpFCeln@WyPilJo`M&2%|6V^)UrEbOeA0lKoWg%O0k3us*g6AbnE*En~P{I>XF$Jr^ghPT!r12zFur&z%y84EK zJ{D+{gOP2)Yfhq`alf9w!)17=%wv=t^xAxr6nOmRBRW0~&5l_RlUmbJD@#otTq0ea zFr34ca<8kO$wO^R7{;(0{!h}q&tgFH@y!dyX4zZQ;cq-lw2r`yAa{Y!PHd&L#% zSeIU=yA+Xkb|`su9-5XyrES*07_TsAwJX}(fxWx3r`;-Dh&2D{P=Rf>IAEhAu?=#M z1Ji#+d=Pjn4(6^Eqb&D1bRREOrAmSB;@S85`1S|?NTT|bfuPfQN-VM|Anj%=3d!`q z-rLP>-*Qz^amC+D#K8J`B!W;~L3?8DDo#UY#|g1gM?R`POuElPI%LwnFC&}X)*&Y~ zct|Q135FsCHp){4i>k=DGDZ{Mqlk(I@&G&mr?mw)+`~?C^Ep3?^n_BtJS~hnzKuQV zE8ru?>7>uz%)PU_mDjxH)PE63S{-AnC2P@_teB&m54uz}JMtfs$K!U;`&1X6Zjw2g z!_^(OU9~C~zQhDbU5800qAmUg3i-NZZaH`|01pVJ>cnNh-sCN$$aX7|E6oz-Ss>^VK>o@zq}(XeGgrr z^czy88xvg$^wKF8i)BRH*ZjdP*#*w!2E)nZo)eZAr^%NbMYDztE$NW@KWt~H3S-$Q zOI+Q!`?t$>NXJT==6x1ZA6}Gax>RlzduCmXi%*|NcS(!Jd`Cn0{6!Cef?dKA* zVyo5=dajP*(@V|sk{EH|d4&T)@1RYt;7}%I$SI4Gz6XWAo=^FgR@gcPtii|xNk;hO zqvwhzlnG?7fZ>_|!(4bt9WVsyD`>z<$POqeI!KdFxCp6W@_C5T?8Sgt;dc>gPG_&u zu$(#%H#@z5^i$o#44_L9wX7=3vBSVIp(6uO&v04FO0B8XlmYA1SD{IK&^plwbv(QX z^R}CmaOI7)1M~OBl6eso@;q`_*wWqdacRh>uv%--7evesXd1vZ%Dyn=AT-O;>@fOo z>JDc9-RedcWO*`+DW+#PZBR*LRIBuy+ zAFbRgC_2XY$<3yLVkXtPn&>rcL0mHECKS+^x+8JgqzvhmTa_gdk%DIX_F2Wo(jM=+ zo~ID3m2(g3#&4@H5jpZ3?jLIk{g(DPolY+<^fN<_%$a^Ab|b7q+3CsXZrQ8vg84&n z<;}ahjfVrd# zl_1Mqt-&2;ILgy0LWN{Jvr9bz0i^QP7Iq5W!&Cm9hL1P{jvw5@9c;;7bb{-R zM@NN@&@~f&qZ5kJYYekR{Bq%Qf3$bSYU^&tr>6O^QS9rV-FXxW4I9eDc-Wj<{by?QW0xRT-~RGrO9Zj8$VMYNOwryE3qGQ<6C36nkK6wM7=<6 zVlVtVS?4A@IIq#SO!dCOCt=AVVB5NIFJ&xZT#okTQJE)Xk%+n(6V!zASes2CGHX+l zAqSz`_REuuBzg##ckA_#zmw#GK$vqOaQW2M0Bh)VFdDEJm2s(%Mw5dq+oOmqQ;zW~ zg5?4Z3g2S{Ga)lm<0!?p_s^wFO@NAZ!q0fBt+>r45f2DE7-lddeqTC$;exYlDFq+J zleo3IN?*_RL(Eox;P=fY*Zq*+4v?R^+lO(t%fV!7YU&iV&-yT}d`>@k75Z%*SPhe6 z-cMycpX>2wq-s{6N@mFUw@vZ8F1N=co+JJ* zjA#p7$4RS$cpUgK_73@ z{cj6y%ZvPXuf|S%y6cXMvg~4O$I)=7d9(g0PDmknbCf37glXXBX56ix&|1=r_=B--+AXYZsxVz!#wW4O0s zM(_$!5cfkuYhJqx>stDrr(4fILP0^%p`fSBGt;~h*88U@8=JU@bz(7jQ{CwcI8 zzi3^gD#9?qM?}}bOPUZK`Vf%<>fcDskL%{5cJ^?L_LU#EB`OU|uftsuX1g+Ltp>}Y zk-GuK5XC4Sl?ke&qHMxo=E6lzD0~bRA`Gdo{7hWm!DI7QlNmLqB?t=o$iQ1<&v2`T z`ouh3%?kN0wqnQ8;w(46FH!fSxhf%D$vT8_Ml43AOv`8e#4^jR#HX)C)~g?{TLq0y z&cY7=dO6_UJs-(!-A}7;B5j+BKi;;7$~y?6Y!z_W+qRB+hkE^j%JYH_s3%0OUv%HS zb|(Cz!c$vCF<4IkJjYI<%XvAE0rvlnv!>gyL-}l>UkmqzX78rV3kn+(;#~Z&gnS*T zIOxjW+cO;7&!*hDJ^noB1|DaTHZ0~TtJzUbGhZ2knK}$o} z1swqb<~%~W*I?z7wh`&%xG#Wyko&Gr=8x!4@a!Q_x9FbUu^N z0J4(aG=g|ZKui6$De|%z{j-{dlLn3F61|8aDNo zEg!NwEd#(bZgTlS&9(;{W8SygHEczsQ4j(wbXjDfVR9Ytr?xx{?~YLPJ5(@l3ho}S4j=_k0dRoG z#5|#8k*bU5OWx+EJ&?TAHE>yw>*PUu{t~ZS4eMcey*^g(JiKmqF}|H>u#THK_9DV= zOJKl0DhJdqERm*7J8$Xo8D+{1k zSuBhYcsc|QoxtE~3x*Ch>%*$kg86e{VM;~fX7(GWoOdy*sdSW8|NE$~ao!cQ3{7z9 z>?C&lsV^BV5JC)k&>%2g4|Csq54;o9Wu1{;OW#UBNB)wZSXbIDWTiq)g4X`JMCmG8 z6JTxx+fe>+)&JYZPqaAsDBSObiX;^lQM^DS3=cS#AU*6~T*bsu#7=jUZ(&&mc@m^| z9rqJ!lwZ-qii!Dj)(Ngl3+a07d0h*YK8zDB8BamLEjaKWm+FprdM;9oeR6IWR-2$U zbf}ucmijITlC8?vp~V+*hEDhsOas)pM!5lLL1BOg?1e99t5ml!E;RLehtA2D7U0^a zCU5W)vitq}{-a~@tt?Jlntul^&0J&f7L;%VJzAKLpgC6*77nVF>?ICD529$QBrMQb z*<38P#gEwky~w)UdZDrrL0h8K8x@Pp=YIKdsp~Cb)D|yrSdCLlRQC5<06DFxn{{az z^Mld;5^h4XnVBK(>c>|6PEP=*U+?zb#+#SyMWJ!p(;vo${0b@xyNO9?`mGaU%ZzQ| zV6bf4Z&s>c@Z#o6p=Hja1-H@IAH9Os6A@wW@^n!^IsgN>mYtj$T)4RL0Y%_#0o`Mz zJ{t~T-@*5=e~qmdsU_yo*+q2&gZJZ7<1_rV?Ou16U55PmX&rjD#GpeMI}WcyAP9RJ zU#gc19S<0OZ10-1myqbf#zM72DxCP^37ErtrUe`7loiJU#Z(S48AAc4#PE8QSi-pVXqdl z4qnj{8VK6j-CKVONx73T(Gd2A6E@%3G4MQiYbk_aB+6;j>X*oK076KPL!FF6%ba2^ zK9r^yLifN(OEONNUd~>QIO9D6Nk&vtDq!f1R(mtklXEjtM7A9_>L0FKfnT@3lM8ZU>E`k4srk97z?B$i zbCU5tmcD`^3a)8;cUfTR4(X8YMsn#A>6AvLk(7o7N$EzqOS-!ikZz>AyPI$C=ly=c ziJ7_1%$%8P>UFB5OFrVTai#EDDV{cU$VCzPYw2!omykf^vXkVZvs0DXP!#!0-{{&; ztqhAPNZpbRyE=Q?LCY@C#98&0S*+$q5t;$0%56sd3MF1x1{8~5yANC~0$_h)cyF4C z)|C*sYWikn^im5BCA!|Ylzd3O0CXW~JhiMozl^Tak~~RD5#s^tEg>ecNF!V3vL?Ih zc{=nMhRq(xFi++1&Y$swbd`s(MS2{>j{(jaC z2;@hcgj!B?fho`wXQ)fq8Ov8QfKdQl;3#bREnbN6e5}SikK=r5WNVtFbpe9`2cz?x z{a!35=i{A~j&5&{#!AYs9sJmmHJa?C6W_BOiQbADG#`8ramHZ!EHSuCisWT&z`wV` z>daYLq|o62QCd4<-84?tk`N^u`g-{F{-XaKXFBYVYB$SA;Pbq4W4D}jDuUG4r(OY# z-7X0Syo0Bw5aUk;e zrtA~>0iB;eL(Cp;(u8b`+LM1>hIgR|E;0nR=R-ZanOSck^X5@=1_2$94nWDS^}Kp9 zR(V7^V~)6&xmU*xENB1KF1})nLCOygICmr4a=|X^23mHC1LfA#-T_J7Mv^}l&M@4j zFX8V?m;IkZoW1%Pd>@zJO45WQ!YKzLgn|J!&-C**7Gy`{MB*L`tm6kJmZqo-WjbaH z+HMn>k&G=O9w2c+8H3xpI=AB?<3eUdu(t(qF9~l!~vU!X_%a70#3Nf>@nYr(= z^N4wMHz)PPXN? z!vYoh_bKhz3~3M5ss%m$y)y8&WF-9Vva_&AYO9`nO5BHQ6_r(sCB)C=#k5`Whq_%U zDA1yWp_NcTNPcqVYZ`M1u`AxhS{ubCRZvP|6}kSoZK#B>@p*PE2R?b{gl&aKoA$obJE+d zWtV3d=_><@ADBqt0Z@!-W0a47dH_-|)DG=*C$x8DPANZ53Il6*&U2eNI_1z|N2|Gu z&vJuHLYFAUW;nF|)eF?s}V+ssAgX1@ozb=>$f3h0M+O%S&piqSU5L#YnY6J(x=MSG<$@EI$`zR#e9k4 zr0#u3RXZp{w_=<%#;^V#isE&j?Sx#kBI;xkT5zoD#IP;0wS^BiA2C{P*Q-t1&5g#x zHAbNqhgQMGlhH#e2@Qc{z7&E*T*cZgy*45BKcRxve_tVw&L|F<%#xZSlIw;$4oUj7&a@)#-~3JgiRH$?&@mS`SP8T z;!;x!ZBBl%b}djD6{zhpj%dCaYi%Wc>w-52fE~-7j;?DIXEG2Ny;(uD`l{}*RXWmO z_Ws4DS4bB~+E~=jaOJhTd>iRy{%ki222QAV+sh8v)&yL8bXjd0`3ak@@uj(0Y$)OTIbY6~Xgc6BZw0%bA2Y+RoGs%wDgBr{Iy1 zyM4VcMkdD&qQ?TcNWRXUgIOV3#1nQ2%AV$e7Yf3Or%ByZuK*GO zKT4#sq-pH{R~1KWToQH)iaicuM=&iq2-Xelt<6`*Kt5ua3(`6hU_-!bAnb}tI%^^K zs@#jeyEy!clZO*USPja>hK`DKr)jAkl%BV($w;-^oQ+cptXw=!@zpC!Y+UVOn9dA_ zC$psB3qQsvjleEXXZ;_b|y`JOTM7rCbo2keY%t}qH4C&o4e=HNEO==PfW zk-(6MkaDEa3GV`FLN5P^uf*~?$cOhc{Mh*Yd>jG)R;lwy8!0oWx0W%G9L`e_|ENz2 z>Kr17Bco8!(q%+2O>&5gvF=3rlQLB~`!JylFb43KQ9&jW5x;Xfxs#y39if%aej4gk z4`NIVX|j8C_WXnO(rbLO<1omyu&xp7D)v^`(Y6UweW)Le1<<e$i)5)+e+ zJee9+W9GzOagM6>Dd%Y9D>!dl*$Y@8CK2NtKM$cy1V+`9zf1~~=U5Qyg@%`W``ih+ zyX?oQbHwn)ymJ}9b!kc2H*ITb6^sfQoKv^hJ4mk|HVNei$d4{)NYqc?eIgVo-E`cbB7QX?Y3k7x(Z-qX0yAE&Tbo6} z281+BksVWiA>AV{;%#+~a`1t-=2o7YbPP6HDSc@MV@bFD}4^8PP=ez&JdR+swc_WFKrT2=3;H0KtF^$E$1;IBA|$oANdBVf29Iw z8P}$>vHxHykszY4drIviV;MPbD*GTCwwkJZfni58D-6HPMN~yvGy2B(9a(&F`Tbj1 zI~RMreW3Kux`+8>MH#c+zYzHIflM(V=rp~**`)w(q)b3&7g3l?b404P&IX|aRe5kF zr6LuXIX`6!Gs&7vQ^^~cs#A{73xU4+Udg>DM;ja18TpjlJtuy36<&Wm5*Z1v{Rwss>22B)*8N8r zJ|~9xEXp+JPGf{hws46vs29jxVOV{{MaJCso2h4+D{A(b`DNk;RejMClWNE?ErS5? zi!Z`4J5|XNh7fH9bl*^I8>KfcfWKJr?BdAl8D8e8w2$*NoQA5IOM5F59 z!}Nh$MO0m=D<&>6Y^T3WJJ)voQvAj~Qr?nq9tD$rU1_sw70=gp7c9!&q;c@Y)byc~ zv++d`fXY7c){dq9W{%Mok z_|xwTS)Va!DcOEJ7MKxOHOdi&!Jg#@^5yh zMvi$DJ~Vl2pY-DAMux6m9P9u@{!VH|QJ#(|^u<^u>>pfE0oa*S7!{{}DdL~jMi3qh z-BxK;=yu5~3w`{30=<)`&KeQlaGX{Q6|x{Ao;S%R1564@@%(;TUdVvX8K0%VUpguF z=lyGuBF%trLYii0&IaZg;GP_2$H||se(72#F0I~X=Bjo89dUV5(?^Y-=`R={zY>KssRI!NObv!tp9J^seE0K(|@SkkYtrolS>2E0G`4^taCAmx2 z%t|zMO^^Jiq}eU@>wd<`=>ZLG0k|($qqLMn zLO=~kRQB)frE2?~#o4>12P@%dr}ED4i&Zc8E>_eOKb4(f z@~Ei@)Di}XH8&^gv}SmZ#w!f$_FdWHFUOVUSspv>(PG}Oo6%x-TbGWNonEH{{lNye zA@C3tE_{rZhXXfp&&sAFO%~trk3KR)`@AzFRImcOGVyjzCGk4W;}n&~+4-G4X0@}r zW&5#?XJq;hPYt)PZt|vA3qc)MZAGd+Ts+Mcr+kGdkKl|z{Tzyi zhTl^979}WQ!Skzf{jYz=Xg}I)JuXsqlf&4Vx%=^EfY>q1^A;=JVIC(!KS0-ktmrWk zHXmNH5=#9R=g%scK6e_rdJkM2wG!E-)iaYI)&NX-ndX-VohE448MKA7EvNZZ zr4vKDY}$yeQG<9Ct;16hv$D()X;bQY+^fs7@W2M%2OqGp2eZ{d#;6fDr%G*=1z9d> z&ZzS>m+9pOMDh1)zrt~csH}NU^2QM>Tr(HYLqRq4&YZ3783IW}v2>rhB!yUyVRIq9sotQH9B793 z4tXw1Ptue1*TZ#cVJ8{(OUuDLkOZ?UCMOgzpehJqBHzRah zrFZCUsrj}$Z&Ou%1Wlb1E1LKOtbFiYO=7MRJZH`<-8^hKIdE~+W3rgWaIFDY^*1Q}|i2w>IfqICcZwOx8t6hKZV z;bmxJ`24pM51a1iV0yJ~B2@rZUwEyw?ryP-6x4>w#JL&UfG^L>0;5P=#EysY6A|+# z934vSNOf{DaTkDQZ0L^<)UXN}WeJHqycc(*Ig+$W1xG1Wk+s)XJLmgK9sZ~2$ev0K zAA}?V`+^-LV$fIox}^qI()0jD$(0qui@sEJWDEtfRH8#r=nS@y;&RAB>UKoEE=|{( zK&>@)Q9+d|Uel8_7^7}VrNZ!=%P=)%Q=kd{*)(n6G&aXUs$J>hKZefwmU#G|4lW4Y zy4|IywAuuws8NqvJ|nzw)!xk8o*)V6AC+tV6VGWQFLi|){E5?-cBuHqDyQ?W_q!nN zyI<2`=Is#8T$uZ66V0u-`oU`!{_Csa`L&UjnAudAzO~~KX8c#o1kaLrBJ7LVm0SV- zqjED=f0m5-DWI(&f%BBF(^H;HY_U1SB&c_I#nzSmlFaq&g?Fvx-qm~KBG+^%T4!9a zZopen!CVZM52uuD3l&l$867C9P99J*&?dHZjrbE-JkRmY$@5QCuX0_43a0@V{S+}0 z??y}P$k{U!Zz!)94EZNRr3NWQS&)HbaK&&7>$c0-jO(23Ifj9r`%qg3oXzdtO0{dE ztje0I3au34VRiY^*g!5x8rT!T*H$|E1@}xEg@js8nWsrE{Hi!KSE7-2IfCrovf(aq zsb!U&m8|ONQ(Ok{M^f%?pR+x8gc`FeSPmx2S9|X2H3hn|b2WTW3*FD& zS$TOR;)p{u-!yf+#ujg*f=NqAN3bv5fnShkHr($K*_WM+`miV`S9*r+z-#Z zC`3?Ikc(cgN3XQ1W|Sv58`Wq@{cfQm&e~IlCe@#~G=`4c0E^HnkSLZ9sV?+%;#x~O zVFAa9+O~^es%J|)nex?7Bh9zH@ZrR@;P> zeg3J`h7Y^JR#J9EzI4b5NHXD`a&sNH>Ep%rbgB8FbMgD<&ie{bIh_zbN6IaKBAJ|Y!0n9`z zf+!^&dL$?igpLf6bqQxqRE&7;0i z(giBOg-f)qi#pvgrZoVgYkqAWz5n%_;MGrY%8&Kkv!uj;%$pdl{0U56RA2^u#xcJ6 zNG8 zKy7?Fq$H-jU&x4HOk*WGffHI$2&Wg+t-%qaooMQ+Woo6TWc`&dxIy5K^nQ52B(yh& zJV)Wki_iSWIih!y+Jl^e1&Jg*+C|z|gOnLJJFA|ZLTayGpE(`OpVc!G_aX6?#;Rj8Jnj52y7TtLE$L zVf=hzTC-C%TC#zf*kC^YuuXdtM%h7{ou&KFyjLqmb=%w1N82R#HZ6yC;`cQ{OPwxr zsd#jI%m>r0V1a63bbENVNnU3~UY~r1Ll;POKV~l|wyK~%FGswAoA)L@V*95>Fkp(- zWZk1j9ZOdM49#9M18Q=^i(&TXvN9Tf1SpqH;B$+fTHyO{9QGz*s~m9}l%fpdG>Bd%AJF2nrL=Pb=8g*A_Y_#=G5nRQ2@w zdxdWZ%v!95f8o$lresIO*r7Im?T$hWs#j)h%KpAc6`Gozw*47(l!i;Y7M>HK9tz-T zdjGqp@^xtCW5}SZ;eGC^*XrY`?`iATFDJ_AW`i}GeVCW;>3_brAIFY=U-LOw&=I{_ zw3>YC?H3laFJJ13_0iev71*rRd7RgC+HXHrja6tYt1rfB&CDp4S8A442)Q1lx8FV- z|31v2Yqj8=5V{g54P5(q{#40L2D$=xesNGUbW=E7(Zum|T8YvqbQya`1s%Fq5QRO@ zOGGoj{_)Yv(87|bhZ!OO@m=nA$r;3jCUlFi!p%Mb$nmhj3647Qafm%zs)AJ0Ukh!? zw1_3M5E%sTi)E9*sNM9!ms`fsOGb8A9|HS9e)+Ik0b@dCpfwn+Gv(eZdO9lKQz>wAbBx!c6LsCtoMmN2nMXH?^mTyf83I_Zoq^#bek_VPQ zXdr)I);G`kI&4h-CYZ1eNKAR5Lw)mC{ghfkW@*s|q>0wTlCJH@uA{m8lddI3cdD33hTku26@`FWe%SN%TOo-?MkF z9f?tb$X?j`^Tcw>T%J7kD-aoe9qy_4$VNwER#Xr%*J+Gj`(d%D)NI05LfXx=>b-97 z2w1EQJ4Jscb28E|x2B1JMB}A03!LMw!lh9fD3ykw(C-{ei0L3b$eoCEm`iG7eNYfJ zl$WKxNorZZ%i=r#Z&#d)R;|wb>Q}mmdz!)U%}3eqkhL`v zbE4@Qab^fgElsDDhW&o2bsZXO&UUVOU_lmY0b5Ga_s1WH3Y(*KO=O@AUaldoVpTw{GZn;u@Rs_Jv3c44I1-JVQgy1_RB85_n?0ipp1)0+nMJ-$MKHw5h(}{KEF^ zL1pByr%B^P1Bxn#c}!f=0YDV`-X^lNKM_WP^F=k<&0DxJL7`2$F%HU8>~Wx`5DsXU z7h+EGw**?SJV(LYx+AZp?(#e8T}BC5rH#8j>K+)VPAn6{ok4?75|QAn+11xh+#t@LRfyS#w#GJAFl15~y^)K|<{Q<1D{x}Qgulo$`qr*_TvCn@#0{&#jv-XE-0T1ySwlk2j}Vd8#Tz!d z>PW)Sf11faaaRMD9ADE7vwPjfIUW${GQePP=Nb|MG9`Sm@U*&BOKCb<(f>$ zJ2;oL|5qGOxJ}zs!SGx~*IBGEewJs9dORAlyjUImkJ3+Wknnl`Dq?@uI9H&uBz!hb+?dRpvlvJ)(V z`px0_%rqJ;nG@{ZLyUB6gzMn>MuQMEDJcfFq-^C(avUy2W75Lb5QsEz2&hE}Otyx= zM#%aixq>!+V-&n~6SU1!fx^CFXREJS#jdzv>?ulodXi(I^cEo0Q7dH&CkXkK_^?OF$og_d-h0H@BQ<&47bG~ z@UsDq1O&o-2t>$C9w4Uf?Kty7&EA{}YGu?o81otm;ShBX*nprY*=`5z69%zWIZ>k$ zRoIy!*G|*^Zw+oR@nQ;YHX>|GWZUH5% zW`BUdp#9fUOiS~eUj;~nMDmU?EmT4knNy1GP21zoN?4Rga0Wd_{SUmQWrBp{v#xUE z6wI~QA~*vN<%;i^3Z{ci@o)wZLv`3ltgnF$MAAwkYVWd%F3;y9*lJ(^=NHN{to?oJ*!@=g z50HLi{5CWk4NO_$iBwq%gqo-aH-&TkzS--uXtB4AvRs$ao5mxb?~pIiaYBP5%^7C_ zZqR0Rlf5Xk5YT@}{@Ie<_`lWUiPqJ-mxPz}Cul>LTM2EK^uylhbCIo0O9JGO8)9+klJs$w#{=UslIYsYo18eLf1uqbF(c!{5;KiAgP+@iQR_n*&RB^xX{v|N=CQ03+MGTO+W z!Agw}wJ)S_&BU+k7?9Xw%5!@APkF%8TBC@U<50TI1+J02F%>&_b&V{Yw9q_tmb`-# zt29Ol$e}}#Y3RK`e%I*d-amGK34Z7!>=kwexBHB?LVrusH#oP*4biRwA0bh?Fwo-a zvf2RoNb#qV@_sqbl!~oKa-U@yK}*QTZ`!C$`Ts4V>V^$y6KIqWZ4iv6?sA;OVcr#B z&1ZJ3{l;OxjjPrYCkM^#WQ3drQAF#ffy0R$TLaAsC^A|e+Mi1Ez^GUJ?}&&6pPpA| z>j?tCdJZQME1)zo3r`7SnCe46l`~pSE@^cCHezn5qogztF%+j9vTNe9R^si zf?MtTlUM^Ka2Yw}_2eDtimru;{dVY+j(LIths`goyjITFByWv6{-K^ z;PZoq^FZ@TCGA7wCfPW038lHQe?PM$$Fbn!iDLw2PquN%Aqib-?4A)lk+<(dunlTK z>XVd8F(#J4>$BvtsOfrNK&m<}hKqa74cnPD{#!W!zb+vur3{q_lTvah{7pl8z=ycs zs!kbyOKfCeUXpO-*YJ@*0-0RCE|w$_earZrVEXara!+&(qfL7Tm{xF@! zWFMEZ8F`@9-ZJZbV<2qV-7L@3^4d2L(676!OqeY{a7N^GA$^Be!KU*Nh19efGeR#a zurp5`gJ^cJ;&^C5_$LbO3diICTb5E)Cmbpt7gUYTh?CMlsMn(TpM=MY=0TYs-JHC+ zf|0ljOhf6E$Ux!AW09q%XpJT_fc92;DQND}E@Ju;F}~H9n;|90phO8vkS6ynKVylS zwN3~LKfi^9M}CrMP%#j4+<0X}s9wl50P+@vVVK3b9KWd>v3j-zkw$vsjGs04|GS{~xQ&<@I>>MuP07#tMmFa9G=!UtAgE0U zVrTk4Yf$g9!<#L4uBXYK+`yln^|%-`Cs}>MSY%Y0xPJDS?7KA(q@#ig4pcd21ni~$ zU~1X`C$l+d&1-Re9Eef>tjJbYeUh49+h(L4$J%8U(4aOiw8R*|Hd>4AFv$3yDxFYe z6K9!x~snr*C}7#S3GXhK`dDKVLDz1v|m zG|MkT%9zKs)lA0L^-e~wrSAWOk1D=SV}xNwQ61YFsMkSkjBdS5u9$=I>~*z~tg=v? z4;<=@gywe>f0+B7+Z585DMhx+lK2Lf0z+aZgjLvHM%2*7LEmvxbc*n6l!>VfbD``B zH0QDUHtO@d(tj6274LKy-rWbbr51jZM0HD{ZFLQ)dN+QzMM%N^c#0wSW00+qLwD@< zw*pW>G4K~y11tO>)A5~`@t>94ca+1(nku_JOhym#$f%V%T3j@z3XI(hlf3}8qKju&8=`Uq zw?vvil$Truu&>-Ak*lb>v;O7JPSlby&%lbFAL^#t)eQP487=n4$Q3dtf;~Qp_reBN z|E`}-7s>bnXTS!2>tEK_G~nn_!f-s^!z#EKTHR+>wc<7-j5YGnwT*$Qt9P~c+0EGQ z5W%^Da`JfiZe!`wPrCX!Gn?d`@1bvn61s4I9DgDrZmv8CvpU4;pV1@r0juqs#Y-}M z-;cV4s|_WAhzvgI>sbkUAkqT}7b* z1_pP9HZs*0)*b)b{`ldIsE8;wRXkO;QORnAen)!<6)ZH6@SoDYW&VP;Y(DQf=qKhG0t>Poh)+x~s+LR-g*>LLeE07bzR$okjk;zDh_ zGNMJwqQX`;dSm+MeG;n>*MOO{O+G}$P0nbgEB_p_BU)_+oUcgaQ53erY4uUv-!(cM z?aQOJZ<};-Y(bHiZ5<{kR)tq%d${{Cu^?H)HCHb~S*a3xyxbR05-MR@#g&n^&rR{j zhnQ;(W98V!x*m7G6Ooxq*n|)fny3Z7EYx*tEM3;d1TDP6A2(i|@c1jy84UfP`` z5p~}WK#@2|B0>0dJE&K^!cq2Jc#e*IV?svfjgcYDu1b|)qx_^6XxFbrrB|bI`+UG+ zn03&S%RGEGzd?3hdU<1XUm+b!r$@&oiT-u4A5Pwk|5VYe>`GB}=z3M64jTzN zRzQW71d>w=QXvW4H{-n?UaL%xJTz}j$NvBF_Q+;3Cb zk5*1%uN55?$>690A@0JJ56@B<=s?hvBUv7V3iS@}OB0Epzpym>9) zWN+`xkCH=}Br=thgPGad&W>+)-Fz zb!upfdMAo>;%9P0F;iwENke;hzZ5N=qg;H{*8y7Jry$J4lyqx&cP4Z!+^Y zkp&G6O^=jYogn^f->vh}P+2)rJ*&o7T_PDBWWskwfIu$dewOsn{td6?IGhl?^s`O|4Se4XC6J0}?T9@)X;#KIrdTxph@%LT9ZNW^)jtH!_*)8(lIUg_7!?{B%g_3j8YM^g%J=Xk@ z*RJ7BufFHu#^`Uj--?TOHvR&>SOGBp&Z%>ed1CzC<=3{`mmvBZ1D_;8401MtLC^1Lv{6l4TFbf9c|*5;P3M-Ph-fB5=4rt=uQd*ik1|NZ zh{SgP-4U6XcT;oB;@8fMuaQhmyn2Rf*j`k>tWRe_1L;d>(kSDbh(A069R^2xvVKxu% zdACKRqeU(t&P2bw;WWt@PzXH zslL*uJncH3rsWg%xB~rBtp%1maG4PVC!ptOznXlwK9m3;vB6dY7guUNlOBb%-kwN$ zFrS=#p`>P7Yd^l{xk={32D_7h)a}vE7}6pHz`$_fX$0qQH5xJQvbD7G_H%}A%Smh> z@4`8|KQ`J2NpQMZY<0l_ujw`$kvJHwPiCGa#kobs2ge0nkpk8HO^(dx`0dHraDrw7 z^#aG>DiOfy&$NDuQl_2BJd~qsMg&RVx*Km?{^`+t19_pnpV=`&6ixB& z+YEuuXqI>~pVkge4Crs-!>8Q|g-4}kvuH7kQW-#RV;@Fb-~MMV*WD{SWfvCR-l5e5 ziF8ttI}+@j5jRt86J&sa&Q8F7Af@jewRgU^#ygSI)lSO7tf0cNEg-5%*3nf*r~0#$ zDiOd=Mirrk@s0Lv?EXdCf(tAt1VAr4;)e$L;&t zmT#>Y^JcieeNASnXRFA}?DW~lr1`)S)%szLB@hi{o~b%j^Sd}&+`qi6>Mr6t-TO@d z<9$dpdXv-IybAr_EtdAnQQ;{kV^OJPB_O8@)qS2EECb{MDR%FIP$7a1VLO4GRJW^s z;?V@z3}s1|NUqm-n@X;eyEG5RL4`J;VO~V-|kwm`r7v3+q`e1Q8g_Rq^>t&jY@Z>jN>g?5pVvvt;Lu#pt42%(>z(1@_OK?TiN80pZ=)^@VW~v`SA!GXTNAyCk{3ZJrP%?B(biCm#6&cEsiA0+KBej%vi$7L6^ zg>F$M%dGmsmNI40)g^V$Aab=pMYBUG%4uSfg^0GB0yE1dGWM>{&869!ke~3m z#&Je*E}Bj7hJO>HT~?=T_^hE}d+IYC<*NU`M)y z1@MQ&T)6eX*lce@hU3$l2%7{dlcf_pbGNLL*=Ohc z*93hSKvbBAPaRp7lxRS^UiRh&h@`KD?C3OdTs^=af{eH6g^EXn$RfXBhMbXX{(BvGM|M$FyDccdo;(>bk=T zsb%2aU08ts_Xjwpr#h(0=^{?47m`*FoLitTaMjeLDNIZ}Ai;rXLQ2W%p4 z5)F_7EOG21I>5)xl^wg00OxlpJ@=?LzadubP3^WFGPnx34pPA?C!yrF7XV+|U5&xQ zZ1}u~4;ex;ckvz1$6voUnAgGqEdqxrVi#=Ck!uYGMIS1DbTPip2N=i08Wf)Yp7z zJ~pLZhUenExLW+_{MPUdeIw(_DBKZ*Gq!)J5HI*o;oI>|CIjcm2Ksv;#EvP6-n1OO zW`OmqvEf5X_jT|8PMnDQ5h_9fcl;3fzQAWT1vf@|-@%FAdvEOdf54}|JY5xu^C5fh zeq3E{^QbkGOCHZ*MhD{EWRkRBYCgbg6^$DA{h7qerpe>y$n4xUj~K_-Q3SVZzl=Sf zNBa%8(k!iE`JR1;6T`oG6&Lw$29up#3*L`+=tf_cnsB};t>r4QGCy+vm<$U*5%)iq z*RjCJDRNMXckLhe%tFeETD$x;nbnfc3*WctQ}S}F7C>wY#}UIRx-8Fwl7|OR9_}1fNW=4g*fvp6 zhsZfVfR8`?lV?|_^MPRL9`138_`N*Q%0)4*0tqogfS`c$U1*+MwD0|PGLR4YGo90( zW*?nG30U>J2iAuIqTk7&sNZ}m1#2kD#{d~%06C|s@|J~Nm)H3PY$vbt59b%4T-MXY zoln~w*$c2#pIk@fntoBgund05WrlFXZ~y^ASdO2qsI z!`meCV@lYYOV+d#8=3MJyQ7vFWq7hfLvKDiSToD}?BrLaSfk@qX|j>8-BOd4i&Mzv z(#MY<;k(|4GIdmD=8S=WZ|PA?KlVqFr}4PGaQL31nFM~cZ$^~u(tTWi^R2s|!s~q& ze@ytqe-D0vh{pI^ky=iK&??)1+?ORNKS7qozkVTT{aj7%b|Big*EdEjmX1v7Ov6me z7JtWjWghMbgURjM|I;Hyp<}h11`cK^q%8mW^GE(|oFK{soUmV~OxKi~sRa$(@#$@o zV@c67$c0pBMa|95Np$;u`_C+I>1vd5dl}~OqGKt?h7)#mhcdxQC@(LsOW%TsV7c55 z_JKQ?84%KBM4`~Vo2TN6`i&3y8%F&X8Izl%f7v*shfoJ|!QBUiW#s}|y_7ui`)jyw zo-)>&gpPtFnTZF2q7t`nexgf5AkZ2A@Xj>|Fn*A!U*&3})kI5$n3Bx=4qGr~vpcr- zNyxB)i&$2PUIM0uO!fnRyzThGM}tV~hZjTH$^wlbEzgGnNd9CbX@Z=L0X7%S54?nu z{7HC-w0D<~^my>51p<-xPM$@u1&CZ@iC(D+6J&6DcIYweeiUcQO^^cEo<4!>OG--* zRQw+Br~;a~@PaDhaw=HB(~NxE(23PX0?h()kc$C80#LO2>U-W0xlLYfD|re6N^rM0 zDl20wwbJY$!?1)xG(66!?mlMv1N1ffx#|p8I&w5U6x&ERHHVu&9iTBorr=UfqG9rC0fP<3q+pjl=nG%$L0E9sH)eVHT z6C3#VK6Ly&osP#Z!cOOJ`rPCe&x~N+Ggi{+ErmJ9cZQ4gx7H~#4_h=OGqhF~(8zzR z+gr}l0An48aU(P^Tq%dKGvNqD5x)!#4I$j$ct6f>OJ^a2G}o>>d>#X|E~T?$K5aC{ z&B3Ei=51_~4aBiqwIa7sWFViW#9gP(ZZ#VW?fMD=hV?uZZ3}BB)VX2-ne|50UZj!Q z^WmG=CVeFNj_5#6^CfYKSV|0~S_-YT<$lu!UKe!e11zbV_^7%@rq$>v1zeJ9)*W>`! z5JozPuF;Y{{6j_;2Xer-Q_+elw$GXNs57b!;6`miQO(n#Fhy8=vXmSrC%qI&Li}^e z?D^@>jwnbph@4ee(2)&mZ_UzRDR{T_hkG)fAsC!OV2>K|bCO9G2l8hW+13AwH7-F4 zdUhk!E~N(zYjX5i^!$u;0&hL_-)OHXe(6jyWr+>t;K*lzHt~Sl+Prsba+3gHclv`5 zu0NYA{7U~HOJ~6rRrj^=nW2UrIwYk#q$Gz%xcz4|G4r%_)y(T^u8!6PUI}sq^$g^p>PYU!Dz|hHA9m zw%lh-n26*E?A0LIRa^NwtzxxTmMC`PCeSOSp38U{v~0C&Z?TDKtZhBgC&tR8HEblv ze2@#O48Tz)>v9qe!TlglxV|__dCb`Ez0-s+^MP(GJbY3W=d&>t9+!m#024G zP!v}b;A4j5t@0=m*mAc2h8Xe5b34Gi_^?T$!+atpN|xuOw}CW}APNCjYLM)4?tmtC zhip}15lGTR)*scpd}MHUbdpAIK2&YIcN>acLfe}7U!@0^HZThT1br*AXu2Zm_>2<| zN}MsgQkT!9hQ(V0Kt}qmmV<-o!zyTTGw%4e?&EV%Me4qo+Rt^bucmgL`7BtOMe|(T z?5dd;sZ9Du)qcm^TZ*(Pn2;>Baxa$uYGH|pzyN+VGy%I({k|&EJQsy=3V5Ru9e4wP zJV8`Y9p*0`#Rtzpjb)dSd2mT(#whtfVLt)cnx6a73p~sHofS%0!6_O~l@W+p((vmm z(|XHz1%6yaVg$qlALtMMjju{SGx}MZ{EE5*e@dC$H3Y^f-th+R1Wcf5m<;Jy9t&*U zZQw$2&QrVBQC^ljG)dDOuM)c6I@m0vicync{Y~}3@`WdeP*6T(EbW?|C<+QkcAyfj zfq-~v5$kl6gFU5uXE!dyn)OUVCYTrUFco4;nW;YLhj8#z^TFGU4%F0?>=|i7)q1700weR%MOg&{B;Fc08Q;@cd-xZxp4cU%4DT z@aM_ur?Gmu(?4QySP>68kVKXQ4Y*iO2#-jt@76>;yFbc0GGz0BlTf8Q@Q^`~s30hg z3Alq8doGwG`|ggGYA!~E6dd)jCA73hEhTl)RaGHjs#@3oSG)}`<4i_ zzM{}k4&UI7?U{LZ>DJo%PYUlZ&cFQdH@R`ZA+fn+at3OO>kHt21zAQCPzxfW9Jjcb zfd6fv_A$Oc!-osmg83S$|NZH>P=Fn@=sela78Tkt{r+B~yiT@5i3pL_ z7U*X=F+6;soy7C&t%*bu2R0M5{LqU{=wpA1UjSieuk^qk2d6F|`2|&0M9|{6Sx9B( zJ1eBGDm~^+FkmB@N6xOa2woEk7()kg?r{!r*fKqADK0=^8H8X55BHQ*lX1vdSDxYF z(9)ZxR0QwdM0W*Ste(l6a}2nWTO&qtI!6fN<+map_k=``zvF!{`1j4~if>u4Mg-&I zz*I8XF`LWeFP5pe1qwExxY^FTpE}YxRj|?< zkn{E&fOha%i1F&M2>WM&`XZvf&jUdV^(~pQ-Muhof-dm0g9%{FqCSBecr@!nh z0}PR%IJ)-<6SM2P|4i-4-hqEG-h-#K{+U?i5qbr5DV zeWj|mn>e(lt_nwytEdc-Bm@q+%AwQQPF7u^WcI2pxE9Zrh9#4CkFib79nvIq|KR+T zuN|oG_wE{>jL%oY?{i{G=@#+AFfxceF8K2n-xEe-J4^K54}^H|Md_kW1U@r>FcQ_3H-9;`@9L~q+EP7WLTG1LuoCam290ZiWgiN^95X?hI<_>P4inS2_QOJ`kk|0SfbSL>Jug9i4DmZyD{ z1d}MPgK(54aoR~)v;;>apw^}CP(T`@Eeyt+++_9iAXAT9gak4`0BX`^Q?DnVmn(+_ zb5wW@x#wO-GPT$Bj6gZ%Li z@gd!hDnFlcU~F^1Ey?bz>S8*oI$|ogPKhzelt6>JK-| zO=URR!vLiu1dAIx8MLD;PocTaH}Jm4)V0jPv>5%Zg-Ai6a2M!}i&puB?)0V)sfh8z z{N)=m3oQ5%l$ltfSxpUXqEewyKd5&sS6;&R@A_o9NCmS60)U=yuEfo}@lX!=b6THhk1WehVW<}zgp+VLM0ffbeke($ zVF7`zIHLi*@*=$6!#j(*cv_7LzZ-Sk9MJ+lHcS4OdNInz04{i>`0l*w(lYM!1Hs|U zOOC8|BfoqTvf@lb2s{54D&58!x0ECFGAbaH)9@k^pXS_<)rxV$IlJ6%VF2?oUlN|e|e8g2AjD0s4Gp-Hu=ehbvoN6IKyIgz8X-=`M~9b)=S4PXKSHS^i!MR&3P z5Gslq=ylo&n^{Ya;YGsYWN>szwA{xReuMzty3B#zH51b?+U{VIFC*mP?4tDsM51qMLh=CCJnTv}x!Wr!Sf^R_p65RUyt~z{rB{9XC=n^=KG*|zi1A@15o`vmM^9wAp4<7{Zd|unlmFy&H1LE<8K7u{Ndo=f;AXj4 z8C=#xm|Tyk7y1eDSzx;pD%uzTp8kP$+tYNe|9q~D1}?dtrXJv{T7Vr~-vjq`e6MlT z_o6e`mt*qdD07FYcr6?}y(Zg`0JK+$=N~F{7sXotSE~ zUmhrs&K?&3*DRske=KJEST~ay^L|UhGvd&ZRkw6}htOB^WY&=Y!B{tG=ggm05QPPo zpPoGTbv}TCke~j1;xqAE=<8+~Lc4KeIWBos#e9USu!*>88?BrkqWZ6VFZeb4BtpbWiA9Fqd<`ON= zeN<0A8T{BYU^FL#<1b>UpeJ)0AjoJ{?`HL!FA=0}R1|c>K87UqlQ1YTY;;AG1&-kG zqXUVcen0tFnCn+-P2^NO4?-{*D2+YOFtq4on*$hxnVwoqjVB;Q_9T7eQ^=5-`Lp6u z18n$IVQ1oj$owSbgJHMI^{q9PkU{{*thpdA1op3*XSH+5yQLPBZ}RNaNspVb}}H?F{M#PRDo0e;@tm^M(=1r;+8Dg7E;Mcj8j0 zzZ~IlQXDrv=qcCiq)Yuqmpw7K+pCa%W-v@==U-0ovK4+D$TG-E^*|>-#kP>h&=#l% zzT=74R9$vvGwn~Z)cDCrM0GtOs9QDTi;nfe{--1k^ph}==RM<^p(?Tj7V zTBMja9R}+-FKx?NRpN}%{-Nl;91CaPS!0s^I?^tckPV-i%Ni{^?cqzCe#q2Ttl%*C zHLjqcp-3CTQ1o>13lisq28B5`PMS5B+)NjA59wl0-ED32lGUVqVuDe3c_8>$?^we9J;Znpl}EFGzIMhZeC z=nyC0^4K=kK4r>3Gs`bSY+2Jgh!G~Iw#2xjPamKLcWBd{S!HNSONelJkD_>n%m&w+ z0b!$JJ3ur!YSt6SlRr+m=}7DrEX5ZO@Ij=IuZNRrXTp3afYVIXgZm$u<&jJ2cz;Wo znu$xBZNg~hTGQPMj)F!}$}E@U0|8AlMqJw>a#OVU3Y3Pvc(M5PaeUZj!l6n7Hv$K3 zRyMMyHWf2k17s#X`tQ5?t^%Z%)U#;PVnWUT`{a+ zWO0-AT{a`5CWPy(J?Cu*v#m7kFy53o4wR%>F_J^{KfZI!^dBk6lK)1SgeJhpNPO0& z{5HvP7B*w_ZFp2-vBc@0jm<5`d#yQJ(B^$AE258^d~ycvS2Ww1bu~Pl1IzGt=yS%PSHV?}>{6QF{VvHbyq!n-ab`A)?&o8UZ||aMV$?y+6gnusGAI zp-nc&)Llx0q5Q8e_e@fj;K_3AyPJ?LhT0$`?t(L97>0qU>MuTC4aU1<-7!wN2)AYF zn_N3i)-RvgC~0y%IM}v0$Uwd7UX%qJe{0;L0XtY&SBtW(pq47QL1yf_+ zZZ#KG>*MC;ijB(+cU<b{;)`; ze)CzdkfW5+LNTchGtFMhvCpcr*Fv&JF^ihi8ukS{JdaFP?a;2dL+-BL;{SoiH9;=5 z3=x1C&#YTrG8ctCKF!ET@Qdkmgj%5*E7{v8O{YwO)Nl@-ac<8Cv3EFO-t`wXMv#%K zvqBV7JygtvI$>o-?N+m~ci~dpE@%iv>A1p2^%|3%=Cd~^RH34VaqmGC~15A>4p~kYMRbX0Mcbw3$qXIw%GhW@ z*!E$rkgZHU^-R@N-!$TbTucnpPLDX>+#Wd4?B8n_FB}su2oe;B5y1cv*`8n^E{T(! z!3wXH5?)G0QL6KIQZOX33d{NFDLD^YIgCqE4Q-8yK$FL7@W(CvMP}`?gF$I{Nb7*f z6a#_YAC=J+k}hywL!VUzDSjvSFJKbLq9<<)#E{$kvm`YHkcSZl;0FWEaHw8?_KbDG z3x{@B@xLhKgSgUQfWhdt=eN#4vB_R2tcsk`@zT@eU)A4H%TP|pdKztr5!X^{tlS@W zM}$A6W{9Ac{I~2*FD+ej`o%j{*XWSuJ)xR>P4nP3I+s>;=J%!=4nHZAMlPjEN{DJ{ z;S+#`CIRgtWArIhwut?Nac>0|c(mE;7}jM%v&a=9-RuPMir+-Q@=rcdb>y!*4@*W} zvEgakhp>e|X|Y-~(aV(RjJTcBu#4Zq>%Irq0QOdx82z9jSq`ed~z=c}bBOzext z75n}H5E*^T(5YtV-{OKfB{L2`u?sAFaUuo{AsO(d=mL zKxK=s){$S6$0?1eB%;gr=^!0WDmXYYe;;lGq*MOuPr|bgdZMK6JPDLU{qG#a{bKK< z(EM7Q3^I{FZ;w+)S(-UR9YzC#DIg$QHFo+`ZDz%NyQl(n^V6&w*?2A1MRU?(+HInT&hR9!Rg9C> zwYBZxtP5mIXZbx}bszlBi5MPFXh}Khb(xA&9lY`N_)L_wGZ=RWE7C5Qk@X&uWqseE z&!6>2*o9mQ@rL{Nd&Y@sURD>BNLIuvc5sJ#0oL8wzz71y{Y>QZJLXmyN|10p`GK>E z+2n2*3{r?1n_BPa9<&mW7s68-z|KO7s?odKde2IiFd+>@bkg}j)qynt8cD$NospQn zlCU3?ymZ(4P6g3Yg8_6xT`l9zLVyXg@aa$tfzi@LpQ2vWm2n6^V_o0-9-17#c`Vnz z`d$L|sQQXY4;L5EZ#hE&IxH=&BNuP`HPN_$0|5@2gxub}b+@FrLz<9cf`94ufn-h5 z=HaeEwVdy5h7!HJH9GNF+{tG23J8gL7+q{2o)SOr$r|Q*M#%~{X33E z<)fKyDU5w9B4!YEOcdU;F;XGwrT{>+%!%c!uDdgsm|xo<&YuipK+bis1KtsFnO^cvi0?x9{enyv|!swu%#(w zte)r~uJRYjNb$c+dtN!XqYBtRZ7P5j0${@Cy?p);8EOi|ilyn!vo~PQ6j2=6w=B-l z?3{KJbo~PgMELbM_pfRGc2-S0FAr}24FpQBcRefW9b|#t(clgVlX%fC-ZKgs$RLJU zTKVJTL74_X<*_1Z&K1Gx!yh=Me!XSK^+KFR^bBx4mM8Nt_J- z+NKWol6&f_e`g_(6ZJNju@)bp?~~^DmRL1^0V>x#Uf_kP!~hDkfyrL ziYep2yb_^)&VDtyRR6C2=bM3(6dgP(mw*9e1_N6q&YFLG;C^IUQa7+_N>^QPY_xf8 zx!(HFu2tGYg`kOMmbZbfm$G2IPM4gPvTlkq!kXw6(NuFNTxUiJ7ykQvlam7)!F7*v z@tJB=mgEiwIPN&z;;A+aN!a+;E!wV+3tEqfAofhxlB>JFsa23|V#l#R&v(U24{Wat zW<=l`#u;1LsOz&t*WO?_Pg;vVw5_-TQ%nzmVNhYwNw~%IBw5J-mb&wY>)Y#_OTK|V z*vSA>$S{k-D!G@-Z6NeyB7q?q{nHA}rtyells|j;QAj7_sdD~QgpGs&b`(vUvA*t* z(ajxs+ToLfFJ2uB{X{)ac?z?twbw{eoH=Dk+t)tJq@_W=u#0Vr2d`~StnVXfomL3x z_J$(M!m|oNsCFHi=L8mS38k%srI;wflA6A5#K*?WWT|NychE$4MDNESGk0{{71Qax z>a=ixW%DL> zWRwG?CHA6U;CcC6=B}-S{X#m~D>S%N{)l_+(;?0km`6PzqPe)r&soQ+u^NZbl!>EA z*f3S`K?`{wgDcZ65e7&q5!qfs_$SEEy8Ek&UH?K*7S1?vu@H`Qz}i$KopT z6k{DFu3kAm_s_%QQnkA29SsOm_#;y2{*Dig%t{5|5zCpPjbIvhVl+GGo1@XCe{m`% z2?WIiRL^ofP1j$oYjEv9UVUHOt945TCO9NB8s4-|a8VYGxPi#U9z;b#D{?QoBlXt1 zvEEkUA#i;)${=ksanG0WThLlK&tCy%7QeqNTCOe#?{s+zL@!5u>zr!0wBsR)dwBC; z*4AZlDa>)_NB=#}FYN8S8H$jB8U|j=<`&;jRJm+XRjS&ArgERe)5Mgwht$D>ILH%) z7s(3gbB7fG(K96E@PjUOEW4OMBpeqeYOEJiZ1h27AT`1eYYNk%WU<5{DfrSEU+V@mu; zrc%_a_5PUSIvKyQnbqHv2Dm?wU)cqHPuCroF$o) zS_i21;T>_HuGdqU=pe`+>6SEZq&6|$+t9R->a7I3WM5#`t}fN<2X>)CGxf+4&VW^U zD>WJ!y%4@87dzvg2KR$>OdM;|6OuR83Z`EopdIiqaJ4QVA?;5<0tpz4T+rpP^3Toj z((S^}&oVVSz|{3kAwj_`j}265uTHx^EA?>AiASsBX5ZsUGxk`TnnKzKd4D4$@4KH7 zurRG1!?-08Clq=AUESG&lhj&Ut9;Dx3EYizP|Vy@NVS6?qi7G^MZHoJQU}eM7&VCf z8_}1os`4Hp7lCjY$N6@|*aT#5+oe+}_y)y&{`#JZYMtXO8^=Yw)BD!p81tQqm?OTi zkQ=RPD1K&uV~3;c!2>RR211B8k>vYAxq|%o8A#zmEsg1In`2bWG&{9#KyaOses)L} zUT#sEtT=mg|IcA$&dcRSXPqhoxbS7q22LZN{p%i*Mf3ZD57BK}N_+3TQ>InEK0a^c zef(XP!n6_a(z2bbFZ!^Tf4Pq(@}W~Ykgi~Y*6cBN*+6GXrqHk-o%L(Y*EewKuU%cz zrl>$$cW)Se1SSHFMk2ZErv_m%>5vgJeUHkjhr?y^#$LjTxCV#Kg)5A0rlq{>OKxX1 zS~VF77|*63J3e>;{kK$+lw8jIEyJaFyS*B-mGKUe5`@;xR zLecmSF8AwnSB9}4SiN}^*@%)uh@4?H!Y8J460xp@v*R4Ax?PaIOt~qdp&>iumnu9l`sEL})@|KopP~AFneEX3wb`QWF_RLD%*76FzV&d^+GN}=Dv4}7@81yzZ+80n zX{{UugZv_6ZI#FFp&;_&lM@#DXJbpc{K?eiOuXA{_TnUJmpgthGCHE{D>|6s7%I9` z+eIbd$qUZt!bKREa=^YC3xVuEw_RObyII~?tIJKUEU;cU`irlJxvt?+Y~1cA=<@fTyrzPWXI$z9rUzCW8@AlDJpTSpn zze+z8v%#%H?DjrIToM_JzCSV>eZ9JYXR#8*?-WeW*f{jHc;LsU}WYw0ULT9%NClh4KiM(!QGI9!X zpak6wP;OFw7No${9~TqHpNZ^kWsj*8Q2H!q1U0-N%y*LQ{$hq)0fiof~$Co)_&vqL}hi&)IqLNk5 zJMAx9$FZ{HOjTu=-btfB59T~1drGmFev_YvrdEL8)7ky>(${j+b#|ItzTDgN4Kted z@5o`G^l14lYW8bQuC$p!k*ydB=kw0@MEb2=)^h^7PlRL6qaq68`hYk0_q;6t=?*B& zGr=^l-SxEf_TmsOp#c!T(C#c+)xf?6;B(q9H}I?uS-eey0$-^U_y`KcW-Fy^r)ay) zh*I^Id2BB>ma%&8so0wUD^o)oD6eNdN%Mx&?j5|bA~#1vu0m0VLg{2$lN6Px?`xWv zKbqU=YSrmsa#eHTmfOVcWHWg`cK=*^`t$0%on!O$X~&(A-LOv(OwcOL=+r9k*z$J= zMr2tFnQrrgFUT2BHL3=;->lF{;RUzk)SCJ#)4TBg2gA$lH|>x4YSX(ZzkA9Af0Ts> z%i2lJNSnJ3uzihA`7KkPpEsplXzIyFTb76RQi0a%zD4PKRccX1?r?k^WUt%w$tGHT zSbsBi)KIE(>(2R~Ls&ghgHbWdvCm%;8LC~BV>fz6%e6M&AY@FCG|W{qAL}d%u2%iC z=9dxX3)k{EY{8{c_kiJA02TmLq?i_el5x*-@b+DL;M=$N#NxmECN2;nMFzef(WLs+ z?gW%Z-Slaq>$y86v$fx_%s*G#puF7tl)c%`wzd7OK$@R~<5|DLWcB-iRSQqUV#!wb zb4}#XBAd~dnw#~*V(WB<5ksHj`S!nG&nChsJ@c>%K5RGy^hOz|Ebdw7#Nbzy#Z@*% zJyTsL{)ND=y5R_8cJn<}T?bDuaAiUn+#}`r`O@Oe;=x;r8ehF;glUb{f7-#q9+*O6 zBYLhgEZ9QkfK@du9Bm7ILxCK6`m)b+e|M63ZTO$pAI0{kU;FrF#y@(LS$9=Q>iSQ` z>}S`Liw!dF%QrV3eaoYQbl77{hzcPoof)5{@~?X2*VM#On~eQZjphVX!WKX=8+Np2}~f=_MeXDnZ)yOy*%W^f1z{$)~{h z?B|Oy|NYlloNB)wOa(f-AL;jly%YQL301<~jEo{A`f$x_fDnj}LePcL@_Gmx!l%ZP zt7>_7_RojYr#vSoMso9EqKHouT_yw_cAuj%m(l_pkgSKX2lzu9r^yEwD|E$_R+9#Ui4h1u-ss zPDiTXfd+fzmz%UR*@JmOGIhZ=i!>U$tW7r$)qdN<#w_ft{}vO(%?Xq1)>l*Xq;tHv z=~=eX%g=8A4wZfNxkZ`xzkU;?Q)w5OQ)WC#!B9E^48Xj(pW-9pY$hcZtzw2<=G&Vu zBY-<@GnOL>)h2f`k89-f+^l#$QbgA2*0%j^dah!4zT@RAOS3t4G23jg5_X^ok~R<_Q1h+h48+6Bsgx|8afpgWIEH?zhH{ z%Vdhk_r0aUy#cNuhoZNt`I}hj#GBI;h0n_nPeL_xHTGl{Q$ zU0F+C!Ax_IU`YwOdl_c9;HZhIwAJQ~J zJb*c#p6s_>+Aa0ofv;MpfiS9b^{TR}=Sh{<@!6oTF}>Orx*gDK${#6U(6GdfULYWq z!lMH{D_4F3l&Abb7GsA%wdJq6lA{)-761b8uIyOe<;K!=p1z|inczG;N`s8~^blY8 zK8uzK`UyY2hCCTflN*s%l`3e^qu}mgzCsT{y#uZVR*Zq131c6<8yB9QnCSRgTWHc7 zNg#GVr~>dLq4B%xrmWKcQx$fS?~RMPZH(-rSi~9}3NU=0#o^lS{W;gd25pJ*us(^O zeXe?5RQcMv>)~p{WlNAO_}6~^eOb$xeN^cKF{iLSn^u9`lnpQznTYSr3|ue_M8oIr zSH1bhJRw;A$tbAMdhzqHwq3)C0{_dEnBQtl?{S>)44UGY*7!G_KJkuwmxHR+83%!f z8zFzBmsgLCnb2^pSqdAFmRN8)aSr#Mm4#$p$t?RZOvG4I%CXEul=+jr-g0HQ7i^87 z^1?P>V>M8w6HPX0(a4k$nOJQS-)bMyYeCv}S^0XmsMBt!s~ISf(apyGGyKkzno5I- z7z=_Grt(983odWa|Jr&TW&HG3n8TpK;q~QNX4cx?-f!!>?49cb;yb6U0sb`~=gq!o zxXu@M0PN5;5`~42k4~XrP4Y=V45Bku4=u%Z))J067w%%OEW10vT!W8)oBM{XuNIjS zLUBHtY7T3`!J?LQz9BOA=Vp`KOUK9ja#;Y?o$3QUAUpp0J^U4Te{oz2-{FzT77H+PCfogr@3D zl9om^jJ%d)b=JA&bYja;W|4qY3Bf|apjj*Voe4K0 zF)8W%JgxsVvWw@_2PA(`mN-Z5>o4?}`!yOCjyWuXp~lUsrN>+H8oS4=2gW1H^Slzl z6>1hX+?OS!aDbL+B@!p3hE+A-tNgm>UBZmY-HdpGsb;dYmKs=xNHA-W4H}?-+EV=r zvxy;N5AW9{b!mEGafxNDZbMJ9UpM#>s_xZS&)-p*&-Nnj8EWBR zhx{Z~jDURzEDfAL3(PWv?UL#T;H#4Uwjr0=n<6YmHpwGb%i#`Q16c~ZaaDta3jmf} zsSo#eUtP4?1D4#6Iw^OUw`_6FqGHTM5e*=~rC4IFz+b4`PT8k14YLjT8}SVJKxPadgp5rtFU zU}sJZ*!O{S%n3~6dUf})As`!FF6#RunIZYG*&)piZw{J-qP(DpU}h;SImDX?z7eUz z0eBPrmL7A{rQ(3q!W>p3H=s%=O~}lsNb*4XPgugtZ|8C~EJFS6`;4C-2n|)W<7`x! z#gxyTf?z{KL%Z4jqJ)xSB_GI6_M;vug4v2oGF#Ril(g3@cmKrQ|1<4PB2RG~cUBxQ znc30SJgCq3+GM}?yMIU_YEj=5yCk}NNV~epa4uA}l>@Cel7Ci^96{mv9o+!_L=~7SxS`MXlCY{{55&P7EGOd#rDQ-b^8m^ zCGXuOT?~VwF=Ccq5clOK$w1#FZIf&Ns>I4>KHkVWvNbypzR^azz1WSr3^@ zaV6oN9P5W|PNzY!ywb~MSUer@Omfio)ZTZg@p(miGvWF*wJC*$4 z`DF?(g$vOk752|RwxpQj;Fo%rAWbQL!{%%BV%z2|m212Y;zAmlP*m&7iMKJapvy{| z@3tNFLOIJK>&%l-%a9w*&|#1BU@*es}X5$l|(*R+#0^jIbHzNiE*)i zn#f*E+rWYeLa53fp2u58OFJg>Vf3g5+F5ZNzUFrtZd28qTi7Ux+%r(|7UqJ*0^P{1 z1fVCgw%4xZG%7e*FHT-}fyBbE$gmK{&tRSp_nFwNAKP1NSBx6m4!@pwHdMTi{1s9} z-e@=e)Bkm!%{R=?bvwqFS0X9cZrvviM7lU}rca^P3j;Z7k-6LCD=XRDWvo5UpS~`| zUK4mlD8w5&BYKIYX$C4MfxMCa>QZ2EkeNwCkUqt1JUD3bhYkUq@*VZd9c-h6s-M=y z{BDw7pT?)jbNd>sEuG&mT@c;Gd#NP3=~|>qbnO0C`#M^MAl9}&#({N@)>2{_8cWp5VGvO zm~F8oi;7IT4z8QEq3S4vivb5){5}3{m!n{dXlWbHl9p1xW6c5d*#?9XUaU8+YLBNxbU%kCasfR4KOnCwJfP`?S~WKwM~4 z`2ihYhE+j9HCPAl8uW?o-ygetBUODvcj@ex*p{nZd0Fk>jhLode-CEjEcK@BiCh;d zdb7fJHfygYT_b(rmSAr!wx5pg2(cQ^o2gck z`f)OrmU?!6H&?Yiq>nyg6ZGaw$6!8)jS!xRp@U}0!H8$dhKicMLuHiG1s(QM>h0Dl z;XMe97|s#*YheI-4c-EGPs9m>Z-z7ygpMyUHBT!W(O-{6(`2KmZJt-B&0M=100C_X zETlGT^}Oy-c!XR$`ZXf&xuFh$?a4yl@dHnToTN|2{7E=n;1L(S zLNad{JOl${!H~45z4wa@bugX}4#-hVaFYM@y~K#M0p-ufZ=;Q|Mv9R!_h}$7-2d#u*IOweouEK}A5u8eOR?F2zKU8DiW?36bY{ zlvQP}i2fH}V*O|SXrS3?PiMWc6E~Hc%rRP^M%aCvsJhW{y6v;AJj_B@4FKH6|MBrS zZ%B{uXL+8RufWUU(&@w0H9vE27W%w9UvUOEHfXFl1#PHW-R_^h_VotVQl zFTeeQb4`Va5y2(wl`DvfHJ%doY;UfA1vR}F!+yEQhVLyZ=p~WzqluGV8?q!xIn2fL zbbn>XMIw^~CGmjO*v!8_l%7@!du|6#zqXV-`HwL_d{LgA?r-@p%B)kG@1lrj`mjX# z(z^DWpF&veV#$V9u&r)hoxF6&YX`v_x3ZHsrgns8W_?S*M-aihD{Wa!lFZm>{*4x!}(fc?=M3BtU@fbmpeLom* z@&1uTjIHXUy2l0fBiuX6?_3Pqn9^YCg5!QJ`ziW+cFxFpB_~uaZ zGIA)g%*N)Z>Q>C?0!DqQt`XH6^w{_=@NGa7X?&bv;E&fJy4Xo^?Z3`jF0n^`-m%$s z^ja2t+93QIukVq_6F{o(n9{B;yrptmwVjED{|+vDGtokb=G`D;AQG`T6 zJmMHS>RzjH*Aum_p1SkL-Eo}(Q}Vi%UHPeK@(EGAVAX*cz!D|0VyS)It8o5!;u;5Y zMa7DtVS9d_+auC&J$iB_QRNc5?jId}cTIgXX2+8X`H{QPp^WCTmSzr4Z|3wrN%LRJ ztn^JavIMtZt=hI3goIz~reA*@*Vic#q4+skb|uGq_OsiyEodH})&G2I>1Amy&ZySa zH)RMLrL2FDQGDNB3BpeO{e$wUoTctm_ivEQ<$2kmiT7L`w+RQVa2*`@2|Yx1>}AAK zRNe0{VMm#1-jg6AqaY$+2xcz$+=`u`p+t(<6RlTw357`nIiL-r_hDRal};av8n7vj zqrFuQ0wtIu7{m1cn0u$x9R(GSdka)dSYw_^%gQ&CjCwBJ@_Yw<)y?fn*lj8>^Eduz z&k#ydq4Diyvj761hrJs>NO$4d_G|G9%D#(Zqa8S!_`>p&L1fW|p~|tz3+(wrwoez9 z>5|Ezni%#+tI)@LMc3h-tIbLSUR(4x^)kj3IoO1JNzZ>hPj@b96YiyY=@zh$#8K-~ z=I#StrsFlN!Rw|Pa;yFqPwjMRF+bWt>0dixJlLXQ7t@|Jy$oYw3oO41j^6s9R_f+D zNnab|F-Ih0DET>;F$wV#qsdAOJ2J}2@bvi&1F207x`Z0BF+Hqjblr(RzvDqE=c1Ym z;v&TDNu5t$4K)@~s>PV+A{k*cHLq~z2g%29CwB&&?VL$;NA6Mnx6btATw($bAp^=^)tN9ig!lqIIaVMI6Xas^Fdu^|W`^jhI zCy5jXy%?2N2a7;}HBv_=98KDPjOtwfhj7)kxFCQA^k9T;f?Q8>tU5j`@NacuVMiTc zgkPrkikj@bJ8POGw>ooLpUfpN84>oW$JG%j@v`{?Z_j|v2DG0It@bMVLe3#HZsl2s zqqMWgOCM9}C}$w_5-DjW{XK#)&=5zZDU}XI`P7|>mlnFGCglGsl1$UgD1H`e`V3oP zcufSROxW*;`b1G~PFq$`Q^0+U-~r!{6@)V*^hz<~YZ760{;$fWVt<4 zI&85c{46*R0zp_fk(14;ER;hF@PKKJg_Sikw4b3Zyn*SIkuC4{?xBp|99qPLE^K&aXE5UQC8{XyD*7t z=dr1 zq{HGCF>H*NKdafzcbCz2)Fdsu4v05dtj6cXY$`2x>iimGHAF@RzxJ1ccG;(3N*d4* zKn0)}vL0P`_xFb%LYALBtuF@kh&9|Vy9117VuJ<({-Nh(_|mTwm&~cc?EiiW@R7bC zAWt5bYQx&mbc!lCj3{x{n4Y|cU_WiwnDf>jakF1s@$L_Dl3?}BNPLe`H4KBtsA_Au zaMsw4{HE)!sz|h3R>|P``Ub(GTzsh$?e0bKI$g~Fv1cFxo47WM6V;L-6Vq0C#+mqL;fuCbkSsUsyIvH3% z>NI5V83QzTEnQPXrCr=~sY)FFu%>+=i9>rue<;0BB6t&*Lx|^mt2%23W zq7}fayxl5ph_vs}x-ZuEN;MS}9&B=lZjzL9l!pkRoFROn7Dj~q!-j^Tpq=*9ToQp; zi|wZVF32QoPEA-WEZ4dTv#2NR@P(gzc4UURH&OdSO_zIv=MV=>>_a<_^)=4481uexBz1=n#NO8f3K`oC34P z#e+@J=$AnM!Ro_Mf-f}j)z_1a8Ey|F!FokFH49a~ji5fdhEn1hm#eI02S#p30rDB* zz6mNQ&?pXC;Jhqx7K;V8u;=@S&}H$gTP(0terT6nbx4Q|2~6083d0%B1>e^-S7 zzd-y`nKD^|G=g1ux`75Zh1yc6*)2EJ$0WTs_jJKb$N5ZJ?KK+nZP5vdy&(q8e$_5Sk5C0s$C!#d9`E8!Sm4%toJ3j5Ro81u-!@eu}hMkdN`yBt?mViKwKhejI5mi1ex#FI`E?H2-SS zD=mKZy0y(CDzqV$T8d8T!vj(ECZT>kk@QyOw`dSh?+Z5)T5*gTF#PTVN4qAa%zE!b z1)Jev7($jsLE^=B2200{&IAF9Oxr->iUMF>xq2fjr{C~rMmBqb^(`JpP(<6FmDOAh zVhfOy0zwMNh*px_MNVkR@&scuw{U^$Jb0`KCV(R(gG1=$m9<~yf&MRAyp#=dJZ%dn zX-a;VCrm&<{3ou06g{I+r0CMf`l^8>VK*^KF&2p+pD!;+GiQHfG-Z8}_ZJ^0gIr;0 zsUMw0>>A*cEumW>fDnP`n~D_sE}gZWkdsHFlIXus@9+&C_Eew#kKDLXhYv==7n00L z#34yQfTFG8ogn~8`^X2teWDCP8#it4ZcKme?HO%Bu8kGJb-&^CISG{*(SMOb0JQ~T zPyQ`2?tqHc#AT@WV)rqtH)3@Mj+IrWntT6p#N!^XUm>7FA4Sr7bIP|92gcnJPR!Va zkVu#oWk$WH$m%;JWI!4XX*B+UKmhJDfzTrZAI;3^bL?z6*aEO=!+vn1Eu>R`(Ij78 z|0x9Af&#jM(1eEzBA60bHO%mKANShZGkX|J8@~Js9s=88%7V;?X@Bal7)d~Ya&HI- zhgiCzlw1LB1|!1cH-FnB)wFQM+SU+P-|A}#%Wb04;E!NY2Obb8sv$u3f8=io(BqkQ zBgR%b#y~PtAQHJH7 z9W@4Qfd&7)+7&`dCfYj~?0l^8WYsiJ3wcfTzagd&NMAV{tJJgxTUgM4;bg_tM@KxJ zV#?lARIE09BppPeN-yb8sAHC(9}vcUBm6N>6$0ca1js4`L}Z%8ff*c86rhkUlK_IE zk){uKF+GsWGh71?Bnx zb`2q3C#fm$iZEg4cLl>1n|=DM+1-+Qjd^igo68f;|G__{TlTz;ADtco6k-lAe)hLh zf7dg~IQ)s{j+B<$y)1G+_<7`PB5hu%2~fwVSb)@kc>XWY!$MGgWFmF>KbW@=Hvi1r zz9q+whd40~n4B0LJMIht-+1GVKp;T>pZkiAtv z=H4l3S#M37Rei0#$?0pwDsDUu1lPbun8hfHV+R3}7euRoZn~MTw&XuFaB$C*v|o)I zU)$Kki$X&Ee+=Uu5CGPBW3&Ca(PMk2Wjs7&#O9sf)wg&M1sLQDc7$ZLSeVqLIC&%# z2XhkMs(1KZtWtKN?wwh`H)WW!va&X8*g$(><9`w-UVyueP7eWO!6c2_U%6gW`|>NV z8qzX)7}6&G$K)+LzPr-UeA697u3>M)$;z!HJk#V3*aKX%hdFerZ03K>eQ3~-E`dbMG$6#(sl_e)Q?$;^SvqNwc{+ zhr8LwwuA%_r#hz>UVtNcwb7opb=T+#ze!Hb>7JA}>7`d18X6Qu?cEs5&VHYaP7eVz ztg5I=tM<=5S6=bzYv(Om^4ipQ20k_vK9G{0nU-mu^42?*RhJa4 z3NVhmK=&S<9Rg@{N1$n*M&rf@& z@q>T>MUcdZz_WUMRolQx9uaAY2fuWi%~4iXR#{nHTVLn(F*GGx5IEYTr2WJ%BrE`Y zynn#N_{WYrKtS~10JHbi7VKaS@ErY&b}TLVe7>5RYn9bi7cNydSuMOsd#-cpm(cP> zG5|^_7U-aG|BTKK0WhQp7o=;Z7ZIrIN2j8-B#k`^Qmry|vDH2$y}<#j1veCA zLx>CMWnpn%86%G$it=ifr3xSYu7J_G!xi%BE1&R5ZiFcO0eeM2`sty>B(=vTv}jKe z)ij$|Q`=)N-5r}mXgeF;lT*>U6BA8wbY+$IvO=qv*&ZQ5lc0F8Ijc|&9FM@Nyu|z< z9^7BSBk`b45F8f1^uJg+X2nt^=S{@Qx64s8T4#Q6q%Iz_%a(yKpw?kS^O|B6Sq&zg z+$~79t;mrswCDLZHWp5_=@-+!Os8_qOtnlmve(+D77xUO&lo)ii==pDRIQS#mtI%R z@-v-*i0UMP7X=BU*bJvtjnQEQZel}d4u3~K?*5nQ#Kwjm$Y!OGwjK15X}=tfDDF?h z?yiWOxarBw)o00CfpvE>u=|`?F;Ru{q)l&6zBlz_{ZgvmT7nxbb6dP2mxG)4d;4~Z z?|Qbz!|K~RX2SZS&K(s*GKukmmSoKjld_Wf)dM9oJ)Y1D7bZxWBzr?Jt-K3i5*sb>3oo#ZzBXi1u&(FZ{Jj)!x5j#Iu za^~h}V92eu!EYk>7dh?jewZK+d2D~1qh?%!EYcd35@@`ngt>X?g(_7RXLD3@fLS$y zI#JtbyvZ4h6nav}cW%XdJ3OCK&oR=Ea&I+7DtzLLYWz&*Uq6rQ^A)3z3@IH}i__8x zU{@pGYc2~tpZnKerwh5gS!w94%vecT@BbDlh(=0$Ypr8XpQ_3J`eU3N+aC!IoM@(l z8p!rAV`)pGn4z7;T9KmBV$DdVDuc}QHNsrI4Q0S)?MZ-KWu$A9y}vz+$z~%>O5jeM zqxmW9*ZOf?%DA(>zTqBmIKS;e_nD?0GucbdEz<8AXitzr(N9qhg4N}H>tBfrn+|hz z8RCYJJGZtRd^_QcCIfmETu?@I#d}AxI&!vu)CnCZ=#DZRLa`q{94iO0MbCK{tyGth zPNpELZ;Qi3J+yFz%aGhfPrq1NV9yNg+u}2pJTE_T=fccr;*sMD?|ca1NFhQUAJ1m$ ze8bS#4p}YYqo8vl4UAP0Za^-1Z+Fa#H1tr1^N2JOUsO|UZ)uMfcm-;(d)u~kye@JP zOCSd95J-q~J}&FTks~Vz`+_8-3i-D8vD${#sc?w5KwOf3vXtLWQPu*{>QuGNB-w57 zkb$D~QXf^#rZwQJq5=2ql=BX_)dFhca%2@P&u_g$b`pR7p>OS#;p)Gc7Kv3@adhz9B&#UHO6`K6xH!E6^-CI=GrjB>HlU_r97BXyGnV!2&p35XB)0FHn zM=#ju?4c&UsE?od&UwSy%UlK%E4s^E$S$FPtSsktzkc1ZoN`MQ_a5}4ttXZhotnh! z>T5B#nGB$)Yb8_RBg7OwB@;Udp2|XB<#+l+9&NZGi=?_4aKaD7<3{!=qV$>-j`Dy=?QNLT)o6GPVW(ceWCjG;~q=UfE0f_4* zh7OqUdd;Nty=PF43b`;W&)38?bx=}Dd1^Xt!n-#BpW zq7a=u`wI+HZ#)N)Z;zTk$2Sgb#sFX&OSY`IKJqU-;HF;u1sgrnmr|&%m1d6XFE|iW zsFuo!0{|K#laypQAwy7q<)<& zvE5cS$D{BB5d;iSUr zk1*A`OV6UaAYD&M-9y|74})n9x%%XY0quYGxxk&Q({)Kr{`hHk;G{?!tB=cqch`Ir z_46^vz($AFP@}XiKObvTtm3_PXY2ceD`t-Qy`3@6XcT2b?QKAWnxpaSf96a(gFtNL zP`43QvX{K|5|cH#y;isF8Kk2{*iD(-J-$X=3bQ7E9tleqc>T5#4m`G`#X(mPFR+HA?oC_Oc*C+EaWl0}X`;jf3rO%1~9PGaL7zxF| zFbxGC4|6O+N2zxDx$d{ed6B^o{~n7feG9Q!+=r|M7PXcs&%30xc#oRX_6zR_35sFU zK?29ueFv3Zju$n1Ro_}uHDf&A)LXgryHsp^rT&XG3LnFy%CLBnhy z3#Go4g0|BzdiZppiR+^$Fc+ZyZ(lJ(XK8USGe{dLU2@mn|Ak z)vLsmu};sRGSWnLt76v#wTG)3HRO-Ci&>`z@F%OlF-VHXY59&RTi0#z)Ge2Du0|AR zgP0!wc^?fFZy1RM7t*PCz9=c|ZpN0$jqb{fr&d`&k6EHZrvIM5)m!=uVmW&Gx+&Q; z`B`HGCF_P}FG_%*{G7?D4Y%AGR6U&H#k1m>`S8P-HYdeJQn5X5n?5<(&AOQ=aHg

Skip to content

INTRODUCTION

Table of Contents

TopicPage
Introduction1
Verilog Syntax2
Basic Logic Gates3
Binary Adders and Subtractors4
Latches5
Flip Flops6
Multiplexers7
Demultiplexers8
Parity Generator9
Code converter10
Comparators11
Registers12
Counters13
Arithmetic Logic Unit14
Encoders15
Decoders16
How ro make a verilog program17
Bibliography18

Verilog is a Hardware Description Language (HDL). They resemble programming languages specifically oriented to describe hardware structures and their behavior. This language describes an interconnection of components. Such a structural description, a netlist, can be used as input to logic simulation just as a schematic is used.

A typical procedure is used in employing an HDL description as simulation input. The steps in the procedure are analysis, elaboration, and initialization, followed by the simulation.
Analysis checks the description for violations of the syntax and semantic rules for the HDL and produces an intermediate representation of the design.

Elaboration traverses the design hierarchy represented by the description; in this process, the design hierarchy is flattened to an interconnection of modules described only by their behaviors.
The result of the analysis and elaboration performed by the compiler is a simulation model of the original HDL description. This model is then passed to the simulator for execution. Initialization sets all of the variables in the simulation model to specified or default values.
Simulation executes the simulation model in either batch or interactive mode with inputs specified by the user.

The testbench is a description that includes the design to be tested, typically referred to as the Device Under Test (DUT). The testbench describes a collection of hardware and software functions that apply inputs to the DUT and analyze the outputs for correctness.
Verilog supports a design at many levels of abstraction. The primary three kinds of abstraction in Verilog are

  • Behavioral level
  • Register-transfer level
  • Gate level

Behavioral:

This is the highest level of abstraction provided by Verilog HDL. It describes the circuit using procedural statements (the "always" block, case, and if statements). At the behavioral level, the circuit is described using an algorithm consisting of a set of instructions executed one after the other sequentially.

Data-Flow:

This is the second highest level of abstraction. It specifies the circuit as a combination of operations and data transfer between the registers. In this approach, the 'assign' statement is used. An assign statement is a continuous statement where any signal changes on the right-hand side will update the output signal. Changes in the inputs are continuously monitored. Any synthesizable code is called RTL code. Gate-Level: This is the lowest level of abstraction. Here, the circuit is described by logical links and their timing properties. The module is implemented in terms of logic gates and interconnections between these gates. It resembles a schematic drawing with components connected with signals.

Functional Differences

Behavioral Level

Pros

  • Easy to write and understand, especially for complex algorithms and high-level functionality.
  • Portability: the same behavioral code can be synthesized into different hardware architectures.
  • Enables early verification, allowing designers to test system functionality before committing to a particular hardware architecture.

Cons

  • Less control over the hardware implementation details.
  • Can be less efficient in terms of area, power, and performance than lower levels of abstraction.
  • More difficult to optimize for timing and power.

Register Transfer Level (RTL)

Pros

  • Offers greater control over the hardware implementation details.
  • Can be optimized for timing, power, and area, allowing for more efficient designs.
  • Can be used for both simulation and synthesis. Cons
  • More difficult to write and understand than the behavioral level.
  • Can be less portable than the behavioral level, since the same code may not synthesize correctly across different architectures.
  • Can be more difficult to verify than the behavioral level, since the design is more complex.

Gate Level

Pros

  • Provides the most detailed view of the hardware implementation.
  • Highly optimized for area, power, and performance.
  • Ideal for final verification of the design. Cons
  • Very difficult to write and understand.
  • Not portable: The code is specific to a particular hardware architecture.
  • Verification can be very time-consuming and difficult, especially for complex designs.

VERILOG SYNTAX

CODE:

v
module half_adder(output s, c, input a, b);
+    
Skip to content

INTRODUCTION

Table of Contents

TopicPage
Introduction1
Verilog Syntax2
Basic Logic Gates3
Binary Adders and Subtractors4
Latches5
Flip Flops6
Multiplexers7
Demultiplexers8
Parity Generator9
Code converter10
Comparators11
Registers12
Counters13
Arithmetic Logic Unit14
Encoders15
Decoders16
How ro make a verilog program17
Bibliography18

Verilog is a Hardware Description Language (HDL). They resemble programming languages specifically oriented to describe hardware structures and their behavior. This language describes an interconnection of components. Such a structural description, a netlist, can be used as input to logic simulation just as a schematic is used.

A typical procedure is used in employing an HDL description as simulation input. The steps in the procedure are analysis, elaboration, and initialization, followed by the simulation.
Analysis checks the description for violations of the syntax and semantic rules for the HDL and produces an intermediate representation of the design.

Elaboration traverses the design hierarchy represented by the description; in this process, the design hierarchy is flattened to an interconnection of modules described only by their behaviors.
The result of the analysis and elaboration performed by the compiler is a simulation model of the original HDL description. This model is then passed to the simulator for execution. Initialization sets all of the variables in the simulation model to specified or default values.
Simulation executes the simulation model in either batch or interactive mode with inputs specified by the user.

The testbench is a description that includes the design to be tested, typically referred to as the Device Under Test (DUT). The testbench describes a collection of hardware and software functions that apply inputs to the DUT and analyze the outputs for correctness.
Verilog supports a design at many levels of abstraction. The primary three kinds of abstraction in Verilog are

  • Behavioral level
  • Register-transfer level
  • Gate level

Behavioral:

This is the highest level of abstraction provided by Verilog HDL. It describes the circuit using procedural statements (the "always" block, case, and if statements). At the behavioral level, the circuit is described using an algorithm consisting of a set of instructions executed one after the other sequentially.

Data-Flow:

This is the second highest level of abstraction. It specifies the circuit as a combination of operations and data transfer between the registers. In this approach, the 'assign' statement is used. An assign statement is a continuous statement where any signal changes on the right-hand side will update the output signal. Changes in the inputs are continuously monitored. Any synthesizable code is called RTL code. Gate-Level: This is the lowest level of abstraction. Here, the circuit is described by logical links and their timing properties. The module is implemented in terms of logic gates and interconnections between these gates. It resembles a schematic drawing with components connected with signals.

Functional Differences

Behavioral Level

Pros

  • Easy to write and understand, especially for complex algorithms and high-level functionality.
  • Portability: the same behavioral code can be synthesized into different hardware architectures.
  • Enables early verification, allowing designers to test system functionality before committing to a particular hardware architecture.

Cons

  • Less control over the hardware implementation details.
  • Can be less efficient in terms of area, power, and performance than lower levels of abstraction.
  • More difficult to optimize for timing and power.

Register Transfer Level (RTL)

Pros

  • Offers greater control over the hardware implementation details.
  • Can be optimized for timing, power, and area, allowing for more efficient designs.
  • Can be used for both simulation and synthesis. Cons
  • More difficult to write and understand than the behavioral level.
  • Can be less portable than the behavioral level, since the same code may not synthesize correctly across different architectures.
  • Can be more difficult to verify than the behavioral level, since the design is more complex.

Gate Level

Pros

  • Provides the most detailed view of the hardware implementation.
  • Highly optimized for area, power, and performance.
  • Ideal for final verification of the design. Cons
  • Very difficult to write and understand.
  • Not portable: The code is specific to a particular hardware architecture.
  • Verification can be very time-consuming and difficult, especially for complex designs.

VERILOG SYNTAX

CODE:

v
module half_adder(output s, c, input a, b);
     assign s = a ^ b;
     assign c = a & b;
 endmodule

module is always terminated by endmodule. Always specify output first and then input.

TESTBENCH:

v
module half_adder_test;
@@ -33,8 +33,8 @@
         #20;
         end
     end
-endmodule

reg: store input values
wire: store output values begin end is used to specify a block of code.


BASIC LOGIC GATES

Logic gates are basic building blocks of digital electronics circuits that perform logical operations on one or more binary inputs and produce a binary output. In other words, a logic gate is an electronic device that operates on logical signals (digital signals) to perform a Boolean function.

There are several types of logic gates, including:

AND gate: It has two or more input signals and produces a HIGH output only if all inputs are HIGH. The AND gate symbol is the dot or multiplication symbol.

OR gate: It has two or more input signals and produces a HIGH output if at least one input is HIGH. The OR gate symbol is the plus symbol.

NOT gate (Inverter): It has one input signal and produces the complement of the input signal at its output. The NOT gate symbol is a triangle with a circle at the output.

NAND gate: It is a combination of an AND gate and a NOT gate. It produces a LOW output only if all inputs are HIGH. The NAND gate symbol is an AND gate with a small circle at its output.

NOR gate: It is a combination of an OR gate and a NOT gate. It produces a HIGH output only if all inputs are LOW. The NOR gate symbol is an OR gate with a small circle at its output.

XOR gate: It has two input signals and produces a HIGH output if the two inputs are different (i.e., one input is HIGH and the other is LOW). The XOR gate symbol is the addition symbol with a circle around it.

XNOR gate: It is a combination of an XOR gate and a NOT gate. It produces a HIGH output if the two inputs are the same (i.e., both inputs are HIGH or both inputs are LOW). The XNOR gate symbol is an XOR gate with a small circle at its output.

Binary Adders and Subtractors

HALF ADDER

A half-adder is an arithmetic circuit that generates the sum of two binary digits. The circuit has two inputs and two outputs. The input variables are the augend and addend bits to be added, and the output variables produce the sum and carry.

The Boolean functions for the two outputs, easily obtained from the truth table, are

S = XY + XY = X ⊕ Y

C = XY

xyCS
0000
0101
1001
1110

FULL ADDER

A full adder is a combinational circuit that forms the arithmetic sum of three input bits. Two input variables, denoted by X and Y, represent the two significant bits to be added. The third input, Z, represents the carry from the previous lower significant position.
The simplified sum-of-product functions for the two outputs are :

S = XYZ + XYZ + XY Z + XYZ
C = XY + XZ + YZ

S = (X ⊕ Y) ⊕ Z
C = XY + Z(X ⊕ Y)

RIPPLE CARRY ADDER

A parallel binary adder is a digital circuit that produces the arithmetic sum of two binary numbers using only combinational logic. The parallel adder uses n full adders in parallel, with all input bits applied simultaneously to produce the sum.
The full adders are connected in cascade, with the carry output from one full adder connected to the carry input of the next full adder. Since a 1 carry may appear near the least significant bit of the adder and yet propagate through many full adders to the most significant bit, just as a wave ripples outward from a pebble dropped in a pond, the parallel adder is referred to as a ripple carry adder.

CARRY LOOK AHEAD ADDER

Define two new binary variables

Pi = Ai XOR Bi
Gi = Ai AND Bi

the output sum and carry can respectively be expressed as

Si = Pi XOR Ci
Ci+1 = Gi + (Pi AND Ci)

Each sum output requires two exclusive-OR gates. The output of the first exclusive-OR gate generates the Pi variable, and the AND gate generates the Gi variable. The carries are propagated through the carry lookahead generator and applied as inputs to the second exclusive-OR gate. All output carries are generated after a delay through two levels of gates. Thus, outputs S1 through S3 have equal propagation delay times.

HALF SUBTRACTOR

A half subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) and produces two output bits: the difference (D) and the borrow (B). The half subtractor is called "half" because it can only subtract two single-bit binary numbers, unlike a full subtractor that can subtract two multi-bit binary numbers. D= A XOR B B’ = Abar AND B

FULL SUBTRACTOR

A full subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) along with a borrow (Bin) and produces two output bits: the difference (D) and the borrow (Bout). The full subtractor is an extension of the half subtractor, which can only subtract two single-bit binary numbers.

D = A XOR B XOR Bin
B’ = Abar AND B OR ((A XOR B)bar)Bin

BINARY ADDER AND SUBTRACTOR

M-N where M-minuend and N is subtrahend When performing a subtraction we complement the subtrahend N, and when performing an addition we do not complement N.

The 2s complement can be obtained by taking the 1s complement and adding 1 to the least significant bit. The 1s complement can be implemented easily with inverter circuits, and we can add 1 to the sum by making the input carry of the parallel adder equal to 1. The input carry C0 must be equal to 1. The operation that is performed becomes A plus the 1s complement of B plus 1. This is equal to A plus the 2s complement of B. For unsigned numbers, it gives A - B if A >= B or the 2s complement of B - A if A []( B

LATCHES

SR LATCH

The SR latch is a circuit constructed from two cross- coupled NOR gates. The latch has two inputs, labeled S for set and R for reset, and two useful states. When output Q = 1 and Q = 0, the latch is said to be in the set state. When Q = 0 and Q = 1, it is in the reset state. Outputs Q and Q are normally the complements of each other. When both inputs are equal to 1 at the same time, an undefined state with both outputs equal to 0 occurs.

S̅R̅ LATCH

The SR latch with two cross- coupled NAND gates. It operates with both inputs normally at 1, unless the state of the latch has to be changed. The application of a 0 to the S input causes output Q to go to 1, putting the latch in the set state. When the S input goes back to 1, the circuit remains in the set state. With both inputs at 1, the state of the latch is changed by placing a 0 on the R input. This causes the circuit to go to the reset state and stay there, even after both inputs return to 1. The condition that is undefined for this NAND latch is when both inputs are equal to 0 at the same time, an input combination that should be avoided. When the NAND latch requires a 0 signal to change its state, it is referred to as an SR latch.

SR LATCH WITH CONTROL INPUT

It consists of the basic NAND latch and two additional NAND gates. The control input C acts as an enable signal for the other two inputs. The output of the NAND gates stays at the logic- 1 level as long as the control input remains at 0.
When the control input goes to 1, information from the S and R inputs is allowed to affect the S R latch. The set state is reached with S = 1, R = 0, and C = 1. To change to the reset state, the inputs must be S = 0, R = 1, and C = 1. In either case, when C returns to 0, the circuit remains in its current state. Control input C = 0 disables the circuit so that the state of the output does not change, regardless of the values of S and R. Moreover, when C = 1 and both the S and R inputs are equal to 0, the state of the circuit does not change.An undefined state occurs when all three inputs are equal to 1. This condition places 0s on both inputs of the basic S R latch, giving an undefined state.

D LATCH

One way to eliminate the undesirable undefined state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done in the D latch, shown in Figure 4-8. This latch has only two inputs: D (data) and C (control). The complement of the D input goes directly to the S input, and D is applied to the R input.
As long as the control input is 0, the S R latch has both inputs at the 1 level, and the circuit cannot change state regardless of the value of D. The D input is sampled when C = 1. If D is 1, the Q output goes to 1, placing the circuit in the set state. If D is 0, output Q goes to 0, placing the circuit in the reset state. The D latch receives its designation from its ability to hold data in its internal storage. The binary information present at the data input of the D latch is transferred to the Q output when the control input is enabled (1). The output follows changes in the data input, as long as the control input is enabled. When the control input is disabled (0), the binary information that was present at the data input at the time the transition in C occurred is retained at the Q output until the control input C is enabled again.

FLIP FLOPS

There are basically 4 types of flip-flops:

  1. SR Flip-Flop
  2. JK Flip-Flop
  3. D Flip-Flop
  4. T Flip-Flop

SR FLIP-FLOP

SR flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, SR latch operates with an enable signal. The circuit diagram of SR flip-flop is shown in the following figure.This circuit has two inputs S & R and two outputs QN and Qn+1, present and next state respectively. The operation of the SR flip-flop is similar to SR Latch. But, this flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. Qn+1 = S + R’Qn

JK FLIP-FLOP

JK flip-flop is the modified version of SR flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has two inputs J & K and two outputs Qn and Qn+1, present and next state respectively, JK flip-flop can be used for one of these four functions such as Hold, Reset, Set & Complement of present state based on the input conditions, when positive transition of clock signal is applied.

Qn+1 = J(Qn)’ + K’(Qn)

D FLIP-FLOP

D flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, D latch operates with an enable signal. That means, the output of D flip-flop is insensitive to the changes in the input, D except for active transition of the clock signal. This circuit has single input D and two outputs Qn and Qn+1. This flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. D flip-flop always holds the information, which is available on data input, D of earlier positive transition of clock signal. Next state of D flip-flop is always equal to data input, D for every positive transition of the clock signal.

Qn+1 = D

T FLIP-FLOP

T flip-flop is the simplified version of JK flip-flop. It is obtained by connecting the same input ‘T’ to both inputs of JK flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has single input T and two outputs Qn and Qn+1. The operation of the T flip-flop is the same as that of the JK flip-flop. Here, we considered the inputs of JK flip-flop as J = T and K = T in order to utilize the modified JK flip-flop for 2 combinations of inputs. So, we eliminated the other two combinations of J & K, for which those two values complement each other in a T flip-flop.

Qn+1 = T’Q(n) + (Q(n))’T = T XOR Q(n)

MULTIPLEXERS

2-1 MUX

It selects binary information from one of many input lines and directs the information to a single output line. The selection of a particular input line is controlled by a set of input variables, called selection inputs. there are 2^n input lines and n selection inputs whose bit combinations determine which input is selected. , if the select input S = 0, the output of the multiplexer takes on the values of I 0 , and, if input S = 1, the output of the multiplexer takes on the values of I 1 . Thus, S selects either input I 0 or input I 1 to appear at output Y.
We can see that the equation for the 2–to–1-line multiplexer output Y is
Y = S’I0 + SI1

4-1 MUX

The function Y depends on four inputs I 0 , I 1 , I 2 , and I 3 and two select inputs S1 and S0 . By placing the values of I 0 through I 3 in the Y column. Since there are six variables, and only S1 and S0 are fixed, this single row represents 16 rows of the corresponding full truth table. From the table, we can write the equation for Y as
Y = S1’S0’ I0 + S1’S0 I1 + S1S0’I2 + S1S0 I3

S1S2Y
00I0
01I1
10I2
11I3

DEMULTIPLEXERS

1:2 DEMUX

A 1-to-2 demultiplexer consists of one input line, two output lines and one select line. The signal on the select line helps to switch the input to one of the two outputs. When the select input is LOW, then the input will be passed to Y0 and if the select input is HIGH, then the input will be passed to Y1
Y0 = S’ D
Y1 = S D

PARITY GENERATOR

Many systems use a parity bit as a means for bit error detection. Any group of bits contains either an even or an odd number of 1s. A parity bit is attached to a group of bits to make the total number of 1s in a group always even or always odd. An even parity bit makes the total number of 1s even, and an odd parity bit makes the total odd. A parity bit provides for the detection of a single bit error (or any odd number of errors, which is very unlikely) but cannot check for two errors in one group. For instance, let’s assume that we wish to transmit the BCD code 0101. (Parity can be used with any number of bits; we are using four for illustration.) The total code transmitted, including the even parity bit, is

Now let’s assume that an error occurs in the third bit from the left (the 1 becomes a 0)

When this code is received, the parity check circuitry determines that there is only a single 1 (odd number), when there should be an even number of 1s. Because an even number of 1s does not appear in the code when it is received, an error is indicated.

CODE CONVERTER

BCD to Binary Code converter

The binary numbers representing the weights of the BCD bits are summed to produce the total binary number. The binary equivalent of each BCD bit is a binary number representing the weight of that bit within the total BCD number.

Binary to Gray

Conversion between binary code and Gray code is sometimes useful. The following rules explain how to convert from a binary number to a Gray code word:

  1. The most significant bit (left-most) in the Gray code is the same as the corresponding MSB in the binary number.
  2. Going from left to right, add each adjacent pair of binary code bits to get the next Gray code bit. Discard carries

Gray to Binary

To convert from Gray code to binary, use a similar method; however, there are some differences. The following rules apply:

  1. The most significant bit (left-most) in the binary code is the same as the corresponding bit in the Gray code.
  2. Add each binary code bit generated to the Gray code bit in the next adjacent position. Discard carries.

COMPARATORS

The exclusive-NOR gate can be used as a basic comparator because its output is a 0 if the two input bits are not equal and a 1 if the input bits are equal. The basic comparator can be expanded to any number of bits. The AND gate sets the condition that all corresponding bits of the two numbers must be equal if the two numbers themselves are equal. To determine an inequality of binary numbers A and B, you first examine the highest order bit in each number. The following conditions are possible: 1. If A3 = 1 and B3 = 0, number A is greater than number B. 2. If A3 = 0 and B3 = 1, number A is less than number B. 3. If A3 = B3, then you must examine the next lower bit position for an inequality. These three operations are valid for each bit position in the numbers. The general procedure used in a comparator is to check for an inequality in a bit position, starting with the highest-order bits (MSBs). When such an inequality is found, the relationship of the two numbers is established, and any other inequalities in lower-order bit positions must be ignored because it is possible for an opposite indication to occur; the highest-order indication must take precedence.

Registers

A register is a group of flip‐flops, each one of which shares a common clock and is capable of storing one bit of information. An n ‐bit register consists of a group of n flip‐flops capable of storing n bits of binary information. In addition to the flip‐flops, a register may have combinational gates that perform certain data‐processing tasks.

Four‐bit register

The common clock input triggers all flip‐flops on the positive edge of each pulse, and the binary data available at the four inputs are transferred into the register. The value of (I3 , I2 , I1 , I0) immediately before the clock edge determines the value of (A3 , A2 , A1 , A0) after the clock edge. The four outputs can be sampled at any time to obtain the binary information stored in the register. The input Clear_b goes to the active‐low R (reset) input of all four flip‐flops. When this input goes to 0, all flip‐flops are reset asynchronously. The Clear_b input is useful for clearing the register to all 0’s prior to its clocked operation. The R inputs must be maintained at logic 1 (i.e., de-asserted) during normal clocked operation. Note that, depending on the flip‐flop, either Clear, Clear_b, reset, or reset_b can be used to indicate the transfer of the register to an all 0’s state.

Four bit register with parallel load**Gi = Ai AND Bi **

A four‐bit data‐storage register with a load control input that is directed through gates and into the D inputs of the flip‐flops. The additional gates implement a two‐channel mux whose output drives the input to the register with either the data bus or the output of the register. The load input to the register determines the action to be taken with each clock pulse.

SHIFT REGISTERS

SERIAL IN/SERIAL OUT REGISTERS

The serial in/serial out shift register accepts data serially—that is, one bit at a time on a single line. It produces the stored information on its output also in serial form .

Serial In/Parallel Out Shift Registers

Data bits are entered serially (least-significant bit first) into a serial in/parallel out shift register in the same manner as in serial in/serial out registers. The difference is the way in which the data bits are taken out of the register; in the parallel output register, the output of each stage is available. Once the data is stored, each bit appears on its respective output line, and all bits are available simultaneously, rather than on a bit-by-bit basis as with the serial output.

Parallel In/Serial Out Shift Registers

For a register with parallel data inputs, the bits are entered simultaneously into their respective stages on parallel lines rather than on a bit-by-bit basis on one line as with serial data inputs.

Parallel In/Parallel Out Shift Registers

Parallel entry and parallel output of data have been discussed. The parallel in/parallel out register employs both methods. Immediately following the simultaneous entry of all data bits, the bits appear on the parallel outputs.

COUNTERS

A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some external source and may occur at a fixed interval of time or at random. The sequence of states may follow the binary number sequence or any other sequence of states.

BINARY RIPPLE COUNTER

A binary ripple counter consists of a series connection of complementing flip‐flops, with the output of each flip‐flop connected to the C input of the next higher order flip‐flop. The flip‐flop holding the least significant bit receives the incoming count pulses. A complementing flip‐flop can be obtained from a JK flip‐flop with the J and K inputs tied together or from a T flip‐flop. A third possibility is to use a D flip‐flop with the complement output connected to the D input.The output of each flip‐flop is connected to the C input of the next flip‐flop in sequence. The flip‐flop holding the least significant bit receives the incoming count pulses. The bubble in front of the dynamic indicator symbol next to C indicates that the flip‐flops respond to the negative‐edge transition of the input. The negative transition occurs when the output of the previous flip‐flop to which C is connected goes from 1 to 0.

BCD RIPPLE COUNTER

A decimal counter follows a sequence of 10 states and returns to 0 after the count of 9. Such a counter must have at least four flip‐flops to represent each decimal digit, since a decimal digit is represented by a binary code with at least four bits. The sequence of states in a decimal counter is dictated by the binary code used to represent a decimal digit. The four outputs are designated by the letter symbol Q, with a numeric subscript equal to the binary weight of the corresponding bit in the BCD code. Note that the output of Q1 is applied to the C inputs of both Q2 and Q8 and the output of Q2 is applied to the C input of Q4. The J and K inputs are connected either to a permanent 1 signal or to outputs of other flip‐flops.

4 BIT SYNCHRONOUS BINARY COUNTER

The flip‐flop in the least significant position is complemented with every pulse. A flip‐flop in any other position is complemented when all the bits in the lower significant positions are equal to 1 . Synchronous binary counters have a regular pattern and can be constructed with complementing flip‐flops and gates. The C inputs of all flip‐flops are connected to a common clock. The counter is enabled by Count_enable. If the enable input is 0, all J and K inputs are equal to 0 and the clock does not change the state of the counter. The first stage, A0, has its J and K equal to 1 if the counter is enabled. The other J and K inputs are equal to 1 if all previous least significant stages are equal to 1 and the count is enabled. The chain of AND gates generates the required logic for the J and K inputs in each stage. The counter can be extended to any number of stages, with each stage having an additional flip‐flop and an AND gate that gives an output of 1 if all previous flip‐flop outputs are 1. Note that the flip‐flops trigger on the positive edge of the clock.

FOUR BIT UP-DOWN BINARY COUNTER

The two operations can be combined in one circuit to form a counter capable of counting either up or down. It has an up control input and a down control input. When the up input is 1, the circuit counts up, since the T inputs receive their signals from the values of the previous normal outputs of the flip‐flops.

BINARY COUNTER WITH PARALLEL LOAD

When equal to 1, the input load control disables the count operation and causes a transfer of data from the four data inputs into the four flip‐flops. If both control inputs are 0, clock pulses do not change the state of the register. The carry output becomes a 1 if all the flip‐flops are equal to 1 while the count input is enabled. This is the condition for complementing the flip‐flop that holds the next significant bit. The carry output is useful for expanding the counter to more than four bits. The speed of the counter is increased when the carry is generated directly from the outputs of all four flip‐flops, because the delay to generate the carry bit is reduced. A counter with a parallel load can be used to generate any desired count sequence.the Count control is set to 1 to enable the count through the CLK input. Also, recall that the Load control inhibits the count and that the clear operation is independent of other control inputs.

[]"(image-0120.png)

ARITHMETIC LOGIC UNIT (ALU)

The ALU, or arithmetic logic unit, is a crucial component of the CPU (central processing unit) in a computer system. It is responsible for carrying out arithmetic and logic operations. Also referred to as the integer unit (IU), it is an integrated circuit found in both CPUs and GPUs. The ALU is the final stage in the processor where calculations are performed. It possesses the capability to handle various tasks related to arithmetic and logic operations, including addition, subtraction, shifting operations, as well as Boolean comparisons such as XOR, OR, AND, and NOT operations. It operates on binary numbers and can execute mathematical and bitwise operations. The ALU consists of two units: the arithmetic unit (AU) and the logic unit (LU). By utilizing operands and codes, the ALU determines which operations to perform based on the input data provided. Once the ALU completes processing the input, the resulting information is sent to the computer's memory.

Here are the main functions of an ALU:

Arithmetic Operations: The ALU performs various arithmetic operations on binary numbers, such as addition, subtraction, multiplication, and division. It uses binary addition and subtraction circuits to handle these operations efficiently.

Logical Operations: The ALU executes logical operations on binary data, including AND, OR, XOR (exclusive OR), and NOT. These operations manipulate individual bits or binary values to determine logical relationships and produce logical results.

Comparison Operations: The ALU performs comparison operations to determine the relationship between two binary values. It can compare if two values are equal, not equal, greater than, less than, greater than or equal to, or less than or equal to each other. The result of these comparisons is typically represented by setting specific flags or registers in the CPU.

Bitwise Operations: The ALU carries out bitwise operations, which manipulate individual bits in binary numbers. These operations include shifting the bits left or right, rotating bits, and masking operations. Bitwise operations are commonly used in tasks such as data manipulation, encryption, and signal processing.

Conditional Operations: The ALU supports conditional operations, enabling the CPU to execute different instructions based on certain conditions. For example, it can perform conditional branching, where the CPU jumps to a specific instruction address based on the result of a comparison operation.

Data Movement: The ALU may also handle data movement operations within the CPU. This involves transferring data between registers, memory, and other CPU components.

ENCODER

An encoder is a digital circuit that performs the inverse operation of a decoder. An encoder has 2n (or fewer) input lines and n output lines.

PRIORITY ENCODER

A priority encoder is an encoder circuit that includes the priority function. The operation of the priority encoder is such that if two or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence. In addition to the two outputs x and y , the circuit has a third output designated by V ; this is a valid bit indicator that is set to 1 when one or more inputs are equal to 1. If all inputs are 0, there is no valid input and V is equal to 0. The other two outputs are not inspected when V equals 0 and are specified as don’t-care conditions.

x = D2+ D3
y = D3 + D1 D 2
V = D0 + D1 + D2 + D3

[]"(image-0132.png)

DECODER

A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2n unique output lines.The decoders presented here are called n -to- m -line decoders, where m … 2^n.

3-8 LINE DECODER

The three inputs are decoded into eight outputs, each representing one of the minterms of the three input variables. The three inverters provide the complement of the inputs, and each one of the eight AND gates generates one of the minterms.

2-4 LINE DECODER WITH ENABLE INPUT

The circuit operates with complemented outputs and a complement enable input. The decoder is enabled when E is equal to 0 (i.e., active-low enable). As indicated by the truth table, only one output can be equal to 0 at any given time; all other outputs are equal to 1. The output whose value is equal to 0 represents the minterm selected by inputs A and B . The circuit is disabled when E is equal to 1, regardless of the values of the other two inputs. When the circuit is disabled, none of the outputs are equal to 0 and none of the minterms are selected.

HOW TO MAKE A VERILOG PROGRAM

Create a New Project in your own version of Quartus Prime.

Select an appropriate directory for your Verilog project files and create an empty project file template.

[]" src=

Use the 10M50DAF484C7G Board from the MAX 10 Family.

Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.

Click on the file icon in the top left corner and create a new Verilog HDL file.

When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.

Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.

Create a new Test Bench if you are creating one for a new program that has not been made before.

Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.

After setting your testbench, you can now run and compile your program by clicking on the third play button.

You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.

BIBLIOGRAPHY

  1. Digital Design, 5th Edition by M Morris Mano and Michael Ciletti
  2. Digital Fundamentals by Floyd and Jain
  3. electronicshub.org/demultiplexerdemux
  4. tutorialspoint.com/digital_circuits/digital_circuits_flip_flops
  5. tutorialspoint.com/digital_circuits/digital_circuits_demultiplexers
- +endmodule

reg: store input values
wire: store output values begin end is used to specify a block of code.


BASIC LOGIC GATES

Logic gates are basic building blocks of digital electronics circuits that perform logical operations on one or more binary inputs and produce a binary output. In other words, a logic gate is an electronic device that operates on logical signals (digital signals) to perform a Boolean function.

There are several types of logic gates, including:

AND gate: It has two or more input signals and produces a HIGH output only if all inputs are HIGH. The AND gate symbol is the dot or multiplication symbol.

OR gate: It has two or more input signals and produces a HIGH output if at least one input is HIGH. The OR gate symbol is the plus symbol.

NOT gate (Inverter): It has one input signal and produces the complement of the input signal at its output. The NOT gate symbol is a triangle with a circle at the output.

NAND gate: It is a combination of an AND gate and a NOT gate. It produces a LOW output only if all inputs are HIGH. The NAND gate symbol is an AND gate with a small circle at its output.

NOR gate: It is a combination of an OR gate and a NOT gate. It produces a HIGH output only if all inputs are LOW. The NOR gate symbol is an OR gate with a small circle at its output.

XOR gate: It has two input signals and produces a HIGH output if the two inputs are different (i.e., one input is HIGH and the other is LOW). The XOR gate symbol is the addition symbol with a circle around it.

XNOR gate: It is a combination of an XOR gate and a NOT gate. It produces a HIGH output if the two inputs are the same (i.e., both inputs are HIGH or both inputs are LOW). The XNOR gate symbol is an XOR gate with a small circle at its output.

Binary Adders and Subtractors

HALF ADDER

A half-adder is an arithmetic circuit that generates the sum of two binary digits. The circuit has two inputs and two outputs. The input variables are the augend and addend bits to be added, and the output variables produce the sum and carry.

The Boolean functions for the two outputs, easily obtained from the truth table, are

S = XY + XY = X ⊕ Y

C = XY

xyCS
0000
0101
1001
1110

FULL ADDER

A full adder is a combinational circuit that forms the arithmetic sum of three input bits. Two input variables, denoted by X and Y, represent the two significant bits to be added. The third input, Z, represents the carry from the previous lower significant position.
The simplified sum-of-product functions for the two outputs are :

S = XYZ + XYZ + XY Z + XYZ
C = XY + XZ + YZ

S = (X ⊕ Y) ⊕ Z
C = XY + Z(X ⊕ Y)

RIPPLE CARRY ADDER

A parallel binary adder is a digital circuit that produces the arithmetic sum of two binary numbers using only combinational logic. The parallel adder uses n full adders in parallel, with all input bits applied simultaneously to produce the sum.
The full adders are connected in cascade, with the carry output from one full adder connected to the carry input of the next full adder. Since a 1 carry may appear near the least significant bit of the adder and yet propagate through many full adders to the most significant bit, just as a wave ripples outward from a pebble dropped in a pond, the parallel adder is referred to as a ripple carry adder.

CARRY LOOK AHEAD ADDER

Define two new binary variables

Pi = Ai XOR Bi
Gi = Ai AND Bi

the output sum and carry can respectively be expressed as

Si = Pi XOR Ci
Ci+1 = Gi + (Pi AND Ci)

Each sum output requires two exclusive-OR gates. The output of the first exclusive-OR gate generates the Pi variable, and the AND gate generates the Gi variable. The carries are propagated through the carry lookahead generator and applied as inputs to the second exclusive-OR gate. All output carries are generated after a delay through two levels of gates. Thus, outputs S1 through S3 have equal propagation delay times.

HALF SUBTRACTOR

A half subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) and produces two output bits: the difference (D) and the borrow (B). The half subtractor is called "half" because it can only subtract two single-bit binary numbers, unlike a full subtractor that can subtract two multi-bit binary numbers. D= A XOR B B’ = Abar AND B

FULL SUBTRACTOR

A full subtractor is a combinational circuit that subtracts two single-bit binary numbers (A and B) along with a borrow (Bin) and produces two output bits: the difference (D) and the borrow (Bout). The full subtractor is an extension of the half subtractor, which can only subtract two single-bit binary numbers.

D = A XOR B XOR Bin
B’ = Abar AND B OR ((A XOR B)bar)Bin

BINARY ADDER AND SUBTRACTOR

M-N where M-minuend and N is subtrahend When performing a subtraction we complement the subtrahend N, and when performing an addition we do not complement N.

The 2s complement can be obtained by taking the 1s complement and adding 1 to the least significant bit. The 1s complement can be implemented easily with inverter circuits, and we can add 1 to the sum by making the input carry of the parallel adder equal to 1. The input carry C0 must be equal to 1. The operation that is performed becomes A plus the 1s complement of B plus 1. This is equal to A plus the 2s complement of B. For unsigned numbers, it gives A - B if A >= B or the 2s complement of B - A if A []( B

LATCHES

SR LATCH

The SR latch is a circuit constructed from two cross- coupled NOR gates. The latch has two inputs, labeled S for set and R for reset, and two useful states. When output Q = 1 and Q = 0, the latch is said to be in the set state. When Q = 0 and Q = 1, it is in the reset state. Outputs Q and Q are normally the complements of each other. When both inputs are equal to 1 at the same time, an undefined state with both outputs equal to 0 occurs.

S̅R̅ LATCH

The SR latch with two cross- coupled NAND gates. It operates with both inputs normally at 1, unless the state of the latch has to be changed. The application of a 0 to the S input causes output Q to go to 1, putting the latch in the set state. When the S input goes back to 1, the circuit remains in the set state. With both inputs at 1, the state of the latch is changed by placing a 0 on the R input. This causes the circuit to go to the reset state and stay there, even after both inputs return to 1. The condition that is undefined for this NAND latch is when both inputs are equal to 0 at the same time, an input combination that should be avoided. When the NAND latch requires a 0 signal to change its state, it is referred to as an SR latch.

SR LATCH WITH CONTROL INPUT

It consists of the basic NAND latch and two additional NAND gates. The control input C acts as an enable signal for the other two inputs. The output of the NAND gates stays at the logic- 1 level as long as the control input remains at 0.
When the control input goes to 1, information from the S and R inputs is allowed to affect the S R latch. The set state is reached with S = 1, R = 0, and C = 1. To change to the reset state, the inputs must be S = 0, R = 1, and C = 1. In either case, when C returns to 0, the circuit remains in its current state. Control input C = 0 disables the circuit so that the state of the output does not change, regardless of the values of S and R. Moreover, when C = 1 and both the S and R inputs are equal to 0, the state of the circuit does not change.An undefined state occurs when all three inputs are equal to 1. This condition places 0s on both inputs of the basic S R latch, giving an undefined state.

D LATCH

One way to eliminate the undesirable undefined state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done in the D latch, shown in Figure 4-8. This latch has only two inputs: D (data) and C (control). The complement of the D input goes directly to the S input, and D is applied to the R input.
As long as the control input is 0, the S R latch has both inputs at the 1 level, and the circuit cannot change state regardless of the value of D. The D input is sampled when C = 1. If D is 1, the Q output goes to 1, placing the circuit in the set state. If D is 0, output Q goes to 0, placing the circuit in the reset state. The D latch receives its designation from its ability to hold data in its internal storage. The binary information present at the data input of the D latch is transferred to the Q output when the control input is enabled (1). The output follows changes in the data input, as long as the control input is enabled. When the control input is disabled (0), the binary information that was present at the data input at the time the transition in C occurred is retained at the Q output until the control input C is enabled again.

FLIP FLOPS

There are basically 4 types of flip-flops:

  1. SR Flip-Flop
  2. JK Flip-Flop
  3. D Flip-Flop
  4. T Flip-Flop

SR FLIP-FLOP

SR flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, SR latch operates with an enable signal. The circuit diagram of SR flip-flop is shown in the following figure.This circuit has two inputs S & R and two outputs QN and Qn+1, present and next state respectively. The operation of the SR flip-flop is similar to SR Latch. But, this flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. Qn+1 = S + R’Qn

JK FLIP-FLOP

JK flip-flop is the modified version of SR flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has two inputs J & K and two outputs Qn and Qn+1, present and next state respectively, JK flip-flop can be used for one of these four functions such as Hold, Reset, Set & Complement of present state based on the input conditions, when positive transition of clock signal is applied.

Qn+1 = J(Qn)’ + K’(Qn)

D FLIP-FLOP

D flip-flop operates with only positive clock transitions or negative clock transitions. Whereas, D latch operates with an enable signal. That means, the output of D flip-flop is insensitive to the changes in the input, D except for active transition of the clock signal. This circuit has single input D and two outputs Qn and Qn+1. This flip-flop affects the outputs only when positive transition of the clock signal is applied instead of active enable. D flip-flop always holds the information, which is available on data input, D of earlier positive transition of clock signal. Next state of D flip-flop is always equal to data input, D for every positive transition of the clock signal.

Qn+1 = D

T FLIP-FLOP

T flip-flop is the simplified version of JK flip-flop. It is obtained by connecting the same input ‘T’ to both inputs of JK flip-flop. It operates with only positive clock transitions or negative clock transitions. This circuit has single input T and two outputs Qn and Qn+1. The operation of the T flip-flop is the same as that of the JK flip-flop. Here, we considered the inputs of JK flip-flop as J = T and K = T in order to utilize the modified JK flip-flop for 2 combinations of inputs. So, we eliminated the other two combinations of J & K, for which those two values complement each other in a T flip-flop.

Qn+1 = T’Q(n) + (Q(n))’T = T XOR Q(n)

MULTIPLEXERS

2-1 MUX

It selects binary information from one of many input lines and directs the information to a single output line. The selection of a particular input line is controlled by a set of input variables, called selection inputs. there are 2^n input lines and n selection inputs whose bit combinations determine which input is selected. , if the select input S = 0, the output of the multiplexer takes on the values of I 0 , and, if input S = 1, the output of the multiplexer takes on the values of I 1 . Thus, S selects either input I 0 or input I 1 to appear at output Y.
We can see that the equation for the 2–to–1-line multiplexer output Y is
Y = S’I0 + SI1

4-1 MUX

The function Y depends on four inputs I 0 , I 1 , I 2 , and I 3 and two select inputs S1 and S0 . By placing the values of I 0 through I 3 in the Y column. Since there are six variables, and only S1 and S0 are fixed, this single row represents 16 rows of the corresponding full truth table. From the table, we can write the equation for Y as
Y = S1’S0’ I0 + S1’S0 I1 + S1S0’I2 + S1S0 I3

S1S2Y
00I0
01I1
10I2
11I3

DEMULTIPLEXERS

1:2 DEMUX

A 1-to-2 demultiplexer consists of one input line, two output lines and one select line. The signal on the select line helps to switch the input to one of the two outputs. When the select input is LOW, then the input will be passed to Y0 and if the select input is HIGH, then the input will be passed to Y1
Y0 = S’ D
Y1 = S D

PARITY GENERATOR

Many systems use a parity bit as a means for bit error detection. Any group of bits contains either an even or an odd number of 1s. A parity bit is attached to a group of bits to make the total number of 1s in a group always even or always odd. An even parity bit makes the total number of 1s even, and an odd parity bit makes the total odd. A parity bit provides for the detection of a single bit error (or any odd number of errors, which is very unlikely) but cannot check for two errors in one group. For instance, let’s assume that we wish to transmit the BCD code 0101. (Parity can be used with any number of bits; we are using four for illustration.) The total code transmitted, including the even parity bit, is

Now let’s assume that an error occurs in the third bit from the left (the 1 becomes a 0)

When this code is received, the parity check circuitry determines that there is only a single 1 (odd number), when there should be an even number of 1s. Because an even number of 1s does not appear in the code when it is received, an error is indicated.

CODE CONVERTER

BCD to Binary Code converter

The binary numbers representing the weights of the BCD bits are summed to produce the total binary number. The binary equivalent of each BCD bit is a binary number representing the weight of that bit within the total BCD number.

Binary to Gray

Conversion between binary code and Gray code is sometimes useful. The following rules explain how to convert from a binary number to a Gray code word:

  1. The most significant bit (left-most) in the Gray code is the same as the corresponding MSB in the binary number.
  2. Going from left to right, add each adjacent pair of binary code bits to get the next Gray code bit. Discard carries

Gray to Binary

To convert from Gray code to binary, use a similar method; however, there are some differences. The following rules apply:

  1. The most significant bit (left-most) in the binary code is the same as the corresponding bit in the Gray code.
  2. Add each binary code bit generated to the Gray code bit in the next adjacent position. Discard carries.

COMPARATORS

The exclusive-NOR gate can be used as a basic comparator because its output is a 0 if the two input bits are not equal and a 1 if the input bits are equal. The basic comparator can be expanded to any number of bits. The AND gate sets the condition that all corresponding bits of the two numbers must be equal if the two numbers themselves are equal. To determine an inequality of binary numbers A and B, you first examine the highest order bit in each number. The following conditions are possible: 1. If A3 = 1 and B3 = 0, number A is greater than number B. 2. If A3 = 0 and B3 = 1, number A is less than number B. 3. If A3 = B3, then you must examine the next lower bit position for an inequality. These three operations are valid for each bit position in the numbers. The general procedure used in a comparator is to check for an inequality in a bit position, starting with the highest-order bits (MSBs). When such an inequality is found, the relationship of the two numbers is established, and any other inequalities in lower-order bit positions must be ignored because it is possible for an opposite indication to occur; the highest-order indication must take precedence.

Registers

A register is a group of flip‐flops, each one of which shares a common clock and is capable of storing one bit of information. An n ‐bit register consists of a group of n flip‐flops capable of storing n bits of binary information. In addition to the flip‐flops, a register may have combinational gates that perform certain data‐processing tasks.

Four‐bit register

The common clock input triggers all flip‐flops on the positive edge of each pulse, and the binary data available at the four inputs are transferred into the register. The value of (I3 , I2 , I1 , I0) immediately before the clock edge determines the value of (A3 , A2 , A1 , A0) after the clock edge. The four outputs can be sampled at any time to obtain the binary information stored in the register. The input Clear_b goes to the active‐low R (reset) input of all four flip‐flops. When this input goes to 0, all flip‐flops are reset asynchronously. The Clear_b input is useful for clearing the register to all 0’s prior to its clocked operation. The R inputs must be maintained at logic 1 (i.e., de-asserted) during normal clocked operation. Note that, depending on the flip‐flop, either Clear, Clear_b, reset, or reset_b can be used to indicate the transfer of the register to an all 0’s state.

Four bit register with parallel load**Gi = Ai AND Bi **

A four‐bit data‐storage register with a load control input that is directed through gates and into the D inputs of the flip‐flops. The additional gates implement a two‐channel mux whose output drives the input to the register with either the data bus or the output of the register. The load input to the register determines the action to be taken with each clock pulse.

SHIFT REGISTERS

SERIAL IN/SERIAL OUT REGISTERS

The serial in/serial out shift register accepts data serially—that is, one bit at a time on a single line. It produces the stored information on its output also in serial form .

Serial In/Parallel Out Shift Registers

Data bits are entered serially (least-significant bit first) into a serial in/parallel out shift register in the same manner as in serial in/serial out registers. The difference is the way in which the data bits are taken out of the register; in the parallel output register, the output of each stage is available. Once the data is stored, each bit appears on its respective output line, and all bits are available simultaneously, rather than on a bit-by-bit basis as with the serial output.

Parallel In/Serial Out Shift Registers

For a register with parallel data inputs, the bits are entered simultaneously into their respective stages on parallel lines rather than on a bit-by-bit basis on one line as with serial data inputs.

Parallel In/Parallel Out Shift Registers

Parallel entry and parallel output of data have been discussed. The parallel in/parallel out register employs both methods. Immediately following the simultaneous entry of all data bits, the bits appear on the parallel outputs.

COUNTERS

A register that goes through a prescribed sequence of states upon the application of input pulses is called a counter. The input pulses may be clock pulses, or they may originate from some external source and may occur at a fixed interval of time or at random. The sequence of states may follow the binary number sequence or any other sequence of states.

BINARY RIPPLE COUNTER

A binary ripple counter consists of a series connection of complementing flip‐flops, with the output of each flip‐flop connected to the C input of the next higher order flip‐flop. The flip‐flop holding the least significant bit receives the incoming count pulses. A complementing flip‐flop can be obtained from a JK flip‐flop with the J and K inputs tied together or from a T flip‐flop. A third possibility is to use a D flip‐flop with the complement output connected to the D input.The output of each flip‐flop is connected to the C input of the next flip‐flop in sequence. The flip‐flop holding the least significant bit receives the incoming count pulses. The bubble in front of the dynamic indicator symbol next to C indicates that the flip‐flops respond to the negative‐edge transition of the input. The negative transition occurs when the output of the previous flip‐flop to which C is connected goes from 1 to 0.

BCD RIPPLE COUNTER

A decimal counter follows a sequence of 10 states and returns to 0 after the count of 9. Such a counter must have at least four flip‐flops to represent each decimal digit, since a decimal digit is represented by a binary code with at least four bits. The sequence of states in a decimal counter is dictated by the binary code used to represent a decimal digit. The four outputs are designated by the letter symbol Q, with a numeric subscript equal to the binary weight of the corresponding bit in the BCD code. Note that the output of Q1 is applied to the C inputs of both Q2 and Q8 and the output of Q2 is applied to the C input of Q4. The J and K inputs are connected either to a permanent 1 signal or to outputs of other flip‐flops.

4 BIT SYNCHRONOUS BINARY COUNTER

The flip‐flop in the least significant position is complemented with every pulse. A flip‐flop in any other position is complemented when all the bits in the lower significant positions are equal to 1 . Synchronous binary counters have a regular pattern and can be constructed with complementing flip‐flops and gates. The C inputs of all flip‐flops are connected to a common clock. The counter is enabled by Count_enable. If the enable input is 0, all J and K inputs are equal to 0 and the clock does not change the state of the counter. The first stage, A0, has its J and K equal to 1 if the counter is enabled. The other J and K inputs are equal to 1 if all previous least significant stages are equal to 1 and the count is enabled. The chain of AND gates generates the required logic for the J and K inputs in each stage. The counter can be extended to any number of stages, with each stage having an additional flip‐flop and an AND gate that gives an output of 1 if all previous flip‐flop outputs are 1. Note that the flip‐flops trigger on the positive edge of the clock.

FOUR BIT UP-DOWN BINARY COUNTER

The two operations can be combined in one circuit to form a counter capable of counting either up or down. It has an up control input and a down control input. When the up input is 1, the circuit counts up, since the T inputs receive their signals from the values of the previous normal outputs of the flip‐flops.

BINARY COUNTER WITH PARALLEL LOAD

When equal to 1, the input load control disables the count operation and causes a transfer of data from the four data inputs into the four flip‐flops. If both control inputs are 0, clock pulses do not change the state of the register. The carry output becomes a 1 if all the flip‐flops are equal to 1 while the count input is enabled. This is the condition for complementing the flip‐flop that holds the next significant bit. The carry output is useful for expanding the counter to more than four bits. The speed of the counter is increased when the carry is generated directly from the outputs of all four flip‐flops, because the delay to generate the carry bit is reduced. A counter with a parallel load can be used to generate any desired count sequence.the Count control is set to 1 to enable the count through the CLK input. Also, recall that the Load control inhibits the count and that the clear operation is independent of other control inputs.

[]"(image-0120.png)

ARITHMETIC LOGIC UNIT (ALU)

The ALU, or arithmetic logic unit, is a crucial component of the CPU (central processing unit) in a computer system. It is responsible for carrying out arithmetic and logic operations. Also referred to as the integer unit (IU), it is an integrated circuit found in both CPUs and GPUs. The ALU is the final stage in the processor where calculations are performed. It possesses the capability to handle various tasks related to arithmetic and logic operations, including addition, subtraction, shifting operations, as well as Boolean comparisons such as XOR, OR, AND, and NOT operations. It operates on binary numbers and can execute mathematical and bitwise operations. The ALU consists of two units: the arithmetic unit (AU) and the logic unit (LU). By utilizing operands and codes, the ALU determines which operations to perform based on the input data provided. Once the ALU completes processing the input, the resulting information is sent to the computer's memory.

Here are the main functions of an ALU:

Arithmetic Operations: The ALU performs various arithmetic operations on binary numbers, such as addition, subtraction, multiplication, and division. It uses binary addition and subtraction circuits to handle these operations efficiently.

Logical Operations: The ALU executes logical operations on binary data, including AND, OR, XOR (exclusive OR), and NOT. These operations manipulate individual bits or binary values to determine logical relationships and produce logical results.

Comparison Operations: The ALU performs comparison operations to determine the relationship between two binary values. It can compare if two values are equal, not equal, greater than, less than, greater than or equal to, or less than or equal to each other. The result of these comparisons is typically represented by setting specific flags or registers in the CPU.

Bitwise Operations: The ALU carries out bitwise operations, which manipulate individual bits in binary numbers. These operations include shifting the bits left or right, rotating bits, and masking operations. Bitwise operations are commonly used in tasks such as data manipulation, encryption, and signal processing.

Conditional Operations: The ALU supports conditional operations, enabling the CPU to execute different instructions based on certain conditions. For example, it can perform conditional branching, where the CPU jumps to a specific instruction address based on the result of a comparison operation.

Data Movement: The ALU may also handle data movement operations within the CPU. This involves transferring data between registers, memory, and other CPU components.

ENCODER

An encoder is a digital circuit that performs the inverse operation of a decoder. An encoder has 2n (or fewer) input lines and n output lines.

PRIORITY ENCODER

A priority encoder is an encoder circuit that includes the priority function. The operation of the priority encoder is such that if two or more inputs are equal to 1 at the same time, the input having the highest priority will take precedence. In addition to the two outputs x and y , the circuit has a third output designated by V ; this is a valid bit indicator that is set to 1 when one or more inputs are equal to 1. If all inputs are 0, there is no valid input and V is equal to 0. The other two outputs are not inspected when V equals 0 and are specified as don’t-care conditions.

x = D2+ D3
y = D3 + D1 D 2
V = D0 + D1 + D2 + D3

[]"(image-0132.png)

DECODER

A decoder is a combinational circuit that converts binary information from n input lines to a maximum of 2n unique output lines.The decoders presented here are called n -to- m -line decoders, where m … 2^n.

3-8 LINE DECODER

The three inputs are decoded into eight outputs, each representing one of the minterms of the three input variables. The three inverters provide the complement of the inputs, and each one of the eight AND gates generates one of the minterms.

2-4 LINE DECODER WITH ENABLE INPUT

The circuit operates with complemented outputs and a complement enable input. The decoder is enabled when E is equal to 0 (i.e., active-low enable). As indicated by the truth table, only one output can be equal to 0 at any given time; all other outputs are equal to 1. The output whose value is equal to 0 represents the minterm selected by inputs A and B . The circuit is disabled when E is equal to 1, regardless of the values of the other two inputs. When the circuit is disabled, none of the outputs are equal to 0 and none of the minterms are selected.

HOW TO MAKE A VERILOG PROGRAM

Create a New Project in your own version of Quartus Prime.

Select an appropriate directory for your Verilog project files and create an empty project file template.

[]" src=

Use the 10M50DAF484C7G Board from the MAX 10 Family.

Set ModelSim as your Simulation Tool (ModelSim must be installed prior) and finish the initialization of the project.

Click on the file icon in the top left corner and create a new Verilog HDL file.

When you wish to save your program, simply use Ctrl+S or click the Save icon in the top left corner.

Before running your program, you must first set a testbench for it. Do this by going into the Assignments menu and clicking Settings.

Create a new Test Bench if you are creating one for a new program that has not been made before.

Name your Test Bench appropriately (usually just the name of the test bench file) and add it to the list of Test Benches.

After setting your testbench, you can now run and compile your program by clicking on the third play button.

You will be able to view your circuit design and how it is structured, and simulate results using the test bench by going to the Tools menu.

BIBLIOGRAPHY

  1. Digital Design, 5th Edition by M Morris Mano and Michael Ciletti
  2. Digital Fundamentals by Floyd and Jain
  3. electronicshub.org/demultiplexerdemux
  4. tutorialspoint.com/digital_circuits/digital_circuits_flip_flops
  5. tutorialspoint.com/digital_circuits/digital_circuits_demultiplexers