diff --git a/hdr_db/dphy_hdr_class.sv b/hdr_db/dphy_hdr_class.sv new file mode 100644 index 0000000..8779449 --- /dev/null +++ b/hdr_db/dphy_hdr_class.sv @@ -0,0 +1,306 @@ +/* +Copyright (c) 2011, Sachin Gandhi +All rights reserved. + +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. + * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// ---------------------------------------------------------------------- +// This hdr_class generates CSI-2 D-PHY header +// D-PHY long pkt Format (hdr_len = 4B, trl_len = 2B (CRC16) +// +-------------------+ +// | di[7:0] | +// +-------------------+ +// | wc[15:0] | +// +-------------------+ +// | vcx[1:0] | +// +-------------------+ +// | ecc[5:0] | +// +-------------------+ - +// | data[0] | | +// +-------------------+ | +// | ... | |- Payload +// +-------------------+ | +// | data[n] | | +// +-------------------+ - +// | crc[15:0] | -> If present. Added in toh hdr and not in this class +// +-------------------+ - +// D-PHY short pkt Format (hdr_len = 4B, no Paylod, no crc, no tariler) +// +-------------------+ +// | di[7:0] | +// +-------------------+ +// | sph[15:0] | -> short packet data field instead of WC +// +-------------------+ +// | vcx[1:0] | +// +-------------------+ +// | ecc[5:0] | +// +-------------------+ - +// ---------------------------------------------------------------------- +// Control Variables : +// ================== +// +-------+---------+---------------------------+-------------------------------+ +// | Width | Default | Variable | Description | +// +-------+---------+---------------------------+-------------------------------+ +// | 1 | 1'b1 | cal_wc | If 1, calculates word count | +// | | | | Otherwise it will be random | +// +-------+---------+---------------------------+-------------------------------+ +// | 1 | 1'b0 | corrupt_wc | If 1, corrupts wc | +// +-------+---------+---------------------------+-------------------------------+ +// | 16 | 16'h1 | corrupt_wc_by | corrupts wc value | +// +-------+---------+---------------------------+-------------------------------+ +// | 3 | 2'd0 | corrupt_bits | 0 -> No corrupt, | +// | | | | 1 -> 1 bit corruption | +// | | | | 2 -> 2 bits corruption | +// | | | | 3 -> (< 2) bits corruption | +// +-------+---------+---------------------------+-------------------------------+ +// | 32 | 32'd0 | corrupt_vector | which bits to corrupt for ECC | +// +-------+---------+---------------------------+-------------------------------+ +// +// ---------------------------------------------------------------------- + +class dphy_hdr_class extends hdr_class; // { + + // ~~~~~~~~~~ Class members ~~~~~~~~~~ + rand bit [7:0] di; + rand bit [15:0] wc; + rand bit [15:0] sph; + rand bit [1:0] vcx; + rand bit [5:0] ecc; + + // ~~~~~~~~~~ Local Variables ~~~~~~~~~~ + + // ~~~~~~~~~~ Control variables ~~~~~~~~~~ + bit cal_wc = 1'b1; + bit corrupt_wc = 1'b0; + bit [15:0] corrupt_wc_by = 16'h1; + bit [2:0] corrupt_bits = 2'd0; + rand bit [31:0] corrupt_vector; + + // ~~~~~~~~~~ Constraints begins ~~~~~~~~~~ + + constraint dphy_hdr_user_constraint + { + } + + constraint legal_total_hdr_len + { + `LEGAL_TOTAL_HDR_LEN_CONSTRAINTS; + } + + constraint legal_di + { + `LEGAL_DI_TYPE_CONSTRAINTS; + } + + constraint legal_hdr_len + { + hdr_len == 4; + trl_len == 0; + } + + constraint legal_wc + { + if (cal_wc) + { + (corrupt_wc == 1'b0) -> (wc == this.total_hdr_len - 4 ); + (corrupt_wc == 1'b1) -> (wc == this.total_hdr_len -4 + corrupt_wc_by); + } + else + (corrupt_wc == 1'b1) -> (wc == wc + corrupt_wc_by); + } + + // calculated in pack_hdr task + constraint legal_ecc + { + ecc == 0; + } + + // which bits to corrupt in case corrupt_bits != 0 + constraint unique_corrupt_vector + { + $countones(corrupt_vector) == corrupt_bits; + } + + // ~~~~~~~~~~ Task begins ~~~~~~~~~~ + function new (pktlib_main_class plib, + int inst_no); // { + super.new (plib); + hid = DPHY_HID; + this.inst_no = inst_no; + $sformat (hdr_name, "dphy[%0d]",inst_no); + super.update_hdr_db (hid, inst_no); + endfunction : new // } + + task pack_hdr (ref bit [7:0] pkt [], + ref int index, + input bit last_pack = 1'b0); // { + bit [15:0] tmp_wc; + bit [25:0] ecc_data_in; + // finf if it is DPHY Short pkt + cal_dphy_spkt; + if (dphy_spkt) + tmp_wc = sph; + else + tmp_wc = wc; + // calculate ECC + ecc_data_in = {vcx,tmp_wc,di}; + ecc = crc_chksm.ecc_32_26(ecc_data_in, corrupt_vector); + // pack class members + `ifdef SVFNYI_0 + pack_vec = {di,tmp_wc,vcx,ecc}; + harray.pack_bit (pkt, pack_vec, index, hdr_len*8); + `else + hdr = {>>{di,tmp_wc,vcx,ecc}}; + harray.pack_array_8 (hdr, pkt, index); + `endif + // pack next hdr + if (~last_pack) + begin // { + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Packing %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif + this.nxt_hdr.pack_hdr (pkt, index); + end // } + endtask : pack_hdr // } + + task unpack_hdr (ref bit [7:0] pkt [], + ref int index, + ref hdr_class hdr_q [$], + input int mode = DUMB_UNPACK, + input bit last_unpack = 1'b0); // { + hdr_class lcl_class; + bit [25:0] ecc_data_in; + bit[5:0] ecc_cal; + // unpack class members + update_len (index, pkt.size, 4); + `ifdef SVFNYI_0 + harray.unpack_array (pkt, pack_vec, index, hdr_len); + {di,wc,vcx,ecc} = pack_vec; + `else + harray.copy_array (pkt, hdr, index, hdr_len); + {>>{di,wc,vcx,ecc}} = hdr; + `endif + sph = wc; + ecc_data_in = {vcx,wc,di}; + ecc_cal = crc_chksm.ecc_32_26(ecc_data_in); + corrupt_vector = crc_chksm.ecc_32_26_check (ecc, ecc_cal); + + // get next hdr and update common nxt_hdr fields + if (mode == SMART_UNPACK) + begin // { + $cast (lcl_class, this); + if (get_hid_from_di(di) == EOH_HID) + super.update_nxt_hdr_info (lcl_class, hdr_q, EOH_HID); + else if (unpack_en[get_hid_from_di(di)] & (pkt.size > index)) + super.update_nxt_hdr_info (lcl_class, hdr_q, get_hid_from_di(di)); + else + super.update_nxt_hdr_info (lcl_class, hdr_q, DATA_HID); + end // } + + // unpack next hdr + if (~last_unpack) + begin // { + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Unpacking %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif + this.nxt_hdr.unpack_hdr (pkt, index, hdr_q, mode); + cal_dphy_spkt; + end // } + + // update all hdr + if (mode == SMART_UNPACK) + super.all_hdr = hdr_q; + endtask : unpack_hdr // } + + // Calculate dphy_spkt + task cal_dphy_spkt(); // { + if (di inside {[8'h0 : sdphy_max_di]}) + dphy_spkt = 1'b1; + else + dphy_spkt = 1'b0; + endtask : cal_dphy_spkt // } + + task cpy_hdr (hdr_class cpy_cls, + bit last_cpy = 1'b0); // { + dphy_hdr_class lcl; + super.cpy_hdr (cpy_cls); + $cast (lcl, cpy_cls); + // ~~~~~~~~~~ Class members ~~~~~~~~~~~~~ + this.di = lcl.di; + this.wc = lcl.wc; + this.sph = lcl.sph; + this.vcx = lcl.vcx; + this.ecc = lcl.ecc; + // ~~~~~~~~~~ Local variables ~~~~~~~~~~~~ + // ~~~~~~~~~~ Control variables ~~~~~~~~~~ + this.cal_wc = lcl.cal_wc; + this.corrupt_wc = lcl.corrupt_wc; + this.corrupt_wc_by = lcl.corrupt_wc_by; + this.corrupt_bits = lcl.corrupt_bits; + this.corrupt_vector = lcl.corrupt_vector; + if (~last_cpy) + this.nxt_hdr.cpy_hdr (cpy_cls.nxt_hdr, last_cpy); + endtask : cpy_hdr // } + + task display_hdr (pktlib_display_class hdis, + hdr_class cmp_cls, + int mode = DISPLAY, + bit last_display = 1'b0); // { + dphy_hdr_class lcl; + string ecc_string; + int bit_pos[$]; + $cast (lcl, cmp_cls); + if (corrupt_vector == 26'h0) + $sformat (ecc_string, "GOOD"); + else if (corrupt_vector == 26'hDEAD) + $sformat (ecc_string, "Uncorrectbale ECC errors"); + else + begin // { + $sformat (ecc_string, "Corrupted Bit(s) :"); + crc_chksm.pos_1_0 (bit_pos, corrupt_vector); + foreach (bit_pos[b_ps]) + begin // { + if (bit_pos[b_ps] < 26) + $sformat (ecc_string, "%0s %0d", ecc_string, bit_pos[b_ps]); + else + $sformat (ecc_string, "%0s ECC[%0d] ", ecc_string, (bit_pos[b_ps] - 26)); + end // } + end // } + if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) + hdis.display_fld (mode, hdr_name, STRING, DEF, 000, "", 0, 0, null_a, null_a, "~~~~~~~~~~ Class members ~~~~~~~~~~"); + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 008, "di", di, lcl.di, null_a, null_a, get_di_name(di)); + if (dphy_spkt) + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 016, "sph", sph, lcl.sph); + else + begin // { + if (corrupt_wc) + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 016, "wc", wc, lcl.wc, null_a, null_a, "BAD"); + else + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 016, "wc", wc, lcl.wc, null_a, null_a, "GOOD"); + end // } + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 002, "vcx", vcx, lcl.vcx); + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 006, "ecc", ecc, lcl.ecc, null_a, null_a, ecc_string); + if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) + begin // { + hdis.display_fld (mode, hdr_name, STRING, DEF, 000, "", 0, 0, null_a, null_a, "~~~~~~~~~~ Control variables ~~~~~~"); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "cal_wc", cal_wc, lcl.cal_wc); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "corrupt_wc", corrupt_wc, lcl.corrupt_wc); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 016, "corrupt_wc_by", corrupt_wc_by, lcl.corrupt_wc_by); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 002, "corrupt_bits", corrupt_bits, lcl.corrupt_bits); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "corrupt_vector", corrupt_vector,lcl.corrupt_vector); + end // } + if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) + begin // { + display_common_hdr_flds (hdis, lcl, mode); + end // } + if (~last_display & (cmp_cls.nxt_hdr.hid === nxt_hdr.hid)) + this.nxt_hdr.display_hdr (hdis, cmp_cls.nxt_hdr, mode); + endtask : display_hdr // } + +endclass : dphy_hdr_class // } diff --git a/hdr_db/fc_hdr_class.sv b/hdr_db/fc_hdr_class.sv index 620ada7..e8b9a32 100644 --- a/hdr_db/fc_hdr_class.sv +++ b/hdr_db/fc_hdr_class.sv @@ -93,7 +93,8 @@ class fc_hdr_class extends hdr_class; // { constraint legal_hdr_len { hdr_len == 24; - trl_len == 4; + (pkt_format == FC) -> trl_len == 0; + (pkt_format != FC) -> trl_len == 4; } // ~~~~~~~~~~ Task begins ~~~~~~~~~~ @@ -127,16 +128,24 @@ class fc_hdr_class extends hdr_class; // { this.nxt_hdr.pack_hdr (pkt, index); end // } // calculate fcrc - if (cal_n_add_fcrc) - fcrc = crc_chksm.crc32 (pkt, (total_hdr_len-trl_len), start_off, corrupt_fcrc); - // pack class members - `ifdef SVFNYI_0 - pack_vec = fcrc; - harray.pack_bit (pkt, pack_vec, index, trl_len*8); - `else - hdr = {>>{fcrc}}; - harray.pack_array_8 (hdr, pkt, index); - `endif + if (trl_len != 0) + begin // [ + if (cal_n_add_fcrc) + begin // { + fcrc = crc_chksm.crc32 (pkt, (total_hdr_len-trl_len), start_off, corrupt_fcrc); + // pack class members + `ifdef SVFNYI_0 + pack_vec = fcrc; + harray.pack_bit (pkt, pack_vec, index, trl_len*8); + `else + hdr = {>>{fcrc}}; + harray.pack_array_8 (hdr, pkt, index); + `endif + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Packing %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif + end // } + end // } endtask : pack_hdr // } task unpack_hdr (ref bit [7:0] pkt [], @@ -146,7 +155,10 @@ class fc_hdr_class extends hdr_class; // { input bit last_unpack = 1'b0); // { hdr_class lcl_class; // unpack class members - update_len(index, pkt.size, 24, 4); + if (pkt_format == FC) // trl_len = 0, as toh_class will take care of CRC + update_len(index, pkt.size, 24); + else + update_len(index, pkt.size, 24, 4); `ifdef SVFNYI_0 harray.unpack_array (pkt, pack_vec, index, hdr_len); {r_ctl, d_id, cs_ctl_pri, s_id, fc_type, f_ctl, seq_id, df_ctl, seq_cnt, ox_id, rx_id, fc_parameter} = pack_vec; @@ -175,16 +187,19 @@ class fc_hdr_class extends hdr_class; // { if (mode == SMART_UNPACK) super.all_hdr = hdr_q; // unpack class members - trailer - `ifdef SVFNYI_0 - harray.unpack_array (pkt, pack_vec, index, trl_len); - fcrc = pack_vec; - `else - harray.copy_array (pkt, hdr, index, trl_len); - {>>{fcrc}} = hdr; - `endif - `ifdef DEBUG_PKTLIB - $display (" pkt_lib : Unpacking %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); - `endif + if (trl_len != 0) + begin // { + `ifdef SVFNYI_0 + harray.unpack_array (pkt, pack_vec, index, trl_len); + fcrc = pack_vec; + `else + harray.copy_array (pkt, hdr, index, trl_len); + {>>{fcrc}} = hdr; + `endif + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Unpacking %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif + end // } endtask : unpack_hdr // } task cpy_hdr (hdr_class cpy_cls, @@ -246,12 +261,15 @@ class fc_hdr_class extends hdr_class; // { end // } if (~last_display & (cmp_cls.nxt_hdr.hid == nxt_hdr.hid)) this.nxt_hdr.display_hdr (hdis, cmp_cls.nxt_hdr, mode); - if (cal_n_add_fcrc) + if (pkt_format != FC) begin // { - if (corrupt_fcrc) - hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "fcrc", fcrc, lcl.fcrc, null_a, null_a, "BAD"); - else - hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "fcrc", fcrc, lcl.fcrc, null_a, null_a, "GOOD"); + if (cal_n_add_fcrc) + begin // { + if (corrupt_fcrc) + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "fcrc", fcrc, lcl.fcrc, null_a, null_a, "BAD"); + else + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "fcrc", fcrc, lcl.fcrc, null_a, null_a, "GOOD"); + end // } end // } endtask : display_hdr // } diff --git a/hdr_db/fcoe_hdr_class.sv b/hdr_db/fcoe_hdr_class.sv index a77d212..6522ea1 100644 --- a/hdr_db/fcoe_hdr_class.sv +++ b/hdr_db/fcoe_hdr_class.sv @@ -182,6 +182,9 @@ class fcoe_hdr_class extends hdr_class; // { hdr = {>>{eof, rsvd1}}; harray.pack_array_8 (hdr, pkt, index); `endif + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Packing %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif endtask : pack_hdr // } task unpack_hdr (ref bit [7:0] pkt [], diff --git a/hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp b/hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp index 797c3db..2a11ea4 100644 --- a/hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp +++ b/hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp @@ -20,7 +20,6 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND #include "gcm.h" #include "gcm_dpi.h" -// define for print message #define NO_TYPE 0 #define NULL_TYPE 1 #define INFO 2 @@ -29,12 +28,17 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND #define ERROR 5 char c_msg[5000]; + svScope g_scope; + void print_msg (int msg_type, char* msg, int msg_len) { if (msg_len > 0) { - ::print_c_msg (msg_type, msg); + // Commenting out as xrun gives error +// g_scope = svGetScopeFromName("$unit"); +// svSetScope(g_scope); +// ::print_c_msg (msg_type, msg); } } diff --git a/hdr_db/include/gcm-aes/sv-file/logs/gcm_test.log b/hdr_db/include/gcm-aes/sv-file/logs/gcm_test.log index c7e9b86..c610853 100644 --- a/hdr_db/include/gcm-aes/sv-file/logs/gcm_test.log +++ b/hdr_db/include/gcm-aes/sv-file/logs/gcm_test.log @@ -1,10 +1,14 @@ -Command: vcs -R -full64 +vcs+lic+wait +v2k -assert dve -sverilog +nospecify +evalorder \ --debug_all -CFLAGS -g -CC -I../c-file -cpp g++ ../c-file/aescrypt.c ../c-file/aeskey.c \ -../c-file/aestab.c ../c-file/gcm.cpp ../c-file/gfvec.cpp ../c-file/gcm_dpi.cpp gcm_test.sv \ --l logs/gcm_test.log + +Warning-[LINX_KRNL] Unsupported Linux kernel + Linux kernel '4.9.112-32.el7.x86_64' is not supported. + Supported versions are 2.4* or 2.6*. + +Command: vcs -full64 -sverilog +warn=all -CFLAGS -g -CC -I../c-file -cpp g++ ../c-file/aescrypt.c \ +../c-file/aeskey.c ../c-file/aestab.c ../c-file/gcm.cpp ../c-file/gfvec.cpp ../c-file/gcm_dpi.cpp \ +-R gcm_test.sv -l logs/gcm_test.log Chronologic VCS (TM) - Version D-2009.12_Full64 -- Thu Apr 28 16:02:30 2011 - Copyright (c) 1991-2009 by Synopsys Inc. + Version N-2017.12-SP2-1_Full64 -- Fri Nov 9 02:05:00 2018 + Copyright (c) 1991-2017 by Synopsys Inc. ALL RIGHTS RESERVED This program is proprietary and confidential information of Synopsys Inc. @@ -18,33 +22,39 @@ Top Level Modules: gcm_test No TimeScale specified Starting vcs inline pass... + 2 modules and 0 UDP read. +recompiling package _vcs_DPI_package recompiling module gcm_test Both modules done. -gcc -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/aescrypt.c \ - -gcc -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/aeskey.c \ - -gcc -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/aestab.c \ - -g++ -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/gcm.cpp \ - -g++ -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/gfvec.cpp \ - -g++ -w -pipe -g -I../c-file -I/opt/SYNOPSYS/VCS/2009.12/include -c ../../c-file/gcm_dpi.cpp \ - +rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so +gcc -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/aescrypt.c +gcc -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/aeskey.c +gcc -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/aestab.c +g++ -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/gcm.cpp +g++ -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/gfvec.cpp +g++ -w -pipe -fPIC -g -I../c-file -I/tools/synopsys/vcs-mx/N-2017.12-SP2-1/include \ +-c ../../c-file/gcm_dpi.cpp if [ -x ../simv ]; then chmod -x ../simv; fi -g++ -o ../simv aescrypt.o aeskey.o aestab.o gcm.o gfvec.o gcm_dpi.o 5NrI_d.o \ -5NrIB_d.o Yrj5_1_d.o sd3I_1_d.o rmapats_mop.o rmapats.o /opt/SYNOPSYS/VCS/2009.12/amd64/lib/libvirsim64.a \ -/opt/SYNOPSYS/VCS/2009.12/amd64/lib/liberrorinf.so /opt/SYNOPSYS/VCS/2009.12/amd64/lib/libsnpsmalloc.so \ -/opt/SYNOPSYS/VCS/2009.12/amd64/lib/libvcsnew.so /opt/SYNOPSYS/VCS/2009.12/amd64/lib/vcs_save_restore_new.o \ --ldl -lm -lc -lpthread -ldl +g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ \ +-Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/tools/synopsys/vcs-mx/N-2017.12-SP2-1/linux64/lib \ +-L/tools/synopsys/vcs-mx/N-2017.12-SP2-1/linux64/lib -lcmsg aescrypt.o aeskey.o \ +aestab.o gcm.o gfvec.o gcm_dpi.o objs/amcQw_d.o _6402_archive_1.so SIM_l.o \ +rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o rmar_llvm_0_0.o \ +-lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs -lvcsnew -lsimprofile \ +-luclinative /tools/synopsys/vcs-mx/N-2017.12-SP2-1/linux64/lib/vcs_tls.o -Wl,-whole-archive \ +-lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o /tools/synopsys/vcs-mx/N-2017.12-SP2-1/linux64/lib/vcs_save_restore_new.o \ +-ldl -lc -lm -lpthread -ldl ../simv up to date -Command: ./simv +vcs+lic+wait +v2k +nospecify +evalorder -a logs/gcm_test.log -oldui -Chronologic VCS simulator copyright 1991-2009 +Command: /users/sachin/System-Verilog-Packet-Library/hdr_db/include/gcm-aes/sv-file/./simv +warn=all -a logs/gcm_test.log +Chronologic VCS simulator copyright 1991-2017 Contains Synopsys proprietary information. -Compiler version D-2009.12_Full64; Runtime version D-2009.12_Full64; Apr 28 16:02 2011 - +Compiler version N-2017.12-SP2-1_Full64; Runtime version N-2017.12-SP2-1_Full64; Nov 9 02:05 2018 gcm_test: ~~~~~~~~~~~~~ Pkt before Encryption ~~~~~~~~~~~~~~ gcm_test: 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 gcm_test: ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ @@ -75,7 +85,9 @@ gcm_test: 64 : 39 2d 88 46 22 a7 97 de | ec fd 26 0d 18 16 82 71 0 : ~~~~~~~ Test PASS :)- ~~~~~~~ +$finish at simulation time 0 V C S S i m u l a t i o n R e p o r t Time: 0 -CPU Time: 0.020 seconds; Data structure size: 0.0Mb -Thu Apr 28 16:02:32 2011 +CPU Time: 0.210 seconds; Data structure size: 0.0Mb +Fri Nov 9 02:05:05 2018 +CPU time: .161 seconds to compile + .160 seconds to elab + .592 seconds to link + .241 seconds in simulation diff --git a/hdr_db/include/gcm-aes/sv-file/scripts/run_gcm b/hdr_db/include/gcm-aes/sv-file/scripts/run_gcm index 9918187..6e94dcf 100755 --- a/hdr_db/include/gcm-aes/sv-file/scripts/run_gcm +++ b/hdr_db/include/gcm-aes/sv-file/scripts/run_gcm @@ -1,3 +1,4 @@ #/bin/bash -vcs -R -full64 +vcs+lic+wait +v2k -assert dve -sverilog +nospecify +evalorder -debug_all -CFLAGS -g -CC -I../c-file -cpp g++ ../c-file/aescrypt.c ../c-file/aeskey.c ../c-file/aestab.c ../c-file/gcm.cpp ../c-file/gfvec.cpp ../c-file/gcm_dpi.cpp gcm_test.sv -l logs/gcm_test.log +#vcs -R -full64 +vcs+lic+wait +v2k -assert dve -sverilog +nospecify +evalorder -debug_all -CFLAGS -g -CC -I../c-file -cpp g++ ../c-file/aescrypt.c ../c-file/aeskey.c ../c-file/aestab.c ../c-file/gcm.cpp ../c-file/gfvec.cpp ../c-file/gcm_dpi.cpp gcm_test.sv -l logs/gcm_test.log +vcs -full64 -sverilog +warn=all -CFLAGS -g -CC -I../c-file -cpp g++ ../c-file/aescrypt.c ../c-file/aeskey.c ../c-file/aestab.c ../c-file/gcm.cpp ../c-file/gfvec.cpp ../c-file/gcm_dpi.cpp -R gcm_test.sv -l logs/gcm_test.log diff --git a/hdr_db/include/hdr_common_include.svh b/hdr_db/include/hdr_common_include.svh index 4679891..a3a9714 100644 --- a/hdr_db/include/hdr_common_include.svh +++ b/hdr_db/include/hdr_common_include.svh @@ -16,31 +16,66 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND // ---------------------------------------------------------------------- // ~~~~~~~~~~ hdr_class variables decelarations ~~~~~~~~~~ - rand bit [15:0] total_hdr_len; // hdr length from this.hdr to end of pkt - rand bit [15:0] hdr_len; // length of this.hdr - rand bit [15:0] trl_len; // length of trailer, if present - int hid; // header Id - int inst_no = 0; // hdr instance number - int cfg_id = 0; // cfg_id number + int pkt_format = IEEE802; // Pkt format + rand bit [15:0] total_hdr_len; // hdr length from this.hdr to end of pkt + rand bit [15:0] hdr_len; // length of this.hdr + rand bit [15:0] trl_len; // length of trailer, if present + int hid; // header Id + int inst_no = 0; // hdr instance number + int cfg_id = 0; // cfg_id number string hdr_name; - bit [7:0] hdr []; // each hdr data in array - bit [`VEC_SZ-1:0] pack_vec; // packing vector - rand hdr_class nxt_hdr; // object handle to nxt hdr in list - rand hdr_class prv_hdr; // object handle to prv hdr in list - rand hdr_class all_hdr [$]; // all the hdr of list; - bit psnt = 1'b0; // this hdr_class is psnt - rand int start_off; // starting offset of hdr - bit [7:0] null_a []; // null array used in tasks as initial value - bit [TOTAL_HID-1:0] unpack_en = {TOTAL_HID{1'b1}}; + bit [7:0] hdr []; // each hdr data in array + bit [`VEC_SZ-1:0] pack_vec; // packing vector + rand hdr_class nxt_hdr; // object handle to nxt hdr in list + rand hdr_class prv_hdr; // object handle to prv hdr in list + rand hdr_class all_hdr [$]; // all the hdr of list; + bit psnt = 1'b0; // this hdr_class is psnt + rand int start_off; // starting offset of hdr + bit [7:0] null_a []; // null array used in tasks as initial value + bit [TOTAL_HID-1:0] unpack_en = {TOTAL_HID{1'b1}}; pktlib_main_class plib; - pktlib_crc_chksm_class crc_chksm = new (); - pktlib_array_class harray = new (); + pktlib_crc_chksm_class crc_chksm = new (); + pktlib_array_class harray = new (); // ~~~~~~~~~~ Constraints Macro for total_hdr_len ~~~~~~~~~~~~~~~ `define LEGAL_TOTAL_HDR_LEN_CONSTRAINTS \ total_hdr_len == hdr_len + trl_len + super.nxt_hdr.total_hdr_len;\ start_off == prv_hdr.start_off + prv_hdr.hdr_len +// ~~~~~~~~~~ Function to get the name of pkt_format ~~~~~~~~~~ + function string get_pkt_format_name(int pkt_format); // { + case (pkt_format) // { + IEEE802 : get_pkt_format_name = "IEEE802"; + FC : get_pkt_format_name = "FC"; + MIPI_CSI2_DPHY : get_pkt_format_name = "MIPI-CSI2-DPHY"; + default : get_pkt_format_name = "UNKNOWN"; + endcase // } + endfunction : get_pkt_format_name // } + +// ~~~~~~~~~~ Function to get crc_sz ~~~~~~~~~~ + function int get_crc_sz(); // { + int got_crc_sz = 1'b0; + get_crc_sz = 0; + foreach (all_hdr[hdr_ls]) + begin // { + case (all_hdr[hdr_ls].hid) // { + ETH_HID, FC_HID : + begin // { + get_crc_sz = 4; + got_crc_sz = 1'b1; + end // } + DPHY_HID : + begin // { + if (all_hdr[hdr_ls].dphy_spkt === 1'b0) + get_crc_sz = 2; + got_crc_sz = 1'b1; + end // } + endcase // } + if (got_crc_sz) + break; + end // } + endfunction : get_crc_sz // } + // ~~~~~~~~ task to update hdr db ~~~~~~~~~~~~~~~~~~~~~~~~~~~~ function void update_hdr_db (int hid, int inst_num); // { plib.hdr_db[hid][inst_num] = this; @@ -83,20 +118,34 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND total_trl_len += all_hdr[i].trl_len; endfunction : total_trl_len // } +// ~~~~~~~~ function to get HID from pkt_format(used by unpack task) ~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + function int get_pformat_hid(int p_format); // { + case (p_format) // { + IEEE802 : get_pformat_hid = ETH_HID; + FC : get_pformat_hid = FC_HID; + MIPI_CSI2_DPHY : get_pformat_hid = DPHY_HID; + default : get_pformat_hid = ETH_HID; + endcase // } + endfunction : get_pformat_hid // } + // ~~~~~~~~~~ task to display common hdr fields ~~~~~~~~~~~~~ task display_common_hdr_flds (pktlib_display_class hdis, hdr_class lcl, int mode = DISPLAY); // { hdis.display_fld (mode, hdr_name, STRING, DEF, 000, "", 0, 0, null_a, null_a, "~~~~~~~~~~ Local variables ~~~~~~~~"); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "pkt_format", pkt_format, lcl.pkt_format, null_a, null_a, get_pkt_format_name(pkt_format)); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 016, "total_hdr_len", total_hdr_len, lcl.total_hdr_len); hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 016, "hdr_len", hdr_len, lcl.hdr_len); hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 016, "trl_len", trl_len, lcl.trl_len); - hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 016, "total_hdr_len", total_hdr_len, lcl.total_hdr_len); - hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "start_off", start_off, lcl.start_off); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "hid", hid, lcl.hid); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "inst_no", inst_no, lcl.inst_no); hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "cfg_id", cfg_id, lcl.cfg_id); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, DEF, 032, "start_off", start_off, lcl.start_off); endtask : display_common_hdr_flds // } // ~~~~~~~~~~ define to copy all the fields of include files ~~~~~~~~~~~~~ `define HDR_INCLUDE_CPY \ + this.pkt_format = cpy_cls.pkt_format;\ this.total_hdr_len = cpy_cls.total_hdr_len;\ this.hdr_len = cpy_cls.hdr_len;\ this.trl_len = cpy_cls.trl_len;\ @@ -123,6 +172,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND `HDR_IP_INCLUDE_CPY;\ `HDR_IPSEC_INCLUDE_CPY;\ `HDR_UDP_INCLUDE_CPY;\ + `HDR_DPHY_INCLUDE_CPY;\ `HDR_XXX_INCLUDE_CPY // ~~~~~~~~~~ L2/Ether Type defines/tasks/macros ~~~~~~~~~~ @@ -152,6 +202,9 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND // ~~~~~~~~~~ IGMP defines/tasks/macros ~~~~~~~~~~ `include "hdr_igmp_include.svh" +// ~~~~~~~~~~ DPHY defines/tasks ~~~~~~~~~~ +`include "hdr_dphy_include.svh" + // ~~~~~~~~~~ XXX defines/tasks/macros ~~~~~~~~~~ `include "hdr_xxx_include.svh" diff --git a/hdr_db/include/hdr_dphy_include.svh b/hdr_db/include/hdr_dphy_include.svh new file mode 100644 index 0000000..8ca3fb1 --- /dev/null +++ b/hdr_db/include/hdr_dphy_include.svh @@ -0,0 +1,67 @@ +/* +Copyright (c) 2011, Sachin Gandhi +All rights reserved. + +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. + * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// ---------------------------------------------------------------------- +// di (data_id)defines/tasks/macros +// ---------------------------------------------------------------------- + +// ~~~~~~~~~~ di defines ~~~~~~~~~~ +`define SDPHY_MAX_DI 8'h1F // Upper limit of DI for DPHY short packet header + +// ~~~~~~~~~~ di fields ~~~~~~~~~~ + bit [7:0] sdphy_max_di = `SDPHY_MAX_DI; + bit dphy_spkt = 1'b0; // Control variable set when its DPHY short packet header + +// ~~~~~~~~~~ define to copy DI fields ~~~~~~~~~~ +`define HDR_DPHY_INCLUDE_CPY\ + this.sdphy_max_di = cpy_cls.sdphy_max_di;\ + this.dphy_spkt = cpy_cls.dphy_spkt + +// ~~~~~~~~~~ Constraints Macro for DI ~~~~~~~~~~ +`define LEGAL_DI_TYPE_CONSTRAINTS \ + (nxt_hdr.hid == EOH_HID) -> (di inside {[8'h0 : sdphy_max_di]});\ + (nxt_hdr.hid == DATA_HID) -> (di > sdphy_max_di) + +// ~~~~~~~~~~ Function to get the name of di ~~~~~~~~~~ + function string get_di_name(bit [7:0] di); // { + if (di inside {[8'h0 : sdphy_max_di]}) + get_di_name = "DPHY Short Pkt Header"; + else + begin // { + case (di) // { + default : get_di_name = "UNKNOWN"; + endcase // } + end // } + endfunction : get_di_name // } + +// ~~~~~~~~~~ Function to get the di from hid ~~~~~~~~~~ + function bit [7:0] get_di(int hid); // { + case (hid) // { + EOH_HID : get_di = $urandom_range(8'h00, sdphy_max_di); + default : get_di = $urandom (); + endcase // } + endfunction : get_di // } + +// ~~~~~~~~~~ Function to get the hid from di ~~~~~~~~~~ + function int get_hid_from_di(bit [7:0] di); // { + if (di inside {[8'h0 : sdphy_max_di]}) + get_hid_from_di = EOH_HID; + else + begin // { + case (di) // { + default : get_hid_from_di = DATA_HID; + endcase // } + end // } + endfunction : get_hid_from_di // } + +// ~~~~~~~~~~ EOF ~~~~~~~~~~ diff --git a/hdr_db/ipsec_hdr_class.sv b/hdr_db/ipsec_hdr_class.sv index 80cca42..e982a2f 100644 --- a/hdr_db/ipsec_hdr_class.sv +++ b/hdr_db/ipsec_hdr_class.sv @@ -250,6 +250,7 @@ class ipsec_hdr_class extends hdr_class; // { bit [31:0] iv2; int out_plen; int avl_len; + toh_class lcl_toh; // copying original pkt if (enc_dcr == 1) @@ -269,7 +270,14 @@ class ipsec_hdr_class extends hdr_class; // { else begin // { avl_len = pkt.size - icv_sz; + lcl_toh = new (super.plib); + $cast (lcl_toh, super.all_hdr[i]); + if (lcl_toh.cal_n_add_crc) + avl_len = pkt.size - icv_sz - 4; + else + avl_len = pkt.size - icv_sz; enc_sz = avl_len - index; + end // } if ((auth_sz + auth_adjust) > (avl_len - auth_st)) auth_sz = avl_len - auth_st; diff --git a/hdr_db/macsec_hdr_class.sv b/hdr_db/macsec_hdr_class.sv index 854be26..8138bef 100644 --- a/hdr_db/macsec_hdr_class.sv +++ b/hdr_db/macsec_hdr_class.sv @@ -55,6 +55,8 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND // +-------+---------+--------------------+-----------------------------------+ // | 1 | 1'b1 | enc_en | If 1, encrypt the data | // +-------+---------+--------------------+-----------------------------------+ +// | 1 | 1'b0 | ignore_ES_0 | If 1, ignore ES=0 for SCI cal | +// +-------+---------+--------------------+-----------------------------------+ // // ---------------------------------------------------------------------- @@ -79,14 +81,16 @@ class macsec_hdr_class extends hdr_class; // { bit corrupt_tci_scb = 1'b0; bit corrupt_tci_e_c = 1'b0; bit corrupt_sl = 1'b0; + bit corrupt_icv = 1'b0; bit enc_en = 1'b1; + bit ignore_ES_0 = 1'b0; // ~~~~~~~~~~ MACsec Programming variables ~~~~~~~~~~ bit [7:0] auth_adjust = 0; bit [127:0] key = 0; bit [63:0] implicit_sci = 0; bit [15:0] scb_port = 0; - bit [15:0] default_port = 0; + bit [15:0] default_port = 16'h1; // ~~~~~~~~~~ Local MACsec related variables ~~~~~~~~~~ bit [63:0] final_sci = 0; @@ -294,6 +298,7 @@ class macsec_hdr_class extends hdr_class; // { bit [7:0] out_pkt []; int out_plen; int avl_len; + toh_class lcl_toh; // copying original pkt if (enc_dcr == 1) @@ -312,7 +317,12 @@ class macsec_hdr_class extends hdr_class; // { end // } else begin // { - avl_len = pkt.size - icv_sz; + lcl_toh = new (super.plib); + $cast (lcl_toh, super.all_hdr[i]); + if (lcl_toh.cal_n_add_crc) + avl_len = pkt.size - icv_sz - 4; + else + avl_len = pkt.size - icv_sz; enc_sz = 2 + avl_len - index; end // } if ((auth_sz + auth_adjust) > (avl_len - auth_st)) @@ -346,7 +356,11 @@ class macsec_hdr_class extends hdr_class; // { `endif index = out_pkt.size - 16; if (enc_dcr == 1) + begin // { + if (corrupt_icv) + harray.pack_array_8 (icv, out_pkt, index, 1'b1); pkt = new[super.plib.org_pkt.size] (out_pkt); + end // } else // Removing ICV from the packet pkt = new[index] (out_pkt); @@ -358,15 +372,28 @@ class macsec_hdr_class extends hdr_class; // { eth_hdr_class lcl_eth; lcl_eth = new (super.plib, `MAX_NUM_INSTS+1); $cast (lcl_eth, super.prv_hdr); - // final sci calculation - casex ({tci[3], tci[4], tci[2]}) // SC-ES-SCB { - 3'b1xx : final_sci = sci; - 3'b011 : final_sci = {lcl_eth.sa, scb_port}; - 3'b010 : final_sci = {lcl_eth.sa, default_port}; - 3'b001 : final_sci = {implicit_sci[63:16], scb_port}; - 3'b00x : final_sci = implicit_sci; - default : final_sci = implicit_sci; // Not possible.. Error case - endcase // } + if (ignore_ES_0) + begin // { + // final sci calculation + casex ({tci[3], tci[4], tci[2]}) // SC-ES-SCB { + 3'b1xx : final_sci = sci; + 3'b0x1 : final_sci = {lcl_eth.sa, scb_port}; + 3'b0x0 : final_sci = {lcl_eth.sa, default_port}; + default : final_sci = implicit_sci; // Not possible.. Error case + endcase // } + end // } + else + begin // { + // final sci calculation + casex ({tci[3], tci[4], tci[2]}) // SC-ES-SCB { + 3'b1xx : final_sci = sci; + 3'b011 : final_sci = {lcl_eth.sa, scb_port}; + 3'b010 : final_sci = {lcl_eth.sa, default_port}; + 3'b001 : final_sci = {implicit_sci[63:16], scb_port}; + 3'b00x : final_sci = implicit_sci; + default : final_sci = implicit_sci; // Not possible.. Error case + endcase // } + end // } endtask : cal_final_sci // } task cpy_hdr (hdr_class cpy_cls, @@ -391,7 +418,9 @@ class macsec_hdr_class extends hdr_class; // { this.corrupt_tci_scb = lcl.corrupt_tci_scb; this.corrupt_tci_e_c = lcl.corrupt_tci_e_c; this.corrupt_sl = lcl.corrupt_sl; + this.corrupt_icv = lcl.corrupt_icv; this.enc_en = lcl.enc_en; + this.ignore_ES_0 = lcl.ignore_ES_0; // ~~~~~~~~~~ MACsec Programming variables ~~~~~~~~~~ this.auth_adjust = lcl.auth_adjust; this.key = lcl.key; @@ -451,6 +480,8 @@ class macsec_hdr_class extends hdr_class; // { hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "corrupt_tci_scb", corrupt_tci_scb, lcl.corrupt_tci_scb); hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "corrupt_tci_e_c", corrupt_tci_e_c, lcl.corrupt_tci_e_c); hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "corrupt_sl", corrupt_sl, lcl.corrupt_sl); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "corrupt_icv", corrupt_icv, lcl.corrupt_icv); + hdis.display_fld (mode, hdr_name, BIT_VEC_NH, BIN, 001, "ignore_ES_0", ignore_ES_0, lcl.ignore_ES_0); end // } if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) begin // { diff --git a/hdr_db/pt_hdr_class.sv b/hdr_db/pt_hdr_class.sv index d7ba795..628834b 100644 --- a/hdr_db/pt_hdr_class.sv +++ b/hdr_db/pt_hdr_class.sv @@ -112,8 +112,8 @@ class pt_hdr_class extends hdr_class; // { if (mode == SMART_UNPACK) begin // { $cast (lcl_class, this); - if (unpack_en[ETH_HID] & (pkt.size > index)) - super.update_nxt_hdr_info (lcl_class, hdr_q, ETH_HID); + if (unpack_en[get_pformat_hid(pkt_format)] & (pkt.size > index)) + super.update_nxt_hdr_info (lcl_class, hdr_q, get_pformat_hid(pkt_format)); else super.update_nxt_hdr_info (lcl_class, hdr_q, DATA_HID); end // } diff --git a/hdr_db/toh_class.sv b/hdr_db/toh_class.sv index 9565c84..9550fa2 100644 --- a/hdr_db/toh_class.sv +++ b/hdr_db/toh_class.sv @@ -51,7 +51,8 @@ class toh_class extends hdr_class; // { // ~~~~~~~~~~ Random variables ~~~~~~~~~~ rand bit [15:0] plen; - rand bit [31:0] crc; + rand bit [31:0] crc32; + rand bit [15:0] crc16; rand bit [15:0] pad_len; rand bit [7:0] pad_data []; @@ -82,7 +83,7 @@ class toh_class extends hdr_class; // { plen >= min_plen; plen <= max_plen; (plen % plen_multiple_of) == plen_residue; - plen == nxt_hdr.total_hdr_len + crc_sz + pad_len; + plen == nxt_hdr.total_hdr_len + pad_len; total_hdr_len == nxt_hdr.total_hdr_len; hdr_len == 0; hdr_len == 0; @@ -115,20 +116,20 @@ class toh_class extends hdr_class; // { super.update_hdr_db (hid, inst_no); endfunction : new // } - function void pre_randomize (); // { - super.pre_randomize(); - crc_sz = (cal_n_add_crc) ? 4 : 0; - endfunction : pre_randomize // } - task pack_hdr (ref bit [7:0] pkt [], ref int index, input bit last_pack = 1'b0); // { + int i; `ifdef SVFNYI_0 bit [`VEC_SZ-1:0] tmp_vec; `endif + // pack all the hdrs to pkt pkt = new[this.plen]; index = 0; + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Packing %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif this.nxt_hdr.pack_hdr (pkt, index); // pad pkt @@ -139,6 +140,11 @@ class toh_class extends hdr_class; // { harray.pack_array_8 (pad_data, pkt, index); end // } + // CRC will get appended here. Get crc_sz if CRC need to be appended + crc_sz = get_crc_sz; + plen += crc_sz; + pkt = new [this.plen] (pkt); + // chop pkt if ((chop_plen_to != 0) & (chop_plen_to < (plen - crc_sz))) begin // { @@ -151,21 +157,37 @@ class toh_class extends hdr_class; // { end // } // calculate and append crc - if (cal_n_add_crc) + if (cal_n_add_crc & (crc_sz != 0)) begin // { - pkt[pkt.size() - 1] = 0; - pkt[pkt.size() - 2] = 0; - pkt[pkt.size() - 3] = 0; - pkt[pkt.size() - 4] = 0; - crc = crc_chksm.crc32(pkt, pkt.size()-4, 0, corrupt_crc); - `ifdef SVFNYI_0 - tmp_vec = crc; - this.nxt_hdr.harray.pack_bit(pkt, tmp_vec, index, 32); - `else - hdr = {>>{crc}}; - this.nxt_hdr.harray.pack_array_8 (hdr, pkt, index); - `endif + for (i = crc_sz; i > 0; i--) + pkt[pkt.size() - crc_sz] = 0; + if (crc_sz == 4) + begin // { + crc32 = crc_chksm.crc32(pkt, pkt.size()-crc_sz, 0, corrupt_crc); + `ifdef SVFNYI_0 + tmp_vec = crc32; + this.nxt_hdr.harray.pack_bit(pkt, tmp_vec, index, crc_sz*8); + `else + hdr = {>>{crc32}}; + this.nxt_hdr.harray.pack_array_8 (hdr, pkt, index); + `endif + end // } + else + begin // { + crc16 = crc_chksm.crc32(pkt, pkt.size()-crc_sz, 0, corrupt_crc); + `ifdef SVFNYI_0 + tmp_vec = crc16; + this.nxt_hdr.harray.pack_bit(pkt, tmp_vec, index, crc_sz*8); + `else + hdr = {>>{crc16}}; + this.nxt_hdr.harray.pack_array_8 (hdr, pkt, index); + `endif + end // } end // } + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Done Packing %s index %0d", hdr_name, index); + `endif + endtask : pack_hdr // } task unpack_hdr (ref bit [7:0] pkt [], @@ -173,16 +195,30 @@ class toh_class extends hdr_class; // { ref hdr_class hdr_q [$], input int mode = DUMB_UNPACK, input bit last_unpack = 1'b0); // { + int idx_eoh = 0; + data_class lcl_data; + update_len (index, plen, 0); plen = pkt.size; + + // unpack all class members + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Unpacking %s nxt_hdr %s index %0d", hdr_name, nxt_hdr.hdr_name, index); + `endif + this.nxt_hdr.unpack_hdr (pkt, index, hdr_q, mode); + idx_eoh = index; + // If crc is present, extract crc if (cal_n_add_crc) begin // { - crc = {pkt[pkt.size() - 4], - pkt[pkt.size() - 3], - pkt[pkt.size() - 2], - pkt[pkt.size() - 1]}; - pkt = new [pkt.size - 4] (pkt); + crc_sz = get_crc_sz; + crc32 = {pkt[pkt.size() - 4], + pkt[pkt.size() - 3], + pkt[pkt.size() - 2], + pkt[pkt.size() - 1]}; + crc16 = {pkt[pkt.size() - 2], + pkt[pkt.size() - 1]}; + index = pkt.size - crc_sz; end // } // if pad_present, extract pad_data @@ -191,11 +227,33 @@ class toh_class extends hdr_class; // { index = (pkt.size-pad_len); this.nxt_hdr.harray.copy_array (pkt, pad_data, index, pad_len); pkt = new [pkt.size - pad_len] (pkt); - index = 0; + index = pkt.size; end // } - // unpack all class members - this.nxt_hdr.unpack_hdr (pkt, index, hdr_q, mode); + // adjusting data[0].data_len due to CRC and pad + if (idx_eoh > index) + begin // { + foreach (hdr_q[hdr_ls]) + begin // { + if ((hdr_q[hdr_ls].hid == DATA_HID) & (hdr_q[hdr_ls].hdr_len > 0)) + begin // { + lcl_data= new (plib, `MAX_NUM_INSTS+1); + $cast (lcl_data, hdr_q[hdr_ls]); + if (lcl_data.data_len > (idx_eoh - index)) + begin // { + lcl_data.data_len -= (idx_eoh - index); + lcl_data.hdr_len = lcl_data.data_len; + lcl_data.total_hdr_len = lcl_data.data_len; + lcl_data.data = new [lcl_data.data_len] (lcl_data.data); + end // } + end // } + end // } + end // } + + `ifdef DEBUG_PKTLIB + $display (" pkt_lib : Done Unpacking %s index %0d", hdr_name, index); + `endif + // update all hdr if (mode == SMART_UNPACK) super.all_hdr = hdr_q; @@ -208,7 +266,8 @@ class toh_class extends hdr_class; // { $cast (lcl, cpy_cls); // ~~~~~~~~~~ Random variables ~~~~~~~~~~ this.plen = lcl.plen; - this.crc = lcl.crc; + this.crc32 = lcl.crc32; + this.crc16 = lcl.crc16; this.pad_len = lcl.pad_len; this.pad_data = lcl.pad_data; // ~~~~~~~~~~ Contol variables ~~~~~~~~~~ @@ -232,6 +291,7 @@ class toh_class extends hdr_class; // { hdr_class cmp_cls, int mode = DISPLAY, bit last_display = 1'b0); // { + string crc_string; toh_class lcl; $cast (lcl, cmp_cls); if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) @@ -246,9 +306,13 @@ class toh_class extends hdr_class; // { if (cal_n_add_crc) begin // { if (corrupt_crc) - hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "crc", crc, lcl.crc, null_a, null_a, "BAD"); + crc_string = "BAD"; else - hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "crc", crc, lcl.crc, null_a, null_a, "GOOD"); + crc_string = "GOOD"; + if (crc_sz == 4) + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 032, "crc32", crc32, lcl.crc32, null_a, null_a, crc_string); + else if (crc_sz == 2) + hdis.display_fld (mode, hdr_name, BIT_VEC, HEX, 016, "crc16", crc16, lcl.crc16, null_a, null_a, crc_string); end // } if ((mode == DISPLAY_FULL) | (mode == COMPARE_FULL)) begin // { diff --git a/log/pktlib_dumb_unpack.log b/log/pktlib_dumb_unpack.log index cd448db..beef19e 100644 --- a/log/pktlib_dumb_unpack.log +++ b/log/pktlib_dumb_unpack.log @@ -1,8 +1,16 @@ -Command: vcs -sverilog -full64 -f pktlib.vf -R test/pktlib_dumb_unpack.sv +define+NO_PROCESS_AE \ + +Warning-[LINX_KRNL] Unsupported Linux kernel + Linux kernel '4.9.112-32.el7.x86_64' is not supported. + Supported versions are 2.4* or 2.6*. + +Command: vcs -full64 -sverilog +warn=all -CFLAGS -g -CC -Ihdr_db/include/gcm-aes/c-file \ +-cpp g++ hdr_db/include/gcm-aes/c-file/aescrypt.c hdr_db/include/gcm-aes/c-file/aeskey.c \ +hdr_db/include/gcm-aes/c-file/aestab.c hdr_db/include/gcm-aes/c-file/gcm.cpp hdr_db/include/gcm-aes/c-file/gfvec.cpp \ +hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp -f pktlib.vf +define+DEBUG_PKTLIB -R test/pktlib_dumb_unpack.sv \ -l log/pktlib_dumb_unpack.log Chronologic VCS (TM) - Version D-2009.12_Full64 -- Wed May 4 18:00:57 2011 - Copyright (c) 1991-2009 by Synopsys Inc. + Version N-2017.12-SP2-1_Full64 -- Wed Nov 14 20:11:57 2018 + Copyright (c) 1991-2017 by Synopsys Inc. ALL RIGHTS RESERVED This program is proprietary and confidential information of Synopsys Inc. @@ -37,6 +45,14 @@ Parsing included file 'hdr_db/include/hdr_ipsec_include.svh'. Back to file 'hdr_db/include/hdr_common_include.svh'. Parsing included file 'hdr_db/include/hdr_udp_include.svh'. Back to file 'hdr_db/include/hdr_common_include.svh'. +Parsing included file 'hdr_db/include/hdr_tcp_include.svh'. +Back to file 'hdr_db/include/hdr_common_include.svh'. +Parsing included file 'hdr_db/include/hdr_igmp_include.svh'. +Back to file 'hdr_db/include/hdr_common_include.svh'. +Parsing included file 'hdr_db/include/hdr_dphy_include.svh'. +Back to file 'hdr_db/include/hdr_common_include.svh'. +Parsing included file 'hdr_db/include/hdr_dsec_include.svh'. +Back to file 'hdr_db/include/hdr_common_include.svh'. Parsing included file 'hdr_db/include/hdr_xxx_include.svh'. Back to file 'hdr_db/include/hdr_common_include.svh'. Back to file 'hdr_db/hdr_class.sv'. @@ -50,24 +66,46 @@ Parsing included file 'hdr_db/eth_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/macsec_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/arp_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/dot1q_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/itag_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/etag_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/vntag_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/cntag_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/cnm_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/trill_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/snap_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ptl2_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/fcoe_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/roce_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/mpls_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ipv4_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ipv6_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/ipv6_ext_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ptip_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ipsec_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/icmp_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/igmp_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/tcp_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/udp_hdr_class.sv'. @@ -78,6 +116,24 @@ Parsing included file 'hdr_db/ptp_hdr_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/ntp_hdr_class.sv'. Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/lisp_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/otv_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/stt_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/vxlan_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/grh_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/bth_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/fc_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/dphy_hdr_class.sv'. +Back to file 'pktlib_include.svh'. +Parsing included file 'hdr_db/dsec_hdr_class.sv'. +Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/data_class.sv'. Back to file 'pktlib_include.svh'. Parsing included file 'hdr_db/eoh_class.sv'. @@ -87,1510 +143,13 @@ Back to file 'test/pktlib_dumb_unpack.sv'. Top Level Modules: my_test No TimeScale specified -Starting vcs inline pass... -2 modules and 0 UDP read. -recompiling module my_test -Both modules done. -if [ -x ../simv ]; then chmod -x ../simv; fi -g++ -o ../simv 5NrI_d.o 5NrIB_d.o Yrj5_1_d.o qX6j_1_d.o rmapats_mop.o rmapats.o \ -SIM_l.o /opt/SYNOPSYS/VCS/2009.12/amd64/lib/libvirsim64.a /opt/SYNOPSYS/VCS/2009.12/amd64/lib/liberrorinf.so \ -/opt/SYNOPSYS/VCS/2009.12/amd64/lib/libsnpsmalloc.so /opt/SYNOPSYS/VCS/2009.12/amd64/lib/libvcsnew.so \ -/opt/SYNOPSYS/VCS/2009.12/amd64/lib/vcs_save_restore_new.o -ldl -lc -lm -lpthread \ --ldl -../simv up to date -Command: ./simv +define+NO_PROCESS_AE -a log/pktlib_dumb_unpack.log -oldui -Chronologic VCS simulator copyright 1991-2009 -Contains Synopsys proprietary information. -Compiler version D-2009.12_Full64; Runtime version D-2009.12_Full64; May 4 18:00 2011 - -0 : INFO : TEST : Pack Pkt 0 - cfg_hdr : {pth[0], eth[0], data[0]} - toh : plen : 113 - toh : chop_plen_to : 0 - pth[0] : pt_data : (Total Len = 5) - pth[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pth[0] : 0 : 84 5f 89 d8 0e - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[0] : da : 48'h401fad5c89b - eth[0] : sa : 48'h39b0ad9a49ab - eth[0] : etype : 16'h2f15 (UNKNOWN) - data[0] : data_len : 90 (data => 29 57 52 26 ..) - toh : pad_len : 0 - toh : crc : 32'ha9f17d7f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 84 5f 89 d8 0e 04 01 fa | d5 c8 9b 39 b0 ad 9a 49 - pkt_lib : 16 : ab 2f 15 29 57 52 26 49 | 34 49 4c c0 a1 35 94 e4 - pkt_lib : 32 : 0d 77 2e 30 f3 12 ca b3 | c1 36 0c f9 4b 49 9f 83 - pkt_lib : 48 : 45 18 ec 01 d2 92 8c b1 | 87 bd d7 05 e0 8f 8c 3f - pkt_lib : 64 : 68 11 45 8a 45 38 f3 11 | c4 9d c7 f9 6f 2b c3 38 - pkt_lib : 80 : 44 fd 28 39 17 52 06 db | ac bb 41 72 e0 3d e4 18 - pkt_lib : 96 : 18 2b 75 09 cb 75 5d 42 | 8d fd 14 1e 44 a9 f1 7d - pkt_lib : 112 : 7f - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 113) - -0 : INFO : TEST : Unpack Pkt 0 - cfg_hdr : {pth[0], eth[0], data[0]} - toh : plen : 113 - toh : chop_plen_to : 0 - pth[0] : pt_data : (Total Len = 5) - pth[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pth[0] : 0 : 84 5f 89 d8 0e - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[0] : da : 48'h401fad5c89b - eth[0] : sa : 48'h39b0ad9a49ab - eth[0] : etype : 16'h2f15 (UNKNOWN) - data[0] : data_len : 90 (data => 29 57 52 26 ..) - toh : pad_len : 0 - toh : crc : 32'ha9f17d7f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 84 5f 89 d8 0e 04 01 fa | d5 c8 9b 39 b0 ad 9a 49 - pkt_lib : 16 : ab 2f 15 29 57 52 26 49 | 34 49 4c c0 a1 35 94 e4 - pkt_lib : 32 : 0d 77 2e 30 f3 12 ca b3 | c1 36 0c f9 4b 49 9f 83 - pkt_lib : 48 : 45 18 ec 01 d2 92 8c b1 | 87 bd d7 05 e0 8f 8c 3f - pkt_lib : 64 : 68 11 45 8a 45 38 f3 11 | c4 9d c7 f9 6f 2b c3 38 - pkt_lib : 80 : 44 fd 28 39 17 52 06 db | ac bb 41 72 e0 3d e4 18 - pkt_lib : 96 : 18 2b 75 09 cb 75 5d 42 | 8d fd 14 1e 44 a9 f1 7d - pkt_lib : 112 : 7f - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 113) - -0 : INFO : TEST : Pack Pkt 1 - cfg_hdr : {eth[0], dot1q[0], ipv4[0], udp[0], data[0]} - toh : plen : 176 - toh : chop_plen_to : 0 - eth[0] : da : 48'h90622f5348c2 - eth[0] : sa : 48'h8a3085fa6f39 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h6 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h886 - dot1q[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h7 - ipv4[0] : tos : 8'h5f - ipv4[0] : total_length : 16'h9a - ipv4[0] : id : 16'hc766 - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h1e9d - ipv4[0] : ttl : 8'h36 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h4095 (GOOD) - ipv4[0] : ip_sa : 32'h650eb216 - ipv4[0] : ip_da : 32'hd9218fc1 - ipv4[0] : options : (Total Len = 8) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : fc 28 b5 b3 7f 3d 8a 39 | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h82b - udp[0] : dst_prt : 16'hcb27 (UNKNOWN) - udp[0] : length : 16'h7e - udp[0] : checksum : 16'h6717 (GOOD) - data[0] : data_len : 118 (data => 82 fb 2e 8b ..) - toh : pad_len : 0 - toh : crc : 32'h88373db (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 90 62 2f 53 48 c2 8a 30 | 85 fa 6f 39 81 00 d8 86 - pkt_lib : 16 : 08 00 47 5f 00 9a c7 66 | 3e 9d 36 11 40 95 65 0e - pkt_lib : 32 : b2 16 d9 21 8f c1 fc 28 | b5 b3 7f 3d 8a 39 08 2b - pkt_lib : 48 : cb 27 00 7e 67 17 82 fb | 2e 8b 98 52 d0 16 6e a1 - pkt_lib : 64 : bf e8 05 6e 46 27 c3 f1 | 50 69 5a 51 b8 d5 2e 43 - pkt_lib : 80 : 60 0f 44 3a 87 4e 78 62 | ba d6 a4 56 b3 ad 5b 7c - pkt_lib : 96 : bf 9a 24 d0 e5 d2 45 e6 | 4b 8a 39 39 3f b4 5c ef - pkt_lib : 112 : de 4e dd dd 6f cf 2f db | 9a 1c 43 35 10 1f 1c 89 - pkt_lib : 128 : a9 47 98 1f d9 f4 9a 85 | a6 ec cd 29 30 bb 6c d8 - pkt_lib : 144 : f4 a4 2a 9e 9c 8f 90 59 | d3 23 48 83 b4 8e 2b 39 - pkt_lib : 160 : fb 25 00 c6 f4 7e 67 53 | f4 40 55 d6 08 83 73 db - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 176) - -0 : INFO : TEST : Unpack Pkt 1 - cfg_hdr : {eth[0], dot1q[0], ipv4[0], udp[0], data[0]} - toh : plen : 176 - toh : chop_plen_to : 0 - eth[0] : da : 48'h90622f5348c2 - eth[0] : sa : 48'h8a3085fa6f39 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h6 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h886 - dot1q[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h7 - ipv4[0] : tos : 8'h5f - ipv4[0] : total_length : 16'h9a - ipv4[0] : id : 16'hc766 - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h1e9d - ipv4[0] : ttl : 8'h36 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h4095 (GOOD) - ipv4[0] : ip_sa : 32'h650eb216 - ipv4[0] : ip_da : 32'hd9218fc1 - ipv4[0] : options : (Total Len = 8) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : fc 28 b5 b3 7f 3d 8a 39 | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h82b - udp[0] : dst_prt : 16'hcb27 (UNKNOWN) - udp[0] : length : 16'h7e - udp[0] : checksum : 16'h6717 (GOOD) - data[0] : data_len : 105 (data => 82 fb 2e 8b ..) - toh : pad_len : 13 - toh : pad_data : (Total Len = 13) - toh : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : 0 : 39 fb 25 00 c6 f4 7e 67 | 53 f4 40 55 d6 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : crc : 32'h88373db (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 90 62 2f 53 48 c2 8a 30 | 85 fa 6f 39 81 00 d8 86 - pkt_lib : 16 : 08 00 47 5f 00 9a c7 66 | 3e 9d 36 11 40 95 65 0e - pkt_lib : 32 : b2 16 d9 21 8f c1 fc 28 | b5 b3 7f 3d 8a 39 08 2b - pkt_lib : 48 : cb 27 00 7e 67 17 82 fb | 2e 8b 98 52 d0 16 6e a1 - pkt_lib : 64 : bf e8 05 6e 46 27 c3 f1 | 50 69 5a 51 b8 d5 2e 43 - pkt_lib : 80 : 60 0f 44 3a 87 4e 78 62 | ba d6 a4 56 b3 ad 5b 7c - pkt_lib : 96 : bf 9a 24 d0 e5 d2 45 e6 | 4b 8a 39 39 3f b4 5c ef - pkt_lib : 112 : de 4e dd dd 6f cf 2f db | 9a 1c 43 35 10 1f 1c 89 - pkt_lib : 128 : a9 47 98 1f d9 f4 9a 85 | a6 ec cd 29 30 bb 6c d8 - pkt_lib : 144 : f4 a4 2a 9e 9c 8f 90 59 | d3 23 48 83 b4 8e 2b 39 - pkt_lib : 160 : fb 25 00 c6 f4 7e 67 53 | f4 40 55 d6 08 83 73 db - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 176) - -0 : INFO : TEST : Pack Pkt 2 - cfg_hdr : {eth[0], ptl2[0], dot1q[0], ipv6[0], udp[0], data[0]} - toh : plen : 172 - toh : chop_plen_to : 0 - eth[0] : da : 48'h32a08e2255bc - eth[0] : sa : 48'h8b948d4c0a8b - eth[0] : etype : 16'h5555 (PTL2) - ptl2[0] : pt_data : (Total Len = 30) - ptl2[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : 0 : ea 3b df 09 a8 aa a1 4a | 4b 50 52 8e 39 83 8d a1 - ptl2[0] : 16 : 8c 95 31 8e ee 3e 1f 2c | de f1 6e de 46 56 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h0 - dot1q[0] : cfi : 1'h0 - dot1q[0] : vlan : 12'ha2c - dot1q[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h60 - ipv6[0] : flow_label : 20'h6daca - ipv6[0] : payload_len : 16'h4e - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'h25 - ipv6[0] : ip6_sa : 128'h704b55373e5ab3c50bd4308e32a20bb6 - ipv6[0] : ip6_da : 128'h1b9e4ce93b8d0f3beb5d1355a4cc3bbe - udp[0] : src_prt : 16'hf3ff - udp[0] : dst_prt : 16'hc8b1 (UNKNOWN) - udp[0] : length : 16'h4e - udp[0] : checksum : 16'h3104 (GOOD) - data[0] : data_len : 70 (data => d8 8b 22 63 ..) - toh : pad_len : 0 - toh : crc : 32'h44bc1686 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 32 a0 8e 22 55 bc 8b 94 | 8d 4c 0a 8b 55 55 ea 3b - pkt_lib : 16 : df 09 a8 aa a1 4a 4b 50 | 52 8e 39 83 8d a1 8c 95 - pkt_lib : 32 : 31 8e ee 3e 1f 2c de f1 | 6e de 46 56 81 00 0a 2c - pkt_lib : 48 : 86 dd 66 06 da ca 00 4e | 11 25 70 4b 55 37 3e 5a - pkt_lib : 64 : b3 c5 0b d4 30 8e 32 a2 | 0b b6 1b 9e 4c e9 3b 8d - pkt_lib : 80 : 0f 3b eb 5d 13 55 a4 cc | 3b be f3 ff c8 b1 00 4e - pkt_lib : 96 : 31 04 d8 8b 22 63 73 72 | 2c 49 f7 d2 b6 c4 5c 19 - pkt_lib : 112 : bf 11 07 9e f8 6f df d7 | c2 71 95 29 3a b9 32 05 - pkt_lib : 128 : 5d 8c 02 52 4c 80 3d 29 | 48 5e 83 69 ff 55 f1 e0 - pkt_lib : 144 : 1e fb a1 06 0e 09 60 86 | 98 e3 7e e8 a0 4f 4a f6 - pkt_lib : 160 : 98 62 bb 2d 0a d0 0d 7b | 44 bc 16 86 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 172) - -0 : INFO : TEST : Unpack Pkt 2 - cfg_hdr : {eth[0], ptl2[0], dot1q[0], ipv6[0], udp[0], data[0]} - toh : plen : 172 - toh : chop_plen_to : 0 - eth[0] : da : 48'h32a08e2255bc - eth[0] : sa : 48'h8b948d4c0a8b - eth[0] : etype : 16'h5555 (PTL2) - ptl2[0] : pt_data : (Total Len = 30) - ptl2[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : 0 : ea 3b df 09 a8 aa a1 4a | 4b 50 52 8e 39 83 8d a1 - ptl2[0] : 16 : 8c 95 31 8e ee 3e 1f 2c | de f1 6e de 46 56 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h0 - dot1q[0] : cfi : 1'h0 - dot1q[0] : vlan : 12'ha2c - dot1q[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h60 - ipv6[0] : flow_label : 20'h6daca - ipv6[0] : payload_len : 16'h4e - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'h25 - ipv6[0] : ip6_sa : 128'h704b55373e5ab3c50bd4308e32a20bb6 - ipv6[0] : ip6_da : 128'h1b9e4ce93b8d0f3beb5d1355a4cc3bbe - udp[0] : src_prt : 16'hf3ff - udp[0] : dst_prt : 16'hc8b1 (UNKNOWN) - udp[0] : length : 16'h4e - udp[0] : checksum : 16'h3104 (GOOD) - data[0] : data_len : 57 (data => d8 8b 22 63 ..) - toh : pad_len : 13 - toh : pad_data : (Total Len = 13) - toh : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : 0 : e8 a0 4f 4a f6 98 62 bb | 2d 0a d0 0d 7b - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : crc : 32'h44bc1686 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 32 a0 8e 22 55 bc 8b 94 | 8d 4c 0a 8b 55 55 ea 3b - pkt_lib : 16 : df 09 a8 aa a1 4a 4b 50 | 52 8e 39 83 8d a1 8c 95 - pkt_lib : 32 : 31 8e ee 3e 1f 2c de f1 | 6e de 46 56 81 00 0a 2c - pkt_lib : 48 : 86 dd 66 06 da ca 00 4e | 11 25 70 4b 55 37 3e 5a - pkt_lib : 64 : b3 c5 0b d4 30 8e 32 a2 | 0b b6 1b 9e 4c e9 3b 8d - pkt_lib : 80 : 0f 3b eb 5d 13 55 a4 cc | 3b be f3 ff c8 b1 00 4e - pkt_lib : 96 : 31 04 d8 8b 22 63 73 72 | 2c 49 f7 d2 b6 c4 5c 19 - pkt_lib : 112 : bf 11 07 9e f8 6f df d7 | c2 71 95 29 3a b9 32 05 - pkt_lib : 128 : 5d 8c 02 52 4c 80 3d 29 | 48 5e 83 69 ff 55 f1 e0 - pkt_lib : 144 : 1e fb a1 06 0e 09 60 86 | 98 e3 7e e8 a0 4f 4a f6 - pkt_lib : 160 : 98 62 bb 2d 0a d0 0d 7b | 44 bc 16 86 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 172) - -0 : INFO : TEST : Pack Pkt 3 - cfg_hdr : {eth[0], snap[0], ipv4[0], ptip[0], udp[0], data[0]} - toh : plen : 187 - toh : chop_plen_to : 0 - eth[0] : da : 48'h3f3b0426e078 - eth[0] : sa : 48'h5c9d3141b29a - eth[0] : etype : 16'hb7 (SNAP) - snap[0] : dsap : 8'haa - snap[0] : ssap : 8'haa - snap[0] : ctrl : 8'h3 - snap[0] : oui : 24'h608a2c - snap[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h5 - ipv4[0] : tos : 8'hb5 - ipv4[0] : total_length : 16'ha1 - ipv4[0] : id : 16'h4b95 - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h122b - ipv4[0] : ttl : 8'h30 - ipv4[0] : protocol : 8'hff (PTIP) - ipv4[0] : checksum : 16'h1df4 (GOOD) - ipv4[0] : ip_sa : 32'h9c06708c - ipv4[0] : ip_da : 32'h1d524310 - ptip[0] : pt_data : (Total Len = 14) - ptip[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : 0 : ff ed d9 57 72 fb a0 33 | dd 25 1e 69 e4 5d - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : protocol : 8'h11 (UDP) - udp[0] : src_prt : 16'ha3bf - udp[0] : dst_prt : 16'h16f3 (UNKNOWN) - udp[0] : length : 16'h7e - udp[0] : checksum : 16'h4e07 (GOOD) - data[0] : data_len : 118 (data => 19 19 ee 08 ..) - toh : pad_len : 0 - toh : crc : 32'hd72c39ad (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 3f 3b 04 26 e0 78 5c 9d | 31 41 b2 9a 00 b7 aa aa - pkt_lib : 16 : 03 60 8a 2c 08 00 45 b5 | 00 a1 4b 95 b2 2b 30 ff - pkt_lib : 32 : 1d f4 9c 06 70 8c 1d 52 | 43 10 ff ed d9 57 72 fb - pkt_lib : 48 : a0 33 dd 25 1e 69 e4 5d | 11 a3 bf 16 f3 00 7e 4e - pkt_lib : 64 : 07 19 19 ee 08 ca e1 b6 | cd ca b0 63 a3 ef b8 36 - pkt_lib : 80 : cb 05 98 d3 30 20 9e ed | 60 47 82 d3 2e f9 78 06 - pkt_lib : 96 : f7 d4 f3 5d b8 62 88 22 | 9e 43 0f c0 fb 39 77 26 - pkt_lib : 112 : ca 86 09 94 09 85 4e 86 | 81 c8 7f 62 aa c3 f4 9b - pkt_lib : 128 : 7c 2e fd a8 a3 00 d8 32 | 13 74 5b 4c 23 16 51 a9 - pkt_lib : 144 : e3 01 48 ca 69 eb ee 2b | 05 1d 9f 7b f5 39 53 67 - pkt_lib : 160 : 69 e0 76 b3 74 44 ef 00 | 6a c1 0d 32 18 60 bf cd - pkt_lib : 176 : bf 84 02 bb d7 f9 1a d7 | 2c 39 ad - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 187) - -0 : INFO : TEST : Unpack Pkt 3 - cfg_hdr : {eth[0], snap[0], ipv4[0], ptip[0], udp[0], data[0]} - toh : plen : 187 - toh : chop_plen_to : 0 - eth[0] : da : 48'h3f3b0426e078 - eth[0] : sa : 48'h5c9d3141b29a - eth[0] : etype : 16'hb7 (SNAP) - snap[0] : dsap : 8'haa - snap[0] : ssap : 8'haa - snap[0] : ctrl : 8'h3 - snap[0] : oui : 24'h608a2c - snap[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h5 - ipv4[0] : tos : 8'hb5 - ipv4[0] : total_length : 16'ha1 - ipv4[0] : id : 16'h4b95 - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h122b - ipv4[0] : ttl : 8'h30 - ipv4[0] : protocol : 8'hff (PTIP) - ipv4[0] : checksum : 16'h1df4 (GOOD) - ipv4[0] : ip_sa : 32'h9c06708c - ipv4[0] : ip_da : 32'h1d524310 - ptip[0] : pt_data : (Total Len = 14) - ptip[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : 0 : ff ed d9 57 72 fb a0 33 | dd 25 1e 69 e4 5d - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : protocol : 8'h11 (UDP) - udp[0] : src_prt : 16'ha3bf - udp[0] : dst_prt : 16'h16f3 (UNKNOWN) - udp[0] : length : 16'h7e - udp[0] : checksum : 16'h4e07 (GOOD) - data[0] : data_len : 118 (data => 19 19 ee 08 ..) - toh : pad_len : 0 - toh : crc : 32'hd72c39ad (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 3f 3b 04 26 e0 78 5c 9d | 31 41 b2 9a 00 b7 aa aa - pkt_lib : 16 : 03 60 8a 2c 08 00 45 b5 | 00 a1 4b 95 b2 2b 30 ff - pkt_lib : 32 : 1d f4 9c 06 70 8c 1d 52 | 43 10 ff ed d9 57 72 fb - pkt_lib : 48 : a0 33 dd 25 1e 69 e4 5d | 11 a3 bf 16 f3 00 7e 4e - pkt_lib : 64 : 07 19 19 ee 08 ca e1 b6 | cd ca b0 63 a3 ef b8 36 - pkt_lib : 80 : cb 05 98 d3 30 20 9e ed | 60 47 82 d3 2e f9 78 06 - pkt_lib : 96 : f7 d4 f3 5d b8 62 88 22 | 9e 43 0f c0 fb 39 77 26 - pkt_lib : 112 : ca 86 09 94 09 85 4e 86 | 81 c8 7f 62 aa c3 f4 9b - pkt_lib : 128 : 7c 2e fd a8 a3 00 d8 32 | 13 74 5b 4c 23 16 51 a9 - pkt_lib : 144 : e3 01 48 ca 69 eb ee 2b | 05 1d 9f 7b f5 39 53 67 - pkt_lib : 160 : 69 e0 76 b3 74 44 ef 00 | 6a c1 0d 32 18 60 bf cd - pkt_lib : 176 : bf 84 02 bb d7 f9 1a d7 | 2c 39 ad - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 187) - -0 : INFO : TEST : Pack Pkt 4 - cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], data[0]} - toh : plen : 123 - toh : chop_plen_to : 0 - eth[0] : da : 48'h8e0a2eb7377d - eth[0] : sa : 48'hb3776fd6a228 - eth[0] : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : num_mpls_lbl : 4 - mpls[0] : label[0] : 20'h89861 (UNKNOWN) - mpls[0] : exp[0] : 3'h4 - mpls[0] : s[0] : 0 - mpls[0] : ttl[0] : 8'hfa - mpls[0] : label[1] : 20'haf81b (UNKNOWN) - mpls[0] : exp[1] : 3'h3 - mpls[0] : s[1] : 0 - mpls[0] : ttl[1] : 8'hd6 - mpls[0] : label[2] : 20'h45451 (UNKNOWN) - mpls[0] : exp[2] : 3'h7 - mpls[0] : s[2] : 0 - mpls[0] : ttl[2] : 8'hc6 - mpls[0] : label[3] : 20'h0 (IPV4 Explicit Null) - mpls[0] : exp[3] : 3'h4 - mpls[0] : s[3] : 1 - mpls[0] : ttl[3] : 8'h66 - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h7 - ipv4[0] : tos : 8'h7b - ipv4[0] : total_length : 16'h59 - ipv4[0] : id : 16'h3859 - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h99a - ipv4[0] : ttl : 8'h71 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h327e (GOOD) - ipv4[0] : ip_sa : 32'hd7f9c97e - ipv4[0] : ip_da : 32'he96e320f - ipv4[0] : options : (Total Len = 8) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 5e 9a 49 93 9b 2f 32 54 | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h1954 - udp[0] : dst_prt : 16'h5d45 (UNKNOWN) - udp[0] : length : 16'h3d - udp[0] : checksum : 16'h71ba (GOOD) - data[0] : data_len : 53 (data => 35 f5 22 e9 ..) - toh : pad_len : 0 - toh : crc : 32'h71dd40 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 8e 0a 2e b7 37 7d b3 77 | 6f d6 a2 28 88 47 89 86 - pkt_lib : 16 : 18 fa af 81 b6 d6 45 45 | 1e c6 00 00 09 66 47 7b - pkt_lib : 32 : 00 59 38 59 a9 9a 71 11 | 32 7e d7 f9 c9 7e e9 6e - pkt_lib : 48 : 32 0f 5e 9a 49 93 9b 2f | 32 54 19 54 5d 45 00 3d - pkt_lib : 64 : 71 ba 35 f5 22 e9 31 90 | bb 4e ba d0 3e 9e ca 46 - pkt_lib : 80 : 77 10 7a 4b 34 4e 74 81 | ed 57 49 b3 9f f1 02 e4 - pkt_lib : 96 : ab 01 07 80 28 72 57 93 | cf fd e1 9e 74 5c fe f5 - pkt_lib : 112 : 2c 18 1a f9 53 22 eb 00 | 71 dd 40 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 123) - -0 : INFO : TEST : Unpack Pkt 4 - cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], data[0]} - toh : plen : 123 - toh : chop_plen_to : 0 - eth[0] : da : 48'h8e0a2eb7377d - eth[0] : sa : 48'hb3776fd6a228 - eth[0] : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : num_mpls_lbl : 4 - mpls[0] : label[0] : 20'h89861 (UNKNOWN) - mpls[0] : exp[0] : 3'h4 - mpls[0] : s[0] : 0 - mpls[0] : ttl[0] : 8'hfa - mpls[0] : label[1] : 20'haf81b (UNKNOWN) - mpls[0] : exp[1] : 3'h3 - mpls[0] : s[1] : 0 - mpls[0] : ttl[1] : 8'hd6 - mpls[0] : label[2] : 20'h45451 (UNKNOWN) - mpls[0] : exp[2] : 3'h7 - mpls[0] : s[2] : 0 - mpls[0] : ttl[2] : 8'hc6 - mpls[0] : label[3] : 20'h0 (IPV4 Explicit Null) - mpls[0] : exp[3] : 3'h4 - mpls[0] : s[3] : 1 - mpls[0] : ttl[3] : 8'h66 - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h7 - ipv4[0] : tos : 8'h7b - ipv4[0] : total_length : 16'h59 - ipv4[0] : id : 16'h3859 - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h99a - ipv4[0] : ttl : 8'h71 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h327e (GOOD) - ipv4[0] : ip_sa : 32'hd7f9c97e - ipv4[0] : ip_da : 32'he96e320f - ipv4[0] : options : (Total Len = 8) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 5e 9a 49 93 9b 2f 32 54 | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h1954 - udp[0] : dst_prt : 16'h5d45 (UNKNOWN) - udp[0] : length : 16'h3d - udp[0] : checksum : 16'h71ba (GOOD) - data[0] : data_len : 53 (data => 35 f5 22 e9 ..) - toh : pad_len : 0 - toh : crc : 32'h71dd40 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 8e 0a 2e b7 37 7d b3 77 | 6f d6 a2 28 88 47 89 86 - pkt_lib : 16 : 18 fa af 81 b6 d6 45 45 | 1e c6 00 00 09 66 47 7b - pkt_lib : 32 : 00 59 38 59 a9 9a 71 11 | 32 7e d7 f9 c9 7e e9 6e - pkt_lib : 48 : 32 0f 5e 9a 49 93 9b 2f | 32 54 19 54 5d 45 00 3d - pkt_lib : 64 : 71 ba 35 f5 22 e9 31 90 | bb 4e ba d0 3e 9e ca 46 - pkt_lib : 80 : 77 10 7a 4b 34 4e 74 81 | ed 57 49 b3 9f f1 02 e4 - pkt_lib : 96 : ab 01 07 80 28 72 57 93 | cf fd e1 9e 74 5c fe f5 - pkt_lib : 112 : 2c 18 1a f9 53 22 eb 00 | 71 dd 40 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 123) - -0 : INFO : TEST : Pack Pkt 5 - cfg_hdr : {eth[0], alt1q[0], mmpls[0], ipv6[0], udp[0], data[0]} - toh : plen : 130 - toh : chop_plen_to : 0 - eth[0] : da : 48'h87787c76c977 - eth[0] : sa : 48'h3216c61374c6 - eth[0] : etype : 16'h8200 (ALT1Q) - alt1q[0] : cos : 3'h1 - alt1q[0] : cfi : 1'h1 - alt1q[0] : vlan : 12'hc3a - alt1q[0] : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : num_mpls_lbl : 1 - mmpls[0] : label[0] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : exp[0] : 3'h7 - mmpls[0] : s[0] : 1 - mmpls[0] : ttl[0] : 8'h62 - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h5a - ipv6[0] : flow_label : 20'hd197c - ipv6[0] : payload_len : 16'h40 - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'hed - ipv6[0] : ip6_sa : 128'h513f13d99d5f7774bb084a8ea4312858 - ipv6[0] : ip6_da : 128'hc38604308a83bf28c4bd79548946d56a - udp[0] : src_prt : 16'h46a8 - udp[0] : dst_prt : 16'hc45 (UNKNOWN) - udp[0] : length : 16'h40 - udp[0] : checksum : 16'hbc9d (GOOD) - data[0] : data_len : 56 (data => 4e a0 44 62 ..) - toh : pad_len : 0 - toh : crc : 32'hbdf34b8f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 87 78 7c 76 c9 77 32 16 | c6 13 74 c6 82 00 3c 3a - pkt_lib : 16 : 88 48 00 00 2f 62 65 ad | 19 7c 00 40 11 ed 51 3f - pkt_lib : 32 : 13 d9 9d 5f 77 74 bb 08 | 4a 8e a4 31 28 58 c3 86 - pkt_lib : 48 : 04 30 8a 83 bf 28 c4 bd | 79 54 89 46 d5 6a 46 a8 - pkt_lib : 64 : 0c 45 00 40 bc 9d 4e a0 | 44 62 bc 60 65 7d 23 6d - pkt_lib : 80 : 9f 6e 47 b6 43 88 eb 2e | 0b 0a 93 9b c4 26 9a fc - pkt_lib : 96 : 71 bd 22 6a 3a 9b d0 ae | 7b 8c 0c b3 0f 5f b7 e4 - pkt_lib : 112 : 97 6d 1b 06 67 b0 e1 bf | f4 e3 f2 b6 37 49 bd f3 - pkt_lib : 128 : 4b 8f - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 130) - -0 : INFO : TEST : Unpack Pkt 5 - cfg_hdr : {eth[0], alt1q[0], mmpls[0], ipv6[0], udp[0], data[0]} - toh : plen : 130 - toh : chop_plen_to : 0 - eth[0] : da : 48'h87787c76c977 - eth[0] : sa : 48'h3216c61374c6 - eth[0] : etype : 16'h8200 (ALT1Q) - alt1q[0] : cos : 3'h1 - alt1q[0] : cfi : 1'h1 - alt1q[0] : vlan : 12'hc3a - alt1q[0] : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : num_mpls_lbl : 1 - mmpls[0] : label[0] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : exp[0] : 3'h7 - mmpls[0] : s[0] : 1 - mmpls[0] : ttl[0] : 8'h62 - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h5a - ipv6[0] : flow_label : 20'hd197c - ipv6[0] : payload_len : 16'h40 - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'hed - ipv6[0] : ip6_sa : 128'h513f13d99d5f7774bb084a8ea4312858 - ipv6[0] : ip6_da : 128'hc38604308a83bf28c4bd79548946d56a - udp[0] : src_prt : 16'h46a8 - udp[0] : dst_prt : 16'hc45 (UNKNOWN) - udp[0] : length : 16'h40 - udp[0] : checksum : 16'hbc9d (GOOD) - data[0] : data_len : 56 (data => 4e a0 44 62 ..) - toh : pad_len : 0 - toh : crc : 32'hbdf34b8f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 87 78 7c 76 c9 77 32 16 | c6 13 74 c6 82 00 3c 3a - pkt_lib : 16 : 88 48 00 00 2f 62 65 ad | 19 7c 00 40 11 ed 51 3f - pkt_lib : 32 : 13 d9 9d 5f 77 74 bb 08 | 4a 8e a4 31 28 58 c3 86 - pkt_lib : 48 : 04 30 8a 83 bf 28 c4 bd | 79 54 89 46 d5 6a 46 a8 - pkt_lib : 64 : 0c 45 00 40 bc 9d 4e a0 | 44 62 bc 60 65 7d 23 6d - pkt_lib : 80 : 9f 6e 47 b6 43 88 eb 2e | 0b 0a 93 9b c4 26 9a fc - pkt_lib : 96 : 71 bd 22 6a 3a 9b d0 ae | 7b 8c 0c b3 0f 5f b7 e4 - pkt_lib : 112 : 97 6d 1b 06 67 b0 e1 bf | f4 e3 f2 b6 37 49 bd f3 - pkt_lib : 128 : 4b 8f - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 130) - -0 : INFO : TEST : Pack Pkt 6 - cfg_hdr : {pth[0], eth[0], ptl2[0], ptip[0], data[0]} - toh : plen : 200 - toh : chop_plen_to : 0 - pth[0] : pt_data : (Total Len = 5) - pth[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pth[0] : 0 : aa 3f f8 74 a2 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[0] : da : 48'hc0c57cb03204 - eth[0] : sa : 48'h151fee8a028a - eth[0] : etype : 16'h5555 (PTL2) - ptl2[0] : pt_data : (Total Len = 30) - ptl2[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : 0 : f8 c3 30 8a e0 96 9f 89 | a5 d0 88 05 2b a3 23 a4 - ptl2[0] : 16 : 9b 1c 80 9b d6 4e c1 3f | e5 c6 28 d2 ea 56 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : etype : 16'h8f09 (UNKNOWN) - ptip[0] : pt_data : (Total Len = 14) - ptip[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : 0 : f6 75 61 bf bc 8b 9d 7c | 93 06 72 b8 ec 1a - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : protocol : 8'h9e (UNKNOWN) - data[0] : data_len : 130 (data => 2f b1 3e b2 ..) - toh : pad_len : 0 - toh : crc : 32'h5f11146f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : aa 3f f8 74 a2 c0 c5 7c | b0 32 04 15 1f ee 8a 02 - pkt_lib : 16 : 8a 55 55 f8 c3 30 8a e0 | 96 9f 89 a5 d0 88 05 2b - pkt_lib : 32 : a3 23 a4 9b 1c 80 9b d6 | 4e c1 3f e5 c6 28 d2 ea - pkt_lib : 48 : 56 8f 09 f6 75 61 bf bc | 8b 9d 7c 93 06 72 b8 ec - pkt_lib : 64 : 1a 9e 2f b1 3e b2 01 94 | 48 ec 70 23 dc 37 10 2a - pkt_lib : 80 : a3 68 b1 f8 cf e4 c1 93 | 0b 70 31 41 14 be f0 7a - pkt_lib : 96 : 6a 4e 10 71 cf e6 59 0a | 1f ac 70 2e 04 0b bd 8a - pkt_lib : 112 : 95 c1 1b 36 ba df 92 24 | 27 29 93 b9 35 2a 71 78 - pkt_lib : 128 : ff f2 05 c2 e1 4d dc 41 | cb c1 91 72 4c b3 27 01 - pkt_lib : 144 : 11 d7 d0 b5 fc 38 05 d1 | f1 dd 3e af f4 0b d2 85 - pkt_lib : 160 : d7 56 cc 61 9a a9 30 06 | 23 76 63 8d 70 d3 d4 2f - pkt_lib : 176 : ee f5 cd f8 d8 ba e8 53 | 68 56 c4 6b 36 e7 cd 70 - pkt_lib : 192 : ab 6e 8d d8 5f 11 14 6f | - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 200) - -0 : INFO : TEST : Unpack Pkt 6 - cfg_hdr : {pth[0], eth[0], ptl2[0], ptip[0], data[0]} - toh : plen : 200 - toh : chop_plen_to : 0 - pth[0] : pt_data : (Total Len = 5) - pth[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pth[0] : 0 : aa 3f f8 74 a2 - pth[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[0] : da : 48'hc0c57cb03204 - eth[0] : sa : 48'h151fee8a028a - eth[0] : etype : 16'h5555 (PTL2) - ptl2[0] : pt_data : (Total Len = 30) - ptl2[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : 0 : f8 c3 30 8a e0 96 9f 89 | a5 d0 88 05 2b a3 23 a4 - ptl2[0] : 16 : 9b 1c 80 9b d6 4e c1 3f | e5 c6 28 d2 ea 56 - ptl2[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptl2[0] : etype : 16'h8f09 (UNKNOWN) - ptip[0] : pt_data : (Total Len = 14) - ptip[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : 0 : f6 75 61 bf bc 8b 9d 7c | 93 06 72 b8 ec 1a - ptip[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ptip[0] : protocol : 8'h9e (UNKNOWN) - data[0] : data_len : 130 (data => 2f b1 3e b2 ..) - toh : pad_len : 0 - toh : crc : 32'h5f11146f (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : aa 3f f8 74 a2 c0 c5 7c | b0 32 04 15 1f ee 8a 02 - pkt_lib : 16 : 8a 55 55 f8 c3 30 8a e0 | 96 9f 89 a5 d0 88 05 2b - pkt_lib : 32 : a3 23 a4 9b 1c 80 9b d6 | 4e c1 3f e5 c6 28 d2 ea - pkt_lib : 48 : 56 8f 09 f6 75 61 bf bc | 8b 9d 7c 93 06 72 b8 ec - pkt_lib : 64 : 1a 9e 2f b1 3e b2 01 94 | 48 ec 70 23 dc 37 10 2a - pkt_lib : 80 : a3 68 b1 f8 cf e4 c1 93 | 0b 70 31 41 14 be f0 7a - pkt_lib : 96 : 6a 4e 10 71 cf e6 59 0a | 1f ac 70 2e 04 0b bd 8a - pkt_lib : 112 : 95 c1 1b 36 ba df 92 24 | 27 29 93 b9 35 2a 71 78 - pkt_lib : 128 : ff f2 05 c2 e1 4d dc 41 | cb c1 91 72 4c b3 27 01 - pkt_lib : 144 : 11 d7 d0 b5 fc 38 05 d1 | f1 dd 3e af f4 0b d2 85 - pkt_lib : 160 : d7 56 cc 61 9a a9 30 06 | 23 76 63 8d 70 d3 d4 2f - pkt_lib : 176 : ee f5 cd f8 d8 ba e8 53 | 68 56 c4 6b 36 e7 cd 70 - pkt_lib : 192 : ab 6e 8d d8 5f 11 14 6f | - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 200) - -0 : INFO : TEST : Pack Pkt 7 - cfg_hdr : {eth[0], ipv4[0], gre[0], ipv4[1], udp[0], ptpv1[0], data[0]} - toh : plen : 126 - toh : chop_plen_to : 0 - eth[0] : da : 48'h865ed403a9f7 - eth[0] : sa : 48'ha603f669d7aa - eth[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h6 - ipv4[0] : tos : 8'h10 - ipv4[0] : total_length : 16'h6c - ipv4[0] : id : 16'h5deb - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h0 - ipv4[0] : frag_offset : 13'h1936 - ipv4[0] : ttl : 8'h19 - ipv4[0] : protocol : 8'h2f (GRE) - ipv4[0] : checksum : 16'hf2f5 (GOOD) - ipv4[0] : ip_sa : 32'h9ac95656 - ipv4[0] : ip_da : 32'h7e7fb418 - ipv4[0] : options : (Total Len = 4) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 73 39 1f 4b - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : C : 1'b1 - gre[0] : R : 1'b0 - gre[0] : K : 1'b0 - gre[0] : S : 1'b1 - gre[0] : s : 1'b0 - gre[0] : recur : 3'h0 - gre[0] : A : 1'b0 - gre[0] : flags : 4'h0 - gre[0] : version : 3'h0 - gre[0] : protocol : 16'h800 (IPV4) - gre[0] : checksum : 16'h16a4 (GOOD) - gre[0] : offset : 16'h75a0 - gre[0] : sequence_number : 32'h2ef021f6 - ipv4[1] : version : 4'h4 - ipv4[1] : ihl : 4'h6 - ipv4[1] : tos : 8'hf6 - ipv4[1] : total_length : 16'h48 - ipv4[1] : id : 16'hf720 - ipv4[1] : reserved : 1'h1 - ipv4[1] : df : 1'h1 - ipv4[1] : mf : 1'h0 - ipv4[1] : frag_offset : 13'h0 - ipv4[1] : ttl : 8'h9a - ipv4[1] : protocol : 8'h11 (UDP) - ipv4[1] : checksum : 16'hd181 (GOOD) - ipv4[1] : ip_sa : 32'hd330e68e - ipv4[1] : ip_da : 32'h48c67264 - ipv4[1] : options : (Total Len = 4) - ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : ae 53 72 ce - ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h6cbc - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h30 - udp[0] : checksum : 16'h1ca9 (GOOD) - ptpv1[0] : v1_ptp_ver : 16'h1 - ptpv1[0] : v1_nw_ver : 16'h8e05 - ptpv1[0] : v1_subdomain : 128'ha097f8d3c70019a8beebcc3a70308163 - ptpv1[0] : v1_msg_type : 8'h33 - ptpv1[0] : v1_src_com_tech : 8'h90 - ptpv1[0] : v1_src_uid : 48'h6ffd032faf1d - ptpv1[0] : v1_src_port_id : 16'ha670 - ptpv1[0] : v1_seq_id : 16'h619a - ptpv1[0] : v1_cntrl : 8'h72 - ptpv1[0] : v1_rsvd0 : 8'h6d - ptpv1[0] : v1_flags : 16'he8d3 - ptpv1[0] : v1_rsvd1 : 32'h57a86b7e - data[0] : data_len : 0 (data => EMPTY) - toh : pad_len : 0 - toh : crc : 32'hb05081ca (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 86 5e d4 03 a9 f7 a6 03 | f6 69 d7 aa 08 00 46 10 - pkt_lib : 16 : 00 6c 5d eb 99 36 19 2f | f2 f5 9a c9 56 56 7e 7f - pkt_lib : 32 : b4 18 73 39 1f 4b 90 00 | 08 00 16 a4 75 a0 2e f0 - pkt_lib : 48 : 21 f6 46 f6 00 48 f7 20 | c0 00 9a 11 d1 81 d3 30 - pkt_lib : 64 : e6 8e 48 c6 72 64 ae 53 | 72 ce 6c bc 01 3f 00 30 - pkt_lib : 80 : 1c a9 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 126) - -0 : INFO : TEST : Unpack Pkt 7 - cfg_hdr : {eth[0], ipv4[0], gre[0], ipv4[1], udp[0], ptpv2[0], data[0]} - toh : plen : 126 - toh : chop_plen_to : 0 - eth[0] : da : 48'h865ed403a9f7 - eth[0] : sa : 48'ha603f669d7aa - eth[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h6 - ipv4[0] : tos : 8'h10 - ipv4[0] : total_length : 16'h6c - ipv4[0] : id : 16'h5deb - ipv4[0] : reserved : 1'h1 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h0 - ipv4[0] : frag_offset : 13'h1936 - ipv4[0] : ttl : 8'h19 - ipv4[0] : protocol : 8'h2f (GRE) - ipv4[0] : checksum : 16'hf2f5 (GOOD) - ipv4[0] : ip_sa : 32'h9ac95656 - ipv4[0] : ip_da : 32'h7e7fb418 - ipv4[0] : options : (Total Len = 4) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 73 39 1f 4b - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : C : 1'b1 - gre[0] : R : 1'b0 - gre[0] : K : 1'b0 - gre[0] : S : 1'b1 - gre[0] : s : 1'b0 - gre[0] : recur : 3'h0 - gre[0] : A : 1'b0 - gre[0] : flags : 4'h0 - gre[0] : version : 3'h0 - gre[0] : protocol : 16'h800 (IPV4) - gre[0] : checksum : 16'h16a4 (GOOD) - gre[0] : offset : 16'h75a0 - gre[0] : sequence_number : 32'h2ef021f6 - ipv4[1] : version : 4'h4 - ipv4[1] : ihl : 4'h6 - ipv4[1] : tos : 8'hf6 - ipv4[1] : total_length : 16'h48 - ipv4[1] : id : 16'hf720 - ipv4[1] : reserved : 1'h1 - ipv4[1] : df : 1'h1 - ipv4[1] : mf : 1'h0 - ipv4[1] : frag_offset : 13'h0 - ipv4[1] : ttl : 8'h9a - ipv4[1] : protocol : 8'h11 (UDP) - ipv4[1] : checksum : 16'hd181 (GOOD) - ipv4[1] : ip_sa : 32'hd330e68e - ipv4[1] : ip_da : 32'h48c67264 - ipv4[1] : options : (Total Len = 4) - ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : ae 53 72 ce - ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'h6cbc - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h30 - udp[0] : checksum : 16'h1ca9 (GOOD) - ptpv2[0] : v2_trans_spec : 4'h0 - ptpv2[0] : v2_msg_type : 4'h0 - ptpv2[0] : v2_ptp_ver : 8'h0 - ptpv2[0] : v2_msg_len : 16'h0 - ptpv2[0] : v2_domain_no : 16'h0 - ptpv2[0] : v2_rsvd0 : 8'h0 - ptpv2[0] : v2_flags : 16'h0 - ptpv2[0] : v2_crct_fld : 64'h0 - ptpv2[0] : v2_rsvd1 : 32'h0 - ptpv2[0] : v2_src_port_id : 80'h0 - ptpv2[0] : v2_seq_id : 16'h0 - ptpv2[0] : v2_cntrl : 8'h0 - ptpv2[0] : v2_logmsgintrl : 8'h0 - ptpv2[0] : v2_synctimestamp : 80'h0 - data[0] : data_len : 0 (data => EMPTY) - toh : pad_len : 0 - toh : crc : 32'h0 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 86 5e d4 03 a9 f7 a6 03 | f6 69 d7 aa 08 00 46 10 - pkt_lib : 16 : 00 6c 5d eb 99 36 19 2f | f2 f5 9a c9 56 56 7e 7f - pkt_lib : 32 : b4 18 73 39 1f 4b 90 00 | 08 00 16 a4 75 a0 2e f0 - pkt_lib : 48 : 21 f6 46 f6 00 48 f7 20 | c0 00 9a 11 d1 81 d3 30 - pkt_lib : 64 : e6 8e 48 c6 72 64 ae 53 | 72 ce 6c bc 01 3f 00 30 - pkt_lib : 80 : 1c a9 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 126) - -0 : INFO : TEST : Pack Pkt 8 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], data[0]} - toh : plen : 97 - toh : chop_plen_to : 0 - eth[0] : da : 48'h2dba7d78893a - eth[0] : sa : 48'h6990afba2009 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h7 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h6f8 - dot1q[0] : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : num_mpls_lbl : 4 - mpls[0] : label[0] : 20'h9d16f (UNKNOWN) - mpls[0] : exp[0] : 3'h3 - mpls[0] : s[0] : 0 - mpls[0] : ttl[0] : 8'hb8 - mpls[0] : label[1] : 20'hb0c4 (UNKNOWN) - mpls[0] : exp[1] : 3'h0 - mpls[0] : s[1] : 0 - mpls[0] : ttl[1] : 8'hb3 - mpls[0] : label[2] : 20'ha4ab0 (UNKNOWN) - mpls[0] : exp[2] : 3'h2 - mpls[0] : s[2] : 0 - mpls[0] : ttl[2] : 8'h8d - mpls[0] : label[3] : 20'h0 (IPV4 Explicit Null) - mpls[0] : exp[3] : 3'h3 - mpls[0] : s[3] : 1 - mpls[0] : ttl[3] : 8'h61 - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h5 - ipv4[0] : tos : 8'h51 - ipv4[0] : total_length : 16'h3b - ipv4[0] : id : 16'heb2a - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h0 - ipv4[0] : frag_offset : 13'h1a8c - ipv4[0] : ttl : 8'h7d - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'hbf49 (GOOD) - ipv4[0] : ip_sa : 32'h72983f57 - ipv4[0] : ip_da : 32'hbf9206df - udp[0] : src_prt : 16'h5acb - udp[0] : dst_prt : 16'hd230 (UNKNOWN) - udp[0] : length : 16'h27 - udp[0] : checksum : 16'h48d1 (GOOD) - data[0] : data_len : 31 (data => 28 64 71 e2 ..) - toh : pad_len : 0 - toh : crc : 32'h1cfe691 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 2d ba 7d 78 89 3a 69 90 | af ba 20 09 81 00 f6 f8 - pkt_lib : 16 : 88 47 9d 16 f6 b8 0b 0c | 40 b3 a4 ab 04 8d 00 00 - pkt_lib : 32 : 07 61 45 51 00 3b eb 2a | 1a 8c 7d 11 bf 49 72 98 - pkt_lib : 48 : 3f 57 bf 92 06 df 5a cb | d2 30 00 27 48 d1 28 64 - pkt_lib : 64 : 71 e2 b7 d1 02 30 21 26 | 10 b9 b1 c2 c7 22 0f c0 - pkt_lib : 80 : 63 53 a0 5c d7 dc 0b 7f | b4 1f f4 78 73 01 cf e6 - pkt_lib : 96 : 91 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 97) - -0 : INFO : TEST : Unpack Pkt 8 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], data[0]} - toh : plen : 97 - toh : chop_plen_to : 0 - eth[0] : da : 48'h2dba7d78893a - eth[0] : sa : 48'h6990afba2009 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h7 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h6f8 - dot1q[0] : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : num_mpls_lbl : 4 - mpls[0] : label[0] : 20'h9d16f (UNKNOWN) - mpls[0] : exp[0] : 3'h3 - mpls[0] : s[0] : 0 - mpls[0] : ttl[0] : 8'hb8 - mpls[0] : label[1] : 20'hb0c4 (UNKNOWN) - mpls[0] : exp[1] : 3'h0 - mpls[0] : s[1] : 0 - mpls[0] : ttl[1] : 8'hb3 - mpls[0] : label[2] : 20'ha4ab0 (UNKNOWN) - mpls[0] : exp[2] : 3'h2 - mpls[0] : s[2] : 0 - mpls[0] : ttl[2] : 8'h8d - mpls[0] : label[3] : 20'h0 (IPV4 Explicit Null) - mpls[0] : exp[3] : 3'h3 - mpls[0] : s[3] : 1 - mpls[0] : ttl[3] : 8'h61 - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h5 - ipv4[0] : tos : 8'h51 - ipv4[0] : total_length : 16'h3b - ipv4[0] : id : 16'heb2a - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h0 - ipv4[0] : frag_offset : 13'h1a8c - ipv4[0] : ttl : 8'h7d - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'hbf49 (GOOD) - ipv4[0] : ip_sa : 32'h72983f57 - ipv4[0] : ip_da : 32'hbf9206df - udp[0] : src_prt : 16'h5acb - udp[0] : dst_prt : 16'hd230 (UNKNOWN) - udp[0] : length : 16'h27 - udp[0] : checksum : 16'h48d1 (GOOD) - data[0] : data_len : 18 (data => 28 64 71 e2 ..) - toh : pad_len : 13 - toh : pad_data : (Total Len = 13) - toh : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : 0 : 63 53 a0 5c d7 dc 0b 7f | b4 1f f4 78 73 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : crc : 32'h1cfe691 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 2d ba 7d 78 89 3a 69 90 | af ba 20 09 81 00 f6 f8 - pkt_lib : 16 : 88 47 9d 16 f6 b8 0b 0c | 40 b3 a4 ab 04 8d 00 00 - pkt_lib : 32 : 07 61 45 51 00 3b eb 2a | 1a 8c 7d 11 bf 49 72 98 - pkt_lib : 48 : 3f 57 bf 92 06 df 5a cb | d2 30 00 27 48 d1 28 64 - pkt_lib : 64 : 71 e2 b7 d1 02 30 21 26 | 10 b9 b1 c2 c7 22 0f c0 - pkt_lib : 80 : 63 53 a0 5c d7 dc 0b 7f | b4 1f f4 78 73 01 cf e6 - pkt_lib : 96 : 91 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 97) - -0 : INFO : TEST : Pack Pkt 9 - cfg_hdr : {eth[0], dot1q[0], ptpv2[0], data[0]} - toh : plen : 132 - toh : chop_plen_to : 0 - eth[0] : da : 48'hb330d55012c7 - eth[0] : sa : 48'h47ba902e1a13 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h4 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h9b3 - dot1q[0] : etype : 16'h88f7 (PTP) - ptpv2[0] : v2_trans_spec : 4'h6 - ptpv2[0] : v2_msg_type : 4'h6 - ptpv2[0] : v2_ptp_ver : 8'h2 - ptpv2[0] : v2_msg_len : 16'h5ecf - ptpv2[0] : v2_domain_no : 16'h4b - ptpv2[0] : v2_rsvd0 : 8'hc3 - ptpv2[0] : v2_flags : 16'ha45a - ptpv2[0] : v2_crct_fld : 64'hf3d7223862767a9e - ptpv2[0] : v2_rsvd1 : 32'hdb5a8ebd - ptpv2[0] : v2_src_port_id : 80'hdcb3177fa450694837d4 - ptpv2[0] : v2_seq_id : 16'hbf5d - ptpv2[0] : v2_cntrl : 8'h17 - ptpv2[0] : v2_logmsgintrl : 8'h98 - data[0] : data_len : 76 (data => cb a1 ac 69 ..) - toh : pad_len : 0 - toh : crc : 32'h15fe3635 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : b3 30 d5 50 12 c7 47 ba | 90 2e 1a 13 81 00 99 b3 - pkt_lib : 16 : 88 f7 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 32 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 64 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 128 : 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 132) - -0 : INFO : TEST : Unpack Pkt 9 - cfg_hdr : {eth[0], dot1q[0], ptpv2[0], data[0]} - toh : plen : 132 - toh : chop_plen_to : 0 - eth[0] : da : 48'hb330d55012c7 - eth[0] : sa : 48'h47ba902e1a13 - eth[0] : etype : 16'h8100 (DOT1Q) - dot1q[0] : cos : 3'h4 - dot1q[0] : cfi : 1'h1 - dot1q[0] : vlan : 12'h9b3 - dot1q[0] : etype : 16'h88f7 (PTP) - ptpv2[0] : v2_trans_spec : 4'h0 - ptpv2[0] : v2_msg_type : 4'h0 - ptpv2[0] : v2_ptp_ver : 8'h0 - ptpv2[0] : v2_msg_len : 16'h0 - ptpv2[0] : v2_domain_no : 16'h0 - ptpv2[0] : v2_rsvd0 : 8'h0 - ptpv2[0] : v2_flags : 16'h0 - ptpv2[0] : v2_crct_fld : 64'h0 - ptpv2[0] : v2_rsvd1 : 32'h0 - ptpv2[0] : v2_src_port_id : 80'h0 - ptpv2[0] : v2_seq_id : 16'h0 - ptpv2[0] : v2_cntrl : 8'h0 - ptpv2[0] : v2_logmsgintrl : 8'h0 - ptpv2[0] : v2_synctimestamp : 80'h0 - data[0] : data_len : 53 (data => 00 00 00 00 ..) - toh : pad_len : 13 - toh : pad_data : (Total Len = 13) - toh : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : 0 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 - toh : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - toh : crc : 32'h0 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : b3 30 d5 50 12 c7 47 ba | 90 2e 1a 13 81 00 99 b3 - pkt_lib : 16 : 88 f7 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 32 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 64 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 128 : 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 132) - -0 : INFO : TEST : Pack Pkt 10 - cfg_hdr : {eth[0], ptpv1[0], data[0]} - toh : plen : 62 - toh : chop_plen_to : 0 - eth[0] : da : 48'h581a8f962bd0 - eth[0] : sa : 48'h92a25b399b4e - eth[0] : etype : 16'h88f7 (PTP) - ptpv1[0] : v1_ptp_ver : 16'h1 - ptpv1[0] : v1_nw_ver : 16'h288 - ptpv1[0] : v1_subdomain : 128'h4772da695701a399fb7ed5cd61bcc93b - ptpv1[0] : v1_msg_type : 8'h9b - ptpv1[0] : v1_src_com_tech : 8'hfa - ptpv1[0] : v1_src_uid : 48'h9c7b1cb26c3c - ptpv1[0] : v1_src_port_id : 16'he24f - ptpv1[0] : v1_seq_id : 16'he54 - ptpv1[0] : v1_cntrl : 8'h5f - ptpv1[0] : v1_rsvd0 : 8'h29 - ptpv1[0] : v1_flags : 16'h8b05 - ptpv1[0] : v1_rsvd1 : 32'h136327b1 - data[0] : data_len : 4 (data => 19 8b 3d a9 ) - toh : pad_len : 0 - toh : crc : 32'h3992aba (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 58 1a 8f 96 2b d0 92 a2 | 5b 39 9b 4e 88 f7 00 00 - pkt_lib : 16 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 32 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 62) - -0 : INFO : TEST : Unpack Pkt 10 - cfg_hdr : {eth[0], ptpv2[0], data[0]} - toh : plen : 62 - toh : chop_plen_to : 0 - eth[0] : da : 48'h581a8f962bd0 - eth[0] : sa : 48'h92a25b399b4e - eth[0] : etype : 16'h88f7 (PTP) - ptpv2[0] : v2_trans_spec : 4'h0 - ptpv2[0] : v2_msg_type : 4'h0 - ptpv2[0] : v2_ptp_ver : 8'h0 - ptpv2[0] : v2_msg_len : 16'h0 - ptpv2[0] : v2_domain_no : 16'h0 - ptpv2[0] : v2_rsvd0 : 8'h0 - ptpv2[0] : v2_flags : 16'h0 - ptpv2[0] : v2_crct_fld : 64'h0 - ptpv2[0] : v2_rsvd1 : 32'h0 - ptpv2[0] : v2_src_port_id : 80'h0 - ptpv2[0] : v2_seq_id : 16'h0 - ptpv2[0] : v2_cntrl : 8'h0 - ptpv2[0] : v2_logmsgintrl : 8'h0 - ptpv2[0] : v2_synctimestamp : 80'h0 - data[0] : data_len : 0 (data => EMPTY) - toh : pad_len : 0 - toh : crc : 32'h0 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 58 1a 8f 96 2b d0 92 a2 | 5b 39 9b 4e 88 f7 00 00 - pkt_lib : 16 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 32 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 62) - -0 : INFO : TEST : Pack Pkt 11 - cfg_hdr : {eth[0], snap[0], ipv6[0], udp[0], ptpv1[0], data[0]} - toh : plen : 166 - toh : chop_plen_to : 0 - eth[0] : da : 48'h76a536f3ba6c - eth[0] : sa : 48'h82d9f9648727 - eth[0] : etype : 16'h8870 (SNAP) - snap[0] : dsap : 8'haa - snap[0] : ssap : 8'haa - snap[0] : ctrl : 8'h3 - snap[0] : oui : 24'h5b79a8 - snap[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'hab - ipv6[0] : flow_label : 20'h5ca1d - ipv6[0] : payload_len : 16'h64 - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'he0 - ipv6[0] : ip6_sa : 128'h71539abfe107429251734d26596da601 - ipv6[0] : ip6_da : 128'h424e71358532c2295abc4b096530c35 - udp[0] : src_prt : 16'h945e - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h64 - udp[0] : checksum : 16'h2f41 (GOOD) - ptpv1[0] : v1_ptp_ver : 16'h1 - ptpv1[0] : v1_nw_ver : 16'ha03f - ptpv1[0] : v1_subdomain : 128'hb523a869489d0dc7a2f7796101a5a996 - ptpv1[0] : v1_msg_type : 8'h4c - ptpv1[0] : v1_src_com_tech : 8'hb5 - ptpv1[0] : v1_src_uid : 48'he7a8bb9e7a22 - ptpv1[0] : v1_src_port_id : 16'hc157 - ptpv1[0] : v1_seq_id : 16'h2a8c - ptpv1[0] : v1_cntrl : 8'h26 - ptpv1[0] : v1_rsvd0 : 8'hd7 - ptpv1[0] : v1_flags : 16'hc63 - ptpv1[0] : v1_rsvd1 : 32'h86821e80 - data[0] : data_len : 52 (data => 8a 9e 01 d1 ..) - toh : pad_len : 0 - toh : crc : 32'h713c6d67 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 76 a5 36 f3 ba 6c 82 d9 | f9 64 87 27 88 70 aa aa - pkt_lib : 16 : 03 5b 79 a8 86 dd 6a b5 | ca 1d 00 64 11 e0 71 53 - pkt_lib : 32 : 9a bf e1 07 42 92 51 73 | 4d 26 59 6d a6 01 04 24 - pkt_lib : 48 : e7 13 58 53 2c 22 95 ab | c4 b0 96 53 0c 35 94 5e - pkt_lib : 64 : 01 3f 00 64 2f 41 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 128 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 144 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 160 : 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 166) - -0 : INFO : TEST : Unpack Pkt 11 - cfg_hdr : {eth[0], snap[0], ipv6[0], udp[0], ptpv2[0], data[0]} - toh : plen : 166 - toh : chop_plen_to : 0 - eth[0] : da : 48'h76a536f3ba6c - eth[0] : sa : 48'h82d9f9648727 - eth[0] : etype : 16'h8870 (SNAP) - snap[0] : dsap : 8'haa - snap[0] : ssap : 8'haa - snap[0] : ctrl : 8'h3 - snap[0] : oui : 24'h5b79a8 - snap[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'hab - ipv6[0] : flow_label : 20'h5ca1d - ipv6[0] : payload_len : 16'h64 - ipv6[0] : protocol : 8'h11 (UDP) - ipv6[0] : ttl : 8'he0 - ipv6[0] : ip6_sa : 128'h71539abfe107429251734d26596da601 - ipv6[0] : ip6_da : 128'h424e71358532c2295abc4b096530c35 - udp[0] : src_prt : 16'h945e - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h64 - udp[0] : checksum : 16'h2f41 (GOOD) - ptpv2[0] : v2_trans_spec : 4'h0 - ptpv2[0] : v2_msg_type : 4'h0 - ptpv2[0] : v2_ptp_ver : 8'h0 - ptpv2[0] : v2_msg_len : 16'h0 - ptpv2[0] : v2_domain_no : 16'h0 - ptpv2[0] : v2_rsvd0 : 8'h0 - ptpv2[0] : v2_flags : 16'h0 - ptpv2[0] : v2_crct_fld : 64'h0 - ptpv2[0] : v2_rsvd1 : 32'h0 - ptpv2[0] : v2_src_port_id : 80'h0 - ptpv2[0] : v2_seq_id : 16'h0 - ptpv2[0] : v2_cntrl : 8'h0 - ptpv2[0] : v2_logmsgintrl : 8'h0 - ptpv2[0] : v2_synctimestamp : 80'h0 - data[0] : data_len : 48 (data => 00 00 00 00 ..) - toh : pad_len : 0 - toh : crc : 32'h0 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 76 a5 36 f3 ba 6c 82 d9 | f9 64 87 27 88 70 aa aa - pkt_lib : 16 : 03 5b 79 a8 86 dd 6a b5 | ca 1d 00 64 11 e0 71 53 - pkt_lib : 32 : 9a bf e1 07 42 92 51 73 | 4d 26 59 6d a6 01 04 24 - pkt_lib : 48 : e7 13 58 53 2c 22 95 ab | c4 b0 96 53 0c 35 94 5e - pkt_lib : 64 : 01 3f 00 64 2f 41 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 96 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 112 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 128 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 144 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 160 : 00 00 00 00 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 166) - -0 : INFO : TEST : Pack Pkt 12 - cfg_hdr : {eth[0], ipv4[0], udp[0], ptpv1[0], data[0]} - toh : plen : 90 - toh : chop_plen_to : 0 - eth[0] : da : 48'hd9f6a717daf8 - eth[0] : sa : 48'hc97e48db3f78 - eth[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h6 - ipv4[0] : tos : 8'h70 - ipv4[0] : total_length : 16'h48 - ipv4[0] : id : 16'hd8e3 - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h1b6d - ipv4[0] : ttl : 8'h48 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h9127 (GOOD) - ipv4[0] : ip_sa : 32'ha107e1a - ipv4[0] : ip_da : 32'h65cdba0d - ipv4[0] : options : (Total Len = 4) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 92 29 91 8e - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'hf125 - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h30 - udp[0] : checksum : 16'h6524 (GOOD) - ptpv1[0] : v1_ptp_ver : 16'h1 - ptpv1[0] : v1_nw_ver : 16'h7a3a - ptpv1[0] : v1_subdomain : 128'h184a3df56f61caf9dd7b3e0e1f883a95 - ptpv1[0] : v1_msg_type : 8'ha8 - ptpv1[0] : v1_src_com_tech : 8'h96 - ptpv1[0] : v1_src_uid : 48'hb1be7875ff53 - ptpv1[0] : v1_src_port_id : 16'h192 - ptpv1[0] : v1_seq_id : 16'h15f6 - ptpv1[0] : v1_cntrl : 8'h19 - ptpv1[0] : v1_rsvd0 : 8'h60 - ptpv1[0] : v1_flags : 16'h7db2 - ptpv1[0] : v1_rsvd1 : 32'hc1fc72ed - data[0] : data_len : 0 (data => EMPTY) - toh : pad_len : 0 - toh : crc : 32'he6b602d (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : d9 f6 a7 17 da f8 c9 7e | 48 db 3f 78 08 00 46 70 - pkt_lib : 16 : 00 48 d8 e3 3b 6d 48 11 | 91 27 0a 10 7e 1a 65 cd - pkt_lib : 32 : ba 0d 92 29 91 8e f1 25 | 01 3f 00 30 65 24 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 64 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 90) - -0 : INFO : TEST : Unpack Pkt 12 - cfg_hdr : {eth[0], ipv4[0], udp[0], ptpv2[0], data[0]} - toh : plen : 90 - toh : chop_plen_to : 0 - eth[0] : da : 48'hd9f6a717daf8 - eth[0] : sa : 48'hc97e48db3f78 - eth[0] : etype : 16'h800 (IPV4) - ipv4[0] : version : 4'h4 - ipv4[0] : ihl : 4'h6 - ipv4[0] : tos : 8'h70 - ipv4[0] : total_length : 16'h48 - ipv4[0] : id : 16'hd8e3 - ipv4[0] : reserved : 1'h0 - ipv4[0] : df : 1'h0 - ipv4[0] : mf : 1'h1 - ipv4[0] : frag_offset : 13'h1b6d - ipv4[0] : ttl : 8'h48 - ipv4[0] : protocol : 8'h11 (UDP) - ipv4[0] : checksum : 16'h9127 (GOOD) - ipv4[0] : ip_sa : 32'ha107e1a - ipv4[0] : ip_da : 32'h65cdba0d - ipv4[0] : options : (Total Len = 4) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 92 29 91 8e - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : src_prt : 16'hf125 - udp[0] : dst_prt : 16'h13f (PTP) - udp[0] : length : 16'h30 - udp[0] : checksum : 16'h6524 (GOOD) - ptpv2[0] : v2_trans_spec : 4'h0 - ptpv2[0] : v2_msg_type : 4'h0 - ptpv2[0] : v2_ptp_ver : 8'h0 - ptpv2[0] : v2_msg_len : 16'h0 - ptpv2[0] : v2_domain_no : 16'h0 - ptpv2[0] : v2_rsvd0 : 8'h0 - ptpv2[0] : v2_flags : 16'h0 - ptpv2[0] : v2_crct_fld : 64'h0 - ptpv2[0] : v2_rsvd1 : 32'h0 - ptpv2[0] : v2_src_port_id : 80'h0 - ptpv2[0] : v2_seq_id : 16'h0 - ptpv2[0] : v2_cntrl : 8'h0 - ptpv2[0] : v2_logmsgintrl : 8'h0 - ptpv2[0] : v2_synctimestamp : 80'h0 - data[0] : data_len : 0 (data => EMPTY) - toh : pad_len : 0 - toh : crc : 32'h0 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : d9 f6 a7 17 da f8 c9 7e | 48 db 3f 78 08 00 46 70 - pkt_lib : 16 : 00 48 d8 e3 3b 6d 48 11 | 91 27 0a 10 7e 1a 65 cd - pkt_lib : 32 : ba 0d 92 29 91 8e f1 25 | 01 3f 00 30 65 24 00 00 - pkt_lib : 48 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 64 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 00 00 - pkt_lib : 80 : 00 00 00 00 00 00 00 00 | 00 00 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 90) - -0 : INFO : TEST : Pack Pkt 13 - cfg_hdr : {eth[0], ipv6[0], tcp[0], data[0]} - toh : plen : 100 - toh : chop_plen_to : 0 - eth[0] : da : 48'hdbbecaa8ceab - eth[0] : sa : 48'ha8e69194ca65 - eth[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h96 - ipv6[0] : flow_label : 20'h3b851 - ipv6[0] : payload_len : 16'h2a - ipv6[0] : protocol : 8'h6 (TCP) - ipv6[0] : ttl : 8'hb9 - ipv6[0] : ip6_sa : 128'h81814dd884b5b3749cc4b39412a4e69b - ipv6[0] : ip6_da : 128'h50180df7846bead8304a9fc51bb8f73 - tcp[0] : src_prt : 16'h6852 - tcp[0] : dst_prt : 16'hd6c - tcp[0] : seq_number : 32'h30574b43 - tcp[0] : ack_number : 32'hc9f89f7f - tcp[0] : offset : 4'h5 - tcp[0] : rsvd : 4'hb - tcp[0] : flags : 8'h55 (=> CWR 0 ECE 1 URG 0 ACK 1 PSH 0 RST 1 SYN 0 FIN 1) - tcp[0] : window : 16'h4c3e - tcp[0] : checksum : 16'h5e7b (GOOD) - tcp[0] : urgent_ptr : 16'h25f2 - data[0] : data_len : 22 (data => 99 ba d6 1d ..) - toh : pad_len : 0 - toh : crc : 32'hff1a4456 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : db be ca a8 ce ab a8 e6 | 91 94 ca 65 86 dd 69 63 - pkt_lib : 16 : b8 51 00 2a 06 b9 81 81 | 4d d8 84 b5 b3 74 9c c4 - pkt_lib : 32 : b3 94 12 a4 e6 9b 05 01 | 80 df 78 46 be ad 83 04 - pkt_lib : 48 : a9 fc 51 bb 8f 73 68 52 | 0d 6c 30 57 4b 43 c9 f8 - pkt_lib : 64 : 9f 7f 5b 55 4c 3e 5e 7b | 25 f2 99 ba d6 1d d2 64 - pkt_lib : 80 : d0 49 88 aa 76 ac bf 3f | e1 91 60 47 52 ed f6 f6 - pkt_lib : 96 : ff 1a 44 56 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 100) - -0 : INFO : TEST : Unpack Pkt 13 - cfg_hdr : {eth[0], ipv6[0], tcp[0], data[0]} - toh : plen : 100 - toh : chop_plen_to : 0 - eth[0] : da : 48'hdbbecaa8ceab - eth[0] : sa : 48'ha8e69194ca65 - eth[0] : etype : 16'h86dd (IPV6) - ipv6[0] : version : 4'h6 - ipv6[0] : tos : 8'h96 - ipv6[0] : flow_label : 20'h3b851 - ipv6[0] : payload_len : 16'h2a - ipv6[0] : protocol : 8'h6 (TCP) - ipv6[0] : ttl : 8'hb9 - ipv6[0] : ip6_sa : 128'h81814dd884b5b3749cc4b39412a4e69b - ipv6[0] : ip6_da : 128'h50180df7846bead8304a9fc51bb8f73 - tcp[0] : src_prt : 16'h6852 - tcp[0] : dst_prt : 16'hd6c - tcp[0] : seq_number : 32'h30574b43 - tcp[0] : ack_number : 32'hc9f89f7f - tcp[0] : offset : 4'h5 - tcp[0] : rsvd : 4'hb - tcp[0] : flags : 8'h55 (=> CWR 0 ECE 1 URG 0 ACK 1 PSH 0 RST 1 SYN 0 FIN 1) - tcp[0] : window : 16'h4c3e - tcp[0] : checksum : 16'h5e7b (GOOD) - tcp[0] : urgent_ptr : 16'h25f2 - data[0] : data_len : 22 (data => 99 ba d6 1d ..) - toh : pad_len : 0 - toh : crc : 32'hff1a4456 (GOOD) - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : db be ca a8 ce ab a8 e6 | 91 94 ca 65 86 dd 69 63 - pkt_lib : 16 : b8 51 00 2a 06 b9 81 81 | 4d d8 84 b5 b3 74 9c c4 - pkt_lib : 32 : b3 94 12 a4 e6 9b 05 01 | 80 df 78 46 be ad 83 04 - pkt_lib : 48 : a9 fc 51 bb 8f 73 68 52 | 0d 6c 30 57 4b 43 c9 f8 - pkt_lib : 64 : 9f 7f 5b 55 4c 3e 5e 7b | 25 f2 99 ba d6 1d d2 64 - pkt_lib : 80 : d0 49 88 aa 76 ac bf 3f | e1 91 60 47 52 ed f6 f6 - pkt_lib : 96 : ff 1a 44 56 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 100) +Error-[MFNF] Member not found +hdr_db/eth_hdr_class.sv, 103 +"\super .all_hdr[0]." + Could not find member 'plen' in class 'hdr_class', at "hdr_db/hdr_class.sv", + 19. + -$finish called from file "test/pktlib_dumb_unpack.sv", line 133. -$finish at simulation time 0 - V C S S i m u l a t i o n R e p o r t -Time: 0 -CPU Time: 0.260 seconds; Data structure size: 0.0Mb -Wed May 4 18:00:59 2011 +1 error +CPU time: .278 seconds to compile diff --git a/log/pktlib_test.log b/log/pktlib_test.log index dae2b32..b46f8e6 100644 --- a/log/pktlib_test.log +++ b/log/pktlib_test.log @@ -1,5221 +1,4599 @@ -Command: vcs -full64 -sverilog -full64 -f pktlib.vf -R test/pktlib_test.sv +define+NO_PROCESS_AE \ --l log/pktlib_test.log - Chronologic VCS (TM) - Version G-2012.09-3_Full64 -- Fri Jun 21 18:34:04 2013 - Copyright (c) 1991-2012 by Synopsys Inc. - ALL RIGHTS RESERVED - -This program is proprietary and confidential information of Synopsys Inc. -and may be used and disclosed only as authorized in a license agreement -controlling such use and disclosure. - -Parsing design file 'test/pktlib_test.sv' -Parsing included file 'pktlib_class.sv'. -Parsing included file 'pktlib_include.svh'. -Parsing included file 'pktlib_object_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'pktlib_display_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'pktlib_array_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'pktlib_crc_chksm_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'pktlib_main_class.sv'. -Parsing included file 'hdr_db/hdr_class.sv'. -Parsing included file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_l2_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_macsec_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_ptp_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_mpls_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_ip_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_ipsec_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_udp_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_tcp_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_igmp_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Parsing included file 'hdr_db/include/hdr_xxx_include.svh'. -Back to file 'hdr_db/include/hdr_common_include.svh'. -Back to file 'hdr_db/hdr_class.sv'. -Back to file 'pktlib_main_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/toh_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/pt_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/eth_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/macsec_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/arp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/dot1q_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/itag_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/etag_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/vntag_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/cntag_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/cnm_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/trill_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/snap_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ptl2_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/fcoe_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/roce_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/mpls_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ipv4_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ipv6_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ipv6_ext_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ptip_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ipsec_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/icmp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/igmp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/tcp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/udp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/gre_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ptp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/ntp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/lisp_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/otv_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/stt_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/vxlan_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/grh_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/bth_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/fc_hdr_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/data_class.sv'. -Back to file 'pktlib_include.svh'. -Parsing included file 'hdr_db/eoh_class.sv'. -Back to file 'pktlib_include.svh'. -Back to file 'pktlib_class.sv'. -Back to file 'test/pktlib_test.sv'. -Top Level Modules: - my_test -No TimeScale specified -Starting vcs inline pass... -2 modules and 0 UDP read. - However, due to incremental compilation, only 1 module needs to be compiled. -recompiling module my_test because: - This module or some inlined child module(s) has/have been modified. -ld -r -o pre_vcsobj_0_1.o --whole-archive pre_vcsobj_0_1.a --no-whole-archive -if [ -x ../simv ]; then chmod -x ../simv; fi -g++ -o ../simv -Wl,-whole-archive -Wl,-no-whole-archive _vcsobj_1_1.o 5NrI_d.o \ --ldl -lc -lm -lpthread -ldl -../simv up to date -Command: ./simv +define+NO_PROCESS_AE -a log/pktlib_test.log -Chronologic VCS simulator copyright 1991-2012 -Contains Synopsys proprietary information. -Compiler version G-2012.09-3_Full64; Runtime version G-2012.09-3_Full64; Jun 21 18:34 2013 +TOOL: xrun(64) 18.09-s001: Started on Mar 06, 2019 at 20:27:24 UTC +$CDSROOT = /tools/cadence/installs/XCELIUM1809_001 +$TESTDIR = /users/sachin/System-Verilog-Packet-Library + +TOOL: xmsc(64) 18.09-s001 +xmsc C++ parameters: + xmsc -COMPILER $CDSROOT/tools/cdsgcc/gcc/6.3/bin/g++ + -f ./xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/xmsc.args + -MANUAL + -CFLAGS "-DXMSC + -DNCSC + -I$CDSROOT/tools/systemc/include_pch + -I$CDSROOT/tools/tbsc/include + -I$CDSROOT/tools/vic/include + -I$CDSROOT/tools/methodology/OVM/CDNS-2.1.2/sc/src + -I$CDSROOT/tools/methodology/UVM/CDNS-1.1d/sc/sc + -I$CDSROOT/tools/methodology/UVM/CDNS-1.1d/ml/sc + -I$CDSROOT/tools/systemc/include/cci + -I$CDSROOT/tools/systemc/include/factory + -I$CDSROOT/tools/systemc/include/tlm2 + -fPIC + -D_GLIBCXX_USE_CXX11_ABI=0 -c + -x c++ -Wall + -I$CDSROOT/tools/include + -I$CDSROOT/tools/inca/include" + +xmsc cc parameters: + $CDSROOT/tools/cdsgcc/gcc/6.3/bin/gcc + -I$CDSROOT/tools/include + -I$CDSROOT/tools/inca/include + -DXMSC + -DNCSC + -fPIC + -D_GLIBCXX_USE_CXX11_ABI=0 -c + -x c -Wall + +make: `xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/gcm_0.o' is up to date. + +make: `xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/gfvec_0.o' is up to date. + +xmsc: compiling $TESTDIR/hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp +$CDSROOT/tools/include/svdpi_compatibility.h:48:2: warning: #warning "XCELIUM is currently compliant with DPI header file svdpi.h as per IEEE 1800-2005. Starting IUS17.10, the default header file will be as per IEEE 1800-2012. DPI applications which need to continue complying with IEEE 1800-2005 will need to compile with the macro DPI_COMPATIBILITY_VERSION_1800v2005 starting in 17.1. The difference in the two header files is in the declaration of the struct t_vpi_vecval." [-Wcpp] + #warning "XCELIUM is currently compliant with DPI header file svdpi.h as per IEEE 1800-2005. Starting IUS17.10, the default header file will be as per IEEE 1800-2012. DPI applications which need to continue complying with IEEE 1800-2005 will need to compile with the macro DPI_COMPATIBILITY_VERSION_1800v2005 starting in 17.1. The difference in the two header files is in the declaration of the struct t_vpi_vecval." + +make: `xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/aescrypt_0.o' is up to date. + +make: `xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/aeskey_0.o' is up to date. + +make: `xcelium.d/run.lnx8664.18.09.d/xmsc_run/xmsc_obj/aestab_0.o' is up to date. + +building library run.so + +Loading snapshot worklib.my_test:sv .................... Done + +Current XCELIGEN_OPTIONS settings: +------------------------------ + + dump = 1 - dump override value of XCELIGEN_OPTIONS + optimize_beta = 7 - optimizations under beta testing + optimize_table_like = 1 - optimize table like constraints: 0-disable 1-add hard 2-add soft + optimize_dist_fe = 1 - send dist constraints to the formal engines when appropriate: 0-disable, 1-enabled, 2-legacy + optimize_simp_soft_cons = 0 - detect and disable contradictions in simple soft constraints early + ambigen_sampling_handle_dist = 0 - enable handling of dist operator in sampling + trat_native_inside = 1 - RNC support for inside constraints in TRAT code 0-disable 1-single array only 2-all + on = 1809 - Xceligen on/off/version +SVSEED default: 1 +xmsim: *W,RNDXCELON: Xceligen, the new SystemVerilog constraint solver is used. Disabling Xceligen and using the legacy constraint solver is possible with "xrun/xmsim -xceligen on=0 ...". +xmsim: *W,DSEM2009: This SystemVerilog design is simulated as per IEEE 1800-2009 SystemVerilog simulation semantics. Use -disable_sem2009 option for turning off SV 2009 simulation semantics. +xcelium> source /tools/cadence/installs/XCELIUM1809_001/tools/xcelium/files/xmsimrc +xcelium> run 0 : INFO : TEST : Pack Pkt 1 - cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} - toh : plen : 209 + cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h24bb9280c7b3 - eth[0] : [ 48 : 95] : 6 : sa : 48'hcf3f1825158c + eth[0] : [ 0 : 47] : 0 : da : 48'ha43313923e33 + eth[0] : [ 48 : 95] : 6 : sa : 48'h8e16b901bc63 eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h68 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbf - ipv4[0] : [ 144 : 159] : 18 : id : 16'h2e11 + ipv4[0] : [ 116 : 119] : 14 : ihl : 4'h5 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hdc + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h89 + ipv4[0] : [ 144 : 159] : 18 : id : 16'he556 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h1 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h500 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8a + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1b8e + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h6a ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h6cca (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h50fe9745 - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hef568138 - ipv4[0] : : 34 : options : (Total Len = 40) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : f3 11 c4 9d c7 f9 6f 2b | c3 38 44 fd 28 39 17 52 - ipv4[0] : 16 : 06 db ac bb 41 72 e0 3d | e4 18 18 2b 75 09 cb 75 - ipv4[0] : 32 : 5d 42 8d fd 14 1e 44 fb | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b0 - gre[0] : [ 593 : 593] : 74 : R : 1'b1 - gre[0] : [ 594 : 594] : 74 : K : 1'b0 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 - gre[0] : [ 597 : 599] : 74 : recur : 3'h0 - gre[0] : [ 600 : 600] : 75 : A : 1'b0 - gre[0] : [ 601 : 604] : 75 : flags : 4'h0 - gre[0] : [ 605 : 607] : 75 : version : 3'h0 - gre[0] : [ 608 : 623] : 76 : protocol : 16'h8847 (MPLS-UNICAST) - gre[0] : [ 624 : 639] : 78 : checksum : 16'h90b (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h231b - mpls[0] : [ 656 : 675] : 82 : label[0] : 20'ha0984 (UNKNOWN) - mpls[0] : [ 676 : 678] : 84 : exp[0] : 3'h6 - mpls[0] : [ 679 : 679] : 84 : s[0] : 1'b0 - mpls[0] : [ 680 : 687] : 85 : ttl[0] : 8'h91 - mpls[0] : [ 688 : 707] : 86 : label[1] : 20'h63765 (UNKNOWN) - mpls[0] : [ 708 : 710] : 88 : exp[1] : 3'h2 - mpls[0] : [ 711 : 711] : 88 : s[1] : 1'b0 - mpls[0] : [ 712 : 719] : 89 : ttl[1] : 8'hea - mpls[0] : [ 720 : 739] : 90 : label[2] : 20'h2 (IPV6 Explicit Null) - mpls[0] : [ 740 : 742] : 92 : exp[2] : 3'h0 - mpls[0] : [ 743 : 743] : 92 : s[2] : 1'b1 - mpls[0] : [ 744 : 751] : 93 : ttl[2] : 8'ha8 - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h61 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'hed76a - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h47 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h6 (TCP) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hdc - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'h4e2e7197d682d20c4a368559001e4d23 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'hd1852ef0fd9b0c7b585c2d78c94d6a08 - tcp[0] : [1072 : 1087] : 134 : src_prt : 16'h8f33 - tcp[0] : [1088 : 1103] : 136 : dst_prt : 16'hfe6a (UNKNOWN) - tcp[0] : [1104 : 1135] : 138 : seq_number : 32'hef92fa08 - tcp[0] : [1136 : 1167] : 142 : ack_number : 32'h1e05b4d2 - tcp[0] : [1168 : 1171] : 146 : offset : 4'he - tcp[0] : [1172 : 1175] : 146 : rsvd : 4'hc - tcp[0] : [1176 : 1183] : 147 : flags : 8'hea (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 1 RST 0 SYN 1 FIN 0) - tcp[0] : [1184 : 1199] : 148 : window : 16'he11c - tcp[0] : [1200 : 1215] : 150 : checksum : 16'h4452 (GOOD) - tcp[0] : [1216 : 1231] : 152 : urgent_ptr : 16'h34e4 - tcp[0] : : 154 : options : (Total Len = 36) + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h1d0e (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h41260ec3 + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hea9856f5 + gre[0] : [ 272 : 272] : 34 : C : 1'b1 + gre[0] : [ 273 : 273] : 34 : R : 1'b1 + gre[0] : [ 274 : 274] : 34 : K : 1'b0 + gre[0] : [ 275 : 275] : 34 : S : 1'b1 + gre[0] : [ 276 : 276] : 34 : s : 1'b1 + gre[0] : [ 277 : 279] : 34 : recur : 3'h0 + gre[0] : [ 280 : 280] : 35 : A : 1'b0 + gre[0] : [ 281 : 284] : 35 : flags : 4'h0 + gre[0] : [ 285 : 287] : 35 : version : 3'h0 + gre[0] : [ 288 : 303] : 36 : protocol : 16'h8847 (MPLS-UNICAST) + gre[0] : [ 304 : 319] : 38 : checksum : 16'h625b (GOOD) + gre[0] : [ 320 : 335] : 40 : offset : 16'h842d + gre[0] : [ 336 : 367] : 42 : sequence_number : 32'ha8eddf24 + mpls[0] : [ 368 : 387] : 46 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 388 : 390] : 48 : exp[0] : 3'h1 + mpls[0] : [ 391 : 391] : 48 : s[0] : 1'b0 + mpls[0] : [ 392 : 399] : 49 : ttl[0] : 8'h5 + mpls[0] : [ 400 : 419] : 50 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 420 : 422] : 52 : exp[1] : 3'h3 + mpls[0] : [ 423 : 423] : 52 : s[1] : 1'b0 + mpls[0] : [ 424 : 431] : 53 : ttl[1] : 8'hed + mpls[0] : [ 432 : 451] : 54 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 452 : 454] : 56 : exp[2] : 3'h6 + mpls[0] : [ 455 : 455] : 56 : s[2] : 1'b0 + mpls[0] : [ 456 : 463] : 57 : ttl[2] : 8'h7c + mpls[0] : [ 464 : 483] : 58 : label[3] : 20'ha2265 (UNKNOWN) + mpls[0] : [ 484 : 486] : 60 : exp[3] : 3'h6 + mpls[0] : [ 487 : 487] : 60 : s[3] : 1'b1 + mpls[0] : [ 488 : 495] : 61 : ttl[3] : 8'hf1 + ipv6[0] : [ 496 : 499] : 62 : version : 4'h6 + ipv6[0] : [ 500 : 507] : 62 : tos : 8'hae + ipv6[0] : [ 508 : 527] : 63 : flow_label : 20'hc7a21 + ipv6[0] : [ 528 : 543] : 66 : payload_len : 16'h31 + ipv6[0] : [ 544 : 551] : 68 : protocol : 8'h6 (TCP) + ipv6[0] : [ 552 : 559] : 69 : ttl : 8'h8e + ipv6[0] : [ 560 : 687] : 70 : ip6_sa : 128'hfff7bf134c5d480e34d13999b6b8d03b + ipv6[0] : [ 688 : 815] : 86 : ip6_da : 128'h72a0fa6529c0c772dfb531bd9d1a3596 + tcp[0] : [ 816 : 831] : 102 : src_prt : 16'h6221 + tcp[0] : [ 832 : 847] : 104 : dst_prt : 16'hc851 (UNKNOWN) + tcp[0] : [ 848 : 879] : 106 : seq_number : 32'h2b9b4a86 + tcp[0] : [ 880 : 911] : 110 : ack_number : 32'h1aa69e63 + tcp[0] : [ 912 : 915] : 114 : offset : 4'ha + tcp[0] : [ 916 : 919] : 114 : rsvd : 4'h9 + tcp[0] : [ 920 : 927] : 115 : flags : 8'hbc (=> CWR 1 ECE 0 URG 1 ACK 1 PSH 1 RST 1 SYN 0 FIN 0) + tcp[0] : [ 928 : 943] : 116 : window : 16'hcf03 + tcp[0] : [ 944 : 959] : 118 : checksum : 16'h51f9 (GOOD) + tcp[0] : [ 960 : 975] : 120 : urgent_ptr : 16'hd7c3 + tcp[0] : : 122 : options : (Total Len = 20) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : 21 5b ad 71 21 79 83 38 | da c9 df 2f 92 78 57 42 - tcp[0] : 16 : d3 cb 9c d3 cd 34 76 cd | 15 7c ff 72 e0 20 be 43 - tcp[0] : 32 : 2c be db 33 + tcp[0] : 0 : 96 e7 2d b6 2f 3e 77 5b | df 3f 34 8d 2b 3e b4 5a + tcp[0] : 16 : 40 d7 ad 6a tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 15 (data => cb 24 9d 29 ..) + data[0] : data_len : 9 (data => ef b9 8d 84 ..) toh : pad_len : 0 - toh : [1640 : 1671] : 205 : crc : 32'h7ec4a087 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h2d0868 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 24 bb 92 80 c7 b3 cf 3f | 18 25 15 8c 08 00 4f 68 - pkt_lib : 16 : 00 bf 2e 11 a5 00 8a 2f | 6c ca 50 fe 97 45 ef 56 - pkt_lib : 32 : 81 38 f3 11 c4 9d c7 f9 | 6f 2b c3 38 44 fd 28 39 - pkt_lib : 48 : 17 52 06 db ac bb 41 72 | e0 3d e4 18 18 2b 75 09 - pkt_lib : 64 : cb 75 5d 42 8d fd 14 1e | 44 fb 40 00 88 47 09 0b - pkt_lib : 80 : 23 1b a0 98 4c 91 63 76 | 54 ea 00 00 21 a8 66 1e - pkt_lib : 96 : d7 6a 00 47 06 dc 4e 2e | 71 97 d6 82 d2 0c 4a 36 - pkt_lib : 112 : 85 59 00 1e 4d 23 d1 85 | 2e f0 fd 9b 0c 7b 58 5c - pkt_lib : 128 : 2d 78 c9 4d 6a 08 8f 33 | fe 6a ef 92 fa 08 1e 05 - pkt_lib : 144 : b4 d2 ec ea e1 1c 44 52 | 34 e4 21 5b ad 71 21 79 - pkt_lib : 160 : 83 38 da c9 df 2f 92 78 | 57 42 d3 cb 9c d3 cd 34 - pkt_lib : 176 : 76 cd 15 7c ff 72 e0 20 | be 43 2c be db 33 cb 24 - pkt_lib : 192 : 9d 29 63 a5 a2 f1 24 17 | b1 d9 f5 92 64 7e c4 a0 - pkt_lib : 208 : 87 + pkt_lib : 0 : a4 33 13 92 3e 33 8e 16 | b9 01 bc 63 08 00 45 dc + pkt_lib : 16 : 00 89 e5 56 bb 8e 6a 2f | 1d 0e 41 26 0e c3 ea 98 + pkt_lib : 32 : 56 f5 d8 00 88 47 62 5b | 84 2d a8 ed df 24 00 00 + pkt_lib : 48 : 12 05 00 00 16 ed 00 00 | 1c 7c a2 26 5d f1 6a ec + pkt_lib : 64 : 7a 21 00 31 06 8e ff f7 | bf 13 4c 5d 48 0e 34 d1 + pkt_lib : 80 : 39 99 b6 b8 d0 3b 72 a0 | fa 65 29 c0 c7 72 df b5 + pkt_lib : 96 : 31 bd 9d 1a 35 96 62 21 | c8 51 2b 9b 4a 86 1a a6 + pkt_lib : 112 : 9e 63 a9 bc cf 03 51 f9 | d7 c3 96 e7 2d b6 2f 3e + pkt_lib : 128 : 77 5b df 3f 34 8d 2b 3e | b4 5a 40 d7 ad 6a ef b9 + pkt_lib : 144 : 8d 84 23 13 83 48 08 00 | 2d 08 68 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 209) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Unpack Pkt 1 - cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} - toh : plen : 209 + cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h24bb9280c7b3 - eth[0] : [ 48 : 95] : 6 : sa : 48'hcf3f1825158c + eth[0] : [ 0 : 47] : 0 : da : 48'ha43313923e33 + eth[0] : [ 48 : 95] : 6 : sa : 48'h8e16b901bc63 eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h68 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbf - ipv4[0] : [ 144 : 159] : 18 : id : 16'h2e11 + ipv4[0] : [ 116 : 119] : 14 : ihl : 4'h5 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hdc + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h89 + ipv4[0] : [ 144 : 159] : 18 : id : 16'he556 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h1 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h500 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8a + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1b8e + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h6a ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h6cca (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h50fe9745 - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hef568138 - ipv4[0] : : 34 : options : (Total Len = 40) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : f3 11 c4 9d c7 f9 6f 2b | c3 38 44 fd 28 39 17 52 - ipv4[0] : 16 : 06 db ac bb 41 72 e0 3d | e4 18 18 2b 75 09 cb 75 - ipv4[0] : 32 : 5d 42 8d fd 14 1e 44 fb | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b0 - gre[0] : [ 593 : 593] : 74 : R : 1'b1 - gre[0] : [ 594 : 594] : 74 : K : 1'b0 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 - gre[0] : [ 597 : 599] : 74 : recur : 3'h0 - gre[0] : [ 600 : 600] : 75 : A : 1'b0 - gre[0] : [ 601 : 604] : 75 : flags : 4'h0 - gre[0] : [ 605 : 607] : 75 : version : 3'h0 - gre[0] : [ 608 : 623] : 76 : protocol : 16'h8847 (MPLS-UNICAST) - gre[0] : [ 624 : 639] : 78 : checksum : 16'h90b (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h231b - mpls[0] : [ 656 : 675] : 82 : label[0] : 20'ha0984 (UNKNOWN) - mpls[0] : [ 676 : 678] : 84 : exp[0] : 3'h6 - mpls[0] : [ 679 : 679] : 84 : s[0] : 1'b0 - mpls[0] : [ 680 : 687] : 85 : ttl[0] : 8'h91 - mpls[0] : [ 688 : 707] : 86 : label[1] : 20'h63765 (UNKNOWN) - mpls[0] : [ 708 : 710] : 88 : exp[1] : 3'h2 - mpls[0] : [ 711 : 711] : 88 : s[1] : 1'b0 - mpls[0] : [ 712 : 719] : 89 : ttl[1] : 8'hea - mpls[0] : [ 720 : 739] : 90 : label[2] : 20'h2 (IPV6 Explicit Null) - mpls[0] : [ 740 : 742] : 92 : exp[2] : 3'h0 - mpls[0] : [ 743 : 743] : 92 : s[2] : 1'b1 - mpls[0] : [ 744 : 751] : 93 : ttl[2] : 8'ha8 - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h61 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'hed76a - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h47 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h6 (TCP) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hdc - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'h4e2e7197d682d20c4a368559001e4d23 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'hd1852ef0fd9b0c7b585c2d78c94d6a08 - tcp[0] : [1072 : 1087] : 134 : src_prt : 16'h8f33 - tcp[0] : [1088 : 1103] : 136 : dst_prt : 16'hfe6a (UNKNOWN) - tcp[0] : [1104 : 1135] : 138 : seq_number : 32'hef92fa08 - tcp[0] : [1136 : 1167] : 142 : ack_number : 32'h1e05b4d2 - tcp[0] : [1168 : 1171] : 146 : offset : 4'he - tcp[0] : [1172 : 1175] : 146 : rsvd : 4'hc - tcp[0] : [1176 : 1183] : 147 : flags : 8'hea (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 1 RST 0 SYN 1 FIN 0) - tcp[0] : [1184 : 1199] : 148 : window : 16'he11c - tcp[0] : [1200 : 1215] : 150 : checksum : 16'h4452 (GOOD) - tcp[0] : [1216 : 1231] : 152 : urgent_ptr : 16'h34e4 - tcp[0] : : 154 : options : (Total Len = 36) + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h1d0e (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h41260ec3 + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hea9856f5 + gre[0] : [ 272 : 272] : 34 : C : 1'b1 + gre[0] : [ 273 : 273] : 34 : R : 1'b1 + gre[0] : [ 274 : 274] : 34 : K : 1'b0 + gre[0] : [ 275 : 275] : 34 : S : 1'b1 + gre[0] : [ 276 : 276] : 34 : s : 1'b1 + gre[0] : [ 277 : 279] : 34 : recur : 3'h0 + gre[0] : [ 280 : 280] : 35 : A : 1'b0 + gre[0] : [ 281 : 284] : 35 : flags : 4'h0 + gre[0] : [ 285 : 287] : 35 : version : 3'h0 + gre[0] : [ 288 : 303] : 36 : protocol : 16'h8847 (MPLS-UNICAST) + gre[0] : [ 304 : 319] : 38 : checksum : 16'h625b (GOOD) + gre[0] : [ 320 : 335] : 40 : offset : 16'h842d + gre[0] : [ 336 : 367] : 42 : sequence_number : 32'ha8eddf24 + mpls[0] : [ 368 : 387] : 46 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 388 : 390] : 48 : exp[0] : 3'h1 + mpls[0] : [ 391 : 391] : 48 : s[0] : 1'b0 + mpls[0] : [ 392 : 399] : 49 : ttl[0] : 8'h5 + mpls[0] : [ 400 : 419] : 50 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 420 : 422] : 52 : exp[1] : 3'h3 + mpls[0] : [ 423 : 423] : 52 : s[1] : 1'b0 + mpls[0] : [ 424 : 431] : 53 : ttl[1] : 8'hed + mpls[0] : [ 432 : 451] : 54 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 452 : 454] : 56 : exp[2] : 3'h6 + mpls[0] : [ 455 : 455] : 56 : s[2] : 1'b0 + mpls[0] : [ 456 : 463] : 57 : ttl[2] : 8'h7c + mpls[0] : [ 464 : 483] : 58 : label[3] : 20'ha2265 (UNKNOWN) + mpls[0] : [ 484 : 486] : 60 : exp[3] : 3'h6 + mpls[0] : [ 487 : 487] : 60 : s[3] : 1'b1 + mpls[0] : [ 488 : 495] : 61 : ttl[3] : 8'hf1 + ipv6[0] : [ 496 : 499] : 62 : version : 4'h6 + ipv6[0] : [ 500 : 507] : 62 : tos : 8'hae + ipv6[0] : [ 508 : 527] : 63 : flow_label : 20'hc7a21 + ipv6[0] : [ 528 : 543] : 66 : payload_len : 16'h31 + ipv6[0] : [ 544 : 551] : 68 : protocol : 8'h6 (TCP) + ipv6[0] : [ 552 : 559] : 69 : ttl : 8'h8e + ipv6[0] : [ 560 : 687] : 70 : ip6_sa : 128'hfff7bf134c5d480e34d13999b6b8d03b + ipv6[0] : [ 688 : 815] : 86 : ip6_da : 128'h72a0fa6529c0c772dfb531bd9d1a3596 + tcp[0] : [ 816 : 831] : 102 : src_prt : 16'h6221 + tcp[0] : [ 832 : 847] : 104 : dst_prt : 16'hc851 (UNKNOWN) + tcp[0] : [ 848 : 879] : 106 : seq_number : 32'h2b9b4a86 + tcp[0] : [ 880 : 911] : 110 : ack_number : 32'h1aa69e63 + tcp[0] : [ 912 : 915] : 114 : offset : 4'ha + tcp[0] : [ 916 : 919] : 114 : rsvd : 4'h9 + tcp[0] : [ 920 : 927] : 115 : flags : 8'hbc (=> CWR 1 ECE 0 URG 1 ACK 1 PSH 1 RST 1 SYN 0 FIN 0) + tcp[0] : [ 928 : 943] : 116 : window : 16'hcf03 + tcp[0] : [ 944 : 959] : 118 : checksum : 16'h51f9 (GOOD) + tcp[0] : [ 960 : 975] : 120 : urgent_ptr : 16'hd7c3 + tcp[0] : : 122 : options : (Total Len = 20) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : 21 5b ad 71 21 79 83 38 | da c9 df 2f 92 78 57 42 - tcp[0] : 16 : d3 cb 9c d3 cd 34 76 cd | 15 7c ff 72 e0 20 be 43 - tcp[0] : 32 : 2c be db 33 + tcp[0] : 0 : 96 e7 2d b6 2f 3e 77 5b | df 3f 34 8d 2b 3e b4 5a + tcp[0] : 16 : 40 d7 ad 6a tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 15 (data => cb 24 9d 29 ..) + data[0] : data_len : 9 (data => ef b9 8d 84 ..) toh : pad_len : 0 - toh : [1640 : 1671] : 205 : crc : 32'h7ec4a087 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h2d0868 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 24 bb 92 80 c7 b3 cf 3f | 18 25 15 8c 08 00 4f 68 - pkt_lib : 16 : 00 bf 2e 11 a5 00 8a 2f | 6c ca 50 fe 97 45 ef 56 - pkt_lib : 32 : 81 38 f3 11 c4 9d c7 f9 | 6f 2b c3 38 44 fd 28 39 - pkt_lib : 48 : 17 52 06 db ac bb 41 72 | e0 3d e4 18 18 2b 75 09 - pkt_lib : 64 : cb 75 5d 42 8d fd 14 1e | 44 fb 40 00 88 47 09 0b - pkt_lib : 80 : 23 1b a0 98 4c 91 63 76 | 54 ea 00 00 21 a8 66 1e - pkt_lib : 96 : d7 6a 00 47 06 dc 4e 2e | 71 97 d6 82 d2 0c 4a 36 - pkt_lib : 112 : 85 59 00 1e 4d 23 d1 85 | 2e f0 fd 9b 0c 7b 58 5c - pkt_lib : 128 : 2d 78 c9 4d 6a 08 8f 33 | fe 6a ef 92 fa 08 1e 05 - pkt_lib : 144 : b4 d2 ec ea e1 1c 44 52 | 34 e4 21 5b ad 71 21 79 - pkt_lib : 160 : 83 38 da c9 df 2f 92 78 | 57 42 d3 cb 9c d3 cd 34 - pkt_lib : 176 : 76 cd 15 7c ff 72 e0 20 | be 43 2c be db 33 cb 24 - pkt_lib : 192 : 9d 29 63 a5 a2 f1 24 17 | b1 d9 f5 92 64 7e c4 a0 - pkt_lib : 208 : 87 + pkt_lib : 0 : a4 33 13 92 3e 33 8e 16 | b9 01 bc 63 08 00 45 dc + pkt_lib : 16 : 00 89 e5 56 bb 8e 6a 2f | 1d 0e 41 26 0e c3 ea 98 + pkt_lib : 32 : 56 f5 d8 00 88 47 62 5b | 84 2d a8 ed df 24 00 00 + pkt_lib : 48 : 12 05 00 00 16 ed 00 00 | 1c 7c a2 26 5d f1 6a ec + pkt_lib : 64 : 7a 21 00 31 06 8e ff f7 | bf 13 4c 5d 48 0e 34 d1 + pkt_lib : 80 : 39 99 b6 b8 d0 3b 72 a0 | fa 65 29 c0 c7 72 df b5 + pkt_lib : 96 : 31 bd 9d 1a 35 96 62 21 | c8 51 2b 9b 4a 86 1a a6 + pkt_lib : 112 : 9e 63 a9 bc cf 03 51 f9 | d7 c3 96 e7 2d b6 2f 3e + pkt_lib : 128 : 77 5b df 3f 34 8d 2b 3e | b4 5a 40 d7 ad 6a ef b9 + pkt_lib : 144 : 8d 84 23 13 83 48 08 00 | 2d 08 68 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 209) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Copy Pkt 1 - cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} - toh : plen : 209 + cfg_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h24bb9280c7b3 - eth[0] : [ 48 : 95] : 6 : sa : 48'hcf3f1825158c + eth[0] : [ 0 : 47] : 0 : da : 48'ha43313923e33 + eth[0] : [ 48 : 95] : 6 : sa : 48'h8e16b901bc63 eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h68 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbf - ipv4[0] : [ 144 : 159] : 18 : id : 16'h2e11 + ipv4[0] : [ 116 : 119] : 14 : ihl : 4'h5 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hdc + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h89 + ipv4[0] : [ 144 : 159] : 18 : id : 16'he556 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h1 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h500 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8a + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1b8e + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h6a ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h6cca (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h50fe9745 - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hef568138 - ipv4[0] : : 34 : options : (Total Len = 40) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : f3 11 c4 9d c7 f9 6f 2b | c3 38 44 fd 28 39 17 52 - ipv4[0] : 16 : 06 db ac bb 41 72 e0 3d | e4 18 18 2b 75 09 cb 75 - ipv4[0] : 32 : 5d 42 8d fd 14 1e 44 fb | - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b0 - gre[0] : [ 593 : 593] : 74 : R : 1'b1 - gre[0] : [ 594 : 594] : 74 : K : 1'b0 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 - gre[0] : [ 597 : 599] : 74 : recur : 3'h0 - gre[0] : [ 600 : 600] : 75 : A : 1'b0 - gre[0] : [ 601 : 604] : 75 : flags : 4'h0 - gre[0] : [ 605 : 607] : 75 : version : 3'h0 - gre[0] : [ 608 : 623] : 76 : protocol : 16'h8847 (MPLS-UNICAST) - gre[0] : [ 624 : 639] : 78 : checksum : 16'h90b (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h231b - mpls[0] : [ 656 : 675] : 82 : label[0] : 20'ha0984 (UNKNOWN) - mpls[0] : [ 676 : 678] : 84 : exp[0] : 3'h6 - mpls[0] : [ 679 : 679] : 84 : s[0] : 1'b0 - mpls[0] : [ 680 : 687] : 85 : ttl[0] : 8'h91 - mpls[0] : [ 688 : 707] : 86 : label[1] : 20'h63765 (UNKNOWN) - mpls[0] : [ 708 : 710] : 88 : exp[1] : 3'h2 - mpls[0] : [ 711 : 711] : 88 : s[1] : 1'b0 - mpls[0] : [ 712 : 719] : 89 : ttl[1] : 8'hea - mpls[0] : [ 720 : 739] : 90 : label[2] : 20'h2 (IPV6 Explicit Null) - mpls[0] : [ 740 : 742] : 92 : exp[2] : 3'h0 - mpls[0] : [ 743 : 743] : 92 : s[2] : 1'b1 - mpls[0] : [ 744 : 751] : 93 : ttl[2] : 8'ha8 - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h61 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'hed76a - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h47 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h6 (TCP) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hdc - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'h4e2e7197d682d20c4a368559001e4d23 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'hd1852ef0fd9b0c7b585c2d78c94d6a08 - tcp[0] : [1072 : 1087] : 134 : src_prt : 16'h8f33 - tcp[0] : [1088 : 1103] : 136 : dst_prt : 16'hfe6a (UNKNOWN) - tcp[0] : [1104 : 1135] : 138 : seq_number : 32'hef92fa08 - tcp[0] : [1136 : 1167] : 142 : ack_number : 32'h1e05b4d2 - tcp[0] : [1168 : 1171] : 146 : offset : 4'he - tcp[0] : [1172 : 1175] : 146 : rsvd : 4'hc - tcp[0] : [1176 : 1183] : 147 : flags : 8'hea (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 1 RST 0 SYN 1 FIN 0) - tcp[0] : [1184 : 1199] : 148 : window : 16'he11c - tcp[0] : [1200 : 1215] : 150 : checksum : 16'h4452 (GOOD) - tcp[0] : [1216 : 1231] : 152 : urgent_ptr : 16'h34e4 - tcp[0] : : 154 : options : (Total Len = 36) + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h1d0e (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h41260ec3 + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hea9856f5 + gre[0] : [ 272 : 272] : 34 : C : 1'b1 + gre[0] : [ 273 : 273] : 34 : R : 1'b1 + gre[0] : [ 274 : 274] : 34 : K : 1'b0 + gre[0] : [ 275 : 275] : 34 : S : 1'b1 + gre[0] : [ 276 : 276] : 34 : s : 1'b1 + gre[0] : [ 277 : 279] : 34 : recur : 3'h0 + gre[0] : [ 280 : 280] : 35 : A : 1'b0 + gre[0] : [ 281 : 284] : 35 : flags : 4'h0 + gre[0] : [ 285 : 287] : 35 : version : 3'h0 + gre[0] : [ 288 : 303] : 36 : protocol : 16'h8847 (MPLS-UNICAST) + gre[0] : [ 304 : 319] : 38 : checksum : 16'h625b (GOOD) + gre[0] : [ 320 : 335] : 40 : offset : 16'h842d + gre[0] : [ 336 : 367] : 42 : sequence_number : 32'ha8eddf24 + mpls[0] : [ 368 : 387] : 46 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 388 : 390] : 48 : exp[0] : 3'h1 + mpls[0] : [ 391 : 391] : 48 : s[0] : 1'b0 + mpls[0] : [ 392 : 399] : 49 : ttl[0] : 8'h5 + mpls[0] : [ 400 : 419] : 50 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 420 : 422] : 52 : exp[1] : 3'h3 + mpls[0] : [ 423 : 423] : 52 : s[1] : 1'b0 + mpls[0] : [ 424 : 431] : 53 : ttl[1] : 8'hed + mpls[0] : [ 432 : 451] : 54 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 452 : 454] : 56 : exp[2] : 3'h6 + mpls[0] : [ 455 : 455] : 56 : s[2] : 1'b0 + mpls[0] : [ 456 : 463] : 57 : ttl[2] : 8'h7c + mpls[0] : [ 464 : 483] : 58 : label[3] : 20'ha2265 (UNKNOWN) + mpls[0] : [ 484 : 486] : 60 : exp[3] : 3'h6 + mpls[0] : [ 487 : 487] : 60 : s[3] : 1'b1 + mpls[0] : [ 488 : 495] : 61 : ttl[3] : 8'hf1 + ipv6[0] : [ 496 : 499] : 62 : version : 4'h6 + ipv6[0] : [ 500 : 507] : 62 : tos : 8'hae + ipv6[0] : [ 508 : 527] : 63 : flow_label : 20'hc7a21 + ipv6[0] : [ 528 : 543] : 66 : payload_len : 16'h31 + ipv6[0] : [ 544 : 551] : 68 : protocol : 8'h6 (TCP) + ipv6[0] : [ 552 : 559] : 69 : ttl : 8'h8e + ipv6[0] : [ 560 : 687] : 70 : ip6_sa : 128'hfff7bf134c5d480e34d13999b6b8d03b + ipv6[0] : [ 688 : 815] : 86 : ip6_da : 128'h72a0fa6529c0c772dfb531bd9d1a3596 + tcp[0] : [ 816 : 831] : 102 : src_prt : 16'h6221 + tcp[0] : [ 832 : 847] : 104 : dst_prt : 16'hc851 (UNKNOWN) + tcp[0] : [ 848 : 879] : 106 : seq_number : 32'h2b9b4a86 + tcp[0] : [ 880 : 911] : 110 : ack_number : 32'h1aa69e63 + tcp[0] : [ 912 : 915] : 114 : offset : 4'ha + tcp[0] : [ 916 : 919] : 114 : rsvd : 4'h9 + tcp[0] : [ 920 : 927] : 115 : flags : 8'hbc (=> CWR 1 ECE 0 URG 1 ACK 1 PSH 1 RST 1 SYN 0 FIN 0) + tcp[0] : [ 928 : 943] : 116 : window : 16'hcf03 + tcp[0] : [ 944 : 959] : 118 : checksum : 16'h51f9 (GOOD) + tcp[0] : [ 960 : 975] : 120 : urgent_ptr : 16'hd7c3 + tcp[0] : : 122 : options : (Total Len = 20) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : 21 5b ad 71 21 79 83 38 | da c9 df 2f 92 78 57 42 - tcp[0] : 16 : d3 cb 9c d3 cd 34 76 cd | 15 7c ff 72 e0 20 be 43 - tcp[0] : 32 : 2c be db 33 + tcp[0] : 0 : 96 e7 2d b6 2f 3e 77 5b | df 3f 34 8d 2b 3e b4 5a + tcp[0] : 16 : 40 d7 ad 6a tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 15 (data => cb 24 9d 29 ..) + data[0] : data_len : 9 (data => ef b9 8d 84 ..) toh : pad_len : 0 - toh : [1640 : 1671] : 205 : crc : 32'h7ec4a087 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h2d0868 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 24 bb 92 80 c7 b3 cf 3f | 18 25 15 8c 08 00 4f 68 - pkt_lib : 16 : 00 bf 2e 11 a5 00 8a 2f | 6c ca 50 fe 97 45 ef 56 - pkt_lib : 32 : 81 38 f3 11 c4 9d c7 f9 | 6f 2b c3 38 44 fd 28 39 - pkt_lib : 48 : 17 52 06 db ac bb 41 72 | e0 3d e4 18 18 2b 75 09 - pkt_lib : 64 : cb 75 5d 42 8d fd 14 1e | 44 fb 40 00 88 47 09 0b - pkt_lib : 80 : 23 1b a0 98 4c 91 63 76 | 54 ea 00 00 21 a8 66 1e - pkt_lib : 96 : d7 6a 00 47 06 dc 4e 2e | 71 97 d6 82 d2 0c 4a 36 - pkt_lib : 112 : 85 59 00 1e 4d 23 d1 85 | 2e f0 fd 9b 0c 7b 58 5c - pkt_lib : 128 : 2d 78 c9 4d 6a 08 8f 33 | fe 6a ef 92 fa 08 1e 05 - pkt_lib : 144 : b4 d2 ec ea e1 1c 44 52 | 34 e4 21 5b ad 71 21 79 - pkt_lib : 160 : 83 38 da c9 df 2f 92 78 | 57 42 d3 cb 9c d3 cd 34 - pkt_lib : 176 : 76 cd 15 7c ff 72 e0 20 | be 43 2c be db 33 cb 24 - pkt_lib : 192 : 9d 29 63 a5 a2 f1 24 17 | b1 d9 f5 92 64 7e c4 a0 - pkt_lib : 208 : 87 + pkt_lib : 0 : a4 33 13 92 3e 33 8e 16 | b9 01 bc 63 08 00 45 dc + pkt_lib : 16 : 00 89 e5 56 bb 8e 6a 2f | 1d 0e 41 26 0e c3 ea 98 + pkt_lib : 32 : 56 f5 d8 00 88 47 62 5b | 84 2d a8 ed df 24 00 00 + pkt_lib : 48 : 12 05 00 00 16 ed 00 00 | 1c 7c a2 26 5d f1 6a ec + pkt_lib : 64 : 7a 21 00 31 06 8e ff f7 | bf 13 4c 5d 48 0e 34 d1 + pkt_lib : 80 : 39 99 b6 b8 d0 3b 72 a0 | fa 65 29 c0 c7 72 df b5 + pkt_lib : 96 : 31 bd 9d 1a 35 96 62 21 | c8 51 2b 9b 4a 86 1a a6 + pkt_lib : 112 : 9e 63 a9 bc cf 03 51 f9 | d7 c3 96 e7 2d b6 2f 3e + pkt_lib : 128 : 77 5b df 3f 34 8d 2b 3e | b4 5a 40 d7 ad 6a ef b9 + pkt_lib : 144 : 8d 84 23 13 83 48 08 00 | 2d 08 68 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 209) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Compare Pkt 1 - cmp_hdr : {eth[0], ipv4[0], gre[0], mpls[0], ipv6[0], tcp[0], data[0]} - toh : plen : 209 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h24bb9280c7b3 - eth[0] : [ 48 : 95] : 6 : sa : 48'hcf3f1825158c - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h68 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbf - ipv4[0] : [ 144 : 159] : 18 : id : 16'h2e11 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h1 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h500 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8a - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h6cca (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'h50fe9745 - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'hef568138 - ipv4[0] : options : Matched :-) Length Rcv => 40 Exp => 40 - gre[0] : [ 592 : 592] : 74 : C : 1'b0 - gre[0] : [ 593 : 593] : 74 : R : 1'b1 - gre[0] : [ 594 : 594] : 74 : K : 1'b0 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 - gre[0] : [ 597 : 599] : 74 : recur : 3'h0 - gre[0] : [ 600 : 600] : 75 : A : 1'b0 - gre[0] : [ 601 : 604] : 75 : flags : 4'h0 - gre[0] : [ 605 : 607] : 75 : version : 3'h0 - gre[0] : [ 608 : 623] : 76 : protocol : 16'h8847 (MPLS-UNICAST) - gre[0] : [ 624 : 639] : 78 : checksum : 16'h90b (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h231b - mpls[0] : [ 656 : 675] : 82 : label[0] : 20'ha0984 (UNKNOWN) - mpls[0] : [ 676 : 678] : 84 : exp[0] : 3'h6 - mpls[0] : [ 679 : 679] : 84 : s[0] : 1'b0 - mpls[0] : [ 680 : 687] : 85 : ttl[0] : 8'h91 - mpls[0] : [ 688 : 707] : 86 : label[1] : 20'h63765 (UNKNOWN) - mpls[0] : [ 708 : 710] : 88 : exp[1] : 3'h2 - mpls[0] : [ 711 : 711] : 88 : s[1] : 1'b0 - mpls[0] : [ 712 : 719] : 89 : ttl[1] : 8'hea - mpls[0] : [ 720 : 739] : 90 : label[2] : 20'h2 (IPV6 Explicit Null) - mpls[0] : [ 740 : 742] : 92 : exp[2] : 3'h0 - mpls[0] : [ 743 : 743] : 92 : s[2] : 1'b1 - mpls[0] : [ 744 : 751] : 93 : ttl[2] : 8'ha8 - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h61 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'hed76a - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h47 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h6 (TCP) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hdc - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'h4e2e7197d682d20c4a368559001e4d23 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'hd1852ef0fd9b0c7b585c2d78c94d6a08 - tcp[0] : [1072 : 1087] : 134 : src_prt : 16'h8f33 - tcp[0] : [1088 : 1103] : 136 : dst_prt : 16'hfe6a (UNKNOWN) - tcp[0] : [1104 : 1135] : 138 : seq_number : 32'hef92fa08 - tcp[0] : [1136 : 1167] : 142 : ack_number : 32'h1e05b4d2 - tcp[0] : [1168 : 1171] : 146 : offset : 4'he - tcp[0] : [1172 : 1175] : 146 : rsvd : 4'hc - tcp[0] : [1176 : 1183] : 147 : flags : 8'hea (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 1 RST 0 SYN 1 FIN 0) - tcp[0] : [1184 : 1199] : 148 : window : 16'he11c - tcp[0] : [1200 : 1215] : 150 : checksum : 16'h4452 (GOOD) - tcp[0] : [1216 : 1231] : 152 : urgent_ptr : 16'h34e4 - tcp[0] : options : Matched :-) Length Rcv => 36 Exp => 36 - data[0] : data_len : 15 (data => cb 24 9d 29 ..) - toh : pad_len : 0 - toh : [1640 : 1671] : 205 : crc : 32'h7ec4a087 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 209 Exp => 209 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 155 Exp => 155 0 : INFO : TEST : Pack Pkt 2 - cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} - toh : plen : 93 + cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} (IEEE802) + toh : plen : 133 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h567055c14edb - eth[0] : [ 48 : 95] : 6 : sa : 48'hc42ad420db0d + eth[0] : [ 0 : 47] : 0 : da : 48'hacc7a24c9718 + eth[0] : [ 48 : 95] : 6 : sa : 48'hac186141aa12 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'haee + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hde7 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8b8b (VNTAG) - vntag[0] : [ 144 : 144] : 18 : d : 1'h0 - vntag[0] : [ 145 : 145] : 18 : p : 1'h1 - vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h3663 - vntag[0] : [ 160 : 160] : 20 : l : 1'h0 + vntag[0] : [ 144 : 144] : 18 : d : 1'h1 + vntag[0] : [ 145 : 145] : 18 : p : 1'h0 + vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h29e5 + vntag[0] : [ 160 : 160] : 20 : l : 1'h1 vntag[0] : [ 161 : 161] : 20 : rsvd : 1'h1 vntag[0] : [ 162 : 163] : 20 : ver : 2'h0 - vntag[0] : [ 164 : 175] : 20 : src_vif : 12'hdf7 + vntag[0] : [ 164 : 175] : 20 : src_vif : 12'h61e vntag[0] : [ 176 : 191] : 22 : etype : 16'h800 (IPV4) ipv4[0] : [ 192 : 195] : 24 : version : 4'h4 - ipv4[0] : [ 196 : 199] : 24 : ihl : 4'h5 - ipv4[0] : [ 200 : 207] : 25 : tos : 8'h57 - ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h41 - ipv4[0] : [ 224 : 239] : 28 : id : 16'h6cc0 - ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h0 + ipv4[0] : [ 196 : 199] : 24 : ihl : 4'hf + ipv4[0] : [ 200 : 207] : 25 : tos : 8'h16 + ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h69 + ipv4[0] : [ 224 : 239] : 28 : id : 16'ha455 + ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h1 ipv4[0] : [ 241 : 241] : 30 : df : 1'h0 ipv4[0] : [ 242 : 242] : 30 : mf : 1'h1 - ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'hd78 - ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h98 + ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'h4b1 + ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h96 ipv4[0] : [ 264 : 271] : 33 : protocol : 8'h11 (UDP) - ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h4c53 (GOOD) - ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'hb10eb9d0 - ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'hf446dca3 - udp[0] : [ 352 : 367] : 44 : src_prt : 16'hc9fb - udp[0] : [ 368 : 383] : 46 : dst_prt : 16'h13f (PTP) - udp[0] : [ 384 : 399] : 48 : length : 16'h2d - udp[0] : [ 400 : 415] : 50 : checksum : 16'ha42f (GOOD) - ptpv2[0] : [ 416 : 419] : 52 : v2_trans_spec : 4'h0 - ptpv2[0] : [ 420 : 423] : 52 : v2_msg_type : 4'ha - ptpv2[0] : [ 424 : 431] : 53 : v2_ptp_ver : 8'h2 - ptpv2[0] : [ 432 : 447] : 54 : v2_msg_len : 16'h2f71 - ptpv2[0] : [ 448 : 463] : 56 : v2_domain_no : 16'h60 - ptpv2[0] : [ 464 : 471] : 58 : v2_rsvd0 : 8'h4b - ptpv2[0] : [ 472 : 477] : 59 : v2_flags : 6'h12bc - ptpv2[0] : [ 478 : 541] : 59 : v2_crct_fld : 64'h7a3f2c459961cb7a - ptpv2[0] : [ 542 : 573] : 67 : v2_rsvd1 : 32'h3d52d65e - ptpv2[0] : [ 574 : 653] : 71 : v2_src_port_id : 80'hffe619500c1db522bbe - ptpv2[0] : [ 654 : 669] : 81 : v2_seq_id : 16'he61f - ptpv2[0] : [ 670 : 677] : 83 : v2_cntrl : 8'h36 - ptpv2[0] : [ 678 : 685] : 84 : v2_logmsgintrl : 8'h7d - data[0] : data_len : 3 (data => fe cf f4) + ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h8582 (GOOD) + ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'h8e2e73 + ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'he2ece962 + ipv4[0] : : 44 : options : (Total Len = 40) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : 11 00 1e e1 31 03 c2 35 | ef c3 4e fc 08 f5 04 c2 + ipv4[0] : 16 : 31 e7 4a 2f 23 72 10 71 | 7d e2 46 bd 89 60 b0 df + ipv4[0] : 32 : 1e 35 b6 4a 9d 65 c0 43 | + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + udp[0] : [ 672 : 687] : 84 : src_prt : 16'ha286 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h13f (PTP) + udp[0] : [ 704 : 719] : 88 : length : 16'h2d + udp[0] : [ 720 : 735] : 90 : checksum : 16'h6236 (GOOD) + ptpv2[0] : [ 736 : 739] : 92 : v2_trans_spec : 4'he + ptpv2[0] : [ 740 : 743] : 92 : v2_msg_type : 4'h1 + ptpv2[0] : [ 744 : 751] : 93 : v2_ptp_ver : 8'h2 + ptpv2[0] : [ 752 : 767] : 94 : v2_msg_len : 16'haf41 + ptpv2[0] : [ 768 : 783] : 96 : v2_domain_no : 16'h80 + ptpv2[0] : [ 784 : 791] : 98 : v2_rsvd0 : 8'h65 + ptpv2[0] : [ 792 : 797] : 99 : v2_flags : 6'h7538 + ptpv2[0] : [ 798 : 861] : 99 : v2_crct_fld : 64'ha3b997eb58eead0c + ptpv2[0] : [ 862 : 893] : 107 : v2_rsvd1 : 32'h6f92a375 + ptpv2[0] : [ 894 : 973] : 111 : v2_src_port_id : 80'hfd631009e425edbc267f + ptpv2[0] : [ 974 : 989] : 121 : v2_seq_id : 16'h9924 + ptpv2[0] : [ 990 : 997] : 123 : v2_cntrl : 8'hf9 + ptpv2[0] : [ 998 : 1005] : 124 : v2_logmsgintrl : 8'hbf + data[0] : data_len : 3 (data => 0f 09 7c) toh : pad_len : 0 - toh : [ 710 : 741] : 88 : crc : 32'h40048a3a (GOOD) + toh : [1030 : 1061] : 128 : crc32 : 32'h9a86e02a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 56 70 55 c1 4e db c4 2a | d4 20 db 0d 81 00 ca ee - pkt_lib : 16 : 8b 8b 76 63 4d f7 08 00 | 45 57 00 41 6c c0 2d 78 - pkt_lib : 32 : 98 11 4c 53 b1 0e b9 d0 | f4 46 dc a3 c9 fb 01 3f - pkt_lib : 48 : 00 2d a4 2f 0a 02 2f 71 | 60 4b 12 bc 7a 3f 2c 45 - pkt_lib : 64 : 99 61 cb 7a 3d 52 d6 5e | 0f fe 61 95 00 c1 db 52 - pkt_lib : 80 : 2b be e6 1f 36 7d fe cf | f4 40 04 8a 3a + pkt_lib : 0 : ac c7 a2 4c 97 18 ac 18 | 61 41 aa 12 81 00 9d e7 + pkt_lib : 16 : 8b 8b a9 e5 c6 1e 08 00 | 4f 16 00 69 a4 55 a4 b1 + pkt_lib : 32 : 96 11 85 82 00 8e 2e 73 | e2 ec e9 62 11 00 1e e1 + pkt_lib : 48 : 31 03 c2 35 ef c3 4e fc | 08 f5 04 c2 31 e7 4a 2f + pkt_lib : 64 : 23 72 10 71 7d e2 46 bd | 89 60 b0 df 1e 35 b6 4a + pkt_lib : 80 : 9d 65 c0 43 a2 86 01 3f | 00 2d 62 36 e1 02 af 41 + pkt_lib : 96 : 80 65 75 38 a3 b9 97 eb | 58 ee ad 0c 6f 92 a3 75 + pkt_lib : 112 : fd 63 10 09 e4 25 ed bc | 26 7f 99 24 f9 bf 0f 09 + pkt_lib : 128 : 7c 9a 86 e0 2a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 93) + pkt_lib : (Total Len = 133) 0 : INFO : TEST : Unpack Pkt 2 - cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} - toh : plen : 93 + cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} (IEEE802) + toh : plen : 133 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h567055c14edb - eth[0] : [ 48 : 95] : 6 : sa : 48'hc42ad420db0d + eth[0] : [ 0 : 47] : 0 : da : 48'hacc7a24c9718 + eth[0] : [ 48 : 95] : 6 : sa : 48'hac186141aa12 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'haee + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hde7 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8b8b (VNTAG) - vntag[0] : [ 144 : 144] : 18 : d : 1'h0 - vntag[0] : [ 145 : 145] : 18 : p : 1'h1 - vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h3663 - vntag[0] : [ 160 : 160] : 20 : l : 1'h0 + vntag[0] : [ 144 : 144] : 18 : d : 1'h1 + vntag[0] : [ 145 : 145] : 18 : p : 1'h0 + vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h29e5 + vntag[0] : [ 160 : 160] : 20 : l : 1'h1 vntag[0] : [ 161 : 161] : 20 : rsvd : 1'h1 vntag[0] : [ 162 : 163] : 20 : ver : 2'h0 - vntag[0] : [ 164 : 175] : 20 : src_vif : 12'hdf7 + vntag[0] : [ 164 : 175] : 20 : src_vif : 12'h61e vntag[0] : [ 176 : 191] : 22 : etype : 16'h800 (IPV4) ipv4[0] : [ 192 : 195] : 24 : version : 4'h4 - ipv4[0] : [ 196 : 199] : 24 : ihl : 4'h5 - ipv4[0] : [ 200 : 207] : 25 : tos : 8'h57 - ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h41 - ipv4[0] : [ 224 : 239] : 28 : id : 16'h6cc0 - ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h0 + ipv4[0] : [ 196 : 199] : 24 : ihl : 4'hf + ipv4[0] : [ 200 : 207] : 25 : tos : 8'h16 + ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h69 + ipv4[0] : [ 224 : 239] : 28 : id : 16'ha455 + ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h1 ipv4[0] : [ 241 : 241] : 30 : df : 1'h0 ipv4[0] : [ 242 : 242] : 30 : mf : 1'h1 - ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'hd78 - ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h98 + ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'h4b1 + ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h96 ipv4[0] : [ 264 : 271] : 33 : protocol : 8'h11 (UDP) - ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h4c53 (GOOD) - ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'hb10eb9d0 - ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'hf446dca3 - udp[0] : [ 352 : 367] : 44 : src_prt : 16'hc9fb - udp[0] : [ 368 : 383] : 46 : dst_prt : 16'h13f (PTP) - udp[0] : [ 384 : 399] : 48 : length : 16'h2d - udp[0] : [ 400 : 415] : 50 : checksum : 16'ha42f (GOOD) - ptpv2[0] : [ 416 : 419] : 52 : v2_trans_spec : 4'h0 - ptpv2[0] : [ 420 : 423] : 52 : v2_msg_type : 4'ha - ptpv2[0] : [ 424 : 431] : 53 : v2_ptp_ver : 8'h2 - ptpv2[0] : [ 432 : 447] : 54 : v2_msg_len : 16'h2f71 - ptpv2[0] : [ 448 : 463] : 56 : v2_domain_no : 16'h60 - ptpv2[0] : [ 464 : 471] : 58 : v2_rsvd0 : 8'h4b - ptpv2[0] : [ 472 : 477] : 59 : v2_flags : 6'h12bc - ptpv2[0] : [ 478 : 541] : 59 : v2_crct_fld : 64'h7a3f2c459961cb7a - ptpv2[0] : [ 542 : 573] : 67 : v2_rsvd1 : 32'h3d52d65e - ptpv2[0] : [ 574 : 653] : 71 : v2_src_port_id : 80'hffe619500c1db522bbe - ptpv2[0] : [ 654 : 669] : 81 : v2_seq_id : 16'he61f - ptpv2[0] : [ 670 : 677] : 83 : v2_cntrl : 8'h36 - ptpv2[0] : [ 678 : 685] : 84 : v2_logmsgintrl : 8'h7d - data[0] : data_len : 3 (data => fe cf f4) + ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h8582 (GOOD) + ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'h8e2e73 + ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'he2ece962 + ipv4[0] : : 44 : options : (Total Len = 40) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : 11 00 1e e1 31 03 c2 35 | ef c3 4e fc 08 f5 04 c2 + ipv4[0] : 16 : 31 e7 4a 2f 23 72 10 71 | 7d e2 46 bd 89 60 b0 df + ipv4[0] : 32 : 1e 35 b6 4a 9d 65 c0 43 | + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + udp[0] : [ 672 : 687] : 84 : src_prt : 16'ha286 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h13f (PTP) + udp[0] : [ 704 : 719] : 88 : length : 16'h2d + udp[0] : [ 720 : 735] : 90 : checksum : 16'h6236 (GOOD) + ptpv2[0] : [ 736 : 739] : 92 : v2_trans_spec : 4'he + ptpv2[0] : [ 740 : 743] : 92 : v2_msg_type : 4'h1 + ptpv2[0] : [ 744 : 751] : 93 : v2_ptp_ver : 8'h2 + ptpv2[0] : [ 752 : 767] : 94 : v2_msg_len : 16'haf41 + ptpv2[0] : [ 768 : 783] : 96 : v2_domain_no : 16'h80 + ptpv2[0] : [ 784 : 791] : 98 : v2_rsvd0 : 8'h65 + ptpv2[0] : [ 792 : 797] : 99 : v2_flags : 6'h7538 + ptpv2[0] : [ 798 : 861] : 99 : v2_crct_fld : 64'ha3b997eb58eead0c + ptpv2[0] : [ 862 : 893] : 107 : v2_rsvd1 : 32'h6f92a375 + ptpv2[0] : [ 894 : 973] : 111 : v2_src_port_id : 80'hfd631009e425edbc267f + ptpv2[0] : [ 974 : 989] : 121 : v2_seq_id : 16'h9924 + ptpv2[0] : [ 990 : 997] : 123 : v2_cntrl : 8'hf9 + ptpv2[0] : [ 998 : 1005] : 124 : v2_logmsgintrl : 8'hbf + data[0] : data_len : 3 (data => 0f 09 7c) toh : pad_len : 0 - toh : [ 710 : 741] : 88 : crc : 32'h40048a3a (GOOD) + toh : [1030 : 1061] : 128 : crc32 : 32'h9a86e02a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 56 70 55 c1 4e db c4 2a | d4 20 db 0d 81 00 ca ee - pkt_lib : 16 : 8b 8b 76 63 4d f7 08 00 | 45 57 00 41 6c c0 2d 78 - pkt_lib : 32 : 98 11 4c 53 b1 0e b9 d0 | f4 46 dc a3 c9 fb 01 3f - pkt_lib : 48 : 00 2d a4 2f 0a 02 2f 71 | 60 4b 12 bc 7a 3f 2c 45 - pkt_lib : 64 : 99 61 cb 7a 3d 52 d6 5e | 0f fe 61 95 00 c1 db 52 - pkt_lib : 80 : 2b be e6 1f 36 7d fe cf | f4 40 04 8a 3a + pkt_lib : 0 : ac c7 a2 4c 97 18 ac 18 | 61 41 aa 12 81 00 9d e7 + pkt_lib : 16 : 8b 8b a9 e5 c6 1e 08 00 | 4f 16 00 69 a4 55 a4 b1 + pkt_lib : 32 : 96 11 85 82 00 8e 2e 73 | e2 ec e9 62 11 00 1e e1 + pkt_lib : 48 : 31 03 c2 35 ef c3 4e fc | 08 f5 04 c2 31 e7 4a 2f + pkt_lib : 64 : 23 72 10 71 7d e2 46 bd | 89 60 b0 df 1e 35 b6 4a + pkt_lib : 80 : 9d 65 c0 43 a2 86 01 3f | 00 2d 62 36 e1 02 af 41 + pkt_lib : 96 : 80 65 75 38 a3 b9 97 eb | 58 ee ad 0c 6f 92 a3 75 + pkt_lib : 112 : fd 63 10 09 e4 25 ed bc | 26 7f 99 24 f9 bf 0f 09 + pkt_lib : 128 : 7c 9a 86 e0 2a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 93) + pkt_lib : (Total Len = 133) 0 : INFO : TEST : Copy Pkt 2 - cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} - toh : plen : 93 + cfg_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} (IEEE802) + toh : plen : 133 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h567055c14edb - eth[0] : [ 48 : 95] : 6 : sa : 48'hc42ad420db0d + eth[0] : [ 0 : 47] : 0 : da : 48'hacc7a24c9718 + eth[0] : [ 48 : 95] : 6 : sa : 48'hac186141aa12 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'haee + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hde7 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8b8b (VNTAG) - vntag[0] : [ 144 : 144] : 18 : d : 1'h0 - vntag[0] : [ 145 : 145] : 18 : p : 1'h1 - vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h3663 - vntag[0] : [ 160 : 160] : 20 : l : 1'h0 + vntag[0] : [ 144 : 144] : 18 : d : 1'h1 + vntag[0] : [ 145 : 145] : 18 : p : 1'h0 + vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h29e5 + vntag[0] : [ 160 : 160] : 20 : l : 1'h1 vntag[0] : [ 161 : 161] : 20 : rsvd : 1'h1 vntag[0] : [ 162 : 163] : 20 : ver : 2'h0 - vntag[0] : [ 164 : 175] : 20 : src_vif : 12'hdf7 + vntag[0] : [ 164 : 175] : 20 : src_vif : 12'h61e vntag[0] : [ 176 : 191] : 22 : etype : 16'h800 (IPV4) ipv4[0] : [ 192 : 195] : 24 : version : 4'h4 - ipv4[0] : [ 196 : 199] : 24 : ihl : 4'h5 - ipv4[0] : [ 200 : 207] : 25 : tos : 8'h57 - ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h41 - ipv4[0] : [ 224 : 239] : 28 : id : 16'h6cc0 - ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h0 + ipv4[0] : [ 196 : 199] : 24 : ihl : 4'hf + ipv4[0] : [ 200 : 207] : 25 : tos : 8'h16 + ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h69 + ipv4[0] : [ 224 : 239] : 28 : id : 16'ha455 + ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h1 ipv4[0] : [ 241 : 241] : 30 : df : 1'h0 ipv4[0] : [ 242 : 242] : 30 : mf : 1'h1 - ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'hd78 - ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h98 + ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'h4b1 + ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h96 ipv4[0] : [ 264 : 271] : 33 : protocol : 8'h11 (UDP) - ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h4c53 (GOOD) - ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'hb10eb9d0 - ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'hf446dca3 - udp[0] : [ 352 : 367] : 44 : src_prt : 16'hc9fb - udp[0] : [ 368 : 383] : 46 : dst_prt : 16'h13f (PTP) - udp[0] : [ 384 : 399] : 48 : length : 16'h2d - udp[0] : [ 400 : 415] : 50 : checksum : 16'ha42f (GOOD) - ptpv2[0] : [ 416 : 419] : 52 : v2_trans_spec : 4'h0 - ptpv2[0] : [ 420 : 423] : 52 : v2_msg_type : 4'ha - ptpv2[0] : [ 424 : 431] : 53 : v2_ptp_ver : 8'h2 - ptpv2[0] : [ 432 : 447] : 54 : v2_msg_len : 16'h2f71 - ptpv2[0] : [ 448 : 463] : 56 : v2_domain_no : 16'h60 - ptpv2[0] : [ 464 : 471] : 58 : v2_rsvd0 : 8'h4b - ptpv2[0] : [ 472 : 477] : 59 : v2_flags : 6'h12bc - ptpv2[0] : [ 478 : 541] : 59 : v2_crct_fld : 64'h7a3f2c459961cb7a - ptpv2[0] : [ 542 : 573] : 67 : v2_rsvd1 : 32'h3d52d65e - ptpv2[0] : [ 574 : 653] : 71 : v2_src_port_id : 80'hffe619500c1db522bbe - ptpv2[0] : [ 654 : 669] : 81 : v2_seq_id : 16'he61f - ptpv2[0] : [ 670 : 677] : 83 : v2_cntrl : 8'h36 - ptpv2[0] : [ 678 : 685] : 84 : v2_logmsgintrl : 8'h7d - data[0] : data_len : 3 (data => fe cf f4) + ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h8582 (GOOD) + ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'h8e2e73 + ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'he2ece962 + ipv4[0] : : 44 : options : (Total Len = 40) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : 11 00 1e e1 31 03 c2 35 | ef c3 4e fc 08 f5 04 c2 + ipv4[0] : 16 : 31 e7 4a 2f 23 72 10 71 | 7d e2 46 bd 89 60 b0 df + ipv4[0] : 32 : 1e 35 b6 4a 9d 65 c0 43 | + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + udp[0] : [ 672 : 687] : 84 : src_prt : 16'ha286 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h13f (PTP) + udp[0] : [ 704 : 719] : 88 : length : 16'h2d + udp[0] : [ 720 : 735] : 90 : checksum : 16'h6236 (GOOD) + ptpv2[0] : [ 736 : 739] : 92 : v2_trans_spec : 4'he + ptpv2[0] : [ 740 : 743] : 92 : v2_msg_type : 4'h1 + ptpv2[0] : [ 744 : 751] : 93 : v2_ptp_ver : 8'h2 + ptpv2[0] : [ 752 : 767] : 94 : v2_msg_len : 16'haf41 + ptpv2[0] : [ 768 : 783] : 96 : v2_domain_no : 16'h80 + ptpv2[0] : [ 784 : 791] : 98 : v2_rsvd0 : 8'h65 + ptpv2[0] : [ 792 : 797] : 99 : v2_flags : 6'h7538 + ptpv2[0] : [ 798 : 861] : 99 : v2_crct_fld : 64'ha3b997eb58eead0c + ptpv2[0] : [ 862 : 893] : 107 : v2_rsvd1 : 32'h6f92a375 + ptpv2[0] : [ 894 : 973] : 111 : v2_src_port_id : 80'hfd631009e425edbc267f + ptpv2[0] : [ 974 : 989] : 121 : v2_seq_id : 16'h9924 + ptpv2[0] : [ 990 : 997] : 123 : v2_cntrl : 8'hf9 + ptpv2[0] : [ 998 : 1005] : 124 : v2_logmsgintrl : 8'hbf + data[0] : data_len : 3 (data => 0f 09 7c) toh : pad_len : 0 - toh : [ 710 : 741] : 88 : crc : 32'h40048a3a (GOOD) + toh : [1030 : 1061] : 128 : crc32 : 32'h9a86e02a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 56 70 55 c1 4e db c4 2a | d4 20 db 0d 81 00 ca ee - pkt_lib : 16 : 8b 8b 76 63 4d f7 08 00 | 45 57 00 41 6c c0 2d 78 - pkt_lib : 32 : 98 11 4c 53 b1 0e b9 d0 | f4 46 dc a3 c9 fb 01 3f - pkt_lib : 48 : 00 2d a4 2f 0a 02 2f 71 | 60 4b 12 bc 7a 3f 2c 45 - pkt_lib : 64 : 99 61 cb 7a 3d 52 d6 5e | 0f fe 61 95 00 c1 db 52 - pkt_lib : 80 : 2b be e6 1f 36 7d fe cf | f4 40 04 8a 3a + pkt_lib : 0 : ac c7 a2 4c 97 18 ac 18 | 61 41 aa 12 81 00 9d e7 + pkt_lib : 16 : 8b 8b a9 e5 c6 1e 08 00 | 4f 16 00 69 a4 55 a4 b1 + pkt_lib : 32 : 96 11 85 82 00 8e 2e 73 | e2 ec e9 62 11 00 1e e1 + pkt_lib : 48 : 31 03 c2 35 ef c3 4e fc | 08 f5 04 c2 31 e7 4a 2f + pkt_lib : 64 : 23 72 10 71 7d e2 46 bd | 89 60 b0 df 1e 35 b6 4a + pkt_lib : 80 : 9d 65 c0 43 a2 86 01 3f | 00 2d 62 36 e1 02 af 41 + pkt_lib : 96 : 80 65 75 38 a3 b9 97 eb | 58 ee ad 0c 6f 92 a3 75 + pkt_lib : 112 : fd 63 10 09 e4 25 ed bc | 26 7f 99 24 f9 bf 0f 09 + pkt_lib : 128 : 7c 9a 86 e0 2a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 93) + pkt_lib : (Total Len = 133) 0 : INFO : TEST : Compare Pkt 2 - cmp_hdr : {eth[0], dot1q[0], vntag[0], ipv4[0], udp[0], ptpv2[0], data[0]} - toh : plen : 93 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h567055c14edb - eth[0] : [ 48 : 95] : 6 : sa : 48'hc42ad420db0d - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'haee - dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8b8b (VNTAG) - vntag[0] : [ 144 : 144] : 18 : d : 1'h0 - vntag[0] : [ 145 : 145] : 18 : p : 1'h1 - vntag[0] : [ 146 : 159] : 18 : dst_vif : 14'h3663 - vntag[0] : [ 160 : 160] : 20 : l : 1'h0 - vntag[0] : [ 161 : 161] : 20 : rsvd : 1'h1 - vntag[0] : [ 162 : 163] : 20 : ver : 2'h0 - vntag[0] : [ 164 : 175] : 20 : src_vif : 12'hdf7 - vntag[0] : [ 176 : 191] : 22 : etype : 16'h800 (IPV4) - ipv4[0] : [ 192 : 195] : 24 : version : 4'h4 - ipv4[0] : [ 196 : 199] : 24 : ihl : 4'h5 - ipv4[0] : [ 200 : 207] : 25 : tos : 8'h57 - ipv4[0] : [ 208 : 223] : 26 : total_length : 16'h41 - ipv4[0] : [ 224 : 239] : 28 : id : 16'h6cc0 - ipv4[0] : [ 240 : 240] : 30 : reserved : 1'h0 - ipv4[0] : [ 241 : 241] : 30 : df : 1'h0 - ipv4[0] : [ 242 : 242] : 30 : mf : 1'h1 - ipv4[0] : [ 243 : 255] : 30 : frag_offset : 13'hd78 - ipv4[0] : [ 256 : 263] : 32 : ttl : 8'h98 - ipv4[0] : [ 264 : 271] : 33 : protocol : 8'h11 (UDP) - ipv4[0] : [ 272 : 287] : 34 : checksum : 16'h4c53 (GOOD) - ipv4[0] : [ 288 : 319] : 36 : ip_sa : 32'hb10eb9d0 - ipv4[0] : [ 320 : 351] : 40 : ip_da : 32'hf446dca3 - udp[0] : [ 352 : 367] : 44 : src_prt : 16'hc9fb - udp[0] : [ 368 : 383] : 46 : dst_prt : 16'h13f (PTP) - udp[0] : [ 384 : 399] : 48 : length : 16'h2d - udp[0] : [ 400 : 415] : 50 : checksum : 16'ha42f (GOOD) - ptpv2[0] : [ 416 : 419] : 52 : v2_trans_spec : 4'h0 - ptpv2[0] : [ 420 : 423] : 52 : v2_msg_type : 4'ha - ptpv2[0] : [ 424 : 431] : 53 : v2_ptp_ver : 8'h2 - ptpv2[0] : [ 432 : 447] : 54 : v2_msg_len : 16'h2f71 - ptpv2[0] : [ 448 : 463] : 56 : v2_domain_no : 16'h60 - ptpv2[0] : [ 464 : 471] : 58 : v2_rsvd0 : 8'h4b - ptpv2[0] : [ 472 : 477] : 59 : v2_flags : 6'h12bc - ptpv2[0] : [ 478 : 541] : 59 : v2_crct_fld : 64'h7a3f2c459961cb7a - ptpv2[0] : [ 542 : 573] : 67 : v2_rsvd1 : 32'h3d52d65e - ptpv2[0] : [ 574 : 653] : 71 : v2_src_port_id : 80'hffe619500c1db522bbe - ptpv2[0] : [ 654 : 669] : 81 : v2_seq_id : 16'he61f - ptpv2[0] : [ 670 : 677] : 83 : v2_cntrl : 8'h36 - ptpv2[0] : [ 678 : 685] : 84 : v2_logmsgintrl : 8'h7d - data[0] : data_len : 3 (data => fe cf f4) - toh : pad_len : 0 - toh : [ 710 : 741] : 88 : crc : 32'h40048a3a (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 93 Exp => 93 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 133 Exp => 133 0 : INFO : TEST : Pack Pkt 3 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} - toh : plen : 138 + cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} (IEEE802) + toh : plen : 135 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h140f76d1a4f1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4db61c3f0cfd + eth[0] : [ 0 : 47] : 0 : da : 48'hedef6392e015 + eth[0] : [ 48 : 95] : 6 : sa : 48'h935adef0d3de eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h4a - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h78 - ipv4[0] : [ 144 : 159] : 18 : id : 16'h5390 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hf9 + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h75 + ipv4[0] : [ 144 : 159] : 18 : id : 16'h4fe2 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h2c5 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8e + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1f8c + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h4b ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'ha0a6 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hf8e54dee - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h6124869f + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h47f7 (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hb5ae36ee + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h4e5d458a ipv4[0] : : 34 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 27 25 12 f1 b7 f3 55 b6 | 34 46 10 f1 b6 3a 21 7a - ipv4[0] : 16 : 20 c9 78 f7 82 7e a8 81 | 9b 01 d6 78 58 21 98 f3 - ipv4[0] : 32 : 46 5e d6 13 16 59 bd b1 | + ipv4[0] : 0 : 42 91 35 fd 9c 96 51 e7 | 3f 77 b0 76 92 b3 6c e5 + ipv4[0] : 16 : 4a cf b8 0d 67 03 a8 51 | 8d 6e 2e 1d 6f de f9 03 + ipv4[0] : 32 : 0e f4 b1 76 0b 36 53 a3 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b1 + gre[0] : [ 592 : 592] : 74 : C : 1'b0 gre[0] : [ 593 : 593] : 74 : R : 1'b0 - gre[0] : [ 594 : 594] : 74 : K : 1'b1 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 + gre[0] : [ 594 : 594] : 74 : K : 1'b0 + gre[0] : [ 595 : 595] : 74 : S : 1'b1 + gre[0] : [ 596 : 596] : 74 : s : 1'b1 gre[0] : [ 597 : 599] : 74 : recur : 3'h0 gre[0] : [ 600 : 600] : 75 : A : 1'b0 gre[0] : [ 601 : 604] : 75 : flags : 4'h0 gre[0] : [ 605 : 607] : 75 : version : 3'h0 gre[0] : [ 608 : 623] : 76 : protocol : 16'h6558 (ETH) - gre[0] : [ 624 : 639] : 78 : checksum : 16'he77 (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h485e - gre[0] : [ 656 : 679] : 82 : tni : 24'h4e40e8 - gre[0] : [ 680 : 687] : 85 : reserved : 8'h0 - eth[1] : [ 688 : 735] : 86 : da : 48'h492bfe551b9 - eth[1] : [ 736 : 783] : 92 : sa : 48'hf402a1a26499 - eth[1] : [ 784 : 799] : 98 : etype : 16'h88e7 (ITAG) - itag[0] : [ 800 : 802] : 100 : pri : 3'h7 - itag[0] : [ 803 : 803] : 100 : de : 1'h1 - itag[0] : [ 804 : 805] : 100 : uca : 2'h0 - itag[0] : [ 806 : 808] : 100 : rsvd : 3'h4 - itag[0] : [ 809 : 832] : 101 : sid : 24'h54d6b8 - eth[2] : [ 833 : 880] : 104 : da : 48'h5e1a87d0511c - eth[2] : [ 881 : 928] : 110 : sa : 48'hfc2ef7194417 - eth[2] : [ 929 : 944] : 116 : etype : 16'he3ab (UNKNOWN) - data[0] : data_len : 16 (data => f9 58 50 a1 ..) + gre[0] : [ 624 : 655] : 78 : sequence_number : 32'h46a99c9 + eth[1] : [ 656 : 703] : 82 : da : 48'h1b33906c2e9b + eth[1] : [ 704 : 751] : 88 : sa : 48'haaf96c4b6cec + eth[1] : [ 752 : 767] : 94 : etype : 16'h88e7 (ITAG) + itag[0] : [ 768 : 770] : 96 : pri : 3'h7 + itag[0] : [ 771 : 771] : 96 : de : 1'h0 + itag[0] : [ 772 : 773] : 96 : uca : 2'h0 + itag[0] : [ 774 : 776] : 96 : rsvd : 3'h2 + itag[0] : [ 777 : 800] : 97 : sid : 24'hb3a0ff + eth[2] : [ 801 : 848] : 100 : da : 48'h52b81f47793 + eth[2] : [ 849 : 896] : 106 : sa : 48'h76a6f069491e + eth[2] : [ 897 : 912] : 112 : etype : 16'h625 (UNKNOWN) + data[0] : data_len : 17 (data => 1b 64 c9 e7 ..) toh : pad_len : 0 - toh : [1073 : 1104] : 134 : crc : 32'h84e71aad (GOOD) + toh : [1049 : 1080] : 131 : crc32 : 32'h811bd688 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 14 0f 76 d1 a4 f1 4d b6 | 1c 3f 0c fd 08 00 4f 4a - pkt_lib : 16 : 00 78 53 90 82 c5 8e 2f | a0 a6 f8 e5 4d ee 61 24 - pkt_lib : 32 : 86 9f 27 25 12 f1 b7 f3 | 55 b6 34 46 10 f1 b6 3a - pkt_lib : 48 : 21 7a 20 c9 78 f7 82 7e | a8 81 9b 01 d6 78 58 21 - pkt_lib : 64 : 98 f3 46 5e d6 13 16 59 | bd b1 a0 00 65 58 0e 77 - pkt_lib : 80 : 48 5e 4e 40 e8 00 04 92 | bf e5 51 b9 f4 02 a1 a2 - pkt_lib : 96 : 64 99 88 e7 f4 54 d6 b8 | 5e 1a 87 d0 51 1c fc 2e - pkt_lib : 112 : f7 19 44 17 e3 ab f9 58 | 50 a1 64 3e f9 30 6a 9e - pkt_lib : 128 : 37 7f d0 59 9d 36 84 e7 | 1a ad + pkt_lib : 0 : ed ef 63 92 e0 15 93 5a | de f0 d3 de 08 00 4f f9 + pkt_lib : 16 : 00 75 4f e2 9f 8c 4b 2f | 47 f7 b5 ae 36 ee 4e 5d + pkt_lib : 32 : 45 8a 42 91 35 fd 9c 96 | 51 e7 3f 77 b0 76 92 b3 + pkt_lib : 48 : 6c e5 4a cf b8 0d 67 03 | a8 51 8d 6e 2e 1d 6f de + pkt_lib : 64 : f9 03 0e f4 b1 76 0b 36 | 53 a3 18 00 65 58 04 6a + pkt_lib : 80 : 99 c9 1b 33 90 6c 2e 9b | aa f9 6c 4b 6c ec 88 e7 + pkt_lib : 96 : e2 b3 a0 ff 05 2b 81 f4 | 77 93 76 a6 f0 69 49 1e + pkt_lib : 112 : 06 25 1b 64 c9 e7 17 18 | fc 6f c0 d4 a2 13 76 2a + pkt_lib : 128 : 15 dd 6b 81 1b d6 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 138) + pkt_lib : (Total Len = 135) 0 : INFO : TEST : Unpack Pkt 3 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} - toh : plen : 138 + cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} (IEEE802) + toh : plen : 135 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h140f76d1a4f1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4db61c3f0cfd + eth[0] : [ 0 : 47] : 0 : da : 48'hedef6392e015 + eth[0] : [ 48 : 95] : 6 : sa : 48'h935adef0d3de eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h4a - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h78 - ipv4[0] : [ 144 : 159] : 18 : id : 16'h5390 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hf9 + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h75 + ipv4[0] : [ 144 : 159] : 18 : id : 16'h4fe2 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h2c5 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8e + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1f8c + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h4b ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'ha0a6 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hf8e54dee - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h6124869f + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h47f7 (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hb5ae36ee + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h4e5d458a ipv4[0] : : 34 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 27 25 12 f1 b7 f3 55 b6 | 34 46 10 f1 b6 3a 21 7a - ipv4[0] : 16 : 20 c9 78 f7 82 7e a8 81 | 9b 01 d6 78 58 21 98 f3 - ipv4[0] : 32 : 46 5e d6 13 16 59 bd b1 | + ipv4[0] : 0 : 42 91 35 fd 9c 96 51 e7 | 3f 77 b0 76 92 b3 6c e5 + ipv4[0] : 16 : 4a cf b8 0d 67 03 a8 51 | 8d 6e 2e 1d 6f de f9 03 + ipv4[0] : 32 : 0e f4 b1 76 0b 36 53 a3 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b1 + gre[0] : [ 592 : 592] : 74 : C : 1'b0 gre[0] : [ 593 : 593] : 74 : R : 1'b0 - gre[0] : [ 594 : 594] : 74 : K : 1'b1 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 + gre[0] : [ 594 : 594] : 74 : K : 1'b0 + gre[0] : [ 595 : 595] : 74 : S : 1'b1 + gre[0] : [ 596 : 596] : 74 : s : 1'b1 gre[0] : [ 597 : 599] : 74 : recur : 3'h0 gre[0] : [ 600 : 600] : 75 : A : 1'b0 gre[0] : [ 601 : 604] : 75 : flags : 4'h0 gre[0] : [ 605 : 607] : 75 : version : 3'h0 gre[0] : [ 608 : 623] : 76 : protocol : 16'h6558 (ETH) - gre[0] : [ 624 : 639] : 78 : checksum : 16'he77 (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h485e - gre[0] : [ 656 : 679] : 82 : tni : 24'h4e40e8 - gre[0] : [ 680 : 687] : 85 : reserved : 8'h0 - eth[1] : [ 688 : 735] : 86 : da : 48'h492bfe551b9 - eth[1] : [ 736 : 783] : 92 : sa : 48'hf402a1a26499 - eth[1] : [ 784 : 799] : 98 : etype : 16'h88e7 (ITAG) - itag[0] : [ 800 : 802] : 100 : pri : 3'h7 - itag[0] : [ 803 : 803] : 100 : de : 1'h1 - itag[0] : [ 804 : 805] : 100 : uca : 2'h0 - itag[0] : [ 806 : 808] : 100 : rsvd : 3'h4 - itag[0] : [ 809 : 832] : 101 : sid : 24'h54d6b8 - eth[2] : [ 833 : 880] : 104 : da : 48'h5e1a87d0511c - eth[2] : [ 881 : 928] : 110 : sa : 48'hfc2ef7194417 - eth[2] : [ 929 : 944] : 116 : etype : 16'he3ab (UNKNOWN) - data[0] : data_len : 16 (data => f9 58 50 a1 ..) + gre[0] : [ 624 : 655] : 78 : sequence_number : 32'h46a99c9 + eth[1] : [ 656 : 703] : 82 : da : 48'h1b33906c2e9b + eth[1] : [ 704 : 751] : 88 : sa : 48'haaf96c4b6cec + eth[1] : [ 752 : 767] : 94 : etype : 16'h88e7 (ITAG) + itag[0] : [ 768 : 770] : 96 : pri : 3'h7 + itag[0] : [ 771 : 771] : 96 : de : 1'h0 + itag[0] : [ 772 : 773] : 96 : uca : 2'h0 + itag[0] : [ 774 : 776] : 96 : rsvd : 3'h2 + itag[0] : [ 777 : 800] : 97 : sid : 24'hb3a0ff + eth[2] : [ 801 : 848] : 100 : da : 48'h52b81f47793 + eth[2] : [ 849 : 896] : 106 : sa : 48'h76a6f069491e + eth[2] : [ 897 : 912] : 112 : etype : 16'h625 (UNKNOWN) + data[0] : data_len : 17 (data => 1b 64 c9 e7 ..) toh : pad_len : 0 - toh : [1073 : 1104] : 134 : crc : 32'h84e71aad (GOOD) + toh : [1049 : 1080] : 131 : crc32 : 32'h811bd688 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 14 0f 76 d1 a4 f1 4d b6 | 1c 3f 0c fd 08 00 4f 4a - pkt_lib : 16 : 00 78 53 90 82 c5 8e 2f | a0 a6 f8 e5 4d ee 61 24 - pkt_lib : 32 : 86 9f 27 25 12 f1 b7 f3 | 55 b6 34 46 10 f1 b6 3a - pkt_lib : 48 : 21 7a 20 c9 78 f7 82 7e | a8 81 9b 01 d6 78 58 21 - pkt_lib : 64 : 98 f3 46 5e d6 13 16 59 | bd b1 a0 00 65 58 0e 77 - pkt_lib : 80 : 48 5e 4e 40 e8 00 04 92 | bf e5 51 b9 f4 02 a1 a2 - pkt_lib : 96 : 64 99 88 e7 f4 54 d6 b8 | 5e 1a 87 d0 51 1c fc 2e - pkt_lib : 112 : f7 19 44 17 e3 ab f9 58 | 50 a1 64 3e f9 30 6a 9e - pkt_lib : 128 : 37 7f d0 59 9d 36 84 e7 | 1a ad + pkt_lib : 0 : ed ef 63 92 e0 15 93 5a | de f0 d3 de 08 00 4f f9 + pkt_lib : 16 : 00 75 4f e2 9f 8c 4b 2f | 47 f7 b5 ae 36 ee 4e 5d + pkt_lib : 32 : 45 8a 42 91 35 fd 9c 96 | 51 e7 3f 77 b0 76 92 b3 + pkt_lib : 48 : 6c e5 4a cf b8 0d 67 03 | a8 51 8d 6e 2e 1d 6f de + pkt_lib : 64 : f9 03 0e f4 b1 76 0b 36 | 53 a3 18 00 65 58 04 6a + pkt_lib : 80 : 99 c9 1b 33 90 6c 2e 9b | aa f9 6c 4b 6c ec 88 e7 + pkt_lib : 96 : e2 b3 a0 ff 05 2b 81 f4 | 77 93 76 a6 f0 69 49 1e + pkt_lib : 112 : 06 25 1b 64 c9 e7 17 18 | fc 6f c0 d4 a2 13 76 2a + pkt_lib : 128 : 15 dd 6b 81 1b d6 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 138) + pkt_lib : (Total Len = 135) 0 : INFO : TEST : Copy Pkt 3 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} - toh : plen : 138 + cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} (IEEE802) + toh : plen : 135 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h140f76d1a4f1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4db61c3f0cfd + eth[0] : [ 0 : 47] : 0 : da : 48'hedef6392e015 + eth[0] : [ 48 : 95] : 6 : sa : 48'h935adef0d3de eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h4a - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h78 - ipv4[0] : [ 144 : 159] : 18 : id : 16'h5390 + ipv4[0] : [ 120 : 127] : 15 : tos : 8'hf9 + ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h75 + ipv4[0] : [ 144 : 159] : 18 : id : 16'h4fe2 ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h2c5 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8e + ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h1f8c + ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h4b ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'ha0a6 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hf8e54dee - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h6124869f + ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h47f7 (GOOD) + ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hb5ae36ee + ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h4e5d458a ipv4[0] : : 34 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 27 25 12 f1 b7 f3 55 b6 | 34 46 10 f1 b6 3a 21 7a - ipv4[0] : 16 : 20 c9 78 f7 82 7e a8 81 | 9b 01 d6 78 58 21 98 f3 - ipv4[0] : 32 : 46 5e d6 13 16 59 bd b1 | + ipv4[0] : 0 : 42 91 35 fd 9c 96 51 e7 | 3f 77 b0 76 92 b3 6c e5 + ipv4[0] : 16 : 4a cf b8 0d 67 03 a8 51 | 8d 6e 2e 1d 6f de f9 03 + ipv4[0] : 32 : 0e f4 b1 76 0b 36 53 a3 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 592 : 592] : 74 : C : 1'b1 + gre[0] : [ 592 : 592] : 74 : C : 1'b0 gre[0] : [ 593 : 593] : 74 : R : 1'b0 - gre[0] : [ 594 : 594] : 74 : K : 1'b1 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 + gre[0] : [ 594 : 594] : 74 : K : 1'b0 + gre[0] : [ 595 : 595] : 74 : S : 1'b1 + gre[0] : [ 596 : 596] : 74 : s : 1'b1 gre[0] : [ 597 : 599] : 74 : recur : 3'h0 gre[0] : [ 600 : 600] : 75 : A : 1'b0 gre[0] : [ 601 : 604] : 75 : flags : 4'h0 gre[0] : [ 605 : 607] : 75 : version : 3'h0 gre[0] : [ 608 : 623] : 76 : protocol : 16'h6558 (ETH) - gre[0] : [ 624 : 639] : 78 : checksum : 16'he77 (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h485e - gre[0] : [ 656 : 679] : 82 : tni : 24'h4e40e8 - gre[0] : [ 680 : 687] : 85 : reserved : 8'h0 - eth[1] : [ 688 : 735] : 86 : da : 48'h492bfe551b9 - eth[1] : [ 736 : 783] : 92 : sa : 48'hf402a1a26499 - eth[1] : [ 784 : 799] : 98 : etype : 16'h88e7 (ITAG) - itag[0] : [ 800 : 802] : 100 : pri : 3'h7 - itag[0] : [ 803 : 803] : 100 : de : 1'h1 - itag[0] : [ 804 : 805] : 100 : uca : 2'h0 - itag[0] : [ 806 : 808] : 100 : rsvd : 3'h4 - itag[0] : [ 809 : 832] : 101 : sid : 24'h54d6b8 - eth[2] : [ 833 : 880] : 104 : da : 48'h5e1a87d0511c - eth[2] : [ 881 : 928] : 110 : sa : 48'hfc2ef7194417 - eth[2] : [ 929 : 944] : 116 : etype : 16'he3ab (UNKNOWN) - data[0] : data_len : 16 (data => f9 58 50 a1 ..) + gre[0] : [ 624 : 655] : 78 : sequence_number : 32'h46a99c9 + eth[1] : [ 656 : 703] : 82 : da : 48'h1b33906c2e9b + eth[1] : [ 704 : 751] : 88 : sa : 48'haaf96c4b6cec + eth[1] : [ 752 : 767] : 94 : etype : 16'h88e7 (ITAG) + itag[0] : [ 768 : 770] : 96 : pri : 3'h7 + itag[0] : [ 771 : 771] : 96 : de : 1'h0 + itag[0] : [ 772 : 773] : 96 : uca : 2'h0 + itag[0] : [ 774 : 776] : 96 : rsvd : 3'h2 + itag[0] : [ 777 : 800] : 97 : sid : 24'hb3a0ff + eth[2] : [ 801 : 848] : 100 : da : 48'h52b81f47793 + eth[2] : [ 849 : 896] : 106 : sa : 48'h76a6f069491e + eth[2] : [ 897 : 912] : 112 : etype : 16'h625 (UNKNOWN) + data[0] : data_len : 17 (data => 1b 64 c9 e7 ..) toh : pad_len : 0 - toh : [1073 : 1104] : 134 : crc : 32'h84e71aad (GOOD) + toh : [1049 : 1080] : 131 : crc32 : 32'h811bd688 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 14 0f 76 d1 a4 f1 4d b6 | 1c 3f 0c fd 08 00 4f 4a - pkt_lib : 16 : 00 78 53 90 82 c5 8e 2f | a0 a6 f8 e5 4d ee 61 24 - pkt_lib : 32 : 86 9f 27 25 12 f1 b7 f3 | 55 b6 34 46 10 f1 b6 3a - pkt_lib : 48 : 21 7a 20 c9 78 f7 82 7e | a8 81 9b 01 d6 78 58 21 - pkt_lib : 64 : 98 f3 46 5e d6 13 16 59 | bd b1 a0 00 65 58 0e 77 - pkt_lib : 80 : 48 5e 4e 40 e8 00 04 92 | bf e5 51 b9 f4 02 a1 a2 - pkt_lib : 96 : 64 99 88 e7 f4 54 d6 b8 | 5e 1a 87 d0 51 1c fc 2e - pkt_lib : 112 : f7 19 44 17 e3 ab f9 58 | 50 a1 64 3e f9 30 6a 9e - pkt_lib : 128 : 37 7f d0 59 9d 36 84 e7 | 1a ad + pkt_lib : 0 : ed ef 63 92 e0 15 93 5a | de f0 d3 de 08 00 4f f9 + pkt_lib : 16 : 00 75 4f e2 9f 8c 4b 2f | 47 f7 b5 ae 36 ee 4e 5d + pkt_lib : 32 : 45 8a 42 91 35 fd 9c 96 | 51 e7 3f 77 b0 76 92 b3 + pkt_lib : 48 : 6c e5 4a cf b8 0d 67 03 | a8 51 8d 6e 2e 1d 6f de + pkt_lib : 64 : f9 03 0e f4 b1 76 0b 36 | 53 a3 18 00 65 58 04 6a + pkt_lib : 80 : 99 c9 1b 33 90 6c 2e 9b | aa f9 6c 4b 6c ec 88 e7 + pkt_lib : 96 : e2 b3 a0 ff 05 2b 81 f4 | 77 93 76 a6 f0 69 49 1e + pkt_lib : 112 : 06 25 1b 64 c9 e7 17 18 | fc 6f c0 d4 a2 13 76 2a + pkt_lib : 128 : 15 dd 6b 81 1b d6 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 138) + pkt_lib : (Total Len = 135) 0 : INFO : TEST : Compare Pkt 3 - cmp_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], data[0]} - toh : plen : 138 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h140f76d1a4f1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4db61c3f0cfd - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hf - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h4a - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'h78 - ipv4[0] : [ 144 : 159] : 18 : id : 16'h5390 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h0 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h2c5 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h8e - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'ha0a6 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hf8e54dee - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h6124869f - ipv4[0] : options : Matched :-) Length Rcv => 40 Exp => 40 - gre[0] : [ 592 : 592] : 74 : C : 1'b1 - gre[0] : [ 593 : 593] : 74 : R : 1'b0 - gre[0] : [ 594 : 594] : 74 : K : 1'b1 - gre[0] : [ 595 : 595] : 74 : S : 1'b0 - gre[0] : [ 596 : 596] : 74 : s : 1'b0 - gre[0] : [ 597 : 599] : 74 : recur : 3'h0 - gre[0] : [ 600 : 600] : 75 : A : 1'b0 - gre[0] : [ 601 : 604] : 75 : flags : 4'h0 - gre[0] : [ 605 : 607] : 75 : version : 3'h0 - gre[0] : [ 608 : 623] : 76 : protocol : 16'h6558 (ETH) - gre[0] : [ 624 : 639] : 78 : checksum : 16'he77 (GOOD) - gre[0] : [ 640 : 655] : 80 : offset : 16'h485e - gre[0] : [ 656 : 679] : 82 : tni : 24'h4e40e8 - gre[0] : [ 680 : 687] : 85 : reserved : 8'h0 - eth[1] : [ 688 : 735] : 86 : da : 48'h492bfe551b9 - eth[1] : [ 736 : 783] : 92 : sa : 48'hf402a1a26499 - eth[1] : [ 784 : 799] : 98 : etype : 16'h88e7 (ITAG) - itag[0] : [ 800 : 802] : 100 : pri : 3'h7 - itag[0] : [ 803 : 803] : 100 : de : 1'h1 - itag[0] : [ 804 : 805] : 100 : uca : 2'h0 - itag[0] : [ 806 : 808] : 100 : rsvd : 3'h4 - itag[0] : [ 809 : 832] : 101 : sid : 24'h54d6b8 - eth[2] : [ 833 : 880] : 104 : da : 48'h5e1a87d0511c - eth[2] : [ 881 : 928] : 110 : sa : 48'hfc2ef7194417 - eth[2] : [ 929 : 944] : 116 : etype : 16'he3ab (UNKNOWN) - data[0] : data_len : 16 (data => f9 58 50 a1 ..) - toh : pad_len : 0 - toh : [1073 : 1104] : 134 : crc : 32'h84e71aad (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 138 Exp => 138 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 135 Exp => 135 0 : INFO : TEST : Pack Pkt 4 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} - toh : plen : 156 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} (IEEE802) + toh : plen : 149 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'ha94c897ebb18 - eth[0] : [ 48 : 95] : 6 : sa : 48'h44cb8db4bb66 + eth[0] : [ 0 : 47] : 0 : da : 48'h41e0498614ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h1ccb36addad9 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h55f + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h0 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h47a dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h175b6 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h6 - mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h27 - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h96ec2 (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h6 - mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h93 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h2 - mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h2c - ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 - ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hd - ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4 - ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h7a - ipv4[0] : [ 272 : 287] : 34 : id : 16'h202 - ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h1 - ipv4[0] : [ 289 : 289] : 36 : df : 1'h0 - ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 - ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h1672 - ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h68 - ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) - ipv4[0] : [ 320 : 335] : 40 : checksum : 16'h168d (GOOD) - ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hcd840126 - ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'hd9c275c5 - ipv4[0] : : 50 : options : (Total Len = 32) + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'he2395 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b1 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h74 + ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'he + ipv4[0] : [ 184 : 191] : 23 : tos : 8'hbc + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'h7b + ipv4[0] : [ 208 : 223] : 26 : id : 16'h6e83 + ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h1 + ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 + ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1d59 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h7e + ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h11 (UDP) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'hdd1d (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'h24fc3dfc + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h31df1719 + ipv4[0] : : 42 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : e3 31 ef da 1d 7e fa f3 | ab 15 00 18 20 87 3a 01 - ipv4[0] : 16 : 84 db 6c 56 99 35 85 f0 | 40 47 d1 02 a5 d9 c4 8a + ipv4[0] : 0 : 30 f8 bd f4 1e 26 91 a5 | 44 f4 82 34 e8 15 3a a0 + ipv4[0] : 16 : 44 1f 3e d9 3b a9 e5 23 | c3 d5 92 7b 60 e3 66 0e + ipv4[0] : 32 : 4b d0 e8 5a ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 656 : 671] : 82 : src_prt : 16'h80ec - udp[0] : [ 672 : 687] : 84 : dst_prt : 16'h7b (NTP) - udp[0] : [ 688 : 703] : 86 : length : 16'h46 - udp[0] : [ 704 : 719] : 88 : checksum : 16'h111d (GOOD) - ntp[0] : [ 720 : 721] : 90 : li : 2'h3 - ntp[0] : [ 722 : 724] : 90 : vn : 3'h3 - ntp[0] : [ 725 : 727] : 90 : ntp_mode : 3'h3 - ntp[0] : [ 728 : 735] : 91 : stratum : 8'he - ntp[0] : [ 736 : 743] : 92 : poll : 8'hd9 - ntp[0] : [ 744 : 751] : 93 : precision : 8'h85 - ntp[0] : [ 752 : 783] : 94 : root_delay : 32'h4dc98617 - ntp[0] : [ 784 : 815] : 98 : root_disp : 32'h100bdea1 - ntp[0] : [ 816 : 847] : 102 : ref_ident : 32'hb576897c - ntp[0] : [ 848 : 911] : 106 : ref_timestamp : 64'h4d4c7cdc132166ad - ntp[0] : [ 912 : 975] : 114 : org_timestamp : 64'h1323a0d915bbf2cf - ntp[0] : [ 976 : 1039] : 122 : rcv_timestamp : 64'hd903531fc562cce4 - ntp[0] : [1040 : 1103] : 130 : xmt_timestamp : 64'h62f54a8cbb6391b0 - data[0] : data_len : 14 (data => 8b 22 07 74 ..) + udp[0] : [ 624 : 639] : 78 : src_prt : 16'hdd4f + udp[0] : [ 640 : 655] : 80 : dst_prt : 16'h7b (NTP) + udp[0] : [ 656 : 671] : 82 : length : 16'h43 + udp[0] : [ 672 : 687] : 84 : checksum : 16'h21e6 (GOOD) + ntp[0] : [ 688 : 689] : 86 : li : 2'h0 + ntp[0] : [ 690 : 692] : 86 : vn : 3'h6 + ntp[0] : [ 693 : 695] : 86 : ntp_mode : 3'h0 + ntp[0] : [ 696 : 703] : 87 : stratum : 8'h3a + ntp[0] : [ 704 : 711] : 88 : poll : 8'ha5 + ntp[0] : [ 712 : 719] : 89 : precision : 8'ha8 + ntp[0] : [ 720 : 751] : 90 : root_delay : 32'h66f13c00 + ntp[0] : [ 752 : 783] : 94 : root_disp : 32'ha3d442ef + ntp[0] : [ 784 : 815] : 98 : ref_ident : 32'h11f39f53 + ntp[0] : [ 816 : 879] : 102 : ref_timestamp : 64'hd469a8304398fea8 + ntp[0] : [ 880 : 943] : 110 : org_timestamp : 64'h6faa4a3a3f56a188 + ntp[0] : [ 944 : 1007] : 118 : rcv_timestamp : 64'hb719d7dbd4ce903f + ntp[0] : [1008 : 1071] : 126 : xmt_timestamp : 64'h247ff9ecaaf136f1 + data[0] : data_len : 11 (data => c7 9e ea 68 ..) toh : pad_len : 0 - toh : [1216 : 1247] : 152 : crc : 32'hd253ad1b (GOOD) + toh : [1160 : 1191] : 145 : crc32 : 32'he384c9ee (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : a9 4c 89 7e bb 18 44 cb | 8d b4 bb 66 81 00 d5 5f - pkt_lib : 16 : 88 47 17 5b 6c 27 96 ec | 2c 93 00 00 05 2c 4d 04 - pkt_lib : 32 : 00 7a 02 02 96 72 68 11 | 16 8d cd 84 01 26 d9 c2 - pkt_lib : 48 : 75 c5 e3 31 ef da 1d 7e | fa f3 ab 15 00 18 20 87 - pkt_lib : 64 : 3a 01 84 db 6c 56 99 35 | 85 f0 40 47 d1 02 a5 d9 - pkt_lib : 80 : c4 8a 80 ec 00 7b 00 46 | 11 1d db 0e d9 85 4d c9 - pkt_lib : 96 : 86 17 10 0b de a1 b5 76 | 89 7c 4d 4c 7c dc 13 21 - pkt_lib : 112 : 66 ad 13 23 a0 d9 15 bb | f2 cf d9 03 53 1f c5 62 - pkt_lib : 128 : cc e4 62 f5 4a 8c bb 63 | 91 b0 8b 22 07 74 56 8b - pkt_lib : 144 : 62 d8 f6 2f 81 8b 1b 60 | d2 53 ad 1b + pkt_lib : 0 : 41 e0 49 86 14 ab 1c cb | 36 ad da d9 81 00 04 7a + pkt_lib : 16 : 88 47 e2 39 59 74 4e bc | 00 7b 6e 83 bd 59 7e 11 + pkt_lib : 32 : dd 1d 24 fc 3d fc 31 df | 17 19 30 f8 bd f4 1e 26 + pkt_lib : 48 : 91 a5 44 f4 82 34 e8 15 | 3a a0 44 1f 3e d9 3b a9 + pkt_lib : 64 : e5 23 c3 d5 92 7b 60 e3 | 66 0e 4b d0 e8 5a dd 4f + pkt_lib : 80 : 00 7b 00 43 21 e6 30 3a | a5 a8 66 f1 3c 00 a3 d4 + pkt_lib : 96 : 42 ef 11 f3 9f 53 d4 69 | a8 30 43 98 fe a8 6f aa + pkt_lib : 112 : 4a 3a 3f 56 a1 88 b7 19 | d7 db d4 ce 90 3f 24 7f + pkt_lib : 128 : f9 ec aa f1 36 f1 c7 9e | ea 68 51 2e a1 88 db 36 + pkt_lib : 144 : 75 e3 84 c9 ee pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 156) + pkt_lib : (Total Len = 149) 0 : INFO : TEST : Unpack Pkt 4 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} - toh : plen : 156 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} (IEEE802) + toh : plen : 149 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'ha94c897ebb18 - eth[0] : [ 48 : 95] : 6 : sa : 48'h44cb8db4bb66 + eth[0] : [ 0 : 47] : 0 : da : 48'h41e0498614ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h1ccb36addad9 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h55f + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h0 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h47a dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h175b6 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h6 - mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h27 - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h96ec2 (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h6 - mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h93 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h2 - mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h2c - ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 - ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hd - ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4 - ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h7a - ipv4[0] : [ 272 : 287] : 34 : id : 16'h202 - ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h1 - ipv4[0] : [ 289 : 289] : 36 : df : 1'h0 - ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 - ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h1672 - ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h68 - ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) - ipv4[0] : [ 320 : 335] : 40 : checksum : 16'h168d (GOOD) - ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hcd840126 - ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'hd9c275c5 - ipv4[0] : : 50 : options : (Total Len = 32) + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'he2395 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b1 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h74 + ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'he + ipv4[0] : [ 184 : 191] : 23 : tos : 8'hbc + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'h7b + ipv4[0] : [ 208 : 223] : 26 : id : 16'h6e83 + ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h1 + ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 + ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1d59 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h7e + ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h11 (UDP) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'hdd1d (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'h24fc3dfc + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h31df1719 + ipv4[0] : : 42 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : e3 31 ef da 1d 7e fa f3 | ab 15 00 18 20 87 3a 01 - ipv4[0] : 16 : 84 db 6c 56 99 35 85 f0 | 40 47 d1 02 a5 d9 c4 8a + ipv4[0] : 0 : 30 f8 bd f4 1e 26 91 a5 | 44 f4 82 34 e8 15 3a a0 + ipv4[0] : 16 : 44 1f 3e d9 3b a9 e5 23 | c3 d5 92 7b 60 e3 66 0e + ipv4[0] : 32 : 4b d0 e8 5a ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 656 : 671] : 82 : src_prt : 16'h80ec - udp[0] : [ 672 : 687] : 84 : dst_prt : 16'h7b (NTP) - udp[0] : [ 688 : 703] : 86 : length : 16'h46 - udp[0] : [ 704 : 719] : 88 : checksum : 16'h111d (GOOD) - ntp[0] : [ 720 : 721] : 90 : li : 2'h3 - ntp[0] : [ 722 : 724] : 90 : vn : 3'h3 - ntp[0] : [ 725 : 727] : 90 : ntp_mode : 3'h3 - ntp[0] : [ 728 : 735] : 91 : stratum : 8'he - ntp[0] : [ 736 : 743] : 92 : poll : 8'hd9 - ntp[0] : [ 744 : 751] : 93 : precision : 8'h85 - ntp[0] : [ 752 : 783] : 94 : root_delay : 32'h4dc98617 - ntp[0] : [ 784 : 815] : 98 : root_disp : 32'h100bdea1 - ntp[0] : [ 816 : 847] : 102 : ref_ident : 32'hb576897c - ntp[0] : [ 848 : 911] : 106 : ref_timestamp : 64'h4d4c7cdc132166ad - ntp[0] : [ 912 : 975] : 114 : org_timestamp : 64'h1323a0d915bbf2cf - ntp[0] : [ 976 : 1039] : 122 : rcv_timestamp : 64'hd903531fc562cce4 - ntp[0] : [1040 : 1103] : 130 : xmt_timestamp : 64'h62f54a8cbb6391b0 - data[0] : data_len : 14 (data => 8b 22 07 74 ..) + udp[0] : [ 624 : 639] : 78 : src_prt : 16'hdd4f + udp[0] : [ 640 : 655] : 80 : dst_prt : 16'h7b (NTP) + udp[0] : [ 656 : 671] : 82 : length : 16'h43 + udp[0] : [ 672 : 687] : 84 : checksum : 16'h21e6 (GOOD) + ntp[0] : [ 688 : 689] : 86 : li : 2'h0 + ntp[0] : [ 690 : 692] : 86 : vn : 3'h6 + ntp[0] : [ 693 : 695] : 86 : ntp_mode : 3'h0 + ntp[0] : [ 696 : 703] : 87 : stratum : 8'h3a + ntp[0] : [ 704 : 711] : 88 : poll : 8'ha5 + ntp[0] : [ 712 : 719] : 89 : precision : 8'ha8 + ntp[0] : [ 720 : 751] : 90 : root_delay : 32'h66f13c00 + ntp[0] : [ 752 : 783] : 94 : root_disp : 32'ha3d442ef + ntp[0] : [ 784 : 815] : 98 : ref_ident : 32'h11f39f53 + ntp[0] : [ 816 : 879] : 102 : ref_timestamp : 64'hd469a8304398fea8 + ntp[0] : [ 880 : 943] : 110 : org_timestamp : 64'h6faa4a3a3f56a188 + ntp[0] : [ 944 : 1007] : 118 : rcv_timestamp : 64'hb719d7dbd4ce903f + ntp[0] : [1008 : 1071] : 126 : xmt_timestamp : 64'h247ff9ecaaf136f1 + data[0] : data_len : 11 (data => c7 9e ea 68 ..) toh : pad_len : 0 - toh : [1216 : 1247] : 152 : crc : 32'hd253ad1b (GOOD) + toh : [1160 : 1191] : 145 : crc32 : 32'he384c9ee (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : a9 4c 89 7e bb 18 44 cb | 8d b4 bb 66 81 00 d5 5f - pkt_lib : 16 : 88 47 17 5b 6c 27 96 ec | 2c 93 00 00 05 2c 4d 04 - pkt_lib : 32 : 00 7a 02 02 96 72 68 11 | 16 8d cd 84 01 26 d9 c2 - pkt_lib : 48 : 75 c5 e3 31 ef da 1d 7e | fa f3 ab 15 00 18 20 87 - pkt_lib : 64 : 3a 01 84 db 6c 56 99 35 | 85 f0 40 47 d1 02 a5 d9 - pkt_lib : 80 : c4 8a 80 ec 00 7b 00 46 | 11 1d db 0e d9 85 4d c9 - pkt_lib : 96 : 86 17 10 0b de a1 b5 76 | 89 7c 4d 4c 7c dc 13 21 - pkt_lib : 112 : 66 ad 13 23 a0 d9 15 bb | f2 cf d9 03 53 1f c5 62 - pkt_lib : 128 : cc e4 62 f5 4a 8c bb 63 | 91 b0 8b 22 07 74 56 8b - pkt_lib : 144 : 62 d8 f6 2f 81 8b 1b 60 | d2 53 ad 1b + pkt_lib : 0 : 41 e0 49 86 14 ab 1c cb | 36 ad da d9 81 00 04 7a + pkt_lib : 16 : 88 47 e2 39 59 74 4e bc | 00 7b 6e 83 bd 59 7e 11 + pkt_lib : 32 : dd 1d 24 fc 3d fc 31 df | 17 19 30 f8 bd f4 1e 26 + pkt_lib : 48 : 91 a5 44 f4 82 34 e8 15 | 3a a0 44 1f 3e d9 3b a9 + pkt_lib : 64 : e5 23 c3 d5 92 7b 60 e3 | 66 0e 4b d0 e8 5a dd 4f + pkt_lib : 80 : 00 7b 00 43 21 e6 30 3a | a5 a8 66 f1 3c 00 a3 d4 + pkt_lib : 96 : 42 ef 11 f3 9f 53 d4 69 | a8 30 43 98 fe a8 6f aa + pkt_lib : 112 : 4a 3a 3f 56 a1 88 b7 19 | d7 db d4 ce 90 3f 24 7f + pkt_lib : 128 : f9 ec aa f1 36 f1 c7 9e | ea 68 51 2e a1 88 db 36 + pkt_lib : 144 : 75 e3 84 c9 ee pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 156) + pkt_lib : (Total Len = 149) 0 : INFO : TEST : Copy Pkt 4 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} - toh : plen : 156 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} (IEEE802) + toh : plen : 149 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'ha94c897ebb18 - eth[0] : [ 48 : 95] : 6 : sa : 48'h44cb8db4bb66 + eth[0] : [ 0 : 47] : 0 : da : 48'h41e0498614ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h1ccb36addad9 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h55f + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h0 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h47a dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h175b6 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h6 - mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h27 - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h96ec2 (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h6 - mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h93 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h2 - mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h2c - ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 - ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hd - ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4 - ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h7a - ipv4[0] : [ 272 : 287] : 34 : id : 16'h202 - ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h1 - ipv4[0] : [ 289 : 289] : 36 : df : 1'h0 - ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 - ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h1672 - ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h68 - ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) - ipv4[0] : [ 320 : 335] : 40 : checksum : 16'h168d (GOOD) - ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hcd840126 - ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'hd9c275c5 - ipv4[0] : : 50 : options : (Total Len = 32) + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'he2395 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b1 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h74 + ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'he + ipv4[0] : [ 184 : 191] : 23 : tos : 8'hbc + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'h7b + ipv4[0] : [ 208 : 223] : 26 : id : 16'h6e83 + ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h1 + ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 + ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1d59 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h7e + ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h11 (UDP) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'hdd1d (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'h24fc3dfc + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h31df1719 + ipv4[0] : : 42 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : e3 31 ef da 1d 7e fa f3 | ab 15 00 18 20 87 3a 01 - ipv4[0] : 16 : 84 db 6c 56 99 35 85 f0 | 40 47 d1 02 a5 d9 c4 8a + ipv4[0] : 0 : 30 f8 bd f4 1e 26 91 a5 | 44 f4 82 34 e8 15 3a a0 + ipv4[0] : 16 : 44 1f 3e d9 3b a9 e5 23 | c3 d5 92 7b 60 e3 66 0e + ipv4[0] : 32 : 4b d0 e8 5a ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 656 : 671] : 82 : src_prt : 16'h80ec - udp[0] : [ 672 : 687] : 84 : dst_prt : 16'h7b (NTP) - udp[0] : [ 688 : 703] : 86 : length : 16'h46 - udp[0] : [ 704 : 719] : 88 : checksum : 16'h111d (GOOD) - ntp[0] : [ 720 : 721] : 90 : li : 2'h3 - ntp[0] : [ 722 : 724] : 90 : vn : 3'h3 - ntp[0] : [ 725 : 727] : 90 : ntp_mode : 3'h3 - ntp[0] : [ 728 : 735] : 91 : stratum : 8'he - ntp[0] : [ 736 : 743] : 92 : poll : 8'hd9 - ntp[0] : [ 744 : 751] : 93 : precision : 8'h85 - ntp[0] : [ 752 : 783] : 94 : root_delay : 32'h4dc98617 - ntp[0] : [ 784 : 815] : 98 : root_disp : 32'h100bdea1 - ntp[0] : [ 816 : 847] : 102 : ref_ident : 32'hb576897c - ntp[0] : [ 848 : 911] : 106 : ref_timestamp : 64'h4d4c7cdc132166ad - ntp[0] : [ 912 : 975] : 114 : org_timestamp : 64'h1323a0d915bbf2cf - ntp[0] : [ 976 : 1039] : 122 : rcv_timestamp : 64'hd903531fc562cce4 - ntp[0] : [1040 : 1103] : 130 : xmt_timestamp : 64'h62f54a8cbb6391b0 - data[0] : data_len : 14 (data => 8b 22 07 74 ..) + udp[0] : [ 624 : 639] : 78 : src_prt : 16'hdd4f + udp[0] : [ 640 : 655] : 80 : dst_prt : 16'h7b (NTP) + udp[0] : [ 656 : 671] : 82 : length : 16'h43 + udp[0] : [ 672 : 687] : 84 : checksum : 16'h21e6 (GOOD) + ntp[0] : [ 688 : 689] : 86 : li : 2'h0 + ntp[0] : [ 690 : 692] : 86 : vn : 3'h6 + ntp[0] : [ 693 : 695] : 86 : ntp_mode : 3'h0 + ntp[0] : [ 696 : 703] : 87 : stratum : 8'h3a + ntp[0] : [ 704 : 711] : 88 : poll : 8'ha5 + ntp[0] : [ 712 : 719] : 89 : precision : 8'ha8 + ntp[0] : [ 720 : 751] : 90 : root_delay : 32'h66f13c00 + ntp[0] : [ 752 : 783] : 94 : root_disp : 32'ha3d442ef + ntp[0] : [ 784 : 815] : 98 : ref_ident : 32'h11f39f53 + ntp[0] : [ 816 : 879] : 102 : ref_timestamp : 64'hd469a8304398fea8 + ntp[0] : [ 880 : 943] : 110 : org_timestamp : 64'h6faa4a3a3f56a188 + ntp[0] : [ 944 : 1007] : 118 : rcv_timestamp : 64'hb719d7dbd4ce903f + ntp[0] : [1008 : 1071] : 126 : xmt_timestamp : 64'h247ff9ecaaf136f1 + data[0] : data_len : 11 (data => c7 9e ea 68 ..) toh : pad_len : 0 - toh : [1216 : 1247] : 152 : crc : 32'hd253ad1b (GOOD) + toh : [1160 : 1191] : 145 : crc32 : 32'he384c9ee (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : a9 4c 89 7e bb 18 44 cb | 8d b4 bb 66 81 00 d5 5f - pkt_lib : 16 : 88 47 17 5b 6c 27 96 ec | 2c 93 00 00 05 2c 4d 04 - pkt_lib : 32 : 00 7a 02 02 96 72 68 11 | 16 8d cd 84 01 26 d9 c2 - pkt_lib : 48 : 75 c5 e3 31 ef da 1d 7e | fa f3 ab 15 00 18 20 87 - pkt_lib : 64 : 3a 01 84 db 6c 56 99 35 | 85 f0 40 47 d1 02 a5 d9 - pkt_lib : 80 : c4 8a 80 ec 00 7b 00 46 | 11 1d db 0e d9 85 4d c9 - pkt_lib : 96 : 86 17 10 0b de a1 b5 76 | 89 7c 4d 4c 7c dc 13 21 - pkt_lib : 112 : 66 ad 13 23 a0 d9 15 bb | f2 cf d9 03 53 1f c5 62 - pkt_lib : 128 : cc e4 62 f5 4a 8c bb 63 | 91 b0 8b 22 07 74 56 8b - pkt_lib : 144 : 62 d8 f6 2f 81 8b 1b 60 | d2 53 ad 1b + pkt_lib : 0 : 41 e0 49 86 14 ab 1c cb | 36 ad da d9 81 00 04 7a + pkt_lib : 16 : 88 47 e2 39 59 74 4e bc | 00 7b 6e 83 bd 59 7e 11 + pkt_lib : 32 : dd 1d 24 fc 3d fc 31 df | 17 19 30 f8 bd f4 1e 26 + pkt_lib : 48 : 91 a5 44 f4 82 34 e8 15 | 3a a0 44 1f 3e d9 3b a9 + pkt_lib : 64 : e5 23 c3 d5 92 7b 60 e3 | 66 0e 4b d0 e8 5a dd 4f + pkt_lib : 80 : 00 7b 00 43 21 e6 30 3a | a5 a8 66 f1 3c 00 a3 d4 + pkt_lib : 96 : 42 ef 11 f3 9f 53 d4 69 | a8 30 43 98 fe a8 6f aa + pkt_lib : 112 : 4a 3a 3f 56 a1 88 b7 19 | d7 db d4 ce 90 3f 24 7f + pkt_lib : 128 : f9 ec aa f1 36 f1 c7 9e | ea 68 51 2e a1 88 db 36 + pkt_lib : 144 : 75 e3 84 c9 ee pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 156) + pkt_lib : (Total Len = 149) 0 : INFO : TEST : Compare Pkt 4 - cmp_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} != {eth[0], data[0]} (ERROR) - toh : plen : 156 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'ha94c897ebb18 - eth[0] : [ 48 : 95] : 6 : sa : 48'h44cb8db4bb66 - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 != 16'h8124 (ERROR) (DOT1Q) - toh : pad_len : 0 - toh : [ 112 : 143] : 14 : crc : 32'hd253ad1b (GOOD) -0 : ERROR : pkt_lib : Pkt Miscompares :-( Length Rcv => 156 Exp => 156 +0 : ERROR : pkt_lib : Pkt Miscompares :-( Length Rcv => 149 Exp => 149 pkt_lib : ~~~~~~~~~ RCV ~~~~~~~~~~|~~~~~~~~~ EXP ~~~~~~~~~~ pkt_lib : 0 1 2 3 4 5 6 7 | 0 1 2 3 4 5 6 7 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : a9 4c 89 7e bb 18 44 cb | .. .. .. .. .. .. .. .. - pkt_lib : 8 : 8d b4 bb 66 81 00 d5 5f | .. .. .. .. .. 24 .. .. - pkt_lib : 16 : 88 47 17 5b 6c 27 96 ec | .. .. .. .. .. .. .. .. - pkt_lib : 24 : 2c 93 00 00 05 2c 4d 04 | .. .. .. .. .. .. .. .. - pkt_lib : 32 : 00 7a 02 02 96 72 68 11 | .. .. .. .. .. .. .. .. - pkt_lib : 40 : 16 8d cd 84 01 26 d9 c2 | .. .. .. .. .. .. .. .. - pkt_lib : 48 : 75 c5 e3 31 ef da 1d 7e | .. .. .. .. .. .. .. .. - pkt_lib : 56 : fa f3 ab 15 00 18 20 87 | .. .. .. .. .. .. .. .. - pkt_lib : 64 : 3a 01 84 db 6c 56 99 35 | .. .. .. .. .. .. .. .. - pkt_lib : 72 : 85 f0 40 47 d1 02 a5 d9 | .. .. .. .. .. .. .. .. - pkt_lib : 80 : c4 8a 80 ec 00 7b 00 46 | .. .. .. .. .. .. .. .. - pkt_lib : 88 : 11 1d db 0e d9 85 4d c9 | .. .. .. .. .. .. .. .. - pkt_lib : 96 : 86 17 10 0b de a1 b5 76 | .. .. .. .. .. .. .. .. - pkt_lib : 104 : 89 7c 4d 4c 7c dc 13 21 | .. .. .. .. .. .. .. .. - pkt_lib : 112 : 66 ad 13 23 a0 d9 15 bb | .. .. .. .. .. .. .. .. - pkt_lib : 120 : f2 cf d9 03 53 1f c5 62 | .. .. .. .. .. .. .. .. - pkt_lib : 128 : cc e4 62 f5 4a 8c bb 63 | .. .. .. .. .. .. .. .. - pkt_lib : 136 : 91 b0 8b 22 07 74 56 8b | .. .. .. .. .. .. .. .. - pkt_lib : 144 : 62 d8 f6 2f 81 8b 1b 60 | .. .. .. .. .. .. .. .. - pkt_lib : 152 : d2 53 ad 1b | .. .. .. .. + pkt_lib : 0 : 41 e0 49 86 14 ab 1c cb | .. .. .. .. .. .. .. .. + pkt_lib : 8 : 36 ad da d9 81 00 04 7a | .. .. .. .. .. 24 .. .. + pkt_lib : 16 : 88 47 e2 39 59 74 4e bc | .. .. .. .. .. .. .. .. + pkt_lib : 24 : 00 7b 6e 83 bd 59 7e 11 | .. .. .. .. .. .. .. .. + pkt_lib : 32 : dd 1d 24 fc 3d fc 31 df | .. .. .. .. .. .. .. .. + pkt_lib : 40 : 17 19 30 f8 bd f4 1e 26 | .. .. .. .. .. .. .. .. + pkt_lib : 48 : 91 a5 44 f4 82 34 e8 15 | .. .. .. .. .. .. .. .. + pkt_lib : 56 : 3a a0 44 1f 3e d9 3b a9 | .. .. .. .. .. .. .. .. + pkt_lib : 64 : e5 23 c3 d5 92 7b 60 e3 | .. .. .. .. .. .. .. .. + pkt_lib : 72 : 66 0e 4b d0 e8 5a dd 4f | .. .. .. .. .. .. .. .. + pkt_lib : 80 : 00 7b 00 43 21 e6 30 3a | .. .. .. .. .. .. .. .. + pkt_lib : 88 : a5 a8 66 f1 3c 00 a3 d4 | .. .. .. .. .. .. .. .. + pkt_lib : 96 : 42 ef 11 f3 9f 53 d4 69 | .. .. .. .. .. .. .. .. + pkt_lib : 104 : a8 30 43 98 fe a8 6f aa | .. .. .. .. .. .. .. .. + pkt_lib : 112 : 4a 3a 3f 56 a1 88 b7 19 | .. .. .. .. .. .. .. .. + pkt_lib : 120 : d7 db d4 ce 90 3f 24 7f | .. .. .. .. .. .. .. .. + pkt_lib : 128 : f9 ec aa f1 36 f1 c7 9e | .. .. .. .. .. .. .. .. + pkt_lib : 136 : ea 68 51 2e a1 88 db 36 | .. .. .. .. .. .. .. .. + pkt_lib : 144 : 75 e3 84 c9 ee | .. .. .. .. .. + cmp_hdr : {eth[0], dot1q[0], mpls[0], ipv4[0], udp[0], ntp[0], data[0]} (IEEE802) != {eth[0], data[0]} (IEEE802) (ERROR) + toh : plen : 149 + toh : chop_plen_to : 0 + eth[0] : [ 0 : 47] : 0 : da : 48'h41e0498614ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h1ccb36addad9 + eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 != 16'h8124 (ERROR) (DOT1Q) + toh : pad_len : 0 + toh : [ 112 : 143] : 14 : crc32 : 32'he384c9ee (GOOD) 0 : INFO : TEST : Pack Pkt 5 - cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} - toh : plen : 178 + cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} (IEEE802) + toh : plen : 185 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6c82551996c5 - eth[0] : [ 48 : 95] : 6 : sa : 48'h75995bd20805 + eth[0] : [ 0 : 47] : 0 : da : 48'h738d3c8cf98c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc54d3e5b9d4c eth[0] : [ 96 : 111] : 12 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h5 - mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b1 - mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h55 - ipv4[0] : [ 144 : 147] : 18 : version : 4'h4 - ipv4[0] : [ 148 : 151] : 18 : ihl : 4'he - ipv4[0] : [ 152 : 159] : 19 : tos : 8'ha2 - ipv4[0] : [ 160 : 175] : 20 : total_length : 16'h9c - ipv4[0] : [ 176 : 191] : 22 : id : 16'hb488 - ipv4[0] : [ 192 : 192] : 24 : reserved : 1'h1 - ipv4[0] : [ 193 : 193] : 24 : df : 1'h1 - ipv4[0] : [ 194 : 194] : 24 : mf : 1'h0 - ipv4[0] : [ 195 : 207] : 24 : frag_offset : 13'h0 - ipv4[0] : [ 208 : 215] : 26 : ttl : 8'h2e - ipv4[0] : [ 216 : 223] : 27 : protocol : 8'h11 (UDP) - ipv4[0] : [ 224 : 239] : 28 : checksum : 16'hd8f1 (GOOD) - ipv4[0] : [ 240 : 271] : 30 : ip_sa : 32'habddc7fc - ipv4[0] : [ 272 : 303] : 34 : ip_da : 32'h58ffd383 - ipv4[0] : : 38 : options : (Total Len = 36) + mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 + mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 + mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'hd6 + mpls[0] : [ 144 : 163] : 18 : label[1] : 20'h2f24 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 + mpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h10 + mpls[0] : [ 176 : 195] : 22 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h2 + mpls[0] : [ 199 : 199] : 24 : s[2] : 1'b0 + mpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'ha4 + mpls[0] : [ 208 : 227] : 26 : label[3] : 20'h5f5d2 (UNKNOWN) + mpls[0] : [ 228 : 230] : 28 : exp[3] : 3'h0 + mpls[0] : [ 231 : 231] : 28 : s[3] : 1'b1 + mpls[0] : [ 232 : 239] : 29 : ttl[3] : 8'h86 + ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 + ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hf + ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4c + ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h97 + ipv4[0] : [ 272 : 287] : 34 : id : 16'h8e88 + ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h0 + ipv4[0] : [ 289 : 289] : 36 : df : 1'h1 + ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 + ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h0 + ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h99 + ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) + ipv4[0] : [ 320 : 335] : 40 : checksum : 16'hd1e3 (GOOD) + ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hd4776c47 + ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'h1362870e + ipv4[0] : : 50 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : b9 5a 6a 82 cf a6 3a 51 | 23 55 49 5b 04 d8 74 90 - ipv4[0] : 16 : 05 17 f7 33 09 59 d1 68 | a5 73 ed 79 be 25 21 fd - ipv4[0] : 32 : 29 f7 0c d5 + ipv4[0] : 0 : a6 63 33 54 4a 83 6f e1 | 2b 96 1f 5d 7e 3e 75 95 + ipv4[0] : 16 : 1c 39 d4 ae 3f 7d 2e f1 | f9 7d 65 ea fc 91 a1 2a + ipv4[0] : 32 : c4 14 1a bc 9c 69 f0 d4 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 592 : 607] : 74 : src_prt : 16'h5d32 - udp[0] : [ 608 : 623] : 76 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 624 : 639] : 78 : length : 16'h64 - udp[0] : [ 640 : 655] : 80 : checksum : 16'hb758 (GOOD) - lisp[0] : [ 656 : 656] : 82 : N : 1'b0 - lisp[0] : [ 657 : 657] : 82 : L : 1'b1 - lisp[0] : [ 658 : 658] : 82 : E : 1'b0 - lisp[0] : [ 659 : 659] : 82 : V : 1'b1 - lisp[0] : [ 660 : 660] : 82 : I : 1'b0 - lisp[0] : [ 661 : 663] : 82 : flags : 3'h0 - lisp[0] : [ 664 : 675] : 83 : src_map_ver : 12'h51a - lisp[0] : [ 676 : 687] : 84 : dst_map_ver : 12'h632 - lisp[0] : [ 688 : 719] : 86 : lsb : 32'h69a836c1 - ipv4[1] : [ 720 : 723] : 90 : version : 4'h4 - ipv4[1] : [ 724 : 727] : 90 : ihl : 4'hf - ipv4[1] : [ 728 : 735] : 91 : tos : 8'h47 - ipv4[1] : [ 736 : 751] : 92 : total_length : 16'h54 - ipv4[1] : [ 752 : 767] : 94 : id : 16'hd15f - ipv4[1] : [ 768 : 768] : 96 : reserved : 1'h0 - ipv4[1] : [ 769 : 769] : 96 : df : 1'h0 - ipv4[1] : [ 770 : 770] : 96 : mf : 1'h1 - ipv4[1] : [ 771 : 783] : 96 : frag_offset : 13'h13c7 - ipv4[1] : [ 784 : 791] : 98 : ttl : 8'h65 - ipv4[1] : [ 792 : 799] : 99 : protocol : 8'h1 (ICMP) - ipv4[1] : [ 800 : 815] : 100 : checksum : 16'hfa25 (GOOD) - ipv4[1] : [ 816 : 847] : 102 : ip_sa : 32'hbd5696c5 - ipv4[1] : [ 848 : 879] : 106 : ip_da : 32'h6ebc71aa - ipv4[1] : : 110 : options : (Total Len = 40) + udp[0] : [ 720 : 735] : 90 : src_prt : 16'heebb + udp[0] : [ 736 : 751] : 92 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 752 : 767] : 94 : length : 16'h5b + udp[0] : [ 768 : 783] : 96 : checksum : 16'hcc0 (GOOD) + lisp[0] : [ 784 : 784] : 98 : N : 1'b0 + lisp[0] : [ 785 : 785] : 98 : L : 1'b0 + lisp[0] : [ 786 : 786] : 98 : E : 1'b0 + lisp[0] : [ 787 : 787] : 98 : V : 1'b1 + lisp[0] : [ 788 : 788] : 98 : I : 1'b1 + lisp[0] : [ 789 : 791] : 98 : flags : 3'h0 + lisp[0] : [ 792 : 803] : 99 : src_map_ver : 12'hba5 + lisp[0] : [ 804 : 815] : 100 : dst_map_ver : 12'h422 + lisp[0] : [ 816 : 839] : 102 : instance_id : 24'h61af61 + lisp[0] : [ 840 : 847] : 105 : lsb : 8'h7 + ipv4[1] : [ 848 : 851] : 106 : version : 4'h4 + ipv4[1] : [ 852 : 855] : 106 : ihl : 4'hd + ipv4[1] : [ 856 : 863] : 107 : tos : 8'h60 + ipv4[1] : [ 864 : 879] : 108 : total_length : 16'h4b + ipv4[1] : [ 880 : 895] : 110 : id : 16'h4bb6 + ipv4[1] : [ 896 : 896] : 112 : reserved : 1'h0 + ipv4[1] : [ 897 : 897] : 112 : df : 1'h0 + ipv4[1] : [ 898 : 898] : 112 : mf : 1'h0 + ipv4[1] : [ 899 : 911] : 112 : frag_offset : 13'hc92 + ipv4[1] : [ 912 : 919] : 114 : ttl : 8'hc + ipv4[1] : [ 920 : 927] : 115 : protocol : 8'h1 (ICMP) + ipv4[1] : [ 928 : 943] : 116 : checksum : 16'h1abe (GOOD) + ipv4[1] : [ 944 : 975] : 118 : ip_sa : 32'h6caa952d + ipv4[1] : [ 976 : 1007] : 122 : ip_da : 32'h5b7f7c2c + ipv4[1] : : 126 : options : (Total Len = 32) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : ad f5 03 70 9a 84 60 13 | cb 3f de e3 2f bf 58 88 - ipv4[1] : 16 : ed dc c6 1c b4 f8 8a a7 | 9b 8b 23 87 fc c5 d9 82 - ipv4[1] : 32 : 20 e8 b0 f3 83 ca 5a 8e | + ipv4[1] : 0 : 01 0a a3 6c ab 76 19 e9 | 7d 20 3f fb ee 19 84 bc + ipv4[1] : 16 : 79 88 33 38 6e ea d3 2a | 2d 28 11 a8 11 89 80 d1 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - icmp[0] : [1200 : 1207] : 150 : icmp_type : 8'h4b - icmp[0] : [1208 : 1215] : 151 : code : 8'hab - icmp[0] : [1216 : 1231] : 152 : checksum : 16'hfc7a (GOOD) - icmp[0] : [1232 : 1247] : 154 : msg_body : 16'h20579782 - data[0] : data_len : 16 (data => e2 df 33 df ..) + icmp[0] : [1264 : 1271] : 158 : icmp_type : 8'h7a + icmp[0] : [1272 : 1279] : 159 : code : 8'hc8 + icmp[0] : [1280 : 1295] : 160 : checksum : 16'h27be (GOOD) + icmp[0] : [1296 : 1327] : 162 : msg_body : 32'ha479b8ff + data[0] : data_len : 15 (data => 70 0f 67 03 ..) toh : pad_len : 0 - toh : [1376 : 1407] : 172 : crc : 32'h4a1f5bea (GOOD) + toh : [1448 : 1479] : 181 : crc32 : 32'hf91b686a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c 82 55 19 96 c5 75 99 | 5b d2 08 05 88 47 00 00 - pkt_lib : 16 : 0b 55 4e a2 00 9c b4 88 | c0 00 2e 11 d8 f1 ab dd - pkt_lib : 32 : c7 fc 58 ff d3 83 b9 5a | 6a 82 cf a6 3a 51 23 55 - pkt_lib : 48 : 49 5b 04 d8 74 90 05 17 | f7 33 09 59 d1 68 a5 73 - pkt_lib : 64 : ed 79 be 25 21 fd 29 f7 | 0c d5 5d 32 10 f5 00 64 - pkt_lib : 80 : b7 58 50 51 a6 32 69 a8 | 36 c1 4f 47 00 54 d1 5f - pkt_lib : 96 : 33 c7 65 01 fa 25 bd 56 | 96 c5 6e bc 71 aa ad f5 - pkt_lib : 112 : 03 70 9a 84 60 13 cb 3f | de e3 2f bf 58 88 ed dc - pkt_lib : 128 : c6 1c b4 f8 8a a7 9b 8b | 23 87 fc c5 d9 82 20 e8 - pkt_lib : 144 : b0 f3 83 ca 5a 8e 4b ab | fc 7a 20 57 97 82 e2 df - pkt_lib : 160 : 33 df e2 28 68 f1 51 0b | c8 aa 8f d3 96 f8 4a 1f - pkt_lib : 176 : 5b ea + pkt_lib : 0 : 73 8d 3c 8c f9 8c c5 4d | 3e 5b 9d 4c 88 47 00 00 + pkt_lib : 16 : 16 d6 02 f2 48 10 00 00 | 14 a4 5f 5d 21 86 4f 4c + pkt_lib : 32 : 00 97 8e 88 40 00 99 11 | d1 e3 d4 77 6c 47 13 62 + pkt_lib : 48 : 87 0e a6 63 33 54 4a 83 | 6f e1 2b 96 1f 5d 7e 3e + pkt_lib : 64 : 75 95 1c 39 d4 ae 3f 7d | 2e f1 f9 7d 65 ea fc 91 + pkt_lib : 80 : a1 2a c4 14 1a bc 9c 69 | f0 d4 ee bb 10 f5 00 5b + pkt_lib : 96 : 0c c0 18 ba 54 22 61 af | 61 07 4d 60 00 4b 4b b6 + pkt_lib : 112 : 0c 92 0c 01 1a be 6c aa | 95 2d 5b 7f 7c 2c 01 0a + pkt_lib : 128 : a3 6c ab 76 19 e9 7d 20 | 3f fb ee 19 84 bc 79 88 + pkt_lib : 144 : 33 38 6e ea d3 2a 2d 28 | 11 a8 11 89 80 d1 7a c8 + pkt_lib : 160 : 27 be a4 79 b8 ff 70 0f | 67 03 ed ba 79 2b ee b8 + pkt_lib : 176 : 8c 4a 04 08 2b f9 1b 68 | 6a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 178) + pkt_lib : (Total Len = 185) 0 : INFO : TEST : Unpack Pkt 5 - cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} - toh : plen : 178 + cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} (IEEE802) + toh : plen : 185 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6c82551996c5 - eth[0] : [ 48 : 95] : 6 : sa : 48'h75995bd20805 + eth[0] : [ 0 : 47] : 0 : da : 48'h738d3c8cf98c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc54d3e5b9d4c eth[0] : [ 96 : 111] : 12 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h5 - mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b1 - mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h55 - ipv4[0] : [ 144 : 147] : 18 : version : 4'h4 - ipv4[0] : [ 148 : 151] : 18 : ihl : 4'he - ipv4[0] : [ 152 : 159] : 19 : tos : 8'ha2 - ipv4[0] : [ 160 : 175] : 20 : total_length : 16'h9c - ipv4[0] : [ 176 : 191] : 22 : id : 16'hb488 - ipv4[0] : [ 192 : 192] : 24 : reserved : 1'h1 - ipv4[0] : [ 193 : 193] : 24 : df : 1'h1 - ipv4[0] : [ 194 : 194] : 24 : mf : 1'h0 - ipv4[0] : [ 195 : 207] : 24 : frag_offset : 13'h0 - ipv4[0] : [ 208 : 215] : 26 : ttl : 8'h2e - ipv4[0] : [ 216 : 223] : 27 : protocol : 8'h11 (UDP) - ipv4[0] : [ 224 : 239] : 28 : checksum : 16'hd8f1 (GOOD) - ipv4[0] : [ 240 : 271] : 30 : ip_sa : 32'habddc7fc - ipv4[0] : [ 272 : 303] : 34 : ip_da : 32'h58ffd383 - ipv4[0] : : 38 : options : (Total Len = 36) + mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 + mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 + mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'hd6 + mpls[0] : [ 144 : 163] : 18 : label[1] : 20'h2f24 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 + mpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h10 + mpls[0] : [ 176 : 195] : 22 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h2 + mpls[0] : [ 199 : 199] : 24 : s[2] : 1'b0 + mpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'ha4 + mpls[0] : [ 208 : 227] : 26 : label[3] : 20'h5f5d2 (UNKNOWN) + mpls[0] : [ 228 : 230] : 28 : exp[3] : 3'h0 + mpls[0] : [ 231 : 231] : 28 : s[3] : 1'b1 + mpls[0] : [ 232 : 239] : 29 : ttl[3] : 8'h86 + ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 + ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hf + ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4c + ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h97 + ipv4[0] : [ 272 : 287] : 34 : id : 16'h8e88 + ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h0 + ipv4[0] : [ 289 : 289] : 36 : df : 1'h1 + ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 + ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h0 + ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h99 + ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) + ipv4[0] : [ 320 : 335] : 40 : checksum : 16'hd1e3 (GOOD) + ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hd4776c47 + ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'h1362870e + ipv4[0] : : 50 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : b9 5a 6a 82 cf a6 3a 51 | 23 55 49 5b 04 d8 74 90 - ipv4[0] : 16 : 05 17 f7 33 09 59 d1 68 | a5 73 ed 79 be 25 21 fd - ipv4[0] : 32 : 29 f7 0c d5 + ipv4[0] : 0 : a6 63 33 54 4a 83 6f e1 | 2b 96 1f 5d 7e 3e 75 95 + ipv4[0] : 16 : 1c 39 d4 ae 3f 7d 2e f1 | f9 7d 65 ea fc 91 a1 2a + ipv4[0] : 32 : c4 14 1a bc 9c 69 f0 d4 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 592 : 607] : 74 : src_prt : 16'h5d32 - udp[0] : [ 608 : 623] : 76 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 624 : 639] : 78 : length : 16'h64 - udp[0] : [ 640 : 655] : 80 : checksum : 16'hb758 (GOOD) - lisp[0] : [ 656 : 656] : 82 : N : 1'b0 - lisp[0] : [ 657 : 657] : 82 : L : 1'b1 - lisp[0] : [ 658 : 658] : 82 : E : 1'b0 - lisp[0] : [ 659 : 659] : 82 : V : 1'b1 - lisp[0] : [ 660 : 660] : 82 : I : 1'b0 - lisp[0] : [ 661 : 663] : 82 : flags : 3'h0 - lisp[0] : [ 664 : 675] : 83 : src_map_ver : 12'h0 - lisp[0] : [ 676 : 687] : 84 : dst_map_ver : 12'h0 - lisp[0] : [ 688 : 719] : 86 : lsb : 32'h69a836c1 - ipv4[1] : [ 720 : 723] : 90 : version : 4'h4 - ipv4[1] : [ 724 : 727] : 90 : ihl : 4'hf - ipv4[1] : [ 728 : 735] : 91 : tos : 8'h47 - ipv4[1] : [ 736 : 751] : 92 : total_length : 16'h54 - ipv4[1] : [ 752 : 767] : 94 : id : 16'hd15f - ipv4[1] : [ 768 : 768] : 96 : reserved : 1'h0 - ipv4[1] : [ 769 : 769] : 96 : df : 1'h0 - ipv4[1] : [ 770 : 770] : 96 : mf : 1'h1 - ipv4[1] : [ 771 : 783] : 96 : frag_offset : 13'h13c7 - ipv4[1] : [ 784 : 791] : 98 : ttl : 8'h65 - ipv4[1] : [ 792 : 799] : 99 : protocol : 8'h1 (ICMP) - ipv4[1] : [ 800 : 815] : 100 : checksum : 16'hfa25 (GOOD) - ipv4[1] : [ 816 : 847] : 102 : ip_sa : 32'hbd5696c5 - ipv4[1] : [ 848 : 879] : 106 : ip_da : 32'h6ebc71aa - ipv4[1] : : 110 : options : (Total Len = 40) + udp[0] : [ 720 : 735] : 90 : src_prt : 16'heebb + udp[0] : [ 736 : 751] : 92 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 752 : 767] : 94 : length : 16'h5b + udp[0] : [ 768 : 783] : 96 : checksum : 16'hcc0 (GOOD) + lisp[0] : [ 784 : 784] : 98 : N : 1'b0 + lisp[0] : [ 785 : 785] : 98 : L : 1'b0 + lisp[0] : [ 786 : 786] : 98 : E : 1'b0 + lisp[0] : [ 787 : 787] : 98 : V : 1'b1 + lisp[0] : [ 788 : 788] : 98 : I : 1'b1 + lisp[0] : [ 789 : 791] : 98 : flags : 3'h0 + lisp[0] : [ 792 : 803] : 99 : src_map_ver : 12'h0 + lisp[0] : [ 804 : 815] : 100 : dst_map_ver : 12'h0 + lisp[0] : [ 816 : 839] : 102 : instance_id : 24'h61af61 + lisp[0] : [ 840 : 847] : 105 : lsb : 8'h7 + ipv4[1] : [ 848 : 851] : 106 : version : 4'h4 + ipv4[1] : [ 852 : 855] : 106 : ihl : 4'hd + ipv4[1] : [ 856 : 863] : 107 : tos : 8'h60 + ipv4[1] : [ 864 : 879] : 108 : total_length : 16'h4b + ipv4[1] : [ 880 : 895] : 110 : id : 16'h4bb6 + ipv4[1] : [ 896 : 896] : 112 : reserved : 1'h0 + ipv4[1] : [ 897 : 897] : 112 : df : 1'h0 + ipv4[1] : [ 898 : 898] : 112 : mf : 1'h0 + ipv4[1] : [ 899 : 911] : 112 : frag_offset : 13'hc92 + ipv4[1] : [ 912 : 919] : 114 : ttl : 8'hc + ipv4[1] : [ 920 : 927] : 115 : protocol : 8'h1 (ICMP) + ipv4[1] : [ 928 : 943] : 116 : checksum : 16'h1abe (GOOD) + ipv4[1] : [ 944 : 975] : 118 : ip_sa : 32'h6caa952d + ipv4[1] : [ 976 : 1007] : 122 : ip_da : 32'h5b7f7c2c + ipv4[1] : : 126 : options : (Total Len = 32) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : ad f5 03 70 9a 84 60 13 | cb 3f de e3 2f bf 58 88 - ipv4[1] : 16 : ed dc c6 1c b4 f8 8a a7 | 9b 8b 23 87 fc c5 d9 82 - ipv4[1] : 32 : 20 e8 b0 f3 83 ca 5a 8e | + ipv4[1] : 0 : 01 0a a3 6c ab 76 19 e9 | 7d 20 3f fb ee 19 84 bc + ipv4[1] : 16 : 79 88 33 38 6e ea d3 2a | 2d 28 11 a8 11 89 80 d1 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - icmp[0] : [1200 : 1207] : 150 : icmp_type : 8'h4b - icmp[0] : [1208 : 1215] : 151 : code : 8'hab - icmp[0] : [1216 : 1231] : 152 : checksum : 16'hfc7a (GOOD) - icmp[0] : [1232 : 1247] : 154 : msg_body : 16'h20579782 - data[0] : data_len : 16 (data => e2 df 33 df ..) + icmp[0] : [1264 : 1271] : 158 : icmp_type : 8'h7a + icmp[0] : [1272 : 1279] : 159 : code : 8'hc8 + icmp[0] : [1280 : 1295] : 160 : checksum : 16'h27be (GOOD) + icmp[0] : [1296 : 1327] : 162 : msg_body : 32'ha479b8ff + data[0] : data_len : 15 (data => 70 0f 67 03 ..) toh : pad_len : 0 - toh : [1376 : 1407] : 172 : crc : 32'h4a1f5bea (GOOD) + toh : [1448 : 1479] : 181 : crc32 : 32'hf91b686a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c 82 55 19 96 c5 75 99 | 5b d2 08 05 88 47 00 00 - pkt_lib : 16 : 0b 55 4e a2 00 9c b4 88 | c0 00 2e 11 d8 f1 ab dd - pkt_lib : 32 : c7 fc 58 ff d3 83 b9 5a | 6a 82 cf a6 3a 51 23 55 - pkt_lib : 48 : 49 5b 04 d8 74 90 05 17 | f7 33 09 59 d1 68 a5 73 - pkt_lib : 64 : ed 79 be 25 21 fd 29 f7 | 0c d5 5d 32 10 f5 00 64 - pkt_lib : 80 : b7 58 50 51 a6 32 69 a8 | 36 c1 4f 47 00 54 d1 5f - pkt_lib : 96 : 33 c7 65 01 fa 25 bd 56 | 96 c5 6e bc 71 aa ad f5 - pkt_lib : 112 : 03 70 9a 84 60 13 cb 3f | de e3 2f bf 58 88 ed dc - pkt_lib : 128 : c6 1c b4 f8 8a a7 9b 8b | 23 87 fc c5 d9 82 20 e8 - pkt_lib : 144 : b0 f3 83 ca 5a 8e 4b ab | fc 7a 20 57 97 82 e2 df - pkt_lib : 160 : 33 df e2 28 68 f1 51 0b | c8 aa 8f d3 96 f8 4a 1f - pkt_lib : 176 : 5b ea + pkt_lib : 0 : 73 8d 3c 8c f9 8c c5 4d | 3e 5b 9d 4c 88 47 00 00 + pkt_lib : 16 : 16 d6 02 f2 48 10 00 00 | 14 a4 5f 5d 21 86 4f 4c + pkt_lib : 32 : 00 97 8e 88 40 00 99 11 | d1 e3 d4 77 6c 47 13 62 + pkt_lib : 48 : 87 0e a6 63 33 54 4a 83 | 6f e1 2b 96 1f 5d 7e 3e + pkt_lib : 64 : 75 95 1c 39 d4 ae 3f 7d | 2e f1 f9 7d 65 ea fc 91 + pkt_lib : 80 : a1 2a c4 14 1a bc 9c 69 | f0 d4 ee bb 10 f5 00 5b + pkt_lib : 96 : 0c c0 18 ba 54 22 61 af | 61 07 4d 60 00 4b 4b b6 + pkt_lib : 112 : 0c 92 0c 01 1a be 6c aa | 95 2d 5b 7f 7c 2c 01 0a + pkt_lib : 128 : a3 6c ab 76 19 e9 7d 20 | 3f fb ee 19 84 bc 79 88 + pkt_lib : 144 : 33 38 6e ea d3 2a 2d 28 | 11 a8 11 89 80 d1 7a c8 + pkt_lib : 160 : 27 be a4 79 b8 ff 70 0f | 67 03 ed ba 79 2b ee b8 + pkt_lib : 176 : 8c 4a 04 08 2b f9 1b 68 | 6a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 178) + pkt_lib : (Total Len = 185) 0 : INFO : TEST : Copy Pkt 5 - cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} - toh : plen : 178 + cfg_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} (IEEE802) + toh : plen : 185 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6c82551996c5 - eth[0] : [ 48 : 95] : 6 : sa : 48'h75995bd20805 + eth[0] : [ 0 : 47] : 0 : da : 48'h738d3c8cf98c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc54d3e5b9d4c eth[0] : [ 96 : 111] : 12 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h5 - mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b1 - mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h55 - ipv4[0] : [ 144 : 147] : 18 : version : 4'h4 - ipv4[0] : [ 148 : 151] : 18 : ihl : 4'he - ipv4[0] : [ 152 : 159] : 19 : tos : 8'ha2 - ipv4[0] : [ 160 : 175] : 20 : total_length : 16'h9c - ipv4[0] : [ 176 : 191] : 22 : id : 16'hb488 - ipv4[0] : [ 192 : 192] : 24 : reserved : 1'h1 - ipv4[0] : [ 193 : 193] : 24 : df : 1'h1 - ipv4[0] : [ 194 : 194] : 24 : mf : 1'h0 - ipv4[0] : [ 195 : 207] : 24 : frag_offset : 13'h0 - ipv4[0] : [ 208 : 215] : 26 : ttl : 8'h2e - ipv4[0] : [ 216 : 223] : 27 : protocol : 8'h11 (UDP) - ipv4[0] : [ 224 : 239] : 28 : checksum : 16'hd8f1 (GOOD) - ipv4[0] : [ 240 : 271] : 30 : ip_sa : 32'habddc7fc - ipv4[0] : [ 272 : 303] : 34 : ip_da : 32'h58ffd383 - ipv4[0] : : 38 : options : (Total Len = 36) + mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h1 (Router Alert) + mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 + mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 + mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'hd6 + mpls[0] : [ 144 : 163] : 18 : label[1] : 20'h2f24 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 + mpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 + mpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h10 + mpls[0] : [ 176 : 195] : 22 : label[2] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h2 + mpls[0] : [ 199 : 199] : 24 : s[2] : 1'b0 + mpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'ha4 + mpls[0] : [ 208 : 227] : 26 : label[3] : 20'h5f5d2 (UNKNOWN) + mpls[0] : [ 228 : 230] : 28 : exp[3] : 3'h0 + mpls[0] : [ 231 : 231] : 28 : s[3] : 1'b1 + mpls[0] : [ 232 : 239] : 29 : ttl[3] : 8'h86 + ipv4[0] : [ 240 : 243] : 30 : version : 4'h4 + ipv4[0] : [ 244 : 247] : 30 : ihl : 4'hf + ipv4[0] : [ 248 : 255] : 31 : tos : 8'h4c + ipv4[0] : [ 256 : 271] : 32 : total_length : 16'h97 + ipv4[0] : [ 272 : 287] : 34 : id : 16'h8e88 + ipv4[0] : [ 288 : 288] : 36 : reserved : 1'h0 + ipv4[0] : [ 289 : 289] : 36 : df : 1'h1 + ipv4[0] : [ 290 : 290] : 36 : mf : 1'h0 + ipv4[0] : [ 291 : 303] : 36 : frag_offset : 13'h0 + ipv4[0] : [ 304 : 311] : 38 : ttl : 8'h99 + ipv4[0] : [ 312 : 319] : 39 : protocol : 8'h11 (UDP) + ipv4[0] : [ 320 : 335] : 40 : checksum : 16'hd1e3 (GOOD) + ipv4[0] : [ 336 : 367] : 42 : ip_sa : 32'hd4776c47 + ipv4[0] : [ 368 : 399] : 46 : ip_da : 32'h1362870e + ipv4[0] : : 50 : options : (Total Len = 40) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : b9 5a 6a 82 cf a6 3a 51 | 23 55 49 5b 04 d8 74 90 - ipv4[0] : 16 : 05 17 f7 33 09 59 d1 68 | a5 73 ed 79 be 25 21 fd - ipv4[0] : 32 : 29 f7 0c d5 + ipv4[0] : 0 : a6 63 33 54 4a 83 6f e1 | 2b 96 1f 5d 7e 3e 75 95 + ipv4[0] : 16 : 1c 39 d4 ae 3f 7d 2e f1 | f9 7d 65 ea fc 91 a1 2a + ipv4[0] : 32 : c4 14 1a bc 9c 69 f0 d4 | ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - udp[0] : [ 592 : 607] : 74 : src_prt : 16'h5d32 - udp[0] : [ 608 : 623] : 76 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 624 : 639] : 78 : length : 16'h64 - udp[0] : [ 640 : 655] : 80 : checksum : 16'hb758 (GOOD) - lisp[0] : [ 656 : 656] : 82 : N : 1'b0 - lisp[0] : [ 657 : 657] : 82 : L : 1'b1 - lisp[0] : [ 658 : 658] : 82 : E : 1'b0 - lisp[0] : [ 659 : 659] : 82 : V : 1'b1 - lisp[0] : [ 660 : 660] : 82 : I : 1'b0 - lisp[0] : [ 661 : 663] : 82 : flags : 3'h0 - lisp[0] : [ 664 : 675] : 83 : src_map_ver : 12'h0 - lisp[0] : [ 676 : 687] : 84 : dst_map_ver : 12'h0 - lisp[0] : [ 688 : 719] : 86 : lsb : 32'h69a836c1 - ipv4[1] : [ 720 : 723] : 90 : version : 4'h4 - ipv4[1] : [ 724 : 727] : 90 : ihl : 4'hf - ipv4[1] : [ 728 : 735] : 91 : tos : 8'h47 - ipv4[1] : [ 736 : 751] : 92 : total_length : 16'h54 - ipv4[1] : [ 752 : 767] : 94 : id : 16'hd15f - ipv4[1] : [ 768 : 768] : 96 : reserved : 1'h0 - ipv4[1] : [ 769 : 769] : 96 : df : 1'h0 - ipv4[1] : [ 770 : 770] : 96 : mf : 1'h1 - ipv4[1] : [ 771 : 783] : 96 : frag_offset : 13'h13c7 - ipv4[1] : [ 784 : 791] : 98 : ttl : 8'h65 - ipv4[1] : [ 792 : 799] : 99 : protocol : 8'h1 (ICMP) - ipv4[1] : [ 800 : 815] : 100 : checksum : 16'hfa25 (GOOD) - ipv4[1] : [ 816 : 847] : 102 : ip_sa : 32'hbd5696c5 - ipv4[1] : [ 848 : 879] : 106 : ip_da : 32'h6ebc71aa - ipv4[1] : : 110 : options : (Total Len = 40) + udp[0] : [ 720 : 735] : 90 : src_prt : 16'heebb + udp[0] : [ 736 : 751] : 92 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 752 : 767] : 94 : length : 16'h5b + udp[0] : [ 768 : 783] : 96 : checksum : 16'hcc0 (GOOD) + lisp[0] : [ 784 : 784] : 98 : N : 1'b0 + lisp[0] : [ 785 : 785] : 98 : L : 1'b0 + lisp[0] : [ 786 : 786] : 98 : E : 1'b0 + lisp[0] : [ 787 : 787] : 98 : V : 1'b1 + lisp[0] : [ 788 : 788] : 98 : I : 1'b1 + lisp[0] : [ 789 : 791] : 98 : flags : 3'h0 + lisp[0] : [ 792 : 803] : 99 : src_map_ver : 12'h0 + lisp[0] : [ 804 : 815] : 100 : dst_map_ver : 12'h0 + lisp[0] : [ 816 : 839] : 102 : instance_id : 24'h61af61 + lisp[0] : [ 840 : 847] : 105 : lsb : 8'h7 + ipv4[1] : [ 848 : 851] : 106 : version : 4'h4 + ipv4[1] : [ 852 : 855] : 106 : ihl : 4'hd + ipv4[1] : [ 856 : 863] : 107 : tos : 8'h60 + ipv4[1] : [ 864 : 879] : 108 : total_length : 16'h4b + ipv4[1] : [ 880 : 895] : 110 : id : 16'h4bb6 + ipv4[1] : [ 896 : 896] : 112 : reserved : 1'h0 + ipv4[1] : [ 897 : 897] : 112 : df : 1'h0 + ipv4[1] : [ 898 : 898] : 112 : mf : 1'h0 + ipv4[1] : [ 899 : 911] : 112 : frag_offset : 13'hc92 + ipv4[1] : [ 912 : 919] : 114 : ttl : 8'hc + ipv4[1] : [ 920 : 927] : 115 : protocol : 8'h1 (ICMP) + ipv4[1] : [ 928 : 943] : 116 : checksum : 16'h1abe (GOOD) + ipv4[1] : [ 944 : 975] : 118 : ip_sa : 32'h6caa952d + ipv4[1] : [ 976 : 1007] : 122 : ip_da : 32'h5b7f7c2c + ipv4[1] : : 126 : options : (Total Len = 32) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : ad f5 03 70 9a 84 60 13 | cb 3f de e3 2f bf 58 88 - ipv4[1] : 16 : ed dc c6 1c b4 f8 8a a7 | 9b 8b 23 87 fc c5 d9 82 - ipv4[1] : 32 : 20 e8 b0 f3 83 ca 5a 8e | + ipv4[1] : 0 : 01 0a a3 6c ab 76 19 e9 | 7d 20 3f fb ee 19 84 bc + ipv4[1] : 16 : 79 88 33 38 6e ea d3 2a | 2d 28 11 a8 11 89 80 d1 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - icmp[0] : [1200 : 1207] : 150 : icmp_type : 8'h4b - icmp[0] : [1208 : 1215] : 151 : code : 8'hab - icmp[0] : [1216 : 1231] : 152 : checksum : 16'hfc7a (GOOD) - icmp[0] : [1232 : 1247] : 154 : msg_body : 16'h20579782 - data[0] : data_len : 16 (data => e2 df 33 df ..) + icmp[0] : [1264 : 1271] : 158 : icmp_type : 8'h7a + icmp[0] : [1272 : 1279] : 159 : code : 8'hc8 + icmp[0] : [1280 : 1295] : 160 : checksum : 16'h27be (GOOD) + icmp[0] : [1296 : 1327] : 162 : msg_body : 32'ha479b8ff + data[0] : data_len : 15 (data => 70 0f 67 03 ..) toh : pad_len : 0 - toh : [1376 : 1407] : 172 : crc : 32'h4a1f5bea (GOOD) + toh : [1448 : 1479] : 181 : crc32 : 32'hf91b686a (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c 82 55 19 96 c5 75 99 | 5b d2 08 05 88 47 00 00 - pkt_lib : 16 : 0b 55 4e a2 00 9c b4 88 | c0 00 2e 11 d8 f1 ab dd - pkt_lib : 32 : c7 fc 58 ff d3 83 b9 5a | 6a 82 cf a6 3a 51 23 55 - pkt_lib : 48 : 49 5b 04 d8 74 90 05 17 | f7 33 09 59 d1 68 a5 73 - pkt_lib : 64 : ed 79 be 25 21 fd 29 f7 | 0c d5 5d 32 10 f5 00 64 - pkt_lib : 80 : b7 58 50 51 a6 32 69 a8 | 36 c1 4f 47 00 54 d1 5f - pkt_lib : 96 : 33 c7 65 01 fa 25 bd 56 | 96 c5 6e bc 71 aa ad f5 - pkt_lib : 112 : 03 70 9a 84 60 13 cb 3f | de e3 2f bf 58 88 ed dc - pkt_lib : 128 : c6 1c b4 f8 8a a7 9b 8b | 23 87 fc c5 d9 82 20 e8 - pkt_lib : 144 : b0 f3 83 ca 5a 8e 4b ab | fc 7a 20 57 97 82 e2 df - pkt_lib : 160 : 33 df e2 28 68 f1 51 0b | c8 aa 8f d3 96 f8 4a 1f - pkt_lib : 176 : 5b ea + pkt_lib : 0 : 73 8d 3c 8c f9 8c c5 4d | 3e 5b 9d 4c 88 47 00 00 + pkt_lib : 16 : 16 d6 02 f2 48 10 00 00 | 14 a4 5f 5d 21 86 4f 4c + pkt_lib : 32 : 00 97 8e 88 40 00 99 11 | d1 e3 d4 77 6c 47 13 62 + pkt_lib : 48 : 87 0e a6 63 33 54 4a 83 | 6f e1 2b 96 1f 5d 7e 3e + pkt_lib : 64 : 75 95 1c 39 d4 ae 3f 7d | 2e f1 f9 7d 65 ea fc 91 + pkt_lib : 80 : a1 2a c4 14 1a bc 9c 69 | f0 d4 ee bb 10 f5 00 5b + pkt_lib : 96 : 0c c0 18 ba 54 22 61 af | 61 07 4d 60 00 4b 4b b6 + pkt_lib : 112 : 0c 92 0c 01 1a be 6c aa | 95 2d 5b 7f 7c 2c 01 0a + pkt_lib : 128 : a3 6c ab 76 19 e9 7d 20 | 3f fb ee 19 84 bc 79 88 + pkt_lib : 144 : 33 38 6e ea d3 2a 2d 28 | 11 a8 11 89 80 d1 7a c8 + pkt_lib : 160 : 27 be a4 79 b8 ff 70 0f | 67 03 ed ba 79 2b ee b8 + pkt_lib : 176 : 8c 4a 04 08 2b f9 1b 68 | 6a pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 178) + pkt_lib : (Total Len = 185) 0 : INFO : TEST : Compare Pkt 5 - cmp_hdr : {eth[0], mpls[0], ipv4[0], udp[0], lisp[0], ipv4[1], icmp[0], data[0]} - toh : plen : 178 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6c82551996c5 - eth[0] : [ 48 : 95] : 6 : sa : 48'h75995bd20805 - eth[0] : [ 96 : 111] : 12 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 112 : 131] : 14 : label[0] : 20'h0 (IPV4 Explicit Null) - mpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h5 - mpls[0] : [ 135 : 135] : 16 : s[0] : 1'b1 - mpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h55 - ipv4[0] : [ 144 : 147] : 18 : version : 4'h4 - ipv4[0] : [ 148 : 151] : 18 : ihl : 4'he - ipv4[0] : [ 152 : 159] : 19 : tos : 8'ha2 - ipv4[0] : [ 160 : 175] : 20 : total_length : 16'h9c - ipv4[0] : [ 176 : 191] : 22 : id : 16'hb488 - ipv4[0] : [ 192 : 192] : 24 : reserved : 1'h1 - ipv4[0] : [ 193 : 193] : 24 : df : 1'h1 - ipv4[0] : [ 194 : 194] : 24 : mf : 1'h0 - ipv4[0] : [ 195 : 207] : 24 : frag_offset : 13'h0 - ipv4[0] : [ 208 : 215] : 26 : ttl : 8'h2e - ipv4[0] : [ 216 : 223] : 27 : protocol : 8'h11 (UDP) - ipv4[0] : [ 224 : 239] : 28 : checksum : 16'hd8f1 (GOOD) - ipv4[0] : [ 240 : 271] : 30 : ip_sa : 32'habddc7fc - ipv4[0] : [ 272 : 303] : 34 : ip_da : 32'h58ffd383 - ipv4[0] : options : Matched :-) Length Rcv => 36 Exp => 36 - udp[0] : [ 592 : 607] : 74 : src_prt : 16'h5d32 - udp[0] : [ 608 : 623] : 76 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 624 : 639] : 78 : length : 16'h64 - udp[0] : [ 640 : 655] : 80 : checksum : 16'hb758 (GOOD) - lisp[0] : [ 656 : 656] : 82 : N : 1'b0 - lisp[0] : [ 657 : 657] : 82 : L : 1'b1 - lisp[0] : [ 658 : 658] : 82 : E : 1'b0 - lisp[0] : [ 659 : 659] : 82 : V : 1'b1 - lisp[0] : [ 660 : 660] : 82 : I : 1'b0 - lisp[0] : [ 661 : 663] : 82 : flags : 3'h0 - lisp[0] : [ 664 : 675] : 83 : src_map_ver : 12'h0 - lisp[0] : [ 676 : 687] : 84 : dst_map_ver : 12'h0 - lisp[0] : [ 688 : 719] : 86 : lsb : 32'h69a836c1 - ipv4[1] : [ 720 : 723] : 90 : version : 4'h4 - ipv4[1] : [ 724 : 727] : 90 : ihl : 4'hf - ipv4[1] : [ 728 : 735] : 91 : tos : 8'h47 - ipv4[1] : [ 736 : 751] : 92 : total_length : 16'h54 - ipv4[1] : [ 752 : 767] : 94 : id : 16'hd15f - ipv4[1] : [ 768 : 768] : 96 : reserved : 1'h0 - ipv4[1] : [ 769 : 769] : 96 : df : 1'h0 - ipv4[1] : [ 770 : 770] : 96 : mf : 1'h1 - ipv4[1] : [ 771 : 783] : 96 : frag_offset : 13'h13c7 - ipv4[1] : [ 784 : 791] : 98 : ttl : 8'h65 - ipv4[1] : [ 792 : 799] : 99 : protocol : 8'h1 (ICMP) - ipv4[1] : [ 800 : 815] : 100 : checksum : 16'hfa25 (GOOD) - ipv4[1] : [ 816 : 847] : 102 : ip_sa : 32'hbd5696c5 - ipv4[1] : [ 848 : 879] : 106 : ip_da : 32'h6ebc71aa - ipv4[1] : options : Matched :-) Length Rcv => 40 Exp => 40 - icmp[0] : [1200 : 1207] : 150 : icmp_type : 8'h4b - icmp[0] : [1208 : 1215] : 151 : code : 8'hab - icmp[0] : [1216 : 1231] : 152 : checksum : 16'hfc7a (GOOD) - icmp[0] : [1232 : 1247] : 154 : msg_body : 16'h20579782 - data[0] : data_len : 16 (data => e2 df 33 df ..) - toh : pad_len : 0 - toh : [1376 : 1407] : 172 : crc : 32'h4a1f5bea (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 178 Exp => 178 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 185 Exp => 185 0 : INFO : TEST : Pack Pkt 6 - cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} - toh : plen : 224 + cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} (IEEE802) + toh : plen : 127 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9885099557ec - eth[0] : [ 48 : 95] : 6 : sa : 48'hc8f73d2c36f3 + eth[0] : [ 0 : 47] : 0 : da : 48'h7d3bc2d579ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h2a92d43fbfe0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h139 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9dd dot1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 - trill[0] : [ 148 : 148] : 18 : M : 1'h0 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h1c - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h25 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1d86 - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'ha709 - trill[0] : : 24 : options : (Total Len = 112) + trill[0] : [ 148 : 148] : 18 : M : 1'h1 + trill[0] : [ 149 : 153] : 18 : op_length : 5'h3 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h22 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'hfb69 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hfc11 + trill[0] : : 24 : options : (Total Len = 12) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : d8 66 10 e1 ae eb ca 82 | 43 d2 50 ed 48 31 d9 73 - trill[0] : 16 : 5c ae 80 4a 6a bc 2f 30 | 80 82 11 d7 13 fd 71 06 - trill[0] : 32 : 10 d3 ef db b3 35 f1 35 | 1b 91 14 e4 1b 65 e2 ec - trill[0] : 48 : 58 3c 9c a8 ee f4 61 2d | 66 57 3f b6 d0 d0 bd 93 - trill[0] : 64 : 04 6b 4b a1 04 8c 06 e7 | 2f 9d b5 33 e4 35 76 3b - trill[0] : 80 : c8 fb 63 a4 ee eb 06 17 | 01 15 1f f9 ad fa 3f 7c - trill[0] : 96 : 3c 6a bf 05 35 7f 41 42 | cb fc 0c ec c5 b5 95 81 + trill[0] : 0 : d0 1a 4a 1f 84 05 99 4b | 0c 09 20 d4 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [1088 : 1135] : 136 : da : 48'h83f3d7f287e7 - eth[1] : [1136 : 1183] : 142 : sa : 48'h528fdf6aac13 - eth[1] : [1184 : 1199] : 148 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [1200 : 1202] : 150 : cos : 3'h0 - dot1q[1] : [1203 : 1203] : 150 : cfi : 1'h1 - dot1q[1] : [1204 : 1215] : 150 : vlan : 12'hcf3 - dot1q[1] : [1216 : 1231] : 152 : etype : 16'h86dd (IPV6) - ipv6[0] : [1232 : 1235] : 154 : version : 4'h6 - ipv6[0] : [1236 : 1243] : 154 : tos : 8'hd7 - ipv6[0] : [1244 : 1263] : 155 : flow_label : 20'h9edac - ipv6[0] : [1264 : 1279] : 158 : payload_len : 16'h1a - ipv6[0] : [1280 : 1287] : 160 : protocol : 8'h2f (GRE) - ipv6[0] : [1288 : 1295] : 161 : ttl : 8'h20 - ipv6[0] : [1296 : 1423] : 162 : ip6_sa : 128'h8130641921189bb3062ab9080b2071ff - ipv6[0] : [1424 : 1551] : 178 : ip6_da : 128'hb27e0057187b901f632ebdd341404458 - gre[0] : [1552 : 1552] : 194 : C : 1'b1 - gre[0] : [1553 : 1553] : 194 : R : 1'b0 - gre[0] : [1554 : 1554] : 194 : K : 1'b1 - gre[0] : [1555 : 1555] : 194 : S : 1'b1 - gre[0] : [1556 : 1556] : 194 : s : 1'b1 - gre[0] : [1557 : 1559] : 194 : recur : 3'h0 - gre[0] : [1560 : 1560] : 195 : A : 1'b0 - gre[0] : [1561 : 1564] : 195 : flags : 4'h0 - gre[0] : [1565 : 1567] : 195 : version : 3'h0 - gre[0] : [1568 : 1583] : 196 : protocol : 16'h1f67 (UNKNOWN) - gre[0] : [1584 : 1599] : 198 : checksum : 16'h696a (GOOD) - gre[0] : [1600 : 1615] : 200 : offset : 16'h9c4c - gre[0] : [1616 : 1647] : 202 : key : 32'h39ad0a98 - gre[0] : [1648 : 1679] : 206 : sequence_number : 32'he940f984 - data[0] : data_len : 10 (data => 28 7b 9f f8 ..) + eth[1] : [ 288 : 335] : 36 : da : 48'hde0395ec5518 + eth[1] : [ 336 : 383] : 42 : sa : 48'h6436deda26c8 + eth[1] : [ 384 : 399] : 48 : etype : 16'h8100 (DOT1Q) + dot1q[1] : [ 400 : 402] : 50 : cos : 3'h0 + dot1q[1] : [ 403 : 403] : 50 : cfi : 1'h1 + dot1q[1] : [ 404 : 415] : 50 : vlan : 12'hbf7 + dot1q[1] : [ 416 : 431] : 52 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 432 : 435] : 54 : version : 4'h6 + ipv6[0] : [ 436 : 443] : 54 : tos : 8'he2 + ipv6[0] : [ 444 : 463] : 55 : flow_label : 20'h4e425 + ipv6[0] : [ 464 : 479] : 58 : payload_len : 16'h1d + ipv6[0] : [ 480 : 487] : 60 : protocol : 8'h2f (GRE) + ipv6[0] : [ 488 : 495] : 61 : ttl : 8'h36 + ipv6[0] : [ 496 : 623] : 62 : ip6_sa : 128'h2637d28149b1fdead145ef800c20f47b + ipv6[0] : [ 624 : 751] : 78 : ip6_da : 128'h38632003edc6369587c5d989f2e71d46 + gre[0] : [ 752 : 752] : 94 : C : 1'b0 + gre[0] : [ 753 : 753] : 94 : R : 1'b1 + gre[0] : [ 754 : 754] : 94 : K : 1'b0 + gre[0] : [ 755 : 755] : 94 : S : 1'b1 + gre[0] : [ 756 : 756] : 94 : s : 1'b1 + gre[0] : [ 757 : 759] : 94 : recur : 3'h0 + gre[0] : [ 760 : 760] : 95 : A : 1'b0 + gre[0] : [ 761 : 764] : 95 : flags : 4'h0 + gre[0] : [ 765 : 767] : 95 : version : 3'h0 + gre[0] : [ 768 : 783] : 96 : protocol : 16'h8953 (UNKNOWN) + gre[0] : [ 784 : 799] : 98 : checksum : 16'hd190 (GOOD) + gre[0] : [ 800 : 815] : 100 : offset : 16'h37d2 + gre[0] : [ 816 : 847] : 102 : sequence_number : 32'h14e7a720 + data[0] : data_len : 17 (data => 22 90 e0 46 ..) toh : pad_len : 0 - toh : [1760 : 1791] : 220 : crc : 32'h93985cd5 (GOOD) + toh : [ 984 : 1015] : 123 : crc32 : 32'hebd8df37 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 98 85 09 95 57 ec c8 f7 | 3d 2c 36 f3 81 00 c1 39 - pkt_lib : 16 : 22 f3 07 25 1d 86 a7 09 | d8 66 10 e1 ae eb ca 82 - pkt_lib : 32 : 43 d2 50 ed 48 31 d9 73 | 5c ae 80 4a 6a bc 2f 30 - pkt_lib : 48 : 80 82 11 d7 13 fd 71 06 | 10 d3 ef db b3 35 f1 35 - pkt_lib : 64 : 1b 91 14 e4 1b 65 e2 ec | 58 3c 9c a8 ee f4 61 2d - pkt_lib : 80 : 66 57 3f b6 d0 d0 bd 93 | 04 6b 4b a1 04 8c 06 e7 - pkt_lib : 96 : 2f 9d b5 33 e4 35 76 3b | c8 fb 63 a4 ee eb 06 17 - pkt_lib : 112 : 01 15 1f f9 ad fa 3f 7c | 3c 6a bf 05 35 7f 41 42 - pkt_lib : 128 : cb fc 0c ec c5 b5 95 81 | 83 f3 d7 f2 87 e7 52 8f - pkt_lib : 144 : df 6a ac 13 81 00 1c f3 | 86 dd 6d 79 ed ac 00 1a - pkt_lib : 160 : 2f 20 81 30 64 19 21 18 | 9b b3 06 2a b9 08 0b 20 - pkt_lib : 176 : 71 ff b2 7e 00 57 18 7b | 90 1f 63 2e bd d3 41 40 - pkt_lib : 192 : 44 58 b8 00 1f 67 69 6a | 9c 4c 39 ad 0a 98 e9 40 - pkt_lib : 208 : f9 84 28 7b 9f f8 61 9b | ba 75 17 51 93 98 5c d5 + pkt_lib : 0 : 7d 3b c2 d5 79 ab 2a 92 | d4 3f bf e0 81 00 a9 dd + pkt_lib : 16 : 22 f3 08 e2 fb 69 fc 11 | d0 1a 4a 1f 84 05 99 4b + pkt_lib : 32 : 0c 09 20 d4 de 03 95 ec | 55 18 64 36 de da 26 c8 + pkt_lib : 48 : 81 00 1b f7 86 dd 6e 24 | e4 25 00 1d 2f 36 26 37 + pkt_lib : 64 : d2 81 49 b1 fd ea d1 45 | ef 80 0c 20 f4 7b 38 63 + pkt_lib : 80 : 20 03 ed c6 36 95 87 c5 | d9 89 f2 e7 1d 46 58 00 + pkt_lib : 96 : 89 53 d1 90 37 d2 14 e7 | a7 20 22 90 e0 46 15 0c + pkt_lib : 112 : 71 6a 11 69 9e b2 8c 3d | 8f 9a 04 eb d8 df 37 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 224) + pkt_lib : (Total Len = 127) 0 : INFO : TEST : Unpack Pkt 6 - cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} - toh : plen : 224 + cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} (IEEE802) + toh : plen : 127 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9885099557ec - eth[0] : [ 48 : 95] : 6 : sa : 48'hc8f73d2c36f3 + eth[0] : [ 0 : 47] : 0 : da : 48'h7d3bc2d579ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h2a92d43fbfe0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h139 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9dd dot1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 - trill[0] : [ 148 : 148] : 18 : M : 1'h0 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h1c - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h25 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1d86 - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'ha709 - trill[0] : : 24 : options : (Total Len = 112) + trill[0] : [ 148 : 148] : 18 : M : 1'h1 + trill[0] : [ 149 : 153] : 18 : op_length : 5'h3 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h22 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'hfb69 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hfc11 + trill[0] : : 24 : options : (Total Len = 12) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : d8 66 10 e1 ae eb ca 82 | 43 d2 50 ed 48 31 d9 73 - trill[0] : 16 : 5c ae 80 4a 6a bc 2f 30 | 80 82 11 d7 13 fd 71 06 - trill[0] : 32 : 10 d3 ef db b3 35 f1 35 | 1b 91 14 e4 1b 65 e2 ec - trill[0] : 48 : 58 3c 9c a8 ee f4 61 2d | 66 57 3f b6 d0 d0 bd 93 - trill[0] : 64 : 04 6b 4b a1 04 8c 06 e7 | 2f 9d b5 33 e4 35 76 3b - trill[0] : 80 : c8 fb 63 a4 ee eb 06 17 | 01 15 1f f9 ad fa 3f 7c - trill[0] : 96 : 3c 6a bf 05 35 7f 41 42 | cb fc 0c ec c5 b5 95 81 + trill[0] : 0 : d0 1a 4a 1f 84 05 99 4b | 0c 09 20 d4 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [1088 : 1135] : 136 : da : 48'h83f3d7f287e7 - eth[1] : [1136 : 1183] : 142 : sa : 48'h528fdf6aac13 - eth[1] : [1184 : 1199] : 148 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [1200 : 1202] : 150 : cos : 3'h0 - dot1q[1] : [1203 : 1203] : 150 : cfi : 1'h1 - dot1q[1] : [1204 : 1215] : 150 : vlan : 12'hcf3 - dot1q[1] : [1216 : 1231] : 152 : etype : 16'h86dd (IPV6) - ipv6[0] : [1232 : 1235] : 154 : version : 4'h6 - ipv6[0] : [1236 : 1243] : 154 : tos : 8'hd7 - ipv6[0] : [1244 : 1263] : 155 : flow_label : 20'h9edac - ipv6[0] : [1264 : 1279] : 158 : payload_len : 16'h1a - ipv6[0] : [1280 : 1287] : 160 : protocol : 8'h2f (GRE) - ipv6[0] : [1288 : 1295] : 161 : ttl : 8'h20 - ipv6[0] : [1296 : 1423] : 162 : ip6_sa : 128'h8130641921189bb3062ab9080b2071ff - ipv6[0] : [1424 : 1551] : 178 : ip6_da : 128'hb27e0057187b901f632ebdd341404458 - gre[0] : [1552 : 1552] : 194 : C : 1'b1 - gre[0] : [1553 : 1553] : 194 : R : 1'b0 - gre[0] : [1554 : 1554] : 194 : K : 1'b1 - gre[0] : [1555 : 1555] : 194 : S : 1'b1 - gre[0] : [1556 : 1556] : 194 : s : 1'b1 - gre[0] : [1557 : 1559] : 194 : recur : 3'h0 - gre[0] : [1560 : 1560] : 195 : A : 1'b0 - gre[0] : [1561 : 1564] : 195 : flags : 4'h0 - gre[0] : [1565 : 1567] : 195 : version : 3'h0 - gre[0] : [1568 : 1583] : 196 : protocol : 16'h1f67 (UNKNOWN) - gre[0] : [1584 : 1599] : 198 : checksum : 16'h696a (GOOD) - gre[0] : [1600 : 1615] : 200 : offset : 16'h9c4c - gre[0] : [1616 : 1647] : 202 : key : 32'h39ad0a98 - gre[0] : [1648 : 1679] : 206 : sequence_number : 32'he940f984 - data[0] : data_len : 10 (data => 28 7b 9f f8 ..) + eth[1] : [ 288 : 335] : 36 : da : 48'hde0395ec5518 + eth[1] : [ 336 : 383] : 42 : sa : 48'h6436deda26c8 + eth[1] : [ 384 : 399] : 48 : etype : 16'h8100 (DOT1Q) + dot1q[1] : [ 400 : 402] : 50 : cos : 3'h0 + dot1q[1] : [ 403 : 403] : 50 : cfi : 1'h1 + dot1q[1] : [ 404 : 415] : 50 : vlan : 12'hbf7 + dot1q[1] : [ 416 : 431] : 52 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 432 : 435] : 54 : version : 4'h6 + ipv6[0] : [ 436 : 443] : 54 : tos : 8'he2 + ipv6[0] : [ 444 : 463] : 55 : flow_label : 20'h4e425 + ipv6[0] : [ 464 : 479] : 58 : payload_len : 16'h1d + ipv6[0] : [ 480 : 487] : 60 : protocol : 8'h2f (GRE) + ipv6[0] : [ 488 : 495] : 61 : ttl : 8'h36 + ipv6[0] : [ 496 : 623] : 62 : ip6_sa : 128'h2637d28149b1fdead145ef800c20f47b + ipv6[0] : [ 624 : 751] : 78 : ip6_da : 128'h38632003edc6369587c5d989f2e71d46 + gre[0] : [ 752 : 752] : 94 : C : 1'b0 + gre[0] : [ 753 : 753] : 94 : R : 1'b1 + gre[0] : [ 754 : 754] : 94 : K : 1'b0 + gre[0] : [ 755 : 755] : 94 : S : 1'b1 + gre[0] : [ 756 : 756] : 94 : s : 1'b1 + gre[0] : [ 757 : 759] : 94 : recur : 3'h0 + gre[0] : [ 760 : 760] : 95 : A : 1'b0 + gre[0] : [ 761 : 764] : 95 : flags : 4'h0 + gre[0] : [ 765 : 767] : 95 : version : 3'h0 + gre[0] : [ 768 : 783] : 96 : protocol : 16'h8953 (UNKNOWN) + gre[0] : [ 784 : 799] : 98 : checksum : 16'hd190 (GOOD) + gre[0] : [ 800 : 815] : 100 : offset : 16'h37d2 + gre[0] : [ 816 : 847] : 102 : sequence_number : 32'h14e7a720 + data[0] : data_len : 17 (data => 22 90 e0 46 ..) toh : pad_len : 0 - toh : [1760 : 1791] : 220 : crc : 32'h93985cd5 (GOOD) + toh : [ 984 : 1015] : 123 : crc32 : 32'hebd8df37 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 98 85 09 95 57 ec c8 f7 | 3d 2c 36 f3 81 00 c1 39 - pkt_lib : 16 : 22 f3 07 25 1d 86 a7 09 | d8 66 10 e1 ae eb ca 82 - pkt_lib : 32 : 43 d2 50 ed 48 31 d9 73 | 5c ae 80 4a 6a bc 2f 30 - pkt_lib : 48 : 80 82 11 d7 13 fd 71 06 | 10 d3 ef db b3 35 f1 35 - pkt_lib : 64 : 1b 91 14 e4 1b 65 e2 ec | 58 3c 9c a8 ee f4 61 2d - pkt_lib : 80 : 66 57 3f b6 d0 d0 bd 93 | 04 6b 4b a1 04 8c 06 e7 - pkt_lib : 96 : 2f 9d b5 33 e4 35 76 3b | c8 fb 63 a4 ee eb 06 17 - pkt_lib : 112 : 01 15 1f f9 ad fa 3f 7c | 3c 6a bf 05 35 7f 41 42 - pkt_lib : 128 : cb fc 0c ec c5 b5 95 81 | 83 f3 d7 f2 87 e7 52 8f - pkt_lib : 144 : df 6a ac 13 81 00 1c f3 | 86 dd 6d 79 ed ac 00 1a - pkt_lib : 160 : 2f 20 81 30 64 19 21 18 | 9b b3 06 2a b9 08 0b 20 - pkt_lib : 176 : 71 ff b2 7e 00 57 18 7b | 90 1f 63 2e bd d3 41 40 - pkt_lib : 192 : 44 58 b8 00 1f 67 69 6a | 9c 4c 39 ad 0a 98 e9 40 - pkt_lib : 208 : f9 84 28 7b 9f f8 61 9b | ba 75 17 51 93 98 5c d5 + pkt_lib : 0 : 7d 3b c2 d5 79 ab 2a 92 | d4 3f bf e0 81 00 a9 dd + pkt_lib : 16 : 22 f3 08 e2 fb 69 fc 11 | d0 1a 4a 1f 84 05 99 4b + pkt_lib : 32 : 0c 09 20 d4 de 03 95 ec | 55 18 64 36 de da 26 c8 + pkt_lib : 48 : 81 00 1b f7 86 dd 6e 24 | e4 25 00 1d 2f 36 26 37 + pkt_lib : 64 : d2 81 49 b1 fd ea d1 45 | ef 80 0c 20 f4 7b 38 63 + pkt_lib : 80 : 20 03 ed c6 36 95 87 c5 | d9 89 f2 e7 1d 46 58 00 + pkt_lib : 96 : 89 53 d1 90 37 d2 14 e7 | a7 20 22 90 e0 46 15 0c + pkt_lib : 112 : 71 6a 11 69 9e b2 8c 3d | 8f 9a 04 eb d8 df 37 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 224) + pkt_lib : (Total Len = 127) 0 : INFO : TEST : Copy Pkt 6 - cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} - toh : plen : 224 + cfg_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} (IEEE802) + toh : plen : 127 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9885099557ec - eth[0] : [ 48 : 95] : 6 : sa : 48'hc8f73d2c36f3 + eth[0] : [ 0 : 47] : 0 : da : 48'h7d3bc2d579ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h2a92d43fbfe0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h139 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9dd dot1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 - trill[0] : [ 148 : 148] : 18 : M : 1'h0 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h1c - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h25 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1d86 - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'ha709 - trill[0] : : 24 : options : (Total Len = 112) + trill[0] : [ 148 : 148] : 18 : M : 1'h1 + trill[0] : [ 149 : 153] : 18 : op_length : 5'h3 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h22 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'hfb69 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hfc11 + trill[0] : : 24 : options : (Total Len = 12) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : d8 66 10 e1 ae eb ca 82 | 43 d2 50 ed 48 31 d9 73 - trill[0] : 16 : 5c ae 80 4a 6a bc 2f 30 | 80 82 11 d7 13 fd 71 06 - trill[0] : 32 : 10 d3 ef db b3 35 f1 35 | 1b 91 14 e4 1b 65 e2 ec - trill[0] : 48 : 58 3c 9c a8 ee f4 61 2d | 66 57 3f b6 d0 d0 bd 93 - trill[0] : 64 : 04 6b 4b a1 04 8c 06 e7 | 2f 9d b5 33 e4 35 76 3b - trill[0] : 80 : c8 fb 63 a4 ee eb 06 17 | 01 15 1f f9 ad fa 3f 7c - trill[0] : 96 : 3c 6a bf 05 35 7f 41 42 | cb fc 0c ec c5 b5 95 81 + trill[0] : 0 : d0 1a 4a 1f 84 05 99 4b | 0c 09 20 d4 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [1088 : 1135] : 136 : da : 48'h83f3d7f287e7 - eth[1] : [1136 : 1183] : 142 : sa : 48'h528fdf6aac13 - eth[1] : [1184 : 1199] : 148 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [1200 : 1202] : 150 : cos : 3'h0 - dot1q[1] : [1203 : 1203] : 150 : cfi : 1'h1 - dot1q[1] : [1204 : 1215] : 150 : vlan : 12'hcf3 - dot1q[1] : [1216 : 1231] : 152 : etype : 16'h86dd (IPV6) - ipv6[0] : [1232 : 1235] : 154 : version : 4'h6 - ipv6[0] : [1236 : 1243] : 154 : tos : 8'hd7 - ipv6[0] : [1244 : 1263] : 155 : flow_label : 20'h9edac - ipv6[0] : [1264 : 1279] : 158 : payload_len : 16'h1a - ipv6[0] : [1280 : 1287] : 160 : protocol : 8'h2f (GRE) - ipv6[0] : [1288 : 1295] : 161 : ttl : 8'h20 - ipv6[0] : [1296 : 1423] : 162 : ip6_sa : 128'h8130641921189bb3062ab9080b2071ff - ipv6[0] : [1424 : 1551] : 178 : ip6_da : 128'hb27e0057187b901f632ebdd341404458 - gre[0] : [1552 : 1552] : 194 : C : 1'b1 - gre[0] : [1553 : 1553] : 194 : R : 1'b0 - gre[0] : [1554 : 1554] : 194 : K : 1'b1 - gre[0] : [1555 : 1555] : 194 : S : 1'b1 - gre[0] : [1556 : 1556] : 194 : s : 1'b1 - gre[0] : [1557 : 1559] : 194 : recur : 3'h0 - gre[0] : [1560 : 1560] : 195 : A : 1'b0 - gre[0] : [1561 : 1564] : 195 : flags : 4'h0 - gre[0] : [1565 : 1567] : 195 : version : 3'h0 - gre[0] : [1568 : 1583] : 196 : protocol : 16'h1f67 (UNKNOWN) - gre[0] : [1584 : 1599] : 198 : checksum : 16'h696a (GOOD) - gre[0] : [1600 : 1615] : 200 : offset : 16'h9c4c - gre[0] : [1616 : 1647] : 202 : key : 32'h39ad0a98 - gre[0] : [1648 : 1679] : 206 : sequence_number : 32'he940f984 - data[0] : data_len : 10 (data => 28 7b 9f f8 ..) + eth[1] : [ 288 : 335] : 36 : da : 48'hde0395ec5518 + eth[1] : [ 336 : 383] : 42 : sa : 48'h6436deda26c8 + eth[1] : [ 384 : 399] : 48 : etype : 16'h8100 (DOT1Q) + dot1q[1] : [ 400 : 402] : 50 : cos : 3'h0 + dot1q[1] : [ 403 : 403] : 50 : cfi : 1'h1 + dot1q[1] : [ 404 : 415] : 50 : vlan : 12'hbf7 + dot1q[1] : [ 416 : 431] : 52 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 432 : 435] : 54 : version : 4'h6 + ipv6[0] : [ 436 : 443] : 54 : tos : 8'he2 + ipv6[0] : [ 444 : 463] : 55 : flow_label : 20'h4e425 + ipv6[0] : [ 464 : 479] : 58 : payload_len : 16'h1d + ipv6[0] : [ 480 : 487] : 60 : protocol : 8'h2f (GRE) + ipv6[0] : [ 488 : 495] : 61 : ttl : 8'h36 + ipv6[0] : [ 496 : 623] : 62 : ip6_sa : 128'h2637d28149b1fdead145ef800c20f47b + ipv6[0] : [ 624 : 751] : 78 : ip6_da : 128'h38632003edc6369587c5d989f2e71d46 + gre[0] : [ 752 : 752] : 94 : C : 1'b0 + gre[0] : [ 753 : 753] : 94 : R : 1'b1 + gre[0] : [ 754 : 754] : 94 : K : 1'b0 + gre[0] : [ 755 : 755] : 94 : S : 1'b1 + gre[0] : [ 756 : 756] : 94 : s : 1'b1 + gre[0] : [ 757 : 759] : 94 : recur : 3'h0 + gre[0] : [ 760 : 760] : 95 : A : 1'b0 + gre[0] : [ 761 : 764] : 95 : flags : 4'h0 + gre[0] : [ 765 : 767] : 95 : version : 3'h0 + gre[0] : [ 768 : 783] : 96 : protocol : 16'h8953 (UNKNOWN) + gre[0] : [ 784 : 799] : 98 : checksum : 16'hd190 (GOOD) + gre[0] : [ 800 : 815] : 100 : offset : 16'h37d2 + gre[0] : [ 816 : 847] : 102 : sequence_number : 32'h14e7a720 + data[0] : data_len : 17 (data => 22 90 e0 46 ..) toh : pad_len : 0 - toh : [1760 : 1791] : 220 : crc : 32'h93985cd5 (GOOD) + toh : [ 984 : 1015] : 123 : crc32 : 32'hebd8df37 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 98 85 09 95 57 ec c8 f7 | 3d 2c 36 f3 81 00 c1 39 - pkt_lib : 16 : 22 f3 07 25 1d 86 a7 09 | d8 66 10 e1 ae eb ca 82 - pkt_lib : 32 : 43 d2 50 ed 48 31 d9 73 | 5c ae 80 4a 6a bc 2f 30 - pkt_lib : 48 : 80 82 11 d7 13 fd 71 06 | 10 d3 ef db b3 35 f1 35 - pkt_lib : 64 : 1b 91 14 e4 1b 65 e2 ec | 58 3c 9c a8 ee f4 61 2d - pkt_lib : 80 : 66 57 3f b6 d0 d0 bd 93 | 04 6b 4b a1 04 8c 06 e7 - pkt_lib : 96 : 2f 9d b5 33 e4 35 76 3b | c8 fb 63 a4 ee eb 06 17 - pkt_lib : 112 : 01 15 1f f9 ad fa 3f 7c | 3c 6a bf 05 35 7f 41 42 - pkt_lib : 128 : cb fc 0c ec c5 b5 95 81 | 83 f3 d7 f2 87 e7 52 8f - pkt_lib : 144 : df 6a ac 13 81 00 1c f3 | 86 dd 6d 79 ed ac 00 1a - pkt_lib : 160 : 2f 20 81 30 64 19 21 18 | 9b b3 06 2a b9 08 0b 20 - pkt_lib : 176 : 71 ff b2 7e 00 57 18 7b | 90 1f 63 2e bd d3 41 40 - pkt_lib : 192 : 44 58 b8 00 1f 67 69 6a | 9c 4c 39 ad 0a 98 e9 40 - pkt_lib : 208 : f9 84 28 7b 9f f8 61 9b | ba 75 17 51 93 98 5c d5 + pkt_lib : 0 : 7d 3b c2 d5 79 ab 2a 92 | d4 3f bf e0 81 00 a9 dd + pkt_lib : 16 : 22 f3 08 e2 fb 69 fc 11 | d0 1a 4a 1f 84 05 99 4b + pkt_lib : 32 : 0c 09 20 d4 de 03 95 ec | 55 18 64 36 de da 26 c8 + pkt_lib : 48 : 81 00 1b f7 86 dd 6e 24 | e4 25 00 1d 2f 36 26 37 + pkt_lib : 64 : d2 81 49 b1 fd ea d1 45 | ef 80 0c 20 f4 7b 38 63 + pkt_lib : 80 : 20 03 ed c6 36 95 87 c5 | d9 89 f2 e7 1d 46 58 00 + pkt_lib : 96 : 89 53 d1 90 37 d2 14 e7 | a7 20 22 90 e0 46 15 0c + pkt_lib : 112 : 71 6a 11 69 9e b2 8c 3d | 8f 9a 04 eb d8 df 37 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 224) + pkt_lib : (Total Len = 127) 0 : INFO : TEST : Compare Pkt 6 - cmp_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} - toh : plen : 224 +0 : ERROR : pkt_lib : Pkt Miscompares :-( Length Rcv => 127 Exp => 127 + pkt_lib : ~~~~~~~~~ RCV ~~~~~~~~~~|~~~~~~~~~ EXP ~~~~~~~~~~ + pkt_lib : 0 1 2 3 4 5 6 7 | 0 1 2 3 4 5 6 7 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 7d 3b c2 d5 79 ab 2a 92 | .. .. .. .. .. .. .. .. + pkt_lib : 8 : d4 3f bf e0 81 00 a9 dd | .. .. .. .. .. .. .. .. + pkt_lib : 16 : 22 f3 08 e2 fb 69 fc 11 | .. .. .. .. .. .. 81 .. + pkt_lib : 24 : d0 1a 4a 1f 84 05 99 4b | .. .. .. .. .. .. .. .. + pkt_lib : 32 : 0c 09 20 d4 de 03 95 ec | .. .. .. .. .. .. .. .. + pkt_lib : 40 : 55 18 64 36 de da 26 c8 | .. .. .. .. .. .. .. .. + pkt_lib : 48 : 81 00 1b f7 86 dd 6e 24 | .. .. .. .. .. .. .. .. + pkt_lib : 56 : e4 25 00 1d 2f 36 26 37 | .. .. .. .. .. .. .. .. + pkt_lib : 64 : d2 81 49 b1 fd ea d1 45 | .. .. .. .. .. .. .. .. + pkt_lib : 72 : ef 80 0c 20 f4 7b 38 63 | .. .. .. .. .. .. .. .. + pkt_lib : 80 : 20 03 ed c6 36 95 87 c5 | .. .. .. .. .. .. .. .. + pkt_lib : 88 : d9 89 f2 e7 1d 46 58 00 | .. .. .. .. .. .. .. .. + pkt_lib : 96 : 89 53 d1 90 37 d2 14 e7 | .. .. .. .. .. .. .. .. + pkt_lib : 104 : a7 20 22 90 e0 46 15 0c | .. .. .. .. .. .. .. .. + pkt_lib : 112 : 71 6a 11 69 9e b2 8c 3d | .. .. .. .. .. .. .. .. + pkt_lib : 120 : 8f 9a 04 eb d8 df 37 | .. .. .. .. .. .. .. + cmp_hdr : {eth[0], dot1q[0], trill[0], eth[1], dot1q[1], ipv6[0], gre[0], data[0]} (IEEE802) + toh : plen : 127 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9885099557ec - eth[0] : [ 48 : 95] : 6 : sa : 48'hc8f73d2c36f3 + eth[0] : [ 0 : 47] : 0 : da : 48'h7d3bc2d579ab + eth[0] : [ 48 : 95] : 6 : sa : 48'h2a92d43fbfe0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h6 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h139 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9dd dot1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 - trill[0] : [ 148 : 148] : 18 : M : 1'h0 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h1c - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h25 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1d86 - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'ha709 != 16'h8109 (ERROR) - trill[0] : options : Matched :-) Length Rcv => 112 Exp => 112 - eth[1] : [1088 : 1135] : 136 : da : 48'h83f3d7f287e7 - eth[1] : [1136 : 1183] : 142 : sa : 48'h528fdf6aac13 - eth[1] : [1184 : 1199] : 148 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [1200 : 1202] : 150 : cos : 3'h0 - dot1q[1] : [1203 : 1203] : 150 : cfi : 1'h1 - dot1q[1] : [1204 : 1215] : 150 : vlan : 12'hcf3 - dot1q[1] : [1216 : 1231] : 152 : etype : 16'h86dd (IPV6) - ipv6[0] : [1232 : 1235] : 154 : version : 4'h6 - ipv6[0] : [1236 : 1243] : 154 : tos : 8'hd7 - ipv6[0] : [1244 : 1263] : 155 : flow_label : 20'h9edac - ipv6[0] : [1264 : 1279] : 158 : payload_len : 16'h1a - ipv6[0] : [1280 : 1287] : 160 : protocol : 8'h2f (GRE) - ipv6[0] : [1288 : 1295] : 161 : ttl : 8'h20 - ipv6[0] : [1296 : 1423] : 162 : ip6_sa : 128'h8130641921189bb3062ab9080b2071ff - ipv6[0] : [1424 : 1551] : 178 : ip6_da : 128'hb27e0057187b901f632ebdd341404458 - gre[0] : [1552 : 1552] : 194 : C : 1'b1 - gre[0] : [1553 : 1553] : 194 : R : 1'b0 - gre[0] : [1554 : 1554] : 194 : K : 1'b1 - gre[0] : [1555 : 1555] : 194 : S : 1'b1 - gre[0] : [1556 : 1556] : 194 : s : 1'b1 - gre[0] : [1557 : 1559] : 194 : recur : 3'h0 - gre[0] : [1560 : 1560] : 195 : A : 1'b0 - gre[0] : [1561 : 1564] : 195 : flags : 4'h0 - gre[0] : [1565 : 1567] : 195 : version : 3'h0 - gre[0] : [1568 : 1583] : 196 : protocol : 16'h1f67 (UNKNOWN) - gre[0] : [1584 : 1599] : 198 : checksum : 16'h696a (GOOD) - gre[0] : [1600 : 1615] : 200 : offset : 16'h9c4c - gre[0] : [1616 : 1647] : 202 : key : 32'h39ad0a98 - gre[0] : [1648 : 1679] : 206 : sequence_number : 32'he940f984 - data[0] : data_len : 10 (data => 28 7b 9f f8 ..) + trill[0] : [ 148 : 148] : 18 : M : 1'h1 + trill[0] : [ 149 : 153] : 18 : op_length : 5'h3 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h22 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'hfb69 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hfc11 != 16'h8111 (ERROR) + trill[0] : options : Matched :-) Length Rcv => 12 Exp => 12 + eth[1] : [ 288 : 335] : 36 : da : 48'hde0395ec5518 + eth[1] : [ 336 : 383] : 42 : sa : 48'h6436deda26c8 + eth[1] : [ 384 : 399] : 48 : etype : 16'h8100 (DOT1Q) + dot1q[1] : [ 400 : 402] : 50 : cos : 3'h0 + dot1q[1] : [ 403 : 403] : 50 : cfi : 1'h1 + dot1q[1] : [ 404 : 415] : 50 : vlan : 12'hbf7 + dot1q[1] : [ 416 : 431] : 52 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 432 : 435] : 54 : version : 4'h6 + ipv6[0] : [ 436 : 443] : 54 : tos : 8'he2 + ipv6[0] : [ 444 : 463] : 55 : flow_label : 20'h4e425 + ipv6[0] : [ 464 : 479] : 58 : payload_len : 16'h1d + ipv6[0] : [ 480 : 487] : 60 : protocol : 8'h2f (GRE) + ipv6[0] : [ 488 : 495] : 61 : ttl : 8'h36 + ipv6[0] : [ 496 : 623] : 62 : ip6_sa : 128'h2637d28149b1fdead145ef800c20f47b + ipv6[0] : [ 624 : 751] : 78 : ip6_da : 128'h38632003edc6369587c5d989f2e71d46 + gre[0] : [ 752 : 752] : 94 : C : 1'b0 + gre[0] : [ 753 : 753] : 94 : R : 1'b1 + gre[0] : [ 754 : 754] : 94 : K : 1'b0 + gre[0] : [ 755 : 755] : 94 : S : 1'b1 + gre[0] : [ 756 : 756] : 94 : s : 1'b1 + gre[0] : [ 757 : 759] : 94 : recur : 3'h0 + gre[0] : [ 760 : 760] : 95 : A : 1'b0 + gre[0] : [ 761 : 764] : 95 : flags : 4'h0 + gre[0] : [ 765 : 767] : 95 : version : 3'h0 + gre[0] : [ 768 : 783] : 96 : protocol : 16'h8953 (UNKNOWN) + gre[0] : [ 784 : 799] : 98 : checksum : 16'hd190 (GOOD) + gre[0] : [ 800 : 815] : 100 : offset : 16'h37d2 + gre[0] : [ 816 : 847] : 102 : sequence_number : 32'h14e7a720 + data[0] : data_len : 17 (data => 22 90 e0 46 ..) toh : pad_len : 0 - toh : [1760 : 1791] : 220 : crc : 32'h93985cd5 (GOOD) -0 : ERROR : pkt_lib : Pkt Miscompares :-( Length Rcv => 224 Exp => 224 - pkt_lib : ~~~~~~~~~ RCV ~~~~~~~~~~|~~~~~~~~~ EXP ~~~~~~~~~~ - pkt_lib : 0 1 2 3 4 5 6 7 | 0 1 2 3 4 5 6 7 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 98 85 09 95 57 ec c8 f7 | .. .. .. .. .. .. .. .. - pkt_lib : 8 : 3d 2c 36 f3 81 00 c1 39 | .. .. .. .. .. .. .. .. - pkt_lib : 16 : 22 f3 07 25 1d 86 a7 09 | .. .. .. .. .. .. 81 .. - pkt_lib : 24 : d8 66 10 e1 ae eb ca 82 | .. .. .. .. .. .. .. .. - pkt_lib : 32 : 43 d2 50 ed 48 31 d9 73 | .. .. .. .. .. .. .. .. - pkt_lib : 40 : 5c ae 80 4a 6a bc 2f 30 | .. .. .. .. .. .. .. .. - pkt_lib : 48 : 80 82 11 d7 13 fd 71 06 | .. .. .. .. .. .. .. .. - pkt_lib : 56 : 10 d3 ef db b3 35 f1 35 | .. .. .. .. .. .. .. .. - pkt_lib : 64 : 1b 91 14 e4 1b 65 e2 ec | .. .. .. .. .. .. .. .. - pkt_lib : 72 : 58 3c 9c a8 ee f4 61 2d | .. .. .. .. .. .. .. .. - pkt_lib : 80 : 66 57 3f b6 d0 d0 bd 93 | .. .. .. .. .. .. .. .. - pkt_lib : 88 : 04 6b 4b a1 04 8c 06 e7 | .. .. .. .. .. .. .. .. - pkt_lib : 96 : 2f 9d b5 33 e4 35 76 3b | .. .. .. .. .. .. .. .. - pkt_lib : 104 : c8 fb 63 a4 ee eb 06 17 | .. .. .. .. .. .. .. .. - pkt_lib : 112 : 01 15 1f f9 ad fa 3f 7c | .. .. .. .. .. .. .. .. - pkt_lib : 120 : 3c 6a bf 05 35 7f 41 42 | .. .. .. .. .. .. .. .. - pkt_lib : 128 : cb fc 0c ec c5 b5 95 81 | .. .. .. .. .. .. .. .. - pkt_lib : 136 : 83 f3 d7 f2 87 e7 52 8f | .. .. .. .. .. .. .. .. - pkt_lib : 144 : df 6a ac 13 81 00 1c f3 | .. .. .. .. .. .. .. .. - pkt_lib : 152 : 86 dd 6d 79 ed ac 00 1a | .. .. .. .. .. .. .. .. - pkt_lib : 160 : 2f 20 81 30 64 19 21 18 | .. .. .. .. .. .. .. .. - pkt_lib : 168 : 9b b3 06 2a b9 08 0b 20 | .. .. .. .. .. .. .. .. - pkt_lib : 176 : 71 ff b2 7e 00 57 18 7b | .. .. .. .. .. .. .. .. - pkt_lib : 184 : 90 1f 63 2e bd d3 41 40 | .. .. .. .. .. .. .. .. - pkt_lib : 192 : 44 58 b8 00 1f 67 69 6a | .. .. .. .. .. .. .. .. - pkt_lib : 200 : 9c 4c 39 ad 0a 98 e9 40 | .. .. .. .. .. .. .. .. - pkt_lib : 208 : f9 84 28 7b 9f f8 61 9b | .. .. .. .. .. .. .. .. - pkt_lib : 216 : ba 75 17 51 93 98 5c d5 | .. .. .. .. .. .. .. .. + toh : [ 984 : 1015] : 123 : crc32 : 32'hebd8df37 (GOOD) 0 : INFO : TEST : Pack Pkt 7 - cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} - toh : plen : 149 + cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hcde0859e9adc - eth[0] : [ 48 : 95] : 6 : sa : 48'h8b01752669e0 + eth[0] : [ 0 : 47] : 0 : da : 48'h668a47889941 + eth[0] : [ 48 : 95] : 6 : sa : 48'h97811b4ef122 eth[0] : [ 96 : 111] : 12 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'h3 (ETH Explicit Null) - mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h4 + mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'ha8145 (UNKNOWN) + mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 mmpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 - mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h38 - mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'hb6850 (UNKNOWN) - mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h5 + mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h61 + mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'h1 (Router Alert) + mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 mmpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 - mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h19 - mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hfd0d1 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h4 + mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h53 + mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hcb892 (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h1 mmpls[0] : [ 199 : 199] : 24 : s[2] : 1'b1 - mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'h90 - eth[1] : [ 208 : 255] : 26 : da : 48'h36c925aa4742 - eth[1] : [ 256 : 303] : 32 : sa : 48'h18c337a4d02c - eth[1] : [ 304 : 319] : 38 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 320 : 323] : 40 : version : 4'h6 - ipv6[0] : [ 324 : 331] : 40 : tos : 8'h25 - ipv6[0] : [ 332 : 351] : 41 : flow_label : 20'ha5c12 - ipv6[0] : [ 352 : 367] : 44 : payload_len : 16'h41 - ipv6[0] : [ 368 : 375] : 46 : protocol : 8'h11 (UDP) - ipv6[0] : [ 376 : 383] : 47 : ttl : 8'hfe - ipv6[0] : [ 384 : 511] : 48 : ip6_sa : 128'hff4df79901736368f8db36e29af41560 - ipv6[0] : [ 512 : 639] : 64 : ip6_da : 128'hb83e363d69c9b54b4e3d186167bb6d84 - udp[0] : [ 640 : 655] : 80 : src_prt : 16'h3ce1 - udp[0] : [ 656 : 671] : 82 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 672 : 687] : 84 : length : 16'h41 - udp[0] : [ 688 : 703] : 86 : checksum : 16'h554b (GOOD) - lisp[0] : [ 704 : 704] : 88 : N : 1'b1 - lisp[0] : [ 705 : 705] : 88 : L : 1'b1 - lisp[0] : [ 706 : 706] : 88 : E : 1'b1 - lisp[0] : [ 707 : 707] : 88 : V : 1'b0 - lisp[0] : [ 708 : 708] : 88 : I : 1'b1 - lisp[0] : [ 709 : 711] : 88 : flags : 3'h0 - lisp[0] : [ 712 : 735] : 89 : nonce : 24'hc28c5b - lisp[0] : [ 736 : 747] : 92 : dst_map_ver : 12'hbb - lisp[0] : [ 748 : 771] : 93 : instance_id : 24'ha2c59e - lisp[0] : [ 772 : 779] : 96 : lsb : 8'h0 - ipv6[1] : [ 780 : 783] : 97 : version : 4'h6 - ipv6[1] : [ 784 : 791] : 98 : tos : 8'h44 - ipv6[1] : [ 792 : 811] : 99 : flow_label : 20'ha823a - ipv6[1] : [ 812 : 827] : 101 : payload_len : 16'h9 - ipv6[1] : [ 828 : 835] : 103 : protocol : 8'h3a (ICMPV6) - ipv6[1] : [ 836 : 843] : 104 : ttl : 8'hd6 - ipv6[1] : [ 844 : 971] : 105 : ip6_sa : 128'ha15d67e53fac772bfec72b2cdf5c7ea2 - ipv6[1] : [ 972 : 1099] : 121 : ip6_da : 128'h90aac194f8a8560099a1003dda82f08d - icmpv6[0] : [1100 : 1107] : 137 : icmp_type : 8'hf3 - icmpv6[0] : [1108 : 1115] : 138 : code : 8'h58 - icmpv6[0] : [1116 : 1131] : 139 : checksum : 16'he7b4 (GOOD) - icmpv6[0] : [1132 : 1147] : 141 : msg_body : 16'h3c18ceaf - data[0] : data_len : 1 (data => cc) + mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'hff + mmpls[0] : [ 208 : 239] : 26 : eth_ctrl : 32'h565e3c9 + eth[1] : [ 240 : 287] : 30 : da : 48'hd4dfb362b9ab + eth[1] : [ 288 : 335] : 36 : sa : 48'hfdc7f5e8bcb3 + eth[1] : [ 336 : 351] : 42 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 352 : 355] : 44 : version : 4'h6 + ipv6[0] : [ 356 : 363] : 44 : tos : 8'h31 + ipv6[0] : [ 364 : 383] : 45 : flow_label : 20'had7da + ipv6[0] : [ 384 : 399] : 48 : payload_len : 16'h43 + ipv6[0] : [ 400 : 407] : 50 : protocol : 8'h11 (UDP) + ipv6[0] : [ 408 : 415] : 51 : ttl : 8'hfe + ipv6[0] : [ 416 : 543] : 52 : ip6_sa : 128'hc1dc6bd3aea1b23102799986725822f7 + ipv6[0] : [ 544 : 671] : 68 : ip6_da : 128'h864d3b793b057570e8771961074e70db + udp[0] : [ 672 : 687] : 84 : src_prt : 16'hbb80 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 704 : 719] : 88 : length : 16'h43 + udp[0] : [ 720 : 735] : 90 : checksum : 16'h8f3e (GOOD) + lisp[0] : [ 736 : 736] : 92 : N : 1'b0 + lisp[0] : [ 737 : 737] : 92 : L : 1'b0 + lisp[0] : [ 738 : 738] : 92 : E : 1'b1 + lisp[0] : [ 739 : 739] : 92 : V : 1'b0 + lisp[0] : [ 740 : 740] : 92 : I : 1'b1 + lisp[0] : [ 741 : 743] : 92 : flags : 3'h0 + lisp[0] : [ 744 : 767] : 93 : nonce : 24'h1267b9 + lisp[0] : [ 768 : 779] : 96 : dst_map_ver : 12'h8b0 + lisp[0] : [ 780 : 803] : 97 : instance_id : 24'h52ac6f + lisp[0] : [ 804 : 811] : 100 : lsb : 8'h54 + ipv6[1] : [ 812 : 815] : 101 : version : 4'h6 + ipv6[1] : [ 816 : 823] : 102 : tos : 8'hab + ipv6[1] : [ 824 : 843] : 103 : flow_label : 20'h70083 + ipv6[1] : [ 844 : 859] : 105 : payload_len : 16'hb + ipv6[1] : [ 860 : 867] : 107 : protocol : 8'h3a (ICMPV6) + ipv6[1] : [ 868 : 875] : 108 : ttl : 8'hd6 + ipv6[1] : [ 876 : 1003] : 109 : ip6_sa : 128'h1f0c3052659cb947dd14d1759b87e5a9 + ipv6[1] : [1004 : 1131] : 125 : ip6_da : 128'h6025a0e932e8c43c12aaeafae2c2b11d + icmpv6[0] : [1132 : 1139] : 141 : icmp_type : 8'h26 + icmpv6[0] : [1140 : 1147] : 142 : code : 8'he9 + icmpv6[0] : [1148 : 1163] : 143 : checksum : 16'h534f (GOOD) + icmpv6[0] : [1164 : 1195] : 145 : msg_body : 32'h87597ba9 + data[0] : data_len : 3 (data => 08 c7 52) toh : pad_len : 0 - toh : [1156 : 1187] : 144 : crc : 32'h976a2348 (GOOD) + toh : [1220 : 1251] : 152 : crc32 : 32'h34f7cfc2 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : cd e0 85 9e 9a dc 8b 01 | 75 26 69 e0 88 48 00 00 - pkt_lib : 16 : 38 38 b6 85 0a 19 fd 0d | 19 90 36 c9 25 aa 47 42 - pkt_lib : 32 : 18 c3 37 a4 d0 2c 86 dd | 62 5a 5c 12 00 41 11 fe - pkt_lib : 48 : ff 4d f7 99 01 73 63 68 | f8 db 36 e2 9a f4 15 60 - pkt_lib : 64 : b8 3e 36 3d 69 c9 b5 4b | 4e 3d 18 61 67 bb 6d 84 - pkt_lib : 80 : 3c e1 10 f5 00 41 55 4b | e8 c2 8c 5b a2 c5 9e 00 - pkt_lib : 96 : 64 4a 82 3a 00 09 3a d6 | a1 5d 67 e5 3f ac 77 2b - pkt_lib : 112 : fe c7 2b 2c df 5c 7e a2 | 90 aa c1 94 f8 a8 56 00 - pkt_lib : 128 : 99 a1 00 3d da 82 f0 8d | f3 58 e7 b4 3c 18 ce af - pkt_lib : 144 : cc 97 6a 23 48 + pkt_lib : 0 : 66 8a 47 88 99 41 97 81 | 1b 4e f1 22 88 48 a8 14 + pkt_lib : 16 : 56 61 00 00 18 53 cb 89 | 23 ff 05 65 e3 c9 d4 df + pkt_lib : 32 : b3 62 b9 ab fd c7 f5 e8 | bc b3 86 dd 63 1a d7 da + pkt_lib : 48 : 00 43 11 fe c1 dc 6b d3 | ae a1 b2 31 02 79 99 86 + pkt_lib : 64 : 72 58 22 f7 86 4d 3b 79 | 3b 05 75 70 e8 77 19 61 + pkt_lib : 80 : 07 4e 70 db bb 80 10 f5 | 00 43 8f 3e 28 12 67 b9 + pkt_lib : 96 : 52 ac 6f 54 6a b7 00 83 | 00 0b 3a d6 1f 0c 30 52 + pkt_lib : 112 : 65 9c b9 47 dd 14 d1 75 | 9b 87 e5 a9 60 25 a0 e9 + pkt_lib : 128 : 32 e8 c4 3c 12 aa ea fa | e2 c2 b1 1d 26 e9 53 4f + pkt_lib : 144 : 87 59 7b a9 08 c7 52 34 | f7 cf c2 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 149) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Unpack Pkt 7 - cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} - toh : plen : 149 + cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hcde0859e9adc - eth[0] : [ 48 : 95] : 6 : sa : 48'h8b01752669e0 + eth[0] : [ 0 : 47] : 0 : da : 48'h668a47889941 + eth[0] : [ 48 : 95] : 6 : sa : 48'h97811b4ef122 eth[0] : [ 96 : 111] : 12 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'h3 (ETH Explicit Null) - mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h4 + mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'ha8145 (UNKNOWN) + mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 mmpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 - mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h38 - mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'hb6850 (UNKNOWN) - mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h5 + mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h61 + mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'h1 (Router Alert) + mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 mmpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 - mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h19 - mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hfd0d1 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h4 + mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h53 + mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hcb892 (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h1 mmpls[0] : [ 199 : 199] : 24 : s[2] : 1'b1 - mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'h90 - eth[1] : [ 208 : 255] : 26 : da : 48'h36c925aa4742 - eth[1] : [ 256 : 303] : 32 : sa : 48'h18c337a4d02c - eth[1] : [ 304 : 319] : 38 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 320 : 323] : 40 : version : 4'h6 - ipv6[0] : [ 324 : 331] : 40 : tos : 8'h25 - ipv6[0] : [ 332 : 351] : 41 : flow_label : 20'ha5c12 - ipv6[0] : [ 352 : 367] : 44 : payload_len : 16'h41 - ipv6[0] : [ 368 : 375] : 46 : protocol : 8'h11 (UDP) - ipv6[0] : [ 376 : 383] : 47 : ttl : 8'hfe - ipv6[0] : [ 384 : 511] : 48 : ip6_sa : 128'hff4df79901736368f8db36e29af41560 - ipv6[0] : [ 512 : 639] : 64 : ip6_da : 128'hb83e363d69c9b54b4e3d186167bb6d84 - udp[0] : [ 640 : 655] : 80 : src_prt : 16'h3ce1 - udp[0] : [ 656 : 671] : 82 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 672 : 687] : 84 : length : 16'h41 - udp[0] : [ 688 : 703] : 86 : checksum : 16'h554b (GOOD) - lisp[0] : [ 704 : 704] : 88 : N : 1'b1 - lisp[0] : [ 705 : 705] : 88 : L : 1'b1 - lisp[0] : [ 706 : 706] : 88 : E : 1'b1 - lisp[0] : [ 707 : 707] : 88 : V : 1'b0 - lisp[0] : [ 708 : 708] : 88 : I : 1'b1 - lisp[0] : [ 709 : 711] : 88 : flags : 3'h0 - lisp[0] : [ 712 : 735] : 89 : nonce : 24'hc28c5b - lisp[0] : [ 736 : 747] : 92 : dst_map_ver : 12'hc5b - lisp[0] : [ 748 : 771] : 93 : instance_id : 24'ha2c59e - lisp[0] : [ 772 : 779] : 96 : lsb : 8'h0 - ipv6[1] : [ 780 : 783] : 97 : version : 4'h6 - ipv6[1] : [ 784 : 791] : 98 : tos : 8'h44 - ipv6[1] : [ 792 : 811] : 99 : flow_label : 20'ha823a - ipv6[1] : [ 812 : 827] : 101 : payload_len : 16'h9 - ipv6[1] : [ 828 : 835] : 103 : protocol : 8'h3a (ICMPV6) - ipv6[1] : [ 836 : 843] : 104 : ttl : 8'hd6 - ipv6[1] : [ 844 : 971] : 105 : ip6_sa : 128'ha15d67e53fac772bfec72b2cdf5c7ea2 - ipv6[1] : [ 972 : 1099] : 121 : ip6_da : 128'h90aac194f8a8560099a1003dda82f08d - icmpv6[0] : [1100 : 1107] : 137 : icmp_type : 8'hf3 - icmpv6[0] : [1108 : 1115] : 138 : code : 8'h58 - icmpv6[0] : [1116 : 1131] : 139 : checksum : 16'he7b4 (GOOD) - icmpv6[0] : [1132 : 1147] : 141 : msg_body : 16'h3c18ceaf - data[0] : data_len : 1 (data => cc) + mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'hff + mmpls[0] : [ 208 : 239] : 26 : eth_ctrl : 32'h565e3c9 + eth[1] : [ 240 : 287] : 30 : da : 48'hd4dfb362b9ab + eth[1] : [ 288 : 335] : 36 : sa : 48'hfdc7f5e8bcb3 + eth[1] : [ 336 : 351] : 42 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 352 : 355] : 44 : version : 4'h6 + ipv6[0] : [ 356 : 363] : 44 : tos : 8'h31 + ipv6[0] : [ 364 : 383] : 45 : flow_label : 20'had7da + ipv6[0] : [ 384 : 399] : 48 : payload_len : 16'h43 + ipv6[0] : [ 400 : 407] : 50 : protocol : 8'h11 (UDP) + ipv6[0] : [ 408 : 415] : 51 : ttl : 8'hfe + ipv6[0] : [ 416 : 543] : 52 : ip6_sa : 128'hc1dc6bd3aea1b23102799986725822f7 + ipv6[0] : [ 544 : 671] : 68 : ip6_da : 128'h864d3b793b057570e8771961074e70db + udp[0] : [ 672 : 687] : 84 : src_prt : 16'hbb80 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 704 : 719] : 88 : length : 16'h43 + udp[0] : [ 720 : 735] : 90 : checksum : 16'h8f3e (GOOD) + lisp[0] : [ 736 : 736] : 92 : N : 1'b0 + lisp[0] : [ 737 : 737] : 92 : L : 1'b0 + lisp[0] : [ 738 : 738] : 92 : E : 1'b1 + lisp[0] : [ 739 : 739] : 92 : V : 1'b0 + lisp[0] : [ 740 : 740] : 92 : I : 1'b1 + lisp[0] : [ 741 : 743] : 92 : flags : 3'h0 + lisp[0] : [ 744 : 767] : 93 : nonce : 24'h1267b9 + lisp[0] : [ 768 : 779] : 96 : dst_map_ver : 12'h7b9 + lisp[0] : [ 780 : 803] : 97 : instance_id : 24'h52ac6f + lisp[0] : [ 804 : 811] : 100 : lsb : 8'h54 + ipv6[1] : [ 812 : 815] : 101 : version : 4'h6 + ipv6[1] : [ 816 : 823] : 102 : tos : 8'hab + ipv6[1] : [ 824 : 843] : 103 : flow_label : 20'h70083 + ipv6[1] : [ 844 : 859] : 105 : payload_len : 16'hb + ipv6[1] : [ 860 : 867] : 107 : protocol : 8'h3a (ICMPV6) + ipv6[1] : [ 868 : 875] : 108 : ttl : 8'hd6 + ipv6[1] : [ 876 : 1003] : 109 : ip6_sa : 128'h1f0c3052659cb947dd14d1759b87e5a9 + ipv6[1] : [1004 : 1131] : 125 : ip6_da : 128'h6025a0e932e8c43c12aaeafae2c2b11d + icmpv6[0] : [1132 : 1139] : 141 : icmp_type : 8'h26 + icmpv6[0] : [1140 : 1147] : 142 : code : 8'he9 + icmpv6[0] : [1148 : 1163] : 143 : checksum : 16'h534f (GOOD) + icmpv6[0] : [1164 : 1195] : 145 : msg_body : 32'h87597ba9 + data[0] : data_len : 3 (data => 08 c7 52) toh : pad_len : 0 - toh : [1156 : 1187] : 144 : crc : 32'h976a2348 (GOOD) + toh : [1220 : 1251] : 152 : crc32 : 32'h34f7cfc2 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : cd e0 85 9e 9a dc 8b 01 | 75 26 69 e0 88 48 00 00 - pkt_lib : 16 : 38 38 b6 85 0a 19 fd 0d | 19 90 36 c9 25 aa 47 42 - pkt_lib : 32 : 18 c3 37 a4 d0 2c 86 dd | 62 5a 5c 12 00 41 11 fe - pkt_lib : 48 : ff 4d f7 99 01 73 63 68 | f8 db 36 e2 9a f4 15 60 - pkt_lib : 64 : b8 3e 36 3d 69 c9 b5 4b | 4e 3d 18 61 67 bb 6d 84 - pkt_lib : 80 : 3c e1 10 f5 00 41 55 4b | e8 c2 8c 5b a2 c5 9e 00 - pkt_lib : 96 : 64 4a 82 3a 00 09 3a d6 | a1 5d 67 e5 3f ac 77 2b - pkt_lib : 112 : fe c7 2b 2c df 5c 7e a2 | 90 aa c1 94 f8 a8 56 00 - pkt_lib : 128 : 99 a1 00 3d da 82 f0 8d | f3 58 e7 b4 3c 18 ce af - pkt_lib : 144 : cc 97 6a 23 48 + pkt_lib : 0 : 66 8a 47 88 99 41 97 81 | 1b 4e f1 22 88 48 a8 14 + pkt_lib : 16 : 56 61 00 00 18 53 cb 89 | 23 ff 05 65 e3 c9 d4 df + pkt_lib : 32 : b3 62 b9 ab fd c7 f5 e8 | bc b3 86 dd 63 1a d7 da + pkt_lib : 48 : 00 43 11 fe c1 dc 6b d3 | ae a1 b2 31 02 79 99 86 + pkt_lib : 64 : 72 58 22 f7 86 4d 3b 79 | 3b 05 75 70 e8 77 19 61 + pkt_lib : 80 : 07 4e 70 db bb 80 10 f5 | 00 43 8f 3e 28 12 67 b9 + pkt_lib : 96 : 52 ac 6f 54 6a b7 00 83 | 00 0b 3a d6 1f 0c 30 52 + pkt_lib : 112 : 65 9c b9 47 dd 14 d1 75 | 9b 87 e5 a9 60 25 a0 e9 + pkt_lib : 128 : 32 e8 c4 3c 12 aa ea fa | e2 c2 b1 1d 26 e9 53 4f + pkt_lib : 144 : 87 59 7b a9 08 c7 52 34 | f7 cf c2 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 149) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Copy Pkt 7 - cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} - toh : plen : 149 + cfg_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hcde0859e9adc - eth[0] : [ 48 : 95] : 6 : sa : 48'h8b01752669e0 + eth[0] : [ 0 : 47] : 0 : da : 48'h668a47889941 + eth[0] : [ 48 : 95] : 6 : sa : 48'h97811b4ef122 eth[0] : [ 96 : 111] : 12 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'h3 (ETH Explicit Null) - mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h4 + mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'ha8145 (UNKNOWN) + mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h3 mmpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 - mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h38 - mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'hb6850 (UNKNOWN) - mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h5 + mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h61 + mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'h1 (Router Alert) + mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h4 mmpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 - mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h19 - mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hfd0d1 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h4 + mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h53 + mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hcb892 (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h1 mmpls[0] : [ 199 : 199] : 24 : s[2] : 1'b1 - mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'h90 - eth[1] : [ 208 : 255] : 26 : da : 48'h36c925aa4742 - eth[1] : [ 256 : 303] : 32 : sa : 48'h18c337a4d02c - eth[1] : [ 304 : 319] : 38 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 320 : 323] : 40 : version : 4'h6 - ipv6[0] : [ 324 : 331] : 40 : tos : 8'h25 - ipv6[0] : [ 332 : 351] : 41 : flow_label : 20'ha5c12 - ipv6[0] : [ 352 : 367] : 44 : payload_len : 16'h41 - ipv6[0] : [ 368 : 375] : 46 : protocol : 8'h11 (UDP) - ipv6[0] : [ 376 : 383] : 47 : ttl : 8'hfe - ipv6[0] : [ 384 : 511] : 48 : ip6_sa : 128'hff4df79901736368f8db36e29af41560 - ipv6[0] : [ 512 : 639] : 64 : ip6_da : 128'hb83e363d69c9b54b4e3d186167bb6d84 - udp[0] : [ 640 : 655] : 80 : src_prt : 16'h3ce1 - udp[0] : [ 656 : 671] : 82 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 672 : 687] : 84 : length : 16'h41 - udp[0] : [ 688 : 703] : 86 : checksum : 16'h554b (GOOD) - lisp[0] : [ 704 : 704] : 88 : N : 1'b1 - lisp[0] : [ 705 : 705] : 88 : L : 1'b1 - lisp[0] : [ 706 : 706] : 88 : E : 1'b1 - lisp[0] : [ 707 : 707] : 88 : V : 1'b0 - lisp[0] : [ 708 : 708] : 88 : I : 1'b1 - lisp[0] : [ 709 : 711] : 88 : flags : 3'h0 - lisp[0] : [ 712 : 735] : 89 : nonce : 24'hc28c5b - lisp[0] : [ 736 : 747] : 92 : dst_map_ver : 12'hc5b - lisp[0] : [ 748 : 771] : 93 : instance_id : 24'ha2c59e - lisp[0] : [ 772 : 779] : 96 : lsb : 8'h0 - ipv6[1] : [ 780 : 783] : 97 : version : 4'h6 - ipv6[1] : [ 784 : 791] : 98 : tos : 8'h44 - ipv6[1] : [ 792 : 811] : 99 : flow_label : 20'ha823a - ipv6[1] : [ 812 : 827] : 101 : payload_len : 16'h9 - ipv6[1] : [ 828 : 835] : 103 : protocol : 8'h3a (ICMPV6) - ipv6[1] : [ 836 : 843] : 104 : ttl : 8'hd6 - ipv6[1] : [ 844 : 971] : 105 : ip6_sa : 128'ha15d67e53fac772bfec72b2cdf5c7ea2 - ipv6[1] : [ 972 : 1099] : 121 : ip6_da : 128'h90aac194f8a8560099a1003dda82f08d - icmpv6[0] : [1100 : 1107] : 137 : icmp_type : 8'hf3 - icmpv6[0] : [1108 : 1115] : 138 : code : 8'h58 - icmpv6[0] : [1116 : 1131] : 139 : checksum : 16'he7b4 (GOOD) - icmpv6[0] : [1132 : 1147] : 141 : msg_body : 16'h3c18ceaf - data[0] : data_len : 1 (data => cc) + mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'hff + mmpls[0] : [ 208 : 239] : 26 : eth_ctrl : 32'h565e3c9 + eth[1] : [ 240 : 287] : 30 : da : 48'hd4dfb362b9ab + eth[1] : [ 288 : 335] : 36 : sa : 48'hfdc7f5e8bcb3 + eth[1] : [ 336 : 351] : 42 : etype : 16'h86dd (IPV6) + ipv6[0] : [ 352 : 355] : 44 : version : 4'h6 + ipv6[0] : [ 356 : 363] : 44 : tos : 8'h31 + ipv6[0] : [ 364 : 383] : 45 : flow_label : 20'had7da + ipv6[0] : [ 384 : 399] : 48 : payload_len : 16'h43 + ipv6[0] : [ 400 : 407] : 50 : protocol : 8'h11 (UDP) + ipv6[0] : [ 408 : 415] : 51 : ttl : 8'hfe + ipv6[0] : [ 416 : 543] : 52 : ip6_sa : 128'hc1dc6bd3aea1b23102799986725822f7 + ipv6[0] : [ 544 : 671] : 68 : ip6_da : 128'h864d3b793b057570e8771961074e70db + udp[0] : [ 672 : 687] : 84 : src_prt : 16'hbb80 + udp[0] : [ 688 : 703] : 86 : dst_prt : 16'h10f5 (LISP) + udp[0] : [ 704 : 719] : 88 : length : 16'h43 + udp[0] : [ 720 : 735] : 90 : checksum : 16'h8f3e (GOOD) + lisp[0] : [ 736 : 736] : 92 : N : 1'b0 + lisp[0] : [ 737 : 737] : 92 : L : 1'b0 + lisp[0] : [ 738 : 738] : 92 : E : 1'b1 + lisp[0] : [ 739 : 739] : 92 : V : 1'b0 + lisp[0] : [ 740 : 740] : 92 : I : 1'b1 + lisp[0] : [ 741 : 743] : 92 : flags : 3'h0 + lisp[0] : [ 744 : 767] : 93 : nonce : 24'h1267b9 + lisp[0] : [ 768 : 779] : 96 : dst_map_ver : 12'h7b9 + lisp[0] : [ 780 : 803] : 97 : instance_id : 24'h52ac6f + lisp[0] : [ 804 : 811] : 100 : lsb : 8'h54 + ipv6[1] : [ 812 : 815] : 101 : version : 4'h6 + ipv6[1] : [ 816 : 823] : 102 : tos : 8'hab + ipv6[1] : [ 824 : 843] : 103 : flow_label : 20'h70083 + ipv6[1] : [ 844 : 859] : 105 : payload_len : 16'hb + ipv6[1] : [ 860 : 867] : 107 : protocol : 8'h3a (ICMPV6) + ipv6[1] : [ 868 : 875] : 108 : ttl : 8'hd6 + ipv6[1] : [ 876 : 1003] : 109 : ip6_sa : 128'h1f0c3052659cb947dd14d1759b87e5a9 + ipv6[1] : [1004 : 1131] : 125 : ip6_da : 128'h6025a0e932e8c43c12aaeafae2c2b11d + icmpv6[0] : [1132 : 1139] : 141 : icmp_type : 8'h26 + icmpv6[0] : [1140 : 1147] : 142 : code : 8'he9 + icmpv6[0] : [1148 : 1163] : 143 : checksum : 16'h534f (GOOD) + icmpv6[0] : [1164 : 1195] : 145 : msg_body : 32'h87597ba9 + data[0] : data_len : 3 (data => 08 c7 52) toh : pad_len : 0 - toh : [1156 : 1187] : 144 : crc : 32'h976a2348 (GOOD) + toh : [1220 : 1251] : 152 : crc32 : 32'h34f7cfc2 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : cd e0 85 9e 9a dc 8b 01 | 75 26 69 e0 88 48 00 00 - pkt_lib : 16 : 38 38 b6 85 0a 19 fd 0d | 19 90 36 c9 25 aa 47 42 - pkt_lib : 32 : 18 c3 37 a4 d0 2c 86 dd | 62 5a 5c 12 00 41 11 fe - pkt_lib : 48 : ff 4d f7 99 01 73 63 68 | f8 db 36 e2 9a f4 15 60 - pkt_lib : 64 : b8 3e 36 3d 69 c9 b5 4b | 4e 3d 18 61 67 bb 6d 84 - pkt_lib : 80 : 3c e1 10 f5 00 41 55 4b | e8 c2 8c 5b a2 c5 9e 00 - pkt_lib : 96 : 64 4a 82 3a 00 09 3a d6 | a1 5d 67 e5 3f ac 77 2b - pkt_lib : 112 : fe c7 2b 2c df 5c 7e a2 | 90 aa c1 94 f8 a8 56 00 - pkt_lib : 128 : 99 a1 00 3d da 82 f0 8d | f3 58 e7 b4 3c 18 ce af - pkt_lib : 144 : cc 97 6a 23 48 + pkt_lib : 0 : 66 8a 47 88 99 41 97 81 | 1b 4e f1 22 88 48 a8 14 + pkt_lib : 16 : 56 61 00 00 18 53 cb 89 | 23 ff 05 65 e3 c9 d4 df + pkt_lib : 32 : b3 62 b9 ab fd c7 f5 e8 | bc b3 86 dd 63 1a d7 da + pkt_lib : 48 : 00 43 11 fe c1 dc 6b d3 | ae a1 b2 31 02 79 99 86 + pkt_lib : 64 : 72 58 22 f7 86 4d 3b 79 | 3b 05 75 70 e8 77 19 61 + pkt_lib : 80 : 07 4e 70 db bb 80 10 f5 | 00 43 8f 3e 28 12 67 b9 + pkt_lib : 96 : 52 ac 6f 54 6a b7 00 83 | 00 0b 3a d6 1f 0c 30 52 + pkt_lib : 112 : 65 9c b9 47 dd 14 d1 75 | 9b 87 e5 a9 60 25 a0 e9 + pkt_lib : 128 : 32 e8 c4 3c 12 aa ea fa | e2 c2 b1 1d 26 e9 53 4f + pkt_lib : 144 : 87 59 7b a9 08 c7 52 34 | f7 cf c2 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 149) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Compare Pkt 7 - cmp_hdr : {eth[0], mmpls[0], eth[1], ipv6[0], udp[0], lisp[0], ipv6[1], icmpv6[0], data[0]} - toh : plen : 149 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hcde0859e9adc - eth[0] : [ 48 : 95] : 6 : sa : 48'h8b01752669e0 - eth[0] : [ 96 : 111] : 12 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 112 : 131] : 14 : label[0] : 20'h3 (ETH Explicit Null) - mmpls[0] : [ 132 : 134] : 16 : exp[0] : 3'h4 - mmpls[0] : [ 135 : 135] : 16 : s[0] : 1'b0 - mmpls[0] : [ 136 : 143] : 17 : ttl[0] : 8'h38 - mmpls[0] : [ 144 : 163] : 18 : label[1] : 20'hb6850 (UNKNOWN) - mmpls[0] : [ 164 : 166] : 20 : exp[1] : 3'h5 - mmpls[0] : [ 167 : 167] : 20 : s[1] : 1'b0 - mmpls[0] : [ 168 : 175] : 21 : ttl[1] : 8'h19 - mmpls[0] : [ 176 : 195] : 22 : label[2] : 20'hfd0d1 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[2] : 3'h4 - mmpls[0] : [ 199 : 199] : 24 : s[2] : 1'b1 - mmpls[0] : [ 200 : 207] : 25 : ttl[2] : 8'h90 - eth[1] : [ 208 : 255] : 26 : da : 48'h36c925aa4742 - eth[1] : [ 256 : 303] : 32 : sa : 48'h18c337a4d02c - eth[1] : [ 304 : 319] : 38 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 320 : 323] : 40 : version : 4'h6 - ipv6[0] : [ 324 : 331] : 40 : tos : 8'h25 - ipv6[0] : [ 332 : 351] : 41 : flow_label : 20'ha5c12 - ipv6[0] : [ 352 : 367] : 44 : payload_len : 16'h41 - ipv6[0] : [ 368 : 375] : 46 : protocol : 8'h11 (UDP) - ipv6[0] : [ 376 : 383] : 47 : ttl : 8'hfe - ipv6[0] : [ 384 : 511] : 48 : ip6_sa : 128'hff4df79901736368f8db36e29af41560 - ipv6[0] : [ 512 : 639] : 64 : ip6_da : 128'hb83e363d69c9b54b4e3d186167bb6d84 - udp[0] : [ 640 : 655] : 80 : src_prt : 16'h3ce1 - udp[0] : [ 656 : 671] : 82 : dst_prt : 16'h10f5 (LISP) - udp[0] : [ 672 : 687] : 84 : length : 16'h41 - udp[0] : [ 688 : 703] : 86 : checksum : 16'h554b (GOOD) - lisp[0] : [ 704 : 704] : 88 : N : 1'b1 - lisp[0] : [ 705 : 705] : 88 : L : 1'b1 - lisp[0] : [ 706 : 706] : 88 : E : 1'b1 - lisp[0] : [ 707 : 707] : 88 : V : 1'b0 - lisp[0] : [ 708 : 708] : 88 : I : 1'b1 - lisp[0] : [ 709 : 711] : 88 : flags : 3'h0 - lisp[0] : [ 712 : 735] : 89 : nonce : 24'hc28c5b - lisp[0] : [ 736 : 747] : 92 : dst_map_ver : 12'hc5b - lisp[0] : [ 748 : 771] : 93 : instance_id : 24'ha2c59e - lisp[0] : [ 772 : 779] : 96 : lsb : 8'h0 - ipv6[1] : [ 780 : 783] : 97 : version : 4'h6 - ipv6[1] : [ 784 : 791] : 98 : tos : 8'h44 - ipv6[1] : [ 792 : 811] : 99 : flow_label : 20'ha823a - ipv6[1] : [ 812 : 827] : 101 : payload_len : 16'h9 - ipv6[1] : [ 828 : 835] : 103 : protocol : 8'h3a (ICMPV6) - ipv6[1] : [ 836 : 843] : 104 : ttl : 8'hd6 - ipv6[1] : [ 844 : 971] : 105 : ip6_sa : 128'ha15d67e53fac772bfec72b2cdf5c7ea2 - ipv6[1] : [ 972 : 1099] : 121 : ip6_da : 128'h90aac194f8a8560099a1003dda82f08d - icmpv6[0] : [1100 : 1107] : 137 : icmp_type : 8'hf3 - icmpv6[0] : [1108 : 1115] : 138 : code : 8'h58 - icmpv6[0] : [1116 : 1131] : 139 : checksum : 16'he7b4 (GOOD) - icmpv6[0] : [1132 : 1147] : 141 : msg_body : 16'h3c18ceaf - data[0] : data_len : 1 (data => cc) - toh : pad_len : 0 - toh : [1156 : 1187] : 144 : crc : 32'h976a2348 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 149 Exp => 149 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 155 Exp => 155 0 : INFO : TEST : Pack Pkt 8 - cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} - toh : plen : 137 + cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} (IEEE802) + toh : plen : 129 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h4a0d9c4f9b4c - eth[0] : [ 48 : 95] : 6 : sa : 48'h39c98d37ecad + eth[0] : [ 0 : 47] : 0 : da : 48'he9ed127b2b3b + eth[0] : [ 48 : 95] : 6 : sa : 48'h9333ab64387d eth[0] : [ 96 : 111] : 12 : etype : 16'h893f (ETAG) - etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h7 - etag[0] : [ 115 : 115] : 14 : e_dei : 1'h1 - etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'h675 - etag[0] : [ 128 : 129] : 16 : rsvd : 2'h1 - etag[0] : [ 130 : 131] : 16 : grp : 2'h3 - etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'h831 - etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hc2 - etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h33 + etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h0 + etag[0] : [ 115 : 115] : 14 : e_dei : 1'h0 + etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'hb6d + etag[0] : [ 128 : 129] : 16 : rsvd : 2'h3 + etag[0] : [ 130 : 131] : 16 : grp : 2'h2 + etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'hf7a + etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hd1 + etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h3a etag[0] : [ 160 : 175] : 20 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h53d64 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h5 - mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b0 - mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h25 - mmpls[0] : [ 208 : 227] : 26 : label[1] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : [ 228 : 230] : 28 : exp[1] : 3'h5 - mmpls[0] : [ 231 : 231] : 28 : s[1] : 1'b1 - mmpls[0] : [ 232 : 239] : 29 : ttl[1] : 8'h12 - ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h98 - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'hb72af - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h3f - ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h9b - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h276b868218c960345ccfa6d4b962daee - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h79fdf27cfdae30baf0f27b61e26ce7b2 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hd89e - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (OTV) - udp[0] : [ 592 : 607] : 74 : length : 16'h3f - udp[0] : [ 608 : 623] : 76 : checksum : 16'hd7ac (GOOD) - otv[0] : [ 624 : 627] : 78 : R1 : 4'b111 - otv[0] : [ 628 : 628] : 78 : I : 1'b1 - otv[0] : [ 629 : 631] : 78 : R2 : 3'b101 - otv[0] : [ 632 : 655] : 79 : overlay_id : 24'h6e0096 - otv[0] : [ 656 : 679] : 82 : instance_id : 24'hb7bfa9 - otv[0] : [ 680 : 687] : 85 : rsvd : 8'h8c - eth[1] : [ 688 : 735] : 86 : da : 48'h8dab6087ef35 - eth[1] : [ 736 : 783] : 92 : sa : 48'ha0bee8af71cc - eth[1] : [ 784 : 799] : 98 : etype : 16'h8035 (RARP) - rarp[0] : [ 800 : 815] : 100 : htype : 16'h1 - rarp[0] : [ 816 : 831] : 102 : ptype : 16'h800 - rarp[0] : [ 832 : 839] : 104 : hlen : 8'h6 - rarp[0] : [ 840 : 847] : 105 : plen : 8'h4 - rarp[0] : [ 848 : 863] : 106 : opcode : 16'hbce2 - rarp[0] : [ 864 : 911] : 108 : sha : 48'h12ee4f1d90f - rarp[0] : [ 912 : 943] : 114 : spa : 32'h12948623 - rarp[0] : [ 944 : 991] : 118 : dha : 48'h7141e01973b5 - rarp[0] : [ 992 : 1023] : 124 : dpa : 32'h6c03b33 - data[0] : data_len : 5 (data => d8 27 00 af ..) + mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h2392e (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h6 + mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b1 + mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h2c + ipv6[0] : [ 208 : 211] : 26 : version : 4'h6 + ipv6[0] : [ 212 : 219] : 26 : tos : 8'hf9 + ipv6[0] : [ 220 : 239] : 27 : flow_label : 20'hec9a2 + ipv6[0] : [ 240 : 255] : 30 : payload_len : 16'h3b + ipv6[0] : [ 256 : 263] : 32 : protocol : 8'h11 (UDP) + ipv6[0] : [ 264 : 271] : 33 : ttl : 8'hb1 + ipv6[0] : [ 272 : 399] : 34 : ip6_sa : 128'h3905a10bd92c4681b30910db61ee42d0 + ipv6[0] : [ 400 : 527] : 50 : ip6_da : 128'hd446a0ff0e818017618044c21bb4f96f + udp[0] : [ 528 : 543] : 66 : src_prt : 16'h8228 + udp[0] : [ 544 : 559] : 68 : dst_prt : 16'h2345 (OTV) + udp[0] : [ 560 : 575] : 70 : length : 16'h3b + udp[0] : [ 576 : 591] : 72 : checksum : 16'h6553 (GOOD) + otv[0] : [ 592 : 595] : 74 : R1 : 4'b0 + otv[0] : [ 596 : 596] : 74 : I : 1'b0 + otv[0] : [ 597 : 599] : 74 : R2 : 3'b1 + otv[0] : [ 600 : 623] : 75 : overlay_id : 24'h720615 + otv[0] : [ 624 : 647] : 78 : instance_id : 24'h94ec24 + otv[0] : [ 648 : 655] : 81 : rsvd : 8'h39 + eth[1] : [ 656 : 703] : 82 : da : 48'h12717861dde4 + eth[1] : [ 704 : 751] : 88 : sa : 48'h69096ea4dca5 + eth[1] : [ 752 : 767] : 94 : etype : 16'h8035 (RARP) + rarp[0] : [ 768 : 783] : 96 : htype : 16'h1 + rarp[0] : [ 784 : 799] : 98 : ptype : 16'h800 + rarp[0] : [ 800 : 807] : 100 : hlen : 8'h6 + rarp[0] : [ 808 : 815] : 101 : plen : 8'h4 + rarp[0] : [ 816 : 831] : 102 : opcode : 16'haf05 + rarp[0] : [ 832 : 879] : 104 : sha : 48'h7c74b6b9725c + rarp[0] : [ 880 : 911] : 110 : spa : 32'h98a3b81d + rarp[0] : [ 912 : 959] : 114 : dha : 48'hc1682a608638 + rarp[0] : [ 960 : 991] : 120 : dpa : 32'h21481682 + data[0] : data_len : 1 (data => 18) toh : pad_len : 0 - toh : [1064 : 1095] : 133 : crc : 32'h7932d38f (GOOD) + toh : [1000 : 1031] : 125 : crc32 : 32'h5eb4f843 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 4a 0d 9c 4f 9b 4c 39 c9 | 8d 37 ec ad 89 3f f6 75 - pkt_lib : 16 : 78 31 c2 33 88 48 53 d6 | 4a 25 00 00 2b 12 69 8b - pkt_lib : 32 : 72 af 00 3f 11 9b 27 6b | 86 82 18 c9 60 34 5c cf - pkt_lib : 48 : a6 d4 b9 62 da ee 79 fd | f2 7c fd ae 30 ba f0 f2 - pkt_lib : 64 : 7b 61 e2 6c e7 b2 d8 9e | 21 18 00 3f d7 ac 7d 6e - pkt_lib : 80 : 00 96 b7 bf a9 8c 8d ab | 60 87 ef 35 a0 be e8 af - pkt_lib : 96 : 71 cc 80 35 00 01 08 00 | 06 04 bc e2 01 2e e4 f1 - pkt_lib : 112 : d9 0f 12 94 86 23 71 41 | e0 19 73 b5 06 c0 3b 33 - pkt_lib : 128 : d8 27 00 af 63 79 32 d3 | 8f + pkt_lib : 0 : e9 ed 12 7b 2b 3b 93 33 | ab 64 38 7d 89 3f 0b 6d + pkt_lib : 16 : ef 7a d1 3a 88 48 23 92 | ed 2c 6f 9e c9 a2 00 3b + pkt_lib : 32 : 11 b1 39 05 a1 0b d9 2c | 46 81 b3 09 10 db 61 ee + pkt_lib : 48 : 42 d0 d4 46 a0 ff 0e 81 | 80 17 61 80 44 c2 1b b4 + pkt_lib : 64 : f9 6f 82 28 23 45 00 3b | 65 53 01 72 06 15 94 ec + pkt_lib : 80 : 24 39 12 71 78 61 dd e4 | 69 09 6e a4 dc a5 80 35 + pkt_lib : 96 : 00 01 08 00 06 04 af 05 | 7c 74 b6 b9 72 5c 98 a3 + pkt_lib : 112 : b8 1d c1 68 2a 60 86 38 | 21 48 16 82 18 5e b4 f8 + pkt_lib : 128 : 43 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 137) + pkt_lib : (Total Len = 129) 0 : INFO : TEST : Unpack Pkt 8 - cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} - toh : plen : 137 + cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} (IEEE802) + toh : plen : 129 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h4a0d9c4f9b4c - eth[0] : [ 48 : 95] : 6 : sa : 48'h39c98d37ecad + eth[0] : [ 0 : 47] : 0 : da : 48'he9ed127b2b3b + eth[0] : [ 48 : 95] : 6 : sa : 48'h9333ab64387d eth[0] : [ 96 : 111] : 12 : etype : 16'h893f (ETAG) - etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h7 - etag[0] : [ 115 : 115] : 14 : e_dei : 1'h1 - etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'h675 - etag[0] : [ 128 : 129] : 16 : rsvd : 2'h1 - etag[0] : [ 130 : 131] : 16 : grp : 2'h3 - etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'h831 - etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hc2 - etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h33 + etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h0 + etag[0] : [ 115 : 115] : 14 : e_dei : 1'h0 + etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'hb6d + etag[0] : [ 128 : 129] : 16 : rsvd : 2'h3 + etag[0] : [ 130 : 131] : 16 : grp : 2'h2 + etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'hf7a + etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hd1 + etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h3a etag[0] : [ 160 : 175] : 20 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h53d64 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h5 - mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b0 - mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h25 - mmpls[0] : [ 208 : 227] : 26 : label[1] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : [ 228 : 230] : 28 : exp[1] : 3'h5 - mmpls[0] : [ 231 : 231] : 28 : s[1] : 1'b1 - mmpls[0] : [ 232 : 239] : 29 : ttl[1] : 8'h12 - ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h98 - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'hb72af - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h3f - ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h9b - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h276b868218c960345ccfa6d4b962daee - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h79fdf27cfdae30baf0f27b61e26ce7b2 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hd89e - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (OTV) - udp[0] : [ 592 : 607] : 74 : length : 16'h3f - udp[0] : [ 608 : 623] : 76 : checksum : 16'hd7ac (GOOD) - otv[0] : [ 624 : 627] : 78 : R1 : 4'b111 - otv[0] : [ 628 : 628] : 78 : I : 1'b1 - otv[0] : [ 629 : 631] : 78 : R2 : 3'b101 - otv[0] : [ 632 : 655] : 79 : overlay_id : 24'h6e0096 - otv[0] : [ 656 : 679] : 82 : instance_id : 24'hb7bfa9 - otv[0] : [ 680 : 687] : 85 : rsvd : 8'h8c - eth[1] : [ 688 : 735] : 86 : da : 48'h8dab6087ef35 - eth[1] : [ 736 : 783] : 92 : sa : 48'ha0bee8af71cc - eth[1] : [ 784 : 799] : 98 : etype : 16'h8035 (RARP) - rarp[0] : [ 800 : 815] : 100 : htype : 16'h1 - rarp[0] : [ 816 : 831] : 102 : ptype : 16'h800 - rarp[0] : [ 832 : 839] : 104 : hlen : 8'h6 - rarp[0] : [ 840 : 847] : 105 : plen : 8'h4 - rarp[0] : [ 848 : 863] : 106 : opcode : 16'hbce2 - rarp[0] : [ 864 : 911] : 108 : sha : 48'h12ee4f1d90f - rarp[0] : [ 912 : 943] : 114 : spa : 32'h12948623 - rarp[0] : [ 944 : 991] : 118 : dha : 48'h7141e01973b5 - rarp[0] : [ 992 : 1023] : 124 : dpa : 32'h6c03b33 - data[0] : data_len : 5 (data => d8 27 00 af ..) + mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h2392e (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h6 + mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b1 + mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h2c + ipv6[0] : [ 208 : 211] : 26 : version : 4'h6 + ipv6[0] : [ 212 : 219] : 26 : tos : 8'hf9 + ipv6[0] : [ 220 : 239] : 27 : flow_label : 20'hec9a2 + ipv6[0] : [ 240 : 255] : 30 : payload_len : 16'h3b + ipv6[0] : [ 256 : 263] : 32 : protocol : 8'h11 (UDP) + ipv6[0] : [ 264 : 271] : 33 : ttl : 8'hb1 + ipv6[0] : [ 272 : 399] : 34 : ip6_sa : 128'h3905a10bd92c4681b30910db61ee42d0 + ipv6[0] : [ 400 : 527] : 50 : ip6_da : 128'hd446a0ff0e818017618044c21bb4f96f + udp[0] : [ 528 : 543] : 66 : src_prt : 16'h8228 + udp[0] : [ 544 : 559] : 68 : dst_prt : 16'h2345 (OTV) + udp[0] : [ 560 : 575] : 70 : length : 16'h3b + udp[0] : [ 576 : 591] : 72 : checksum : 16'h6553 (GOOD) + otv[0] : [ 592 : 595] : 74 : R1 : 4'b0 + otv[0] : [ 596 : 596] : 74 : I : 1'b0 + otv[0] : [ 597 : 599] : 74 : R2 : 3'b1 + otv[0] : [ 600 : 623] : 75 : overlay_id : 24'h720615 + otv[0] : [ 624 : 647] : 78 : instance_id : 24'h94ec24 + otv[0] : [ 648 : 655] : 81 : rsvd : 8'h39 + eth[1] : [ 656 : 703] : 82 : da : 48'h12717861dde4 + eth[1] : [ 704 : 751] : 88 : sa : 48'h69096ea4dca5 + eth[1] : [ 752 : 767] : 94 : etype : 16'h8035 (RARP) + rarp[0] : [ 768 : 783] : 96 : htype : 16'h1 + rarp[0] : [ 784 : 799] : 98 : ptype : 16'h800 + rarp[0] : [ 800 : 807] : 100 : hlen : 8'h6 + rarp[0] : [ 808 : 815] : 101 : plen : 8'h4 + rarp[0] : [ 816 : 831] : 102 : opcode : 16'haf05 + rarp[0] : [ 832 : 879] : 104 : sha : 48'h7c74b6b9725c + rarp[0] : [ 880 : 911] : 110 : spa : 32'h98a3b81d + rarp[0] : [ 912 : 959] : 114 : dha : 48'hc1682a608638 + rarp[0] : [ 960 : 991] : 120 : dpa : 32'h21481682 + data[0] : data_len : 1 (data => 18) toh : pad_len : 0 - toh : [1064 : 1095] : 133 : crc : 32'h7932d38f (GOOD) + toh : [1000 : 1031] : 125 : crc32 : 32'h5eb4f843 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 4a 0d 9c 4f 9b 4c 39 c9 | 8d 37 ec ad 89 3f f6 75 - pkt_lib : 16 : 78 31 c2 33 88 48 53 d6 | 4a 25 00 00 2b 12 69 8b - pkt_lib : 32 : 72 af 00 3f 11 9b 27 6b | 86 82 18 c9 60 34 5c cf - pkt_lib : 48 : a6 d4 b9 62 da ee 79 fd | f2 7c fd ae 30 ba f0 f2 - pkt_lib : 64 : 7b 61 e2 6c e7 b2 d8 9e | 21 18 00 3f d7 ac 7d 6e - pkt_lib : 80 : 00 96 b7 bf a9 8c 8d ab | 60 87 ef 35 a0 be e8 af - pkt_lib : 96 : 71 cc 80 35 00 01 08 00 | 06 04 bc e2 01 2e e4 f1 - pkt_lib : 112 : d9 0f 12 94 86 23 71 41 | e0 19 73 b5 06 c0 3b 33 - pkt_lib : 128 : d8 27 00 af 63 79 32 d3 | 8f + pkt_lib : 0 : e9 ed 12 7b 2b 3b 93 33 | ab 64 38 7d 89 3f 0b 6d + pkt_lib : 16 : ef 7a d1 3a 88 48 23 92 | ed 2c 6f 9e c9 a2 00 3b + pkt_lib : 32 : 11 b1 39 05 a1 0b d9 2c | 46 81 b3 09 10 db 61 ee + pkt_lib : 48 : 42 d0 d4 46 a0 ff 0e 81 | 80 17 61 80 44 c2 1b b4 + pkt_lib : 64 : f9 6f 82 28 23 45 00 3b | 65 53 01 72 06 15 94 ec + pkt_lib : 80 : 24 39 12 71 78 61 dd e4 | 69 09 6e a4 dc a5 80 35 + pkt_lib : 96 : 00 01 08 00 06 04 af 05 | 7c 74 b6 b9 72 5c 98 a3 + pkt_lib : 112 : b8 1d c1 68 2a 60 86 38 | 21 48 16 82 18 5e b4 f8 + pkt_lib : 128 : 43 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 137) + pkt_lib : (Total Len = 129) 0 : INFO : TEST : Copy Pkt 8 - cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} - toh : plen : 137 + cfg_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} (IEEE802) + toh : plen : 129 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h4a0d9c4f9b4c - eth[0] : [ 48 : 95] : 6 : sa : 48'h39c98d37ecad + eth[0] : [ 0 : 47] : 0 : da : 48'he9ed127b2b3b + eth[0] : [ 48 : 95] : 6 : sa : 48'h9333ab64387d eth[0] : [ 96 : 111] : 12 : etype : 16'h893f (ETAG) - etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h7 - etag[0] : [ 115 : 115] : 14 : e_dei : 1'h1 - etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'h675 - etag[0] : [ 128 : 129] : 16 : rsvd : 2'h1 - etag[0] : [ 130 : 131] : 16 : grp : 2'h3 - etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'h831 - etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hc2 - etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h33 + etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h0 + etag[0] : [ 115 : 115] : 14 : e_dei : 1'h0 + etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'hb6d + etag[0] : [ 128 : 129] : 16 : rsvd : 2'h3 + etag[0] : [ 130 : 131] : 16 : grp : 2'h2 + etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'hf7a + etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hd1 + etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h3a etag[0] : [ 160 : 175] : 20 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h53d64 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h5 - mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b0 - mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h25 - mmpls[0] : [ 208 : 227] : 26 : label[1] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : [ 228 : 230] : 28 : exp[1] : 3'h5 - mmpls[0] : [ 231 : 231] : 28 : s[1] : 1'b1 - mmpls[0] : [ 232 : 239] : 29 : ttl[1] : 8'h12 - ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h98 - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'hb72af - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h3f - ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h9b - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h276b868218c960345ccfa6d4b962daee - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h79fdf27cfdae30baf0f27b61e26ce7b2 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hd89e - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (OTV) - udp[0] : [ 592 : 607] : 74 : length : 16'h3f - udp[0] : [ 608 : 623] : 76 : checksum : 16'hd7ac (GOOD) - otv[0] : [ 624 : 627] : 78 : R1 : 4'b111 - otv[0] : [ 628 : 628] : 78 : I : 1'b1 - otv[0] : [ 629 : 631] : 78 : R2 : 3'b101 - otv[0] : [ 632 : 655] : 79 : overlay_id : 24'h6e0096 - otv[0] : [ 656 : 679] : 82 : instance_id : 24'hb7bfa9 - otv[0] : [ 680 : 687] : 85 : rsvd : 8'h8c - eth[1] : [ 688 : 735] : 86 : da : 48'h8dab6087ef35 - eth[1] : [ 736 : 783] : 92 : sa : 48'ha0bee8af71cc - eth[1] : [ 784 : 799] : 98 : etype : 16'h8035 (RARP) - rarp[0] : [ 800 : 815] : 100 : htype : 16'h1 - rarp[0] : [ 816 : 831] : 102 : ptype : 16'h800 - rarp[0] : [ 832 : 839] : 104 : hlen : 8'h6 - rarp[0] : [ 840 : 847] : 105 : plen : 8'h4 - rarp[0] : [ 848 : 863] : 106 : opcode : 16'hbce2 - rarp[0] : [ 864 : 911] : 108 : sha : 48'h12ee4f1d90f - rarp[0] : [ 912 : 943] : 114 : spa : 32'h12948623 - rarp[0] : [ 944 : 991] : 118 : dha : 48'h7141e01973b5 - rarp[0] : [ 992 : 1023] : 124 : dpa : 32'h6c03b33 - data[0] : data_len : 5 (data => d8 27 00 af ..) + mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h2392e (UNKNOWN) + mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h6 + mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b1 + mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h2c + ipv6[0] : [ 208 : 211] : 26 : version : 4'h6 + ipv6[0] : [ 212 : 219] : 26 : tos : 8'hf9 + ipv6[0] : [ 220 : 239] : 27 : flow_label : 20'hec9a2 + ipv6[0] : [ 240 : 255] : 30 : payload_len : 16'h3b + ipv6[0] : [ 256 : 263] : 32 : protocol : 8'h11 (UDP) + ipv6[0] : [ 264 : 271] : 33 : ttl : 8'hb1 + ipv6[0] : [ 272 : 399] : 34 : ip6_sa : 128'h3905a10bd92c4681b30910db61ee42d0 + ipv6[0] : [ 400 : 527] : 50 : ip6_da : 128'hd446a0ff0e818017618044c21bb4f96f + udp[0] : [ 528 : 543] : 66 : src_prt : 16'h8228 + udp[0] : [ 544 : 559] : 68 : dst_prt : 16'h2345 (OTV) + udp[0] : [ 560 : 575] : 70 : length : 16'h3b + udp[0] : [ 576 : 591] : 72 : checksum : 16'h6553 (GOOD) + otv[0] : [ 592 : 595] : 74 : R1 : 4'b0 + otv[0] : [ 596 : 596] : 74 : I : 1'b0 + otv[0] : [ 597 : 599] : 74 : R2 : 3'b1 + otv[0] : [ 600 : 623] : 75 : overlay_id : 24'h720615 + otv[0] : [ 624 : 647] : 78 : instance_id : 24'h94ec24 + otv[0] : [ 648 : 655] : 81 : rsvd : 8'h39 + eth[1] : [ 656 : 703] : 82 : da : 48'h12717861dde4 + eth[1] : [ 704 : 751] : 88 : sa : 48'h69096ea4dca5 + eth[1] : [ 752 : 767] : 94 : etype : 16'h8035 (RARP) + rarp[0] : [ 768 : 783] : 96 : htype : 16'h1 + rarp[0] : [ 784 : 799] : 98 : ptype : 16'h800 + rarp[0] : [ 800 : 807] : 100 : hlen : 8'h6 + rarp[0] : [ 808 : 815] : 101 : plen : 8'h4 + rarp[0] : [ 816 : 831] : 102 : opcode : 16'haf05 + rarp[0] : [ 832 : 879] : 104 : sha : 48'h7c74b6b9725c + rarp[0] : [ 880 : 911] : 110 : spa : 32'h98a3b81d + rarp[0] : [ 912 : 959] : 114 : dha : 48'hc1682a608638 + rarp[0] : [ 960 : 991] : 120 : dpa : 32'h21481682 + data[0] : data_len : 1 (data => 18) toh : pad_len : 0 - toh : [1064 : 1095] : 133 : crc : 32'h7932d38f (GOOD) + toh : [1000 : 1031] : 125 : crc32 : 32'h5eb4f843 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 4a 0d 9c 4f 9b 4c 39 c9 | 8d 37 ec ad 89 3f f6 75 - pkt_lib : 16 : 78 31 c2 33 88 48 53 d6 | 4a 25 00 00 2b 12 69 8b - pkt_lib : 32 : 72 af 00 3f 11 9b 27 6b | 86 82 18 c9 60 34 5c cf - pkt_lib : 48 : a6 d4 b9 62 da ee 79 fd | f2 7c fd ae 30 ba f0 f2 - pkt_lib : 64 : 7b 61 e2 6c e7 b2 d8 9e | 21 18 00 3f d7 ac 7d 6e - pkt_lib : 80 : 00 96 b7 bf a9 8c 8d ab | 60 87 ef 35 a0 be e8 af - pkt_lib : 96 : 71 cc 80 35 00 01 08 00 | 06 04 bc e2 01 2e e4 f1 - pkt_lib : 112 : d9 0f 12 94 86 23 71 41 | e0 19 73 b5 06 c0 3b 33 - pkt_lib : 128 : d8 27 00 af 63 79 32 d3 | 8f + pkt_lib : 0 : e9 ed 12 7b 2b 3b 93 33 | ab 64 38 7d 89 3f 0b 6d + pkt_lib : 16 : ef 7a d1 3a 88 48 23 92 | ed 2c 6f 9e c9 a2 00 3b + pkt_lib : 32 : 11 b1 39 05 a1 0b d9 2c | 46 81 b3 09 10 db 61 ee + pkt_lib : 48 : 42 d0 d4 46 a0 ff 0e 81 | 80 17 61 80 44 c2 1b b4 + pkt_lib : 64 : f9 6f 82 28 23 45 00 3b | 65 53 01 72 06 15 94 ec + pkt_lib : 80 : 24 39 12 71 78 61 dd e4 | 69 09 6e a4 dc a5 80 35 + pkt_lib : 96 : 00 01 08 00 06 04 af 05 | 7c 74 b6 b9 72 5c 98 a3 + pkt_lib : 112 : b8 1d c1 68 2a 60 86 38 | 21 48 16 82 18 5e b4 f8 + pkt_lib : 128 : 43 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 137) + pkt_lib : (Total Len = 129) 0 : INFO : TEST : Compare Pkt 8 - cmp_hdr : {eth[0], etag[0], mmpls[0], ipv6[0], udp[0], otv[0], eth[1], rarp[0], data[0]} - toh : plen : 137 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h4a0d9c4f9b4c - eth[0] : [ 48 : 95] : 6 : sa : 48'h39c98d37ecad - eth[0] : [ 96 : 111] : 12 : etype : 16'h893f (ETAG) - etag[0] : [ 112 : 114] : 14 : e_pcp : 3'h7 - etag[0] : [ 115 : 115] : 14 : e_dei : 1'h1 - etag[0] : [ 116 : 127] : 14 : igr_e_cid_base : 12'h675 - etag[0] : [ 128 : 129] : 16 : rsvd : 2'h1 - etag[0] : [ 130 : 131] : 16 : grp : 2'h3 - etag[0] : [ 132 : 143] : 16 : e_cid_base : 12'h831 - etag[0] : [ 144 : 151] : 18 : igr_e_cid_ext : 8'hc2 - etag[0] : [ 152 : 159] : 19 : e_cid_ext : 8'h33 - etag[0] : [ 160 : 175] : 20 : etype : 16'h8848 (MPLS-MULTICAST) - mmpls[0] : [ 176 : 195] : 22 : label[0] : 20'h53d64 (UNKNOWN) - mmpls[0] : [ 196 : 198] : 24 : exp[0] : 3'h5 - mmpls[0] : [ 199 : 199] : 24 : s[0] : 1'b0 - mmpls[0] : [ 200 : 207] : 25 : ttl[0] : 8'h25 - mmpls[0] : [ 208 : 227] : 26 : label[1] : 20'h2 (IPV6 Explicit Null) - mmpls[0] : [ 228 : 230] : 28 : exp[1] : 3'h5 - mmpls[0] : [ 231 : 231] : 28 : s[1] : 1'b1 - mmpls[0] : [ 232 : 239] : 29 : ttl[1] : 8'h12 - ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h98 - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'hb72af - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h3f - ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h9b - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h276b868218c960345ccfa6d4b962daee - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h79fdf27cfdae30baf0f27b61e26ce7b2 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hd89e - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (OTV) - udp[0] : [ 592 : 607] : 74 : length : 16'h3f - udp[0] : [ 608 : 623] : 76 : checksum : 16'hd7ac (GOOD) - otv[0] : [ 624 : 627] : 78 : R1 : 4'b111 - otv[0] : [ 628 : 628] : 78 : I : 1'b1 - otv[0] : [ 629 : 631] : 78 : R2 : 3'b101 - otv[0] : [ 632 : 655] : 79 : overlay_id : 24'h6e0096 - otv[0] : [ 656 : 679] : 82 : instance_id : 24'hb7bfa9 - otv[0] : [ 680 : 687] : 85 : rsvd : 8'h8c - eth[1] : [ 688 : 735] : 86 : da : 48'h8dab6087ef35 - eth[1] : [ 736 : 783] : 92 : sa : 48'ha0bee8af71cc - eth[1] : [ 784 : 799] : 98 : etype : 16'h8035 (RARP) - rarp[0] : [ 800 : 815] : 100 : htype : 16'h1 - rarp[0] : [ 816 : 831] : 102 : ptype : 16'h800 - rarp[0] : [ 832 : 839] : 104 : hlen : 8'h6 - rarp[0] : [ 840 : 847] : 105 : plen : 8'h4 - rarp[0] : [ 848 : 863] : 106 : opcode : 16'hbce2 - rarp[0] : [ 864 : 911] : 108 : sha : 48'h12ee4f1d90f - rarp[0] : [ 912 : 943] : 114 : spa : 32'h12948623 - rarp[0] : [ 944 : 991] : 118 : dha : 48'h7141e01973b5 - rarp[0] : [ 992 : 1023] : 124 : dpa : 32'h6c03b33 - data[0] : data_len : 5 (data => d8 27 00 af ..) - toh : pad_len : 0 - toh : [1064 : 1095] : 133 : crc : 32'h7932d38f (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 137 Exp => 137 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 129 Exp => 129 + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 154 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 155 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 156 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 157 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 158 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 159 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 160 does not exist, 154 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 161 does not exist, 154 items are in the dynamic array. 0 : INFO : TEST : Pack Pkt 9 - cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} - toh : plen : 200 + cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} (IEEE802) + toh : plen : 158 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h8a5b30bcc87c - eth[0] : [ 48 : 95] : 6 : sa : 48'hd905cad99e + eth[0] : [ 0 : 47] : 0 : da : 48'hd128cb685fd5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h91b887692f41 eth[0] : [ 96 : 111] : 12 : etype : 16'h8200 (ALT1Q) - alt1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h977 + alt1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h465 alt1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 trill[0] : [ 148 : 148] : 18 : M : 1'h1 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h8 - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h17 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h3feb - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hb477 - trill[0] : : 24 : options : (Total Len = 32) + trill[0] : [ 149 : 153] : 18 : op_length : 5'h6 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h35 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1c05 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'h2b22 + trill[0] : : 24 : options : (Total Len = 24) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : 01 45 a8 2d de e1 77 0a | 4e e5 11 3d 85 6f a8 35 - trill[0] : 16 : 74 21 4b db 3a 9a 17 84 | 35 b6 7b 2e 4b f1 74 68 + trill[0] : 0 : 17 c5 83 7d 76 36 2f 68 | d5 21 85 39 a1 f2 fb 0c + trill[0] : 16 : 31 2b 17 5c b3 cc 63 09 | trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [ 448 : 495] : 56 : da : 48'hffbbdea47be4 - eth[1] : [ 496 : 543] : 62 : sa : 48'ha7f85ccb0d0a - eth[1] : [ 544 : 559] : 68 : etype : 16'h8200 (ALT1Q) - alt1q[1] : [ 560 : 562] : 70 : cos : 3'h0 - alt1q[1] : [ 563 : 563] : 70 : cfi : 1'h1 - alt1q[1] : [ 564 : 575] : 70 : vlan : 12'h400 - alt1q[1] : [ 576 : 591] : 72 : etype : 16'h800 (IPV4) - ipv4[0] : [ 592 : 595] : 74 : version : 4'h4 - ipv4[0] : [ 596 : 599] : 74 : ihl : 4'hf - ipv4[0] : [ 600 : 607] : 75 : tos : 8'hd6 - ipv4[0] : [ 608 : 623] : 76 : total_length : 16'h7a - ipv4[0] : [ 624 : 639] : 78 : id : 16'h2d3f - ipv4[0] : [ 640 : 640] : 80 : reserved : 1'h0 - ipv4[0] : [ 641 : 641] : 80 : df : 1'h1 - ipv4[0] : [ 642 : 642] : 80 : mf : 1'h0 - ipv4[0] : [ 643 : 655] : 80 : frag_offset : 13'h0 - ipv4[0] : [ 656 : 663] : 82 : ttl : 8'h59 - ipv4[0] : [ 664 : 671] : 83 : protocol : 8'h29 (IPV6) - ipv4[0] : [ 672 : 687] : 84 : checksum : 16'h37d3 (GOOD) - ipv4[0] : [ 688 : 719] : 86 : ip_sa : 32'h135490b9 - ipv4[0] : [ 720 : 751] : 90 : ip_da : 32'h68ba2dc1 - ipv4[0] : : 94 : options : (Total Len = 40) + eth[1] : [ 384 : 431] : 48 : da : 48'ha185e145c425 + eth[1] : [ 432 : 479] : 54 : sa : 48'hdf8042ed43e7 + eth[1] : [ 480 : 495] : 60 : etype : 16'h8200 (ALT1Q) + alt1q[1] : [ 496 : 498] : 62 : cos : 3'h5 + alt1q[1] : [ 499 : 499] : 62 : cfi : 1'h1 + alt1q[1] : [ 500 : 511] : 62 : vlan : 12'hab8 + alt1q[1] : [ 512 : 527] : 64 : etype : 16'h800 (IPV4) + ipv4[0] : [ 528 : 531] : 66 : version : 4'h4 + ipv4[0] : [ 532 : 535] : 66 : ihl : 4'h9 + ipv4[0] : [ 536 : 543] : 67 : tos : 8'h97 + ipv4[0] : [ 544 : 559] : 68 : total_length : 16'h58 + ipv4[0] : [ 560 : 575] : 70 : id : 16'h7be4 + ipv4[0] : [ 576 : 576] : 72 : reserved : 1'h0 + ipv4[0] : [ 577 : 577] : 72 : df : 1'h0 + ipv4[0] : [ 578 : 578] : 72 : mf : 1'h0 + ipv4[0] : [ 579 : 591] : 72 : frag_offset : 13'h36e + ipv4[0] : [ 592 : 599] : 74 : ttl : 8'h64 + ipv4[0] : [ 600 : 607] : 75 : protocol : 8'h29 (IPV6) + ipv4[0] : [ 608 : 623] : 76 : checksum : 16'h4ed5 (GOOD) + ipv4[0] : [ 624 : 655] : 78 : ip_sa : 32'h87989f2 + ipv4[0] : [ 656 : 687] : 82 : ip_da : 32'hb684af85 + ipv4[0] : : 86 : options : (Total Len = 16) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 47 32 3f 84 33 1b 5d 3f | 90 75 03 6b 8d d1 53 02 - ipv4[0] : 16 : f9 ce b9 e1 51 06 7f 63 | 9f c2 8b 46 0b cc c5 90 - ipv4[0] : 32 : 06 84 3f a3 c4 40 60 3c | + ipv4[0] : 0 : 36 91 29 16 1e 81 e9 d0 | a5 71 29 54 b4 04 a0 85 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6[0] : [1072 : 1075] : 134 : version : 4'h6 - ipv6[0] : [1076 : 1083] : 134 : tos : 8'h41 - ipv6[0] : [1084 : 1103] : 135 : flow_label : 20'h4d464 - ipv6[0] : [1104 : 1119] : 138 : payload_len : 16'h16 - ipv6[0] : [1120 : 1127] : 140 : protocol : 8'h2 (IGMP) - ipv6[0] : [1128 : 1135] : 141 : ttl : 8'hdb - ipv6[0] : [1136 : 1263] : 142 : ip6_sa : 128'h9cc4bb2ebc3cb6f52ae0eacaa2b1a198 - ipv6[0] : [1264 : 1391] : 158 : ip6_da : 128'hc1481b813fc045f95f41089e7cfd45f9 - igmp[0] : [1392 : 1399] : 174 : igmp_type : 8'h17 - igmp[0] : [1400 : 1407] : 175 : max_res_code : 8'hfe - igmp[0] : [1408 : 1423] : 176 : checksum : 16'hfb5b (GOOD) - igmp[0] : [1424 : 1439] : 178 : group_addr : 16'h996f1a64 - data[0] : data_len : 14 (data => 17 ba ae c1 ..) + ipv6[0] : [ 816 : 819] : 102 : version : 4'h6 + ipv6[0] : [ 820 : 827] : 102 : tos : 8'h2c + ipv6[0] : [ 828 : 847] : 103 : flow_label : 20'h8b24f + ipv6[0] : [ 848 : 863] : 106 : payload_len : 16'hc + ipv6[0] : [ 864 : 871] : 108 : protocol : 8'h2 (IGMP) + ipv6[0] : [ 872 : 879] : 109 : ttl : 8'hbc + ipv6[0] : [ 880 : 1007] : 110 : ip6_sa : 128'hfbead343af064a463263fef78f04d8f + ipv6[0] : [1008 : 1135] : 126 : ip6_da : 128'hc7a1af8d1d48fc06823722c3e72ac65e + igmp[0] : [1136 : 1143] : 142 : igmp_type : 8'h11 + igmp[0] : [1144 : 1151] : 143 : max_res_code : 8'h80 + igmp[0] : [1152 : 1167] : 144 : checksum : 16'h4378 (GOOD) + igmp[0] : [1168 : 1199] : 146 : group_addr : 32'h627b3ecd + data[0] : data_len : 4 (data => ac b0 5d 0e ) toh : pad_len : 0 - toh : [1552 : 1583] : 194 : crc : 32'h1785ba35 (GOOD) + toh : [1232 : 1263] : 154 : crc32 : 32'hf6192f3f (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 8a 5b 30 bc c8 7c 00 d9 | 05 ca d9 9e 82 00 b9 77 - pkt_lib : 16 : 22 f3 0a 17 3f eb b4 77 | 01 45 a8 2d de e1 77 0a - pkt_lib : 32 : 4e e5 11 3d 85 6f a8 35 | 74 21 4b db 3a 9a 17 84 - pkt_lib : 48 : 35 b6 7b 2e 4b f1 74 68 | ff bb de a4 7b e4 a7 f8 - pkt_lib : 64 : 5c cb 0d 0a 82 00 14 00 | 08 00 4f d6 00 7a 2d 3f - pkt_lib : 80 : 40 00 59 29 37 d3 13 54 | 90 b9 68 ba 2d c1 47 32 - pkt_lib : 96 : 3f 84 33 1b 5d 3f 90 75 | 03 6b 8d d1 53 02 f9 ce - pkt_lib : 112 : b9 e1 51 06 7f 63 9f c2 | 8b 46 0b cc c5 90 06 84 - pkt_lib : 128 : 3f a3 c4 40 60 3c 64 14 | d4 64 00 16 02 db 9c c4 - pkt_lib : 144 : bb 2e bc 3c b6 f5 2a e0 | ea ca a2 b1 a1 98 c1 48 - pkt_lib : 160 : 1b 81 3f c0 45 f9 5f 41 | 08 9e 7c fd 45 f9 17 fe - pkt_lib : 176 : 00 00 99 6f 1a 64 17 ba | ae c1 67 77 26 75 2d 05 - pkt_lib : 192 : c0 82 f6 e1 17 85 ba 35 | + pkt_lib : 0 : d1 28 cb 68 5f d5 91 b8 | 87 69 2f 41 82 00 44 65 + pkt_lib : 16 : 22 f3 09 b5 1c 05 2b 22 | 17 c5 83 7d 76 36 2f 68 + pkt_lib : 32 : d5 21 85 39 a1 f2 fb 0c | 31 2b 17 5c b3 cc 63 09 + pkt_lib : 48 : a1 85 e1 45 c4 25 df 80 | 42 ed 43 e7 82 00 ba b8 + pkt_lib : 64 : 08 00 49 97 00 58 7b e4 | 03 6e 64 29 4e d5 08 79 + pkt_lib : 80 : 89 f2 b6 84 af 85 36 91 | 29 16 1e 81 e9 d0 a5 71 + pkt_lib : 96 : 29 54 b4 04 a0 85 62 c8 | b2 4f 00 0c 02 bc 0f be + pkt_lib : 112 : ad 34 3a f0 64 a4 63 26 | 3f ef 78 f0 4d 8f c7 a1 + pkt_lib : 128 : af 8d 1d 48 fc 06 82 37 | 22 c3 e7 2a c6 5e 11 80 + pkt_lib : 144 : 00 00 62 7b 3e cd ac b0 | 5d 0e f6 19 2f 3f pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 200) + pkt_lib : (Total Len = 158) 0 : INFO : TEST : Unpack Pkt 9 - cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} - toh : plen : 200 + cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} (IEEE802) + toh : plen : 158 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h8a5b30bcc87c - eth[0] : [ 48 : 95] : 6 : sa : 48'hd905cad99e + eth[0] : [ 0 : 47] : 0 : da : 48'hd128cb685fd5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h91b887692f41 eth[0] : [ 96 : 111] : 12 : etype : 16'h8200 (ALT1Q) - alt1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h977 + alt1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h465 alt1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 trill[0] : [ 148 : 148] : 18 : M : 1'h1 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h8 - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h17 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h3feb - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hb477 - trill[0] : : 24 : options : (Total Len = 32) + trill[0] : [ 149 : 153] : 18 : op_length : 5'h6 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h35 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1c05 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'h2b22 + trill[0] : : 24 : options : (Total Len = 24) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : 01 45 a8 2d de e1 77 0a | 4e e5 11 3d 85 6f a8 35 - trill[0] : 16 : 74 21 4b db 3a 9a 17 84 | 35 b6 7b 2e 4b f1 74 68 + trill[0] : 0 : 17 c5 83 7d 76 36 2f 68 | d5 21 85 39 a1 f2 fb 0c + trill[0] : 16 : 31 2b 17 5c b3 cc 63 09 | trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [ 448 : 495] : 56 : da : 48'hffbbdea47be4 - eth[1] : [ 496 : 543] : 62 : sa : 48'ha7f85ccb0d0a - eth[1] : [ 544 : 559] : 68 : etype : 16'h8200 (ALT1Q) - alt1q[1] : [ 560 : 562] : 70 : cos : 3'h0 - alt1q[1] : [ 563 : 563] : 70 : cfi : 1'h1 - alt1q[1] : [ 564 : 575] : 70 : vlan : 12'h400 - alt1q[1] : [ 576 : 591] : 72 : etype : 16'h800 (IPV4) - ipv4[0] : [ 592 : 595] : 74 : version : 4'h4 - ipv4[0] : [ 596 : 599] : 74 : ihl : 4'hf - ipv4[0] : [ 600 : 607] : 75 : tos : 8'hd6 - ipv4[0] : [ 608 : 623] : 76 : total_length : 16'h7a - ipv4[0] : [ 624 : 639] : 78 : id : 16'h2d3f - ipv4[0] : [ 640 : 640] : 80 : reserved : 1'h0 - ipv4[0] : [ 641 : 641] : 80 : df : 1'h1 - ipv4[0] : [ 642 : 642] : 80 : mf : 1'h0 - ipv4[0] : [ 643 : 655] : 80 : frag_offset : 13'h0 - ipv4[0] : [ 656 : 663] : 82 : ttl : 8'h59 - ipv4[0] : [ 664 : 671] : 83 : protocol : 8'h29 (IPV6) - ipv4[0] : [ 672 : 687] : 84 : checksum : 16'h37d3 (GOOD) - ipv4[0] : [ 688 : 719] : 86 : ip_sa : 32'h135490b9 - ipv4[0] : [ 720 : 751] : 90 : ip_da : 32'h68ba2dc1 - ipv4[0] : : 94 : options : (Total Len = 40) + eth[1] : [ 384 : 431] : 48 : da : 48'ha185e145c425 + eth[1] : [ 432 : 479] : 54 : sa : 48'hdf8042ed43e7 + eth[1] : [ 480 : 495] : 60 : etype : 16'h8200 (ALT1Q) + alt1q[1] : [ 496 : 498] : 62 : cos : 3'h5 + alt1q[1] : [ 499 : 499] : 62 : cfi : 1'h1 + alt1q[1] : [ 500 : 511] : 62 : vlan : 12'hab8 + alt1q[1] : [ 512 : 527] : 64 : etype : 16'h800 (IPV4) + ipv4[0] : [ 528 : 531] : 66 : version : 4'h4 + ipv4[0] : [ 532 : 535] : 66 : ihl : 4'h9 + ipv4[0] : [ 536 : 543] : 67 : tos : 8'h97 + ipv4[0] : [ 544 : 559] : 68 : total_length : 16'h58 + ipv4[0] : [ 560 : 575] : 70 : id : 16'h7be4 + ipv4[0] : [ 576 : 576] : 72 : reserved : 1'h0 + ipv4[0] : [ 577 : 577] : 72 : df : 1'h0 + ipv4[0] : [ 578 : 578] : 72 : mf : 1'h0 + ipv4[0] : [ 579 : 591] : 72 : frag_offset : 13'h36e + ipv4[0] : [ 592 : 599] : 74 : ttl : 8'h64 + ipv4[0] : [ 600 : 607] : 75 : protocol : 8'h29 (IPV6) + ipv4[0] : [ 608 : 623] : 76 : checksum : 16'h4ed5 (GOOD) + ipv4[0] : [ 624 : 655] : 78 : ip_sa : 32'h87989f2 + ipv4[0] : [ 656 : 687] : 82 : ip_da : 32'hb684af85 + ipv4[0] : : 86 : options : (Total Len = 16) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 47 32 3f 84 33 1b 5d 3f | 90 75 03 6b 8d d1 53 02 - ipv4[0] : 16 : f9 ce b9 e1 51 06 7f 63 | 9f c2 8b 46 0b cc c5 90 - ipv4[0] : 32 : 06 84 3f a3 c4 40 60 3c | + ipv4[0] : 0 : 36 91 29 16 1e 81 e9 d0 | a5 71 29 54 b4 04 a0 85 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6[0] : [1072 : 1075] : 134 : version : 4'h6 - ipv6[0] : [1076 : 1083] : 134 : tos : 8'h41 - ipv6[0] : [1084 : 1103] : 135 : flow_label : 20'h4d464 - ipv6[0] : [1104 : 1119] : 138 : payload_len : 16'h16 - ipv6[0] : [1120 : 1127] : 140 : protocol : 8'h2 (IGMP) - ipv6[0] : [1128 : 1135] : 141 : ttl : 8'hdb - ipv6[0] : [1136 : 1263] : 142 : ip6_sa : 128'h9cc4bb2ebc3cb6f52ae0eacaa2b1a198 - ipv6[0] : [1264 : 1391] : 158 : ip6_da : 128'hc1481b813fc045f95f41089e7cfd45f9 - igmp[0] : [1392 : 1399] : 174 : igmp_type : 8'h17 - igmp[0] : [1400 : 1407] : 175 : max_res_code : 8'hfe - igmp[0] : [1408 : 1423] : 176 : checksum : 16'h0 (GOOD) - igmp[0] : [1424 : 1439] : 178 : group_addr : 16'h996f1a64 - data[0] : data_len : 14 (data => 17 ba ae c1 ..) + ipv6[0] : [ 816 : 819] : 102 : version : 4'h6 + ipv6[0] : [ 820 : 827] : 102 : tos : 8'h2c + ipv6[0] : [ 828 : 847] : 103 : flow_label : 20'h8b24f + ipv6[0] : [ 848 : 863] : 106 : payload_len : 16'hc + ipv6[0] : [ 864 : 871] : 108 : protocol : 8'h2 (IGMP) + ipv6[0] : [ 872 : 879] : 109 : ttl : 8'hbc + ipv6[0] : [ 880 : 1007] : 110 : ip6_sa : 128'hfbead343af064a463263fef78f04d8f + ipv6[0] : [1008 : 1135] : 126 : ip6_da : 128'hc7a1af8d1d48fc06823722c3e72ac65e + igmp[0] : [1136 : 1143] : 142 : igmp_type : 8'h11 + igmp[0] : [1144 : 1151] : 143 : max_res_code : 8'h80 + igmp[0] : [1152 : 1167] : 144 : checksum : 16'h0 (GOOD) + igmp[0] : [1168 : 1199] : 146 : group_addr : 32'h627b3ecd + data[0] : data_len : 4 (data => ac b0 5d 0e ) toh : pad_len : 0 - toh : [1552 : 1583] : 194 : crc : 32'h1785ba35 (GOOD) + toh : [1232 : 1263] : 154 : crc32 : 32'hf6192f3f (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 8a 5b 30 bc c8 7c 00 d9 | 05 ca d9 9e 82 00 b9 77 - pkt_lib : 16 : 22 f3 0a 17 3f eb b4 77 | 01 45 a8 2d de e1 77 0a - pkt_lib : 32 : 4e e5 11 3d 85 6f a8 35 | 74 21 4b db 3a 9a 17 84 - pkt_lib : 48 : 35 b6 7b 2e 4b f1 74 68 | ff bb de a4 7b e4 a7 f8 - pkt_lib : 64 : 5c cb 0d 0a 82 00 14 00 | 08 00 4f d6 00 7a 2d 3f - pkt_lib : 80 : 40 00 59 29 37 d3 13 54 | 90 b9 68 ba 2d c1 47 32 - pkt_lib : 96 : 3f 84 33 1b 5d 3f 90 75 | 03 6b 8d d1 53 02 f9 ce - pkt_lib : 112 : b9 e1 51 06 7f 63 9f c2 | 8b 46 0b cc c5 90 06 84 - pkt_lib : 128 : 3f a3 c4 40 60 3c 64 14 | d4 64 00 16 02 db 9c c4 - pkt_lib : 144 : bb 2e bc 3c b6 f5 2a e0 | ea ca a2 b1 a1 98 c1 48 - pkt_lib : 160 : 1b 81 3f c0 45 f9 5f 41 | 08 9e 7c fd 45 f9 17 fe - pkt_lib : 176 : 00 00 99 6f 1a 64 17 ba | ae c1 67 77 26 75 2d 05 - pkt_lib : 192 : c0 82 f6 e1 17 85 ba 35 | + pkt_lib : 0 : d1 28 cb 68 5f d5 91 b8 | 87 69 2f 41 82 00 44 65 + pkt_lib : 16 : 22 f3 09 b5 1c 05 2b 22 | 17 c5 83 7d 76 36 2f 68 + pkt_lib : 32 : d5 21 85 39 a1 f2 fb 0c | 31 2b 17 5c b3 cc 63 09 + pkt_lib : 48 : a1 85 e1 45 c4 25 df 80 | 42 ed 43 e7 82 00 ba b8 + pkt_lib : 64 : 08 00 49 97 00 58 7b e4 | 03 6e 64 29 4e d5 08 79 + pkt_lib : 80 : 89 f2 b6 84 af 85 36 91 | 29 16 1e 81 e9 d0 a5 71 + pkt_lib : 96 : 29 54 b4 04 a0 85 62 c8 | b2 4f 00 0c 02 bc 0f be + pkt_lib : 112 : ad 34 3a f0 64 a4 63 26 | 3f ef 78 f0 4d 8f c7 a1 + pkt_lib : 128 : af 8d 1d 48 fc 06 82 37 | 22 c3 e7 2a c6 5e 11 80 + pkt_lib : 144 : 00 00 62 7b 3e cd ac b0 | 5d 0e f6 19 2f 3f pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 200) + pkt_lib : (Total Len = 158) 0 : INFO : TEST : Copy Pkt 9 - cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} - toh : plen : 200 + cfg_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} (IEEE802) + toh : plen : 158 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h8a5b30bcc87c - eth[0] : [ 48 : 95] : 6 : sa : 48'hd905cad99e + eth[0] : [ 0 : 47] : 0 : da : 48'hd128cb685fd5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h91b887692f41 eth[0] : [ 96 : 111] : 12 : etype : 16'h8200 (ALT1Q) - alt1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h977 + alt1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h465 alt1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) trill[0] : [ 144 : 145] : 18 : V : 2'h0 trill[0] : [ 146 : 147] : 18 : R : 2'h0 trill[0] : [ 148 : 148] : 18 : M : 1'h1 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h8 - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h17 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h3feb - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hb477 - trill[0] : : 24 : options : (Total Len = 32) + trill[0] : [ 149 : 153] : 18 : op_length : 5'h6 + trill[0] : [ 154 : 159] : 19 : hop_count : 6'h35 + trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h1c05 + trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'h2b22 + trill[0] : : 24 : options : (Total Len = 24) trill[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - trill[0] : 0 : 01 45 a8 2d de e1 77 0a | 4e e5 11 3d 85 6f a8 35 - trill[0] : 16 : 74 21 4b db 3a 9a 17 84 | 35 b6 7b 2e 4b f1 74 68 + trill[0] : 0 : 17 c5 83 7d 76 36 2f 68 | d5 21 85 39 a1 f2 fb 0c + trill[0] : 16 : 31 2b 17 5c b3 cc 63 09 | trill[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - eth[1] : [ 448 : 495] : 56 : da : 48'hffbbdea47be4 - eth[1] : [ 496 : 543] : 62 : sa : 48'ha7f85ccb0d0a - eth[1] : [ 544 : 559] : 68 : etype : 16'h8200 (ALT1Q) - alt1q[1] : [ 560 : 562] : 70 : cos : 3'h0 - alt1q[1] : [ 563 : 563] : 70 : cfi : 1'h1 - alt1q[1] : [ 564 : 575] : 70 : vlan : 12'h400 - alt1q[1] : [ 576 : 591] : 72 : etype : 16'h800 (IPV4) - ipv4[0] : [ 592 : 595] : 74 : version : 4'h4 - ipv4[0] : [ 596 : 599] : 74 : ihl : 4'hf - ipv4[0] : [ 600 : 607] : 75 : tos : 8'hd6 - ipv4[0] : [ 608 : 623] : 76 : total_length : 16'h7a - ipv4[0] : [ 624 : 639] : 78 : id : 16'h2d3f - ipv4[0] : [ 640 : 640] : 80 : reserved : 1'h0 - ipv4[0] : [ 641 : 641] : 80 : df : 1'h1 - ipv4[0] : [ 642 : 642] : 80 : mf : 1'h0 - ipv4[0] : [ 643 : 655] : 80 : frag_offset : 13'h0 - ipv4[0] : [ 656 : 663] : 82 : ttl : 8'h59 - ipv4[0] : [ 664 : 671] : 83 : protocol : 8'h29 (IPV6) - ipv4[0] : [ 672 : 687] : 84 : checksum : 16'h37d3 (GOOD) - ipv4[0] : [ 688 : 719] : 86 : ip_sa : 32'h135490b9 - ipv4[0] : [ 720 : 751] : 90 : ip_da : 32'h68ba2dc1 - ipv4[0] : : 94 : options : (Total Len = 40) + eth[1] : [ 384 : 431] : 48 : da : 48'ha185e145c425 + eth[1] : [ 432 : 479] : 54 : sa : 48'hdf8042ed43e7 + eth[1] : [ 480 : 495] : 60 : etype : 16'h8200 (ALT1Q) + alt1q[1] : [ 496 : 498] : 62 : cos : 3'h5 + alt1q[1] : [ 499 : 499] : 62 : cfi : 1'h1 + alt1q[1] : [ 500 : 511] : 62 : vlan : 12'hab8 + alt1q[1] : [ 512 : 527] : 64 : etype : 16'h800 (IPV4) + ipv4[0] : [ 528 : 531] : 66 : version : 4'h4 + ipv4[0] : [ 532 : 535] : 66 : ihl : 4'h9 + ipv4[0] : [ 536 : 543] : 67 : tos : 8'h97 + ipv4[0] : [ 544 : 559] : 68 : total_length : 16'h58 + ipv4[0] : [ 560 : 575] : 70 : id : 16'h7be4 + ipv4[0] : [ 576 : 576] : 72 : reserved : 1'h0 + ipv4[0] : [ 577 : 577] : 72 : df : 1'h0 + ipv4[0] : [ 578 : 578] : 72 : mf : 1'h0 + ipv4[0] : [ 579 : 591] : 72 : frag_offset : 13'h36e + ipv4[0] : [ 592 : 599] : 74 : ttl : 8'h64 + ipv4[0] : [ 600 : 607] : 75 : protocol : 8'h29 (IPV6) + ipv4[0] : [ 608 : 623] : 76 : checksum : 16'h4ed5 (GOOD) + ipv4[0] : [ 624 : 655] : 78 : ip_sa : 32'h87989f2 + ipv4[0] : [ 656 : 687] : 82 : ip_da : 32'hb684af85 + ipv4[0] : : 86 : options : (Total Len = 16) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 47 32 3f 84 33 1b 5d 3f | 90 75 03 6b 8d d1 53 02 - ipv4[0] : 16 : f9 ce b9 e1 51 06 7f 63 | 9f c2 8b 46 0b cc c5 90 - ipv4[0] : 32 : 06 84 3f a3 c4 40 60 3c | + ipv4[0] : 0 : 36 91 29 16 1e 81 e9 d0 | a5 71 29 54 b4 04 a0 85 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6[0] : [1072 : 1075] : 134 : version : 4'h6 - ipv6[0] : [1076 : 1083] : 134 : tos : 8'h41 - ipv6[0] : [1084 : 1103] : 135 : flow_label : 20'h4d464 - ipv6[0] : [1104 : 1119] : 138 : payload_len : 16'h16 - ipv6[0] : [1120 : 1127] : 140 : protocol : 8'h2 (IGMP) - ipv6[0] : [1128 : 1135] : 141 : ttl : 8'hdb - ipv6[0] : [1136 : 1263] : 142 : ip6_sa : 128'h9cc4bb2ebc3cb6f52ae0eacaa2b1a198 - ipv6[0] : [1264 : 1391] : 158 : ip6_da : 128'hc1481b813fc045f95f41089e7cfd45f9 - igmp[0] : [1392 : 1399] : 174 : igmp_type : 8'h17 - igmp[0] : [1400 : 1407] : 175 : max_res_code : 8'hfe - igmp[0] : [1408 : 1423] : 176 : checksum : 16'h0 (GOOD) - igmp[0] : [1424 : 1439] : 178 : group_addr : 16'h996f1a64 - data[0] : data_len : 14 (data => 17 ba ae c1 ..) + ipv6[0] : [ 816 : 819] : 102 : version : 4'h6 + ipv6[0] : [ 820 : 827] : 102 : tos : 8'h2c + ipv6[0] : [ 828 : 847] : 103 : flow_label : 20'h8b24f + ipv6[0] : [ 848 : 863] : 106 : payload_len : 16'hc + ipv6[0] : [ 864 : 871] : 108 : protocol : 8'h2 (IGMP) + ipv6[0] : [ 872 : 879] : 109 : ttl : 8'hbc + ipv6[0] : [ 880 : 1007] : 110 : ip6_sa : 128'hfbead343af064a463263fef78f04d8f + ipv6[0] : [1008 : 1135] : 126 : ip6_da : 128'hc7a1af8d1d48fc06823722c3e72ac65e + igmp[0] : [1136 : 1143] : 142 : igmp_type : 8'h11 + igmp[0] : [1144 : 1151] : 143 : max_res_code : 8'h80 + igmp[0] : [1152 : 1167] : 144 : checksum : 16'h0 (GOOD) + igmp[0] : [1168 : 1199] : 146 : group_addr : 32'h627b3ecd + data[0] : data_len : 4 (data => ac b0 5d 0e ) toh : pad_len : 0 - toh : [1552 : 1583] : 194 : crc : 32'h1785ba35 (GOOD) + toh : [1232 : 1263] : 154 : crc32 : 32'hf6192f3f (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 8a 5b 30 bc c8 7c 00 d9 | 05 ca d9 9e 82 00 b9 77 - pkt_lib : 16 : 22 f3 0a 17 3f eb b4 77 | 01 45 a8 2d de e1 77 0a - pkt_lib : 32 : 4e e5 11 3d 85 6f a8 35 | 74 21 4b db 3a 9a 17 84 - pkt_lib : 48 : 35 b6 7b 2e 4b f1 74 68 | ff bb de a4 7b e4 a7 f8 - pkt_lib : 64 : 5c cb 0d 0a 82 00 14 00 | 08 00 4f d6 00 7a 2d 3f - pkt_lib : 80 : 40 00 59 29 37 d3 13 54 | 90 b9 68 ba 2d c1 47 32 - pkt_lib : 96 : 3f 84 33 1b 5d 3f 90 75 | 03 6b 8d d1 53 02 f9 ce - pkt_lib : 112 : b9 e1 51 06 7f 63 9f c2 | 8b 46 0b cc c5 90 06 84 - pkt_lib : 128 : 3f a3 c4 40 60 3c 64 14 | d4 64 00 16 02 db 9c c4 - pkt_lib : 144 : bb 2e bc 3c b6 f5 2a e0 | ea ca a2 b1 a1 98 c1 48 - pkt_lib : 160 : 1b 81 3f c0 45 f9 5f 41 | 08 9e 7c fd 45 f9 17 fe - pkt_lib : 176 : 00 00 99 6f 1a 64 17 ba | ae c1 67 77 26 75 2d 05 - pkt_lib : 192 : c0 82 f6 e1 17 85 ba 35 | + pkt_lib : 0 : d1 28 cb 68 5f d5 91 b8 | 87 69 2f 41 82 00 44 65 + pkt_lib : 16 : 22 f3 09 b5 1c 05 2b 22 | 17 c5 83 7d 76 36 2f 68 + pkt_lib : 32 : d5 21 85 39 a1 f2 fb 0c | 31 2b 17 5c b3 cc 63 09 + pkt_lib : 48 : a1 85 e1 45 c4 25 df 80 | 42 ed 43 e7 82 00 ba b8 + pkt_lib : 64 : 08 00 49 97 00 58 7b e4 | 03 6e 64 29 4e d5 08 79 + pkt_lib : 80 : 89 f2 b6 84 af 85 36 91 | 29 16 1e 81 e9 d0 a5 71 + pkt_lib : 96 : 29 54 b4 04 a0 85 62 c8 | b2 4f 00 0c 02 bc 0f be + pkt_lib : 112 : ad 34 3a f0 64 a4 63 26 | 3f ef 78 f0 4d 8f c7 a1 + pkt_lib : 128 : af 8d 1d 48 fc 06 82 37 | 22 c3 e7 2a c6 5e 11 80 + pkt_lib : 144 : 00 00 62 7b 3e cd ac b0 | 5d 0e f6 19 2f 3f pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 200) + pkt_lib : (Total Len = 158) 0 : INFO : TEST : Compare Pkt 9 - cmp_hdr : {eth[0], alt1q[0], trill[0], eth[1], alt1q[1], ipv4[0], ipv6[0], igmp[0], data[0]} - toh : plen : 200 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h8a5b30bcc87c - eth[0] : [ 48 : 95] : 6 : sa : 48'hd905cad99e - eth[0] : [ 96 : 111] : 12 : etype : 16'h8200 (ALT1Q) - alt1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - alt1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - alt1q[0] : [ 116 : 127] : 14 : vlan : 12'h977 - alt1q[0] : [ 128 : 143] : 16 : etype : 16'h22f3 (TRILL) - trill[0] : [ 144 : 145] : 18 : V : 2'h0 - trill[0] : [ 146 : 147] : 18 : R : 2'h0 - trill[0] : [ 148 : 148] : 18 : M : 1'h1 - trill[0] : [ 149 : 153] : 18 : op_length : 5'h8 - trill[0] : [ 154 : 159] : 19 : hop_count : 6'h17 - trill[0] : [ 160 : 175] : 20 : egr_rb_nname : 16'h3feb - trill[0] : [ 176 : 191] : 22 : igr_rb_nname : 16'hb477 - trill[0] : options : Matched :-) Length Rcv => 32 Exp => 32 - eth[1] : [ 448 : 495] : 56 : da : 48'hffbbdea47be4 - eth[1] : [ 496 : 543] : 62 : sa : 48'ha7f85ccb0d0a - eth[1] : [ 544 : 559] : 68 : etype : 16'h8200 (ALT1Q) - alt1q[1] : [ 560 : 562] : 70 : cos : 3'h0 - alt1q[1] : [ 563 : 563] : 70 : cfi : 1'h1 - alt1q[1] : [ 564 : 575] : 70 : vlan : 12'h400 - alt1q[1] : [ 576 : 591] : 72 : etype : 16'h800 (IPV4) - ipv4[0] : [ 592 : 595] : 74 : version : 4'h4 - ipv4[0] : [ 596 : 599] : 74 : ihl : 4'hf - ipv4[0] : [ 600 : 607] : 75 : tos : 8'hd6 - ipv4[0] : [ 608 : 623] : 76 : total_length : 16'h7a - ipv4[0] : [ 624 : 639] : 78 : id : 16'h2d3f - ipv4[0] : [ 640 : 640] : 80 : reserved : 1'h0 - ipv4[0] : [ 641 : 641] : 80 : df : 1'h1 - ipv4[0] : [ 642 : 642] : 80 : mf : 1'h0 - ipv4[0] : [ 643 : 655] : 80 : frag_offset : 13'h0 - ipv4[0] : [ 656 : 663] : 82 : ttl : 8'h59 - ipv4[0] : [ 664 : 671] : 83 : protocol : 8'h29 (IPV6) - ipv4[0] : [ 672 : 687] : 84 : checksum : 16'h37d3 (GOOD) - ipv4[0] : [ 688 : 719] : 86 : ip_sa : 32'h135490b9 - ipv4[0] : [ 720 : 751] : 90 : ip_da : 32'h68ba2dc1 - ipv4[0] : options : Matched :-) Length Rcv => 40 Exp => 40 - ipv6[0] : [1072 : 1075] : 134 : version : 4'h6 - ipv6[0] : [1076 : 1083] : 134 : tos : 8'h41 - ipv6[0] : [1084 : 1103] : 135 : flow_label : 20'h4d464 - ipv6[0] : [1104 : 1119] : 138 : payload_len : 16'h16 - ipv6[0] : [1120 : 1127] : 140 : protocol : 8'h2 (IGMP) - ipv6[0] : [1128 : 1135] : 141 : ttl : 8'hdb - ipv6[0] : [1136 : 1263] : 142 : ip6_sa : 128'h9cc4bb2ebc3cb6f52ae0eacaa2b1a198 - ipv6[0] : [1264 : 1391] : 158 : ip6_da : 128'hc1481b813fc045f95f41089e7cfd45f9 - igmp[0] : [1392 : 1399] : 174 : igmp_type : 8'h17 - igmp[0] : [1400 : 1407] : 175 : max_res_code : 8'hfe - igmp[0] : [1408 : 1423] : 176 : checksum : 16'h0 (GOOD) - igmp[0] : [1424 : 1439] : 178 : group_addr : 16'h996f1a64 - data[0] : data_len : 14 (data => 17 ba ae c1 ..) - toh : pad_len : 0 - toh : [1552 : 1583] : 194 : crc : 32'h1785ba35 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 200 Exp => 200 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 158 Exp => 158 0 : INFO : TEST : Pack Pkt 10 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} - toh : plen : 150 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'haaf129de46e1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h82e3b2df3aac + eth[0] : [ 0 : 47] : 0 : da : 48'hcaab1879bf79 + eth[0] : [ 48 : 95] : 6 : sa : 48'h6b8debbc435f eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h201 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he9d dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h4d36 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h5 + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'hb7f11 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h9a - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'hc56fc (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h2 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h4c + mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h3 mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h30 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h2 (IPV6 Explicit Null) + mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h79 + mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h45bb4 (UNKNOWN) mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h6 mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'hf6 + mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h86 ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h8a - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h84583 - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h4c + ipv6[0] : [ 244 : 251] : 30 : tos : 8'heb + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h57b72 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h51 ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h85 - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h7699a4e3eed4235b4c097b89ea87d39c - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h4656f8170d5ef62b0b6da2c586d95875 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hc794 - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2345 (VXLAN) - udp[0] : [ 592 : 607] : 74 : length : 16'h4c - udp[0] : [ 608 : 623] : 76 : checksum : 16'hdb87 (GOOD) - vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b1000 - vxlan[0] : [ 628 : 628] : 78 : I : 1'b0 - vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b10 - vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h17a0f8 - vxlan[0] : [ 656 : 679] : 82 : vni : 24'h425b8 - vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'h9c - eth[1] : [ 688 : 735] : 86 : da : 48'h89b587683483 - eth[1] : [ 736 : 783] : 92 : sa : 48'h7a3cf46e2988 + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'hb6 + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h418ce64742783dc89ba984ae6229a9f5 + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'hdbaa004038e120b4c4451edb3b3f506b + udp[0] : [ 560 : 575] : 70 : src_prt : 16'haf7b + udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (VXLAN) + udp[0] : [ 592 : 607] : 74 : length : 16'h51 + udp[0] : [ 608 : 623] : 76 : checksum : 16'h788c (GOOD) + vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b111 + vxlan[0] : [ 628 : 628] : 78 : I : 1'b1 + vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b1 + vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h832409 + vxlan[0] : [ 656 : 679] : 82 : vni : 24'hd0874a + vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'hff + eth[1] : [ 688 : 735] : 86 : da : 48'h87d37300360b + eth[1] : [ 736 : 783] : 92 : sa : 48'hcd9829423461 eth[1] : [ 784 : 799] : 98 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 800 : 802] : 100 : cos : 3'h1 - dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h0 - dot1q[1] : [ 804 : 815] : 100 : vlan : 12'h5c3 + dot1q[1] : [ 800 : 802] : 100 : cos : 3'h4 + dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h1 + dot1q[1] : [ 804 : 815] : 100 : vlan : 12'hb19 dot1q[1] : [ 816 : 831] : 102 : etype : 16'h806 (ARP) arp[0] : [ 832 : 847] : 104 : htype : 16'h1 arp[0] : [ 848 : 863] : 106 : ptype : 16'h800 arp[0] : [ 864 : 871] : 108 : hlen : 8'h6 arp[0] : [ 872 : 879] : 109 : plen : 8'h4 - arp[0] : [ 880 : 895] : 110 : opcode : 16'h3146 - arp[0] : [ 896 : 943] : 112 : sha : 48'hfd0dcdc107a3 - arp[0] : [ 944 : 975] : 118 : spa : 32'hc03dd758 - arp[0] : [ 976 : 1023] : 122 : dha : 48'hf0455f983a8d - arp[0] : [1024 : 1055] : 128 : dpa : 32'h28f867f - data[0] : data_len : 14 (data => 96 d1 03 c8 ..) + arp[0] : [ 880 : 895] : 110 : opcode : 16'h8d34 + arp[0] : [ 896 : 943] : 112 : sha : 48'hfbc6fdfa8b3e + arp[0] : [ 944 : 975] : 118 : spa : 32'ha9c3046 + arp[0] : [ 976 : 1023] : 122 : dha : 48'h331c599f5d0f + arp[0] : [1024 : 1055] : 128 : dpa : 32'had0e843a + data[0] : data_len : 19 (data => 91 b9 58 49 ..) toh : pad_len : 0 - toh : [1168 : 1199] : 146 : crc : 32'h29384780 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h6317c488 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : aa f1 29 de 46 e1 82 e3 | b2 df 3a ac 81 00 82 01 - pkt_lib : 16 : 88 47 04 d3 6a 9a c5 6f | c4 30 00 00 2d f6 68 a8 - pkt_lib : 32 : 45 83 00 4c 11 85 76 99 | a4 e3 ee d4 23 5b 4c 09 - pkt_lib : 48 : 7b 89 ea 87 d3 9c 46 56 | f8 17 0d 5e f6 2b 0b 6d - pkt_lib : 64 : a2 c5 86 d9 58 75 c7 94 | 23 45 00 4c db 87 82 17 - pkt_lib : 80 : a0 f8 04 25 b8 9c 89 b5 | 87 68 34 83 7a 3c f4 6e - pkt_lib : 96 : 29 88 81 00 25 c3 08 06 | 00 01 08 00 06 04 31 46 - pkt_lib : 112 : fd 0d cd c1 07 a3 c0 3d | d7 58 f0 45 5f 98 3a 8d - pkt_lib : 128 : 02 8f 86 7f 96 d1 03 c8 | cc 2c 81 67 9b f7 05 82 - pkt_lib : 144 : 03 30 29 38 47 80 + pkt_lib : 0 : ca ab 18 79 bf 79 6b 8d | eb bc 43 5f 81 00 4e 9d + pkt_lib : 16 : 88 47 b7 f1 18 4c 00 00 | 16 79 45 bb 4d 86 6e b5 + pkt_lib : 32 : 7b 72 00 51 11 b6 41 8c | e6 47 42 78 3d c8 9b a9 + pkt_lib : 48 : 84 ae 62 29 a9 f5 db aa | 00 40 38 e1 20 b4 c4 45 + pkt_lib : 64 : 1e db 3b 3f 50 6b af 7b | 21 18 00 51 78 8c 79 83 + pkt_lib : 80 : 24 09 d0 87 4a ff 87 d3 | 73 00 36 0b cd 98 29 42 + pkt_lib : 96 : 34 61 81 00 9b 19 08 06 | 00 01 08 00 06 04 8d 34 + pkt_lib : 112 : fb c6 fd fa 8b 3e 0a 9c | 30 46 33 1c 59 9f 5d 0f + pkt_lib : 128 : ad 0e 84 3a 91 b9 58 49 | 93 bf 92 89 dd 27 cb c9 + pkt_lib : 144 : 50 c1 ff d6 4b ff 38 63 | 17 c4 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 150) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Unpack Pkt 10 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} - toh : plen : 150 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'haaf129de46e1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h82e3b2df3aac + eth[0] : [ 0 : 47] : 0 : da : 48'hcaab1879bf79 + eth[0] : [ 48 : 95] : 6 : sa : 48'h6b8debbc435f eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h201 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he9d dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h4d36 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h5 + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'hb7f11 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h9a - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'hc56fc (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h2 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h4c + mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h3 mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h30 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h2 (IPV6 Explicit Null) + mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h79 + mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h45bb4 (UNKNOWN) mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h6 mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'hf6 + mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h86 ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h8a - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h84583 - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h4c + ipv6[0] : [ 244 : 251] : 30 : tos : 8'heb + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h57b72 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h51 ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h85 - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h7699a4e3eed4235b4c097b89ea87d39c - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h4656f8170d5ef62b0b6da2c586d95875 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hc794 - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2345 (VXLAN) - udp[0] : [ 592 : 607] : 74 : length : 16'h4c - udp[0] : [ 608 : 623] : 76 : checksum : 16'hdb87 (GOOD) - vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b1000 - vxlan[0] : [ 628 : 628] : 78 : I : 1'b0 - vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b10 - vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h17a0f8 - vxlan[0] : [ 656 : 679] : 82 : vni : 24'h425b8 - vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'h9c - eth[1] : [ 688 : 735] : 86 : da : 48'h89b587683483 - eth[1] : [ 736 : 783] : 92 : sa : 48'h7a3cf46e2988 + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'hb6 + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h418ce64742783dc89ba984ae6229a9f5 + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'hdbaa004038e120b4c4451edb3b3f506b + udp[0] : [ 560 : 575] : 70 : src_prt : 16'haf7b + udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (VXLAN) + udp[0] : [ 592 : 607] : 74 : length : 16'h51 + udp[0] : [ 608 : 623] : 76 : checksum : 16'h788c (GOOD) + vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b111 + vxlan[0] : [ 628 : 628] : 78 : I : 1'b1 + vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b1 + vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h832409 + vxlan[0] : [ 656 : 679] : 82 : vni : 24'hd0874a + vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'hff + eth[1] : [ 688 : 735] : 86 : da : 48'h87d37300360b + eth[1] : [ 736 : 783] : 92 : sa : 48'hcd9829423461 eth[1] : [ 784 : 799] : 98 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 800 : 802] : 100 : cos : 3'h1 - dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h0 - dot1q[1] : [ 804 : 815] : 100 : vlan : 12'h5c3 + dot1q[1] : [ 800 : 802] : 100 : cos : 3'h4 + dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h1 + dot1q[1] : [ 804 : 815] : 100 : vlan : 12'hb19 dot1q[1] : [ 816 : 831] : 102 : etype : 16'h806 (ARP) arp[0] : [ 832 : 847] : 104 : htype : 16'h1 arp[0] : [ 848 : 863] : 106 : ptype : 16'h800 arp[0] : [ 864 : 871] : 108 : hlen : 8'h6 arp[0] : [ 872 : 879] : 109 : plen : 8'h4 - arp[0] : [ 880 : 895] : 110 : opcode : 16'h3146 - arp[0] : [ 896 : 943] : 112 : sha : 48'hfd0dcdc107a3 - arp[0] : [ 944 : 975] : 118 : spa : 32'hc03dd758 - arp[0] : [ 976 : 1023] : 122 : dha : 48'hf0455f983a8d - arp[0] : [1024 : 1055] : 128 : dpa : 32'h28f867f - data[0] : data_len : 14 (data => 96 d1 03 c8 ..) + arp[0] : [ 880 : 895] : 110 : opcode : 16'h8d34 + arp[0] : [ 896 : 943] : 112 : sha : 48'hfbc6fdfa8b3e + arp[0] : [ 944 : 975] : 118 : spa : 32'ha9c3046 + arp[0] : [ 976 : 1023] : 122 : dha : 48'h331c599f5d0f + arp[0] : [1024 : 1055] : 128 : dpa : 32'had0e843a + data[0] : data_len : 19 (data => 91 b9 58 49 ..) toh : pad_len : 0 - toh : [1168 : 1199] : 146 : crc : 32'h29384780 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h6317c488 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : aa f1 29 de 46 e1 82 e3 | b2 df 3a ac 81 00 82 01 - pkt_lib : 16 : 88 47 04 d3 6a 9a c5 6f | c4 30 00 00 2d f6 68 a8 - pkt_lib : 32 : 45 83 00 4c 11 85 76 99 | a4 e3 ee d4 23 5b 4c 09 - pkt_lib : 48 : 7b 89 ea 87 d3 9c 46 56 | f8 17 0d 5e f6 2b 0b 6d - pkt_lib : 64 : a2 c5 86 d9 58 75 c7 94 | 23 45 00 4c db 87 82 17 - pkt_lib : 80 : a0 f8 04 25 b8 9c 89 b5 | 87 68 34 83 7a 3c f4 6e - pkt_lib : 96 : 29 88 81 00 25 c3 08 06 | 00 01 08 00 06 04 31 46 - pkt_lib : 112 : fd 0d cd c1 07 a3 c0 3d | d7 58 f0 45 5f 98 3a 8d - pkt_lib : 128 : 02 8f 86 7f 96 d1 03 c8 | cc 2c 81 67 9b f7 05 82 - pkt_lib : 144 : 03 30 29 38 47 80 + pkt_lib : 0 : ca ab 18 79 bf 79 6b 8d | eb bc 43 5f 81 00 4e 9d + pkt_lib : 16 : 88 47 b7 f1 18 4c 00 00 | 16 79 45 bb 4d 86 6e b5 + pkt_lib : 32 : 7b 72 00 51 11 b6 41 8c | e6 47 42 78 3d c8 9b a9 + pkt_lib : 48 : 84 ae 62 29 a9 f5 db aa | 00 40 38 e1 20 b4 c4 45 + pkt_lib : 64 : 1e db 3b 3f 50 6b af 7b | 21 18 00 51 78 8c 79 83 + pkt_lib : 80 : 24 09 d0 87 4a ff 87 d3 | 73 00 36 0b cd 98 29 42 + pkt_lib : 96 : 34 61 81 00 9b 19 08 06 | 00 01 08 00 06 04 8d 34 + pkt_lib : 112 : fb c6 fd fa 8b 3e 0a 9c | 30 46 33 1c 59 9f 5d 0f + pkt_lib : 128 : ad 0e 84 3a 91 b9 58 49 | 93 bf 92 89 dd 27 cb c9 + pkt_lib : 144 : 50 c1 ff d6 4b ff 38 63 | 17 c4 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 150) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Copy Pkt 10 - cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} - toh : plen : 150 + cfg_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} (IEEE802) + toh : plen : 155 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'haaf129de46e1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h82e3b2df3aac + eth[0] : [ 0 : 47] : 0 : da : 48'hcaab1879bf79 + eth[0] : [ 48 : 95] : 6 : sa : 48'h6b8debbc435f eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h201 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he9d dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h4d36 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h5 + mpls[0] : [ 144 : 163] : 18 : label[0] : 20'hb7f11 (UNKNOWN) + mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h4 mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h9a - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'hc56fc (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h2 + mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h4c + mpls[0] : [ 176 : 195] : 22 : label[1] : 20'h1 (Router Alert) + mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h3 mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h30 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h2 (IPV6 Explicit Null) + mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h79 + mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h45bb4 (UNKNOWN) mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h6 mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'hf6 + mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'h86 ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h8a - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h84583 - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h4c + ipv6[0] : [ 244 : 251] : 30 : tos : 8'heb + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h57b72 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h51 ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h85 - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h7699a4e3eed4235b4c097b89ea87d39c - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h4656f8170d5ef62b0b6da2c586d95875 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hc794 - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2345 (VXLAN) - udp[0] : [ 592 : 607] : 74 : length : 16'h4c - udp[0] : [ 608 : 623] : 76 : checksum : 16'hdb87 (GOOD) - vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b1000 - vxlan[0] : [ 628 : 628] : 78 : I : 1'b0 - vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b10 - vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h17a0f8 - vxlan[0] : [ 656 : 679] : 82 : vni : 24'h425b8 - vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'h9c - eth[1] : [ 688 : 735] : 86 : da : 48'h89b587683483 - eth[1] : [ 736 : 783] : 92 : sa : 48'h7a3cf46e2988 + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'hb6 + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h418ce64742783dc89ba984ae6229a9f5 + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'hdbaa004038e120b4c4451edb3b3f506b + udp[0] : [ 560 : 575] : 70 : src_prt : 16'haf7b + udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2118 (VXLAN) + udp[0] : [ 592 : 607] : 74 : length : 16'h51 + udp[0] : [ 608 : 623] : 76 : checksum : 16'h788c (GOOD) + vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b111 + vxlan[0] : [ 628 : 628] : 78 : I : 1'b1 + vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b1 + vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h832409 + vxlan[0] : [ 656 : 679] : 82 : vni : 24'hd0874a + vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'hff + eth[1] : [ 688 : 735] : 86 : da : 48'h87d37300360b + eth[1] : [ 736 : 783] : 92 : sa : 48'hcd9829423461 eth[1] : [ 784 : 799] : 98 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 800 : 802] : 100 : cos : 3'h1 - dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h0 - dot1q[1] : [ 804 : 815] : 100 : vlan : 12'h5c3 + dot1q[1] : [ 800 : 802] : 100 : cos : 3'h4 + dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h1 + dot1q[1] : [ 804 : 815] : 100 : vlan : 12'hb19 dot1q[1] : [ 816 : 831] : 102 : etype : 16'h806 (ARP) arp[0] : [ 832 : 847] : 104 : htype : 16'h1 arp[0] : [ 848 : 863] : 106 : ptype : 16'h800 arp[0] : [ 864 : 871] : 108 : hlen : 8'h6 arp[0] : [ 872 : 879] : 109 : plen : 8'h4 - arp[0] : [ 880 : 895] : 110 : opcode : 16'h3146 - arp[0] : [ 896 : 943] : 112 : sha : 48'hfd0dcdc107a3 - arp[0] : [ 944 : 975] : 118 : spa : 32'hc03dd758 - arp[0] : [ 976 : 1023] : 122 : dha : 48'hf0455f983a8d - arp[0] : [1024 : 1055] : 128 : dpa : 32'h28f867f - data[0] : data_len : 14 (data => 96 d1 03 c8 ..) + arp[0] : [ 880 : 895] : 110 : opcode : 16'h8d34 + arp[0] : [ 896 : 943] : 112 : sha : 48'hfbc6fdfa8b3e + arp[0] : [ 944 : 975] : 118 : spa : 32'ha9c3046 + arp[0] : [ 976 : 1023] : 122 : dha : 48'h331c599f5d0f + arp[0] : [1024 : 1055] : 128 : dpa : 32'had0e843a + data[0] : data_len : 19 (data => 91 b9 58 49 ..) toh : pad_len : 0 - toh : [1168 : 1199] : 146 : crc : 32'h29384780 (GOOD) + toh : [1208 : 1239] : 151 : crc32 : 32'h6317c488 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : aa f1 29 de 46 e1 82 e3 | b2 df 3a ac 81 00 82 01 - pkt_lib : 16 : 88 47 04 d3 6a 9a c5 6f | c4 30 00 00 2d f6 68 a8 - pkt_lib : 32 : 45 83 00 4c 11 85 76 99 | a4 e3 ee d4 23 5b 4c 09 - pkt_lib : 48 : 7b 89 ea 87 d3 9c 46 56 | f8 17 0d 5e f6 2b 0b 6d - pkt_lib : 64 : a2 c5 86 d9 58 75 c7 94 | 23 45 00 4c db 87 82 17 - pkt_lib : 80 : a0 f8 04 25 b8 9c 89 b5 | 87 68 34 83 7a 3c f4 6e - pkt_lib : 96 : 29 88 81 00 25 c3 08 06 | 00 01 08 00 06 04 31 46 - pkt_lib : 112 : fd 0d cd c1 07 a3 c0 3d | d7 58 f0 45 5f 98 3a 8d - pkt_lib : 128 : 02 8f 86 7f 96 d1 03 c8 | cc 2c 81 67 9b f7 05 82 - pkt_lib : 144 : 03 30 29 38 47 80 + pkt_lib : 0 : ca ab 18 79 bf 79 6b 8d | eb bc 43 5f 81 00 4e 9d + pkt_lib : 16 : 88 47 b7 f1 18 4c 00 00 | 16 79 45 bb 4d 86 6e b5 + pkt_lib : 32 : 7b 72 00 51 11 b6 41 8c | e6 47 42 78 3d c8 9b a9 + pkt_lib : 48 : 84 ae 62 29 a9 f5 db aa | 00 40 38 e1 20 b4 c4 45 + pkt_lib : 64 : 1e db 3b 3f 50 6b af 7b | 21 18 00 51 78 8c 79 83 + pkt_lib : 80 : 24 09 d0 87 4a ff 87 d3 | 73 00 36 0b cd 98 29 42 + pkt_lib : 96 : 34 61 81 00 9b 19 08 06 | 00 01 08 00 06 04 8d 34 + pkt_lib : 112 : fb c6 fd fa 8b 3e 0a 9c | 30 46 33 1c 59 9f 5d 0f + pkt_lib : 128 : ad 0e 84 3a 91 b9 58 49 | 93 bf 92 89 dd 27 cb c9 + pkt_lib : 144 : 50 c1 ff d6 4b ff 38 63 | 17 c4 88 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 150) + pkt_lib : (Total Len = 155) 0 : INFO : TEST : Compare Pkt 10 - cmp_hdr : {eth[0], dot1q[0], mpls[0], ipv6[0], udp[0], vxlan[0], eth[1], dot1q[1], arp[0], data[0]} - toh : plen : 150 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'haaf129de46e1 - eth[0] : [ 48 : 95] : 6 : sa : 48'h82e3b2df3aac - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h201 - dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8847 (MPLS-UNICAST) - mpls[0] : [ 144 : 163] : 18 : label[0] : 20'h4d36 (UNKNOWN) - mpls[0] : [ 164 : 166] : 20 : exp[0] : 3'h5 - mpls[0] : [ 167 : 167] : 20 : s[0] : 1'b0 - mpls[0] : [ 168 : 175] : 21 : ttl[0] : 8'h9a - mpls[0] : [ 176 : 195] : 22 : label[1] : 20'hc56fc (UNKNOWN) - mpls[0] : [ 196 : 198] : 24 : exp[1] : 3'h2 - mpls[0] : [ 199 : 199] : 24 : s[1] : 1'b0 - mpls[0] : [ 200 : 207] : 25 : ttl[1] : 8'h30 - mpls[0] : [ 208 : 227] : 26 : label[2] : 20'h2 (IPV6 Explicit Null) - mpls[0] : [ 228 : 230] : 28 : exp[2] : 3'h6 - mpls[0] : [ 231 : 231] : 28 : s[2] : 1'b1 - mpls[0] : [ 232 : 239] : 29 : ttl[2] : 8'hf6 - ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 - ipv6[0] : [ 244 : 251] : 30 : tos : 8'h8a - ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h84583 - ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'h4c - ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h11 (UDP) - ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h85 - ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'h7699a4e3eed4235b4c097b89ea87d39c - ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'h4656f8170d5ef62b0b6da2c586d95875 - udp[0] : [ 560 : 575] : 70 : src_prt : 16'hc794 - udp[0] : [ 576 : 591] : 72 : dst_prt : 16'h2345 (VXLAN) - udp[0] : [ 592 : 607] : 74 : length : 16'h4c - udp[0] : [ 608 : 623] : 76 : checksum : 16'hdb87 (GOOD) - vxlan[0] : [ 624 : 627] : 78 : R1 : 4'b1000 - vxlan[0] : [ 628 : 628] : 78 : I : 1'b0 - vxlan[0] : [ 629 : 631] : 78 : R2 : 3'b10 - vxlan[0] : [ 632 : 655] : 79 : rsvd0 : 24'h17a0f8 - vxlan[0] : [ 656 : 679] : 82 : vni : 24'h425b8 - vxlan[0] : [ 680 : 687] : 85 : rsvd1 : 8'h9c - eth[1] : [ 688 : 735] : 86 : da : 48'h89b587683483 - eth[1] : [ 736 : 783] : 92 : sa : 48'h7a3cf46e2988 - eth[1] : [ 784 : 799] : 98 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 800 : 802] : 100 : cos : 3'h1 - dot1q[1] : [ 803 : 803] : 100 : cfi : 1'h0 - dot1q[1] : [ 804 : 815] : 100 : vlan : 12'h5c3 - dot1q[1] : [ 816 : 831] : 102 : etype : 16'h806 (ARP) - arp[0] : [ 832 : 847] : 104 : htype : 16'h1 - arp[0] : [ 848 : 863] : 106 : ptype : 16'h800 - arp[0] : [ 864 : 871] : 108 : hlen : 8'h6 - arp[0] : [ 872 : 879] : 109 : plen : 8'h4 - arp[0] : [ 880 : 895] : 110 : opcode : 16'h3146 - arp[0] : [ 896 : 943] : 112 : sha : 48'hfd0dcdc107a3 - arp[0] : [ 944 : 975] : 118 : spa : 32'hc03dd758 - arp[0] : [ 976 : 1023] : 122 : dha : 48'hf0455f983a8d - arp[0] : [1024 : 1055] : 128 : dpa : 32'h28f867f - data[0] : data_len : 14 (data => 96 d1 03 c8 ..) - toh : pad_len : 0 - toh : [1168 : 1199] : 146 : crc : 32'h29384780 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 150 Exp => 150 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 155 Exp => 155 0 : INFO : TEST : Pack Pkt 11 - cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} - toh : plen : 279 + cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} (IEEE802) + toh : plen : 268 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h41eca8bfd09 - eth[0] : [ 48 : 95] : 6 : sa : 48'h5d488c6f43c2 + eth[0] : [ 0 : 47] : 0 : da : 48'hc6b893796a89 + eth[0] : [ 48 : 95] : 6 : sa : 48'hf7e31d1d3dea eth[0] : [ 96 : 111] : 12 : etype : 16'h88e7 (ITAG) - itag[0] : [ 112 : 114] : 14 : pri : 3'h4 - itag[0] : [ 115 : 115] : 14 : de : 1'h0 - itag[0] : [ 116 : 117] : 14 : uca : 2'h1 + itag[0] : [ 112 : 114] : 14 : pri : 3'h0 + itag[0] : [ 115 : 115] : 14 : de : 1'h1 + itag[0] : [ 116 : 117] : 14 : uca : 2'h0 itag[0] : [ 118 : 120] : 14 : rsvd : 3'h4 - itag[0] : [ 121 : 144] : 15 : sid : 24'h3f500b - eth[1] : [ 145 : 192] : 18 : da : 48'h6ad5d6977f91 - eth[1] : [ 193 : 240] : 24 : sa : 48'hdfdadc1436bb + itag[0] : [ 121 : 144] : 15 : sid : 24'h3cf86a + eth[1] : [ 145 : 192] : 18 : da : 48'h85000168d724 + eth[1] : [ 193 : 240] : 24 : sa : 48'hedf9e1a0e9d2 eth[1] : [ 241 : 256] : 30 : etype : 16'h800 (IPV4) ipv4[0] : [ 257 : 260] : 32 : version : 4'h4 - ipv4[0] : [ 261 : 264] : 32 : ihl : 4'hf - ipv4[0] : [ 265 : 272] : 33 : tos : 8'h8 - ipv4[0] : [ 273 : 288] : 34 : total_length : 16'hf3 - ipv4[0] : [ 289 : 304] : 36 : id : 16'h83b8 + ipv4[0] : [ 261 : 264] : 32 : ihl : 4'he + ipv4[0] : [ 265 : 272] : 33 : tos : 8'hec + ipv4[0] : [ 273 : 288] : 34 : total_length : 16'he8 + ipv4[0] : [ 289 : 304] : 36 : id : 16'ha6c9 ipv4[0] : [ 305 : 305] : 38 : reserved : 1'h1 - ipv4[0] : [ 306 : 306] : 38 : df : 1'h0 - ipv4[0] : [ 307 : 307] : 38 : mf : 1'h1 - ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'hcd4 - ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h9 + ipv4[0] : [ 306 : 306] : 38 : df : 1'h1 + ipv4[0] : [ 307 : 307] : 38 : mf : 1'h0 + ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'h0 + ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h40 ipv4[0] : [ 329 : 336] : 41 : protocol : 8'h6 (TCP) - ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h9c03 (GOOD) - ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hf3b4624e - ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'hf9d3ae40 - ipv4[0] : : 52 : options : (Total Len = 40) + ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h3b0b (GOOD) + ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hc15ac138 + ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'h787344df + ipv4[0] : : 52 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 0f ec e9 16 29 83 86 38 | a7 18 04 03 66 bc 40 eb - ipv4[0] : 16 : 8d b5 e0 f2 f0 e7 06 65 | de f7 3c f3 a8 2c 0d 84 - ipv4[0] : 32 : fd 9c b5 88 a1 bf 54 5d | + ipv4[0] : 0 : da 5e 24 0f cd 1a a4 99 | 66 a1 d5 e9 62 58 c9 2f + ipv4[0] : 16 : 8f 6e aa d0 51 d4 ad 12 | 5a af 84 23 36 88 d4 c4 + ipv4[0] : 32 : b7 71 db 7b ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : [ 737 : 752] : 92 : src_prt : 16'haac - tcp[0] : [ 753 : 768] : 94 : dst_prt : 16'hfff (STT) - tcp[0] : [ 769 : 800] : 96 : seq_number : 32'h76672da8 - tcp[0] : [ 801 : 832] : 100 : ack_number : 32'hd8a416cc - tcp[0] : [ 833 : 836] : 104 : offset : 4'hb - tcp[0] : [ 837 : 840] : 104 : rsvd : 4'h5 - tcp[0] : [ 841 : 848] : 105 : flags : 8'h6 (=> CWR 0 ECE 0 URG 0 ACK 0 PSH 0 RST 1 SYN 1 FIN 0) - tcp[0] : [ 849 : 864] : 106 : window : 16'h74b6 - tcp[0] : [ 865 : 880] : 108 : checksum : 16'he02d (GOOD) - tcp[0] : [ 881 : 896] : 110 : urgent_ptr : 16'h74b1 - tcp[0] : : 112 : options : (Total Len = 24) + tcp[0] : [ 705 : 720] : 88 : src_prt : 16'h73e1 + tcp[0] : [ 721 : 736] : 90 : dst_prt : 16'hfff (STT) + tcp[0] : [ 737 : 768] : 92 : seq_number : 32'h3a0e06a4 + tcp[0] : [ 769 : 800] : 96 : ack_number : 32'hda40156e + tcp[0] : [ 801 : 804] : 100 : offset : 4'hb + tcp[0] : [ 805 : 808] : 100 : rsvd : 4'h6 + tcp[0] : [ 809 : 816] : 101 : flags : 8'h24 (=> CWR 0 ECE 0 URG 1 ACK 0 PSH 0 RST 1 SYN 0 FIN 0) + tcp[0] : [ 817 : 832] : 102 : window : 16'h4305 + tcp[0] : [ 833 : 848] : 104 : checksum : 16'h1eb0 (GOOD) + tcp[0] : [ 849 : 864] : 106 : urgent_ptr : 16'hd1ac + tcp[0] : : 108 : options : (Total Len = 24) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - tcp[0] : 16 : 80 c7 81 1f 8f 28 6d a9 | + tcp[0] : 0 : da a2 1c 61 e0 5f d8 2c | 82 f0 50 df 30 84 b7 e0 + tcp[0] : 16 : 03 f0 6a 83 50 e9 61 37 | tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - stt[0] : [1089 : 1096] : 136 : version : 8'h0 - stt[0] : [1097 : 1104] : 137 : flags : 8'he (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 1 CHKV 0) - stt[0] : [1105 : 1112] : 138 : l4_offset : 8'h42 - stt[0] : [1113 : 1120] : 139 : rsvd : 8'h7a - stt[0] : [1121 : 1136] : 140 : max_seg_sz : 16'h993d - stt[0] : [1137 : 1139] : 142 : PCP : 3'h4 - stt[0] : [1140 : 1140] : 142 : V : 1'h1 - stt[0] : [1141 : 1152] : 142 : vlan : 12'he52 - stt[0] : [1153 : 1216] : 144 : ctx_id : 64'h5eb9729c079c5c82 - stt[0] : [1217 : 1232] : 152 : pad : 16'h0 - eth[2] : [1233 : 1280] : 154 : da : 48'hb7d46974f140 - eth[2] : [1281 : 1328] : 160 : sa : 48'h4bc4611a008 - eth[2] : [1329 : 1344] : 166 : etype : 16'h800 (IPV4) - ipv4[1] : [1345 : 1348] : 168 : version : 4'h4 - ipv4[1] : [1349 : 1352] : 168 : ihl : 4'hd - ipv4[1] : [1353 : 1360] : 169 : tos : 8'hf6 - ipv4[1] : [1361 : 1376] : 170 : total_length : 16'h6b - ipv4[1] : [1377 : 1392] : 172 : id : 16'h4d85 - ipv4[1] : [1393 : 1393] : 174 : reserved : 1'h0 - ipv4[1] : [1394 : 1394] : 174 : df : 1'h0 - ipv4[1] : [1395 : 1395] : 174 : mf : 1'h1 - ipv4[1] : [1396 : 1408] : 174 : frag_offset : 13'h175d - ipv4[1] : [1409 : 1416] : 176 : ttl : 8'hca - ipv4[1] : [1417 : 1424] : 177 : protocol : 8'h6 (TCP) - ipv4[1] : [1425 : 1440] : 178 : checksum : 16'hac33 (GOOD) - ipv4[1] : [1441 : 1472] : 180 : ip_sa : 32'h3425947b - ipv4[1] : [1473 : 1504] : 184 : ip_da : 32'he74237be - ipv4[1] : : 188 : options : (Total Len = 32) + stt[0] : [1057 : 1064] : 132 : version : 8'h0 + stt[0] : [1065 : 1072] : 133 : flags : 8'hd (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 0 CHKV 1) + stt[0] : [1073 : 1080] : 134 : l4_offset : 8'h3e + stt[0] : [1081 : 1088] : 135 : rsvd : 8'hea + stt[0] : [1089 : 1104] : 136 : max_seg_sz : 16'hdcc4 + stt[0] : [1105 : 1107] : 138 : PCP : 3'h1 + stt[0] : [1108 : 1108] : 138 : V : 1'h0 + stt[0] : [1109 : 1120] : 138 : vlan : 12'ha + stt[0] : [1121 : 1184] : 140 : ctx_id : 64'hb0b323bc414e77c6 + stt[0] : [1185 : 1200] : 148 : pad : 16'h0 + eth[2] : [1201 : 1248] : 150 : da : 48'hc274d747f75b + eth[2] : [1249 : 1296] : 156 : sa : 48'he8ceff5a4fd + eth[2] : [1297 : 1312] : 162 : etype : 16'h800 (IPV4) + ipv4[1] : [1313 : 1316] : 164 : version : 4'h4 + ipv4[1] : [1317 : 1320] : 164 : ihl : 4'hc + ipv4[1] : [1321 : 1328] : 165 : tos : 8'h4e + ipv4[1] : [1329 : 1344] : 166 : total_length : 16'h64 + ipv4[1] : [1345 : 1360] : 168 : id : 16'ha14d + ipv4[1] : [1361 : 1361] : 170 : reserved : 1'h1 + ipv4[1] : [1362 : 1362] : 170 : df : 1'h1 + ipv4[1] : [1363 : 1363] : 170 : mf : 1'h0 + ipv4[1] : [1364 : 1376] : 170 : frag_offset : 13'h0 + ipv4[1] : [1377 : 1384] : 172 : ttl : 8'h4e + ipv4[1] : [1385 : 1392] : 173 : protocol : 8'h6 (TCP) + ipv4[1] : [1393 : 1408] : 174 : checksum : 16'h5a4f (GOOD) + ipv4[1] : [1409 : 1440] : 176 : ip_sa : 32'he9317ed1 + ipv4[1] : [1441 : 1472] : 180 : ip_da : 32'hac1a5c49 + ipv4[1] : : 184 : options : (Total Len = 28) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 11 be 92 ae 51 a9 a8 cb | f4 36 f5 7e d7 98 d4 27 - ipv4[1] : 16 : b4 cd 6a 0c 78 d0 b0 92 | 8b b3 c8 23 1a 2c e4 46 + ipv4[1] : 0 : 29 95 43 b4 1e 50 6d bc | 88 11 0f d4 21 e8 22 18 + ipv4[1] : 16 : 6d 46 dc 7f b0 b3 cd 06 | 92 b5 09 d0 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : [1761 : 1776] : 220 : src_prt : 16'h6219 - tcp[1] : [1777 : 1792] : 222 : dst_prt : 16'h920b (UNKNOWN) - tcp[1] : [1793 : 1824] : 224 : seq_number : 32'hb4fc3063 - tcp[1] : [1825 : 1856] : 228 : ack_number : 32'hf611d10f - tcp[1] : [1857 : 1860] : 232 : offset : 4'hb - tcp[1] : [1861 : 1864] : 232 : rsvd : 4'he - tcp[1] : [1865 : 1872] : 233 : flags : 8'h9e (=> CWR 1 ECE 0 URG 0 ACK 1 PSH 1 RST 1 SYN 1 FIN 0) - tcp[1] : [1873 : 1888] : 234 : window : 16'hde9b - tcp[1] : [1889 : 1904] : 236 : checksum : 16'h1d97 (GOOD) - tcp[1] : [1905 : 1920] : 238 : urgent_ptr : 16'h3cf4 - tcp[1] : : 240 : options : (Total Len = 24) + tcp[1] : [1697 : 1712] : 212 : src_prt : 16'h4619 + tcp[1] : [1713 : 1728] : 214 : dst_prt : 16'hd86 (UNKNOWN) + tcp[1] : [1729 : 1760] : 216 : seq_number : 32'h97b569f1 + tcp[1] : [1761 : 1792] : 220 : ack_number : 32'h39909e06 + tcp[1] : [1793 : 1796] : 224 : offset : 4'hb + tcp[1] : [1797 : 1800] : 224 : rsvd : 4'hb + tcp[1] : [1801 : 1808] : 225 : flags : 8'hcf (=> CWR 1 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 1 FIN 1) + tcp[1] : [1809 : 1824] : 226 : window : 16'hd9f5 + tcp[1] : [1825 : 1840] : 228 : checksum : 16'hfd8d (GOOD) + tcp[1] : [1841 : 1856] : 230 : urgent_ptr : 16'h3ba7 + tcp[1] : : 232 : options : (Total Len = 24) tcp[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : 0 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - tcp[1] : 16 : 3c bc 42 c3 91 d1 28 4a | + tcp[1] : 0 : 10 e6 3e e1 72 a3 fa 79 | a9 a2 34 59 12 da bf 11 + tcp[1] : 16 : 57 27 31 54 cb 99 4d a6 | tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 11 (data => 25 ca 9d f8 ..) + data[0] : data_len : 8 (data => 78 87 c3 04 ..) toh : pad_len : 0 - toh : [2201 : 2232] : 275 : crc : 32'hb80b8abb (GOOD) + toh : [2113 : 2144] : 264 : crc32 : 32'h25c69e75 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 04 1e ca 8b fd 09 5d 48 | 8c 6f 43 c2 88 e7 8c 3f - pkt_lib : 16 : 50 0b 6a d5 d6 97 7f 91 | df da dc 14 36 bb 08 00 - pkt_lib : 32 : 4f 08 00 f3 83 b8 ac d4 | 09 06 9c 03 f3 b4 62 4e - pkt_lib : 48 : f9 d3 ae 40 0f ec e9 16 | 29 83 86 38 a7 18 04 03 - pkt_lib : 64 : 66 bc 40 eb 8d b5 e0 f2 | f0 e7 06 65 de f7 3c f3 - pkt_lib : 80 : a8 2c 0d 84 fd 9c b5 88 | a1 bf 54 5d 0a ac 0f ff - pkt_lib : 96 : 76 67 2d a8 d8 a4 16 cc | b5 06 74 b6 e0 2d 74 b1 - pkt_lib : 112 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - pkt_lib : 128 : 80 c7 81 1f 8f 28 6d a9 | 00 0e 42 7a 99 3d 9e 52 - pkt_lib : 144 : 5e b9 72 9c 07 9c 5c 82 | 00 00 b7 d4 69 74 f1 40 - pkt_lib : 160 : 04 bc 46 11 a0 08 08 00 | 4d f6 00 6b 4d 85 37 5d - pkt_lib : 176 : ca 06 ac 33 34 25 94 7b | e7 42 37 be 11 be 92 ae - pkt_lib : 192 : 51 a9 a8 cb f4 36 f5 7e | d7 98 d4 27 b4 cd 6a 0c - pkt_lib : 208 : 78 d0 b0 92 8b b3 c8 23 | 1a 2c e4 46 62 19 92 0b - pkt_lib : 224 : b4 fc 30 63 f6 11 d1 0f | be 9e de 9b 1d 97 3c f4 - pkt_lib : 240 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - pkt_lib : 256 : 3c bc 42 c3 91 d1 28 4a | 25 ca 9d f8 d4 5e 2b 62 - pkt_lib : 272 : 63 48 2e b8 0b 8a bb + pkt_lib : 0 : c6 b8 93 79 6a 89 f7 e3 | 1d 1d 3d ea 88 e7 14 3c + pkt_lib : 16 : f8 6a 85 00 01 68 d7 24 | ed f9 e1 a0 e9 d2 08 00 + pkt_lib : 32 : 4e ec 00 e8 a6 c9 c0 00 | 40 06 3b 0b c1 5a c1 38 + pkt_lib : 48 : 78 73 44 df da 5e 24 0f | cd 1a a4 99 66 a1 d5 e9 + pkt_lib : 64 : 62 58 c9 2f 8f 6e aa d0 | 51 d4 ad 12 5a af 84 23 + pkt_lib : 80 : 36 88 d4 c4 b7 71 db 7b | 73 e1 0f ff 3a 0e 06 a4 + pkt_lib : 96 : da 40 15 6e b6 24 43 05 | 1e b0 d1 ac da a2 1c 61 + pkt_lib : 112 : e0 5f d8 2c 82 f0 50 df | 30 84 b7 e0 03 f0 6a 83 + pkt_lib : 128 : 50 e9 61 37 00 0d 3e ea | dc c4 20 0a b0 b3 23 bc + pkt_lib : 144 : 41 4e 77 c6 00 00 c2 74 | d7 47 f7 5b 0e 8c ef f5 + pkt_lib : 160 : a4 fd 08 00 4c 4e 00 64 | a1 4d c0 00 4e 06 5a 4f + pkt_lib : 176 : e9 31 7e d1 ac 1a 5c 49 | 29 95 43 b4 1e 50 6d bc + pkt_lib : 192 : 88 11 0f d4 21 e8 22 18 | 6d 46 dc 7f b0 b3 cd 06 + pkt_lib : 208 : 92 b5 09 d0 46 19 0d 86 | 97 b5 69 f1 39 90 9e 06 + pkt_lib : 224 : bb cf d9 f5 fd 8d 3b a7 | 10 e6 3e e1 72 a3 fa 79 + pkt_lib : 240 : a9 a2 34 59 12 da bf 11 | 57 27 31 54 cb 99 4d a6 + pkt_lib : 256 : 78 87 c3 04 cf ed 79 84 | 25 c6 9e 75 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 279) + pkt_lib : (Total Len = 268) 0 : INFO : TEST : Unpack Pkt 11 - cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} - toh : plen : 279 + cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} (IEEE802) + toh : plen : 268 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h41eca8bfd09 - eth[0] : [ 48 : 95] : 6 : sa : 48'h5d488c6f43c2 + eth[0] : [ 0 : 47] : 0 : da : 48'hc6b893796a89 + eth[0] : [ 48 : 95] : 6 : sa : 48'hf7e31d1d3dea eth[0] : [ 96 : 111] : 12 : etype : 16'h88e7 (ITAG) - itag[0] : [ 112 : 114] : 14 : pri : 3'h4 - itag[0] : [ 115 : 115] : 14 : de : 1'h0 - itag[0] : [ 116 : 117] : 14 : uca : 2'h1 + itag[0] : [ 112 : 114] : 14 : pri : 3'h0 + itag[0] : [ 115 : 115] : 14 : de : 1'h1 + itag[0] : [ 116 : 117] : 14 : uca : 2'h0 itag[0] : [ 118 : 120] : 14 : rsvd : 3'h4 - itag[0] : [ 121 : 144] : 15 : sid : 24'h3f500b - eth[1] : [ 145 : 192] : 18 : da : 48'h6ad5d6977f91 - eth[1] : [ 193 : 240] : 24 : sa : 48'hdfdadc1436bb + itag[0] : [ 121 : 144] : 15 : sid : 24'h3cf86a + eth[1] : [ 145 : 192] : 18 : da : 48'h85000168d724 + eth[1] : [ 193 : 240] : 24 : sa : 48'hedf9e1a0e9d2 eth[1] : [ 241 : 256] : 30 : etype : 16'h800 (IPV4) ipv4[0] : [ 257 : 260] : 32 : version : 4'h4 - ipv4[0] : [ 261 : 264] : 32 : ihl : 4'hf - ipv4[0] : [ 265 : 272] : 33 : tos : 8'h8 - ipv4[0] : [ 273 : 288] : 34 : total_length : 16'hf3 - ipv4[0] : [ 289 : 304] : 36 : id : 16'h83b8 + ipv4[0] : [ 261 : 264] : 32 : ihl : 4'he + ipv4[0] : [ 265 : 272] : 33 : tos : 8'hec + ipv4[0] : [ 273 : 288] : 34 : total_length : 16'he8 + ipv4[0] : [ 289 : 304] : 36 : id : 16'ha6c9 ipv4[0] : [ 305 : 305] : 38 : reserved : 1'h1 - ipv4[0] : [ 306 : 306] : 38 : df : 1'h0 - ipv4[0] : [ 307 : 307] : 38 : mf : 1'h1 - ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'hcd4 - ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h9 + ipv4[0] : [ 306 : 306] : 38 : df : 1'h1 + ipv4[0] : [ 307 : 307] : 38 : mf : 1'h0 + ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'h0 + ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h40 ipv4[0] : [ 329 : 336] : 41 : protocol : 8'h6 (TCP) - ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h9c03 (GOOD) - ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hf3b4624e - ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'hf9d3ae40 - ipv4[0] : : 52 : options : (Total Len = 40) + ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h3b0b (GOOD) + ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hc15ac138 + ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'h787344df + ipv4[0] : : 52 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 0f ec e9 16 29 83 86 38 | a7 18 04 03 66 bc 40 eb - ipv4[0] : 16 : 8d b5 e0 f2 f0 e7 06 65 | de f7 3c f3 a8 2c 0d 84 - ipv4[0] : 32 : fd 9c b5 88 a1 bf 54 5d | + ipv4[0] : 0 : da 5e 24 0f cd 1a a4 99 | 66 a1 d5 e9 62 58 c9 2f + ipv4[0] : 16 : 8f 6e aa d0 51 d4 ad 12 | 5a af 84 23 36 88 d4 c4 + ipv4[0] : 32 : b7 71 db 7b ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : [ 737 : 752] : 92 : src_prt : 16'haac - tcp[0] : [ 753 : 768] : 94 : dst_prt : 16'hfff (STT) - tcp[0] : [ 769 : 800] : 96 : seq_number : 32'h76672da8 - tcp[0] : [ 801 : 832] : 100 : ack_number : 32'hd8a416cc - tcp[0] : [ 833 : 836] : 104 : offset : 4'hb - tcp[0] : [ 837 : 840] : 104 : rsvd : 4'h5 - tcp[0] : [ 841 : 848] : 105 : flags : 8'h6 (=> CWR 0 ECE 0 URG 0 ACK 0 PSH 0 RST 1 SYN 1 FIN 0) - tcp[0] : [ 849 : 864] : 106 : window : 16'h74b6 - tcp[0] : [ 865 : 880] : 108 : checksum : 16'he02d (GOOD) - tcp[0] : [ 881 : 896] : 110 : urgent_ptr : 16'h74b1 - tcp[0] : : 112 : options : (Total Len = 24) + tcp[0] : [ 705 : 720] : 88 : src_prt : 16'h73e1 + tcp[0] : [ 721 : 736] : 90 : dst_prt : 16'hfff (STT) + tcp[0] : [ 737 : 768] : 92 : seq_number : 32'h3a0e06a4 + tcp[0] : [ 769 : 800] : 96 : ack_number : 32'hda40156e + tcp[0] : [ 801 : 804] : 100 : offset : 4'hb + tcp[0] : [ 805 : 808] : 100 : rsvd : 4'h6 + tcp[0] : [ 809 : 816] : 101 : flags : 8'h24 (=> CWR 0 ECE 0 URG 1 ACK 0 PSH 0 RST 1 SYN 0 FIN 0) + tcp[0] : [ 817 : 832] : 102 : window : 16'h4305 + tcp[0] : [ 833 : 848] : 104 : checksum : 16'h1eb0 (GOOD) + tcp[0] : [ 849 : 864] : 106 : urgent_ptr : 16'hd1ac + tcp[0] : : 108 : options : (Total Len = 24) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - tcp[0] : 16 : 80 c7 81 1f 8f 28 6d a9 | + tcp[0] : 0 : da a2 1c 61 e0 5f d8 2c | 82 f0 50 df 30 84 b7 e0 + tcp[0] : 16 : 03 f0 6a 83 50 e9 61 37 | tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - stt[0] : [1089 : 1096] : 136 : version : 8'h0 - stt[0] : [1097 : 1104] : 137 : flags : 8'he (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 1 CHKV 0) - stt[0] : [1105 : 1112] : 138 : l4_offset : 8'h42 - stt[0] : [1113 : 1120] : 139 : rsvd : 8'h7a - stt[0] : [1121 : 1136] : 140 : max_seg_sz : 16'h993d - stt[0] : [1137 : 1139] : 142 : PCP : 3'h4 - stt[0] : [1140 : 1140] : 142 : V : 1'h1 - stt[0] : [1141 : 1152] : 142 : vlan : 12'he52 - stt[0] : [1153 : 1216] : 144 : ctx_id : 64'h5eb9729c079c5c82 - stt[0] : [1217 : 1232] : 152 : pad : 16'h0 - eth[2] : [1233 : 1280] : 154 : da : 48'hb7d46974f140 - eth[2] : [1281 : 1328] : 160 : sa : 48'h4bc4611a008 - eth[2] : [1329 : 1344] : 166 : etype : 16'h800 (IPV4) - ipv4[1] : [1345 : 1348] : 168 : version : 4'h4 - ipv4[1] : [1349 : 1352] : 168 : ihl : 4'hd - ipv4[1] : [1353 : 1360] : 169 : tos : 8'hf6 - ipv4[1] : [1361 : 1376] : 170 : total_length : 16'h6b - ipv4[1] : [1377 : 1392] : 172 : id : 16'h4d85 - ipv4[1] : [1393 : 1393] : 174 : reserved : 1'h0 - ipv4[1] : [1394 : 1394] : 174 : df : 1'h0 - ipv4[1] : [1395 : 1395] : 174 : mf : 1'h1 - ipv4[1] : [1396 : 1408] : 174 : frag_offset : 13'h175d - ipv4[1] : [1409 : 1416] : 176 : ttl : 8'hca - ipv4[1] : [1417 : 1424] : 177 : protocol : 8'h6 (TCP) - ipv4[1] : [1425 : 1440] : 178 : checksum : 16'hac33 (GOOD) - ipv4[1] : [1441 : 1472] : 180 : ip_sa : 32'h3425947b - ipv4[1] : [1473 : 1504] : 184 : ip_da : 32'he74237be - ipv4[1] : : 188 : options : (Total Len = 32) + stt[0] : [1057 : 1064] : 132 : version : 8'h0 + stt[0] : [1065 : 1072] : 133 : flags : 8'hd (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 0 CHKV 1) + stt[0] : [1073 : 1080] : 134 : l4_offset : 8'h3e + stt[0] : [1081 : 1088] : 135 : rsvd : 8'hea + stt[0] : [1089 : 1104] : 136 : max_seg_sz : 16'hdcc4 + stt[0] : [1105 : 1107] : 138 : PCP : 3'h1 + stt[0] : [1108 : 1108] : 138 : V : 1'h0 + stt[0] : [1109 : 1120] : 138 : vlan : 12'ha + stt[0] : [1121 : 1184] : 140 : ctx_id : 64'hb0b323bc414e77c6 + stt[0] : [1185 : 1200] : 148 : pad : 16'h0 + eth[2] : [1201 : 1248] : 150 : da : 48'hc274d747f75b + eth[2] : [1249 : 1296] : 156 : sa : 48'he8ceff5a4fd + eth[2] : [1297 : 1312] : 162 : etype : 16'h800 (IPV4) + ipv4[1] : [1313 : 1316] : 164 : version : 4'h4 + ipv4[1] : [1317 : 1320] : 164 : ihl : 4'hc + ipv4[1] : [1321 : 1328] : 165 : tos : 8'h4e + ipv4[1] : [1329 : 1344] : 166 : total_length : 16'h64 + ipv4[1] : [1345 : 1360] : 168 : id : 16'ha14d + ipv4[1] : [1361 : 1361] : 170 : reserved : 1'h1 + ipv4[1] : [1362 : 1362] : 170 : df : 1'h1 + ipv4[1] : [1363 : 1363] : 170 : mf : 1'h0 + ipv4[1] : [1364 : 1376] : 170 : frag_offset : 13'h0 + ipv4[1] : [1377 : 1384] : 172 : ttl : 8'h4e + ipv4[1] : [1385 : 1392] : 173 : protocol : 8'h6 (TCP) + ipv4[1] : [1393 : 1408] : 174 : checksum : 16'h5a4f (GOOD) + ipv4[1] : [1409 : 1440] : 176 : ip_sa : 32'he9317ed1 + ipv4[1] : [1441 : 1472] : 180 : ip_da : 32'hac1a5c49 + ipv4[1] : : 184 : options : (Total Len = 28) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 11 be 92 ae 51 a9 a8 cb | f4 36 f5 7e d7 98 d4 27 - ipv4[1] : 16 : b4 cd 6a 0c 78 d0 b0 92 | 8b b3 c8 23 1a 2c e4 46 + ipv4[1] : 0 : 29 95 43 b4 1e 50 6d bc | 88 11 0f d4 21 e8 22 18 + ipv4[1] : 16 : 6d 46 dc 7f b0 b3 cd 06 | 92 b5 09 d0 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : [1761 : 1776] : 220 : src_prt : 16'h6219 - tcp[1] : [1777 : 1792] : 222 : dst_prt : 16'h920b (UNKNOWN) - tcp[1] : [1793 : 1824] : 224 : seq_number : 32'hb4fc3063 - tcp[1] : [1825 : 1856] : 228 : ack_number : 32'hf611d10f - tcp[1] : [1857 : 1860] : 232 : offset : 4'hb - tcp[1] : [1861 : 1864] : 232 : rsvd : 4'he - tcp[1] : [1865 : 1872] : 233 : flags : 8'h9e (=> CWR 1 ECE 0 URG 0 ACK 1 PSH 1 RST 1 SYN 1 FIN 0) - tcp[1] : [1873 : 1888] : 234 : window : 16'hde9b - tcp[1] : [1889 : 1904] : 236 : checksum : 16'h1d97 (GOOD) - tcp[1] : [1905 : 1920] : 238 : urgent_ptr : 16'h3cf4 - tcp[1] : : 240 : options : (Total Len = 24) + tcp[1] : [1697 : 1712] : 212 : src_prt : 16'h4619 + tcp[1] : [1713 : 1728] : 214 : dst_prt : 16'hd86 (UNKNOWN) + tcp[1] : [1729 : 1760] : 216 : seq_number : 32'h97b569f1 + tcp[1] : [1761 : 1792] : 220 : ack_number : 32'h39909e06 + tcp[1] : [1793 : 1796] : 224 : offset : 4'hb + tcp[1] : [1797 : 1800] : 224 : rsvd : 4'hb + tcp[1] : [1801 : 1808] : 225 : flags : 8'hcf (=> CWR 1 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 1 FIN 1) + tcp[1] : [1809 : 1824] : 226 : window : 16'hd9f5 + tcp[1] : [1825 : 1840] : 228 : checksum : 16'hfd8d (GOOD) + tcp[1] : [1841 : 1856] : 230 : urgent_ptr : 16'h3ba7 + tcp[1] : : 232 : options : (Total Len = 24) tcp[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : 0 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - tcp[1] : 16 : 3c bc 42 c3 91 d1 28 4a | + tcp[1] : 0 : 10 e6 3e e1 72 a3 fa 79 | a9 a2 34 59 12 da bf 11 + tcp[1] : 16 : 57 27 31 54 cb 99 4d a6 | tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 11 (data => 25 ca 9d f8 ..) + data[0] : data_len : 8 (data => 78 87 c3 04 ..) toh : pad_len : 0 - toh : [2201 : 2232] : 275 : crc : 32'hb80b8abb (GOOD) + toh : [2113 : 2144] : 264 : crc32 : 32'h25c69e75 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 04 1e ca 8b fd 09 5d 48 | 8c 6f 43 c2 88 e7 8c 3f - pkt_lib : 16 : 50 0b 6a d5 d6 97 7f 91 | df da dc 14 36 bb 08 00 - pkt_lib : 32 : 4f 08 00 f3 83 b8 ac d4 | 09 06 9c 03 f3 b4 62 4e - pkt_lib : 48 : f9 d3 ae 40 0f ec e9 16 | 29 83 86 38 a7 18 04 03 - pkt_lib : 64 : 66 bc 40 eb 8d b5 e0 f2 | f0 e7 06 65 de f7 3c f3 - pkt_lib : 80 : a8 2c 0d 84 fd 9c b5 88 | a1 bf 54 5d 0a ac 0f ff - pkt_lib : 96 : 76 67 2d a8 d8 a4 16 cc | b5 06 74 b6 e0 2d 74 b1 - pkt_lib : 112 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - pkt_lib : 128 : 80 c7 81 1f 8f 28 6d a9 | 00 0e 42 7a 99 3d 9e 52 - pkt_lib : 144 : 5e b9 72 9c 07 9c 5c 82 | 00 00 b7 d4 69 74 f1 40 - pkt_lib : 160 : 04 bc 46 11 a0 08 08 00 | 4d f6 00 6b 4d 85 37 5d - pkt_lib : 176 : ca 06 ac 33 34 25 94 7b | e7 42 37 be 11 be 92 ae - pkt_lib : 192 : 51 a9 a8 cb f4 36 f5 7e | d7 98 d4 27 b4 cd 6a 0c - pkt_lib : 208 : 78 d0 b0 92 8b b3 c8 23 | 1a 2c e4 46 62 19 92 0b - pkt_lib : 224 : b4 fc 30 63 f6 11 d1 0f | be 9e de 9b 1d 97 3c f4 - pkt_lib : 240 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - pkt_lib : 256 : 3c bc 42 c3 91 d1 28 4a | 25 ca 9d f8 d4 5e 2b 62 - pkt_lib : 272 : 63 48 2e b8 0b 8a bb + pkt_lib : 0 : c6 b8 93 79 6a 89 f7 e3 | 1d 1d 3d ea 88 e7 14 3c + pkt_lib : 16 : f8 6a 85 00 01 68 d7 24 | ed f9 e1 a0 e9 d2 08 00 + pkt_lib : 32 : 4e ec 00 e8 a6 c9 c0 00 | 40 06 3b 0b c1 5a c1 38 + pkt_lib : 48 : 78 73 44 df da 5e 24 0f | cd 1a a4 99 66 a1 d5 e9 + pkt_lib : 64 : 62 58 c9 2f 8f 6e aa d0 | 51 d4 ad 12 5a af 84 23 + pkt_lib : 80 : 36 88 d4 c4 b7 71 db 7b | 73 e1 0f ff 3a 0e 06 a4 + pkt_lib : 96 : da 40 15 6e b6 24 43 05 | 1e b0 d1 ac da a2 1c 61 + pkt_lib : 112 : e0 5f d8 2c 82 f0 50 df | 30 84 b7 e0 03 f0 6a 83 + pkt_lib : 128 : 50 e9 61 37 00 0d 3e ea | dc c4 20 0a b0 b3 23 bc + pkt_lib : 144 : 41 4e 77 c6 00 00 c2 74 | d7 47 f7 5b 0e 8c ef f5 + pkt_lib : 160 : a4 fd 08 00 4c 4e 00 64 | a1 4d c0 00 4e 06 5a 4f + pkt_lib : 176 : e9 31 7e d1 ac 1a 5c 49 | 29 95 43 b4 1e 50 6d bc + pkt_lib : 192 : 88 11 0f d4 21 e8 22 18 | 6d 46 dc 7f b0 b3 cd 06 + pkt_lib : 208 : 92 b5 09 d0 46 19 0d 86 | 97 b5 69 f1 39 90 9e 06 + pkt_lib : 224 : bb cf d9 f5 fd 8d 3b a7 | 10 e6 3e e1 72 a3 fa 79 + pkt_lib : 240 : a9 a2 34 59 12 da bf 11 | 57 27 31 54 cb 99 4d a6 + pkt_lib : 256 : 78 87 c3 04 cf ed 79 84 | 25 c6 9e 75 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 279) + pkt_lib : (Total Len = 268) 0 : INFO : TEST : Copy Pkt 11 - cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} - toh : plen : 279 + cfg_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} (IEEE802) + toh : plen : 268 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h41eca8bfd09 - eth[0] : [ 48 : 95] : 6 : sa : 48'h5d488c6f43c2 + eth[0] : [ 0 : 47] : 0 : da : 48'hc6b893796a89 + eth[0] : [ 48 : 95] : 6 : sa : 48'hf7e31d1d3dea eth[0] : [ 96 : 111] : 12 : etype : 16'h88e7 (ITAG) - itag[0] : [ 112 : 114] : 14 : pri : 3'h4 - itag[0] : [ 115 : 115] : 14 : de : 1'h0 - itag[0] : [ 116 : 117] : 14 : uca : 2'h1 + itag[0] : [ 112 : 114] : 14 : pri : 3'h0 + itag[0] : [ 115 : 115] : 14 : de : 1'h1 + itag[0] : [ 116 : 117] : 14 : uca : 2'h0 itag[0] : [ 118 : 120] : 14 : rsvd : 3'h4 - itag[0] : [ 121 : 144] : 15 : sid : 24'h3f500b - eth[1] : [ 145 : 192] : 18 : da : 48'h6ad5d6977f91 - eth[1] : [ 193 : 240] : 24 : sa : 48'hdfdadc1436bb + itag[0] : [ 121 : 144] : 15 : sid : 24'h3cf86a + eth[1] : [ 145 : 192] : 18 : da : 48'h85000168d724 + eth[1] : [ 193 : 240] : 24 : sa : 48'hedf9e1a0e9d2 eth[1] : [ 241 : 256] : 30 : etype : 16'h800 (IPV4) ipv4[0] : [ 257 : 260] : 32 : version : 4'h4 - ipv4[0] : [ 261 : 264] : 32 : ihl : 4'hf - ipv4[0] : [ 265 : 272] : 33 : tos : 8'h8 - ipv4[0] : [ 273 : 288] : 34 : total_length : 16'hf3 - ipv4[0] : [ 289 : 304] : 36 : id : 16'h83b8 + ipv4[0] : [ 261 : 264] : 32 : ihl : 4'he + ipv4[0] : [ 265 : 272] : 33 : tos : 8'hec + ipv4[0] : [ 273 : 288] : 34 : total_length : 16'he8 + ipv4[0] : [ 289 : 304] : 36 : id : 16'ha6c9 ipv4[0] : [ 305 : 305] : 38 : reserved : 1'h1 - ipv4[0] : [ 306 : 306] : 38 : df : 1'h0 - ipv4[0] : [ 307 : 307] : 38 : mf : 1'h1 - ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'hcd4 - ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h9 + ipv4[0] : [ 306 : 306] : 38 : df : 1'h1 + ipv4[0] : [ 307 : 307] : 38 : mf : 1'h0 + ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'h0 + ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h40 ipv4[0] : [ 329 : 336] : 41 : protocol : 8'h6 (TCP) - ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h9c03 (GOOD) - ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hf3b4624e - ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'hf9d3ae40 - ipv4[0] : : 52 : options : (Total Len = 40) + ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h3b0b (GOOD) + ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hc15ac138 + ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'h787344df + ipv4[0] : : 52 : options : (Total Len = 36) ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 0f ec e9 16 29 83 86 38 | a7 18 04 03 66 bc 40 eb - ipv4[0] : 16 : 8d b5 e0 f2 f0 e7 06 65 | de f7 3c f3 a8 2c 0d 84 - ipv4[0] : 32 : fd 9c b5 88 a1 bf 54 5d | + ipv4[0] : 0 : da 5e 24 0f cd 1a a4 99 | 66 a1 d5 e9 62 58 c9 2f + ipv4[0] : 16 : 8f 6e aa d0 51 d4 ad 12 | 5a af 84 23 36 88 d4 c4 + ipv4[0] : 32 : b7 71 db 7b ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : [ 737 : 752] : 92 : src_prt : 16'haac - tcp[0] : [ 753 : 768] : 94 : dst_prt : 16'hfff (STT) - tcp[0] : [ 769 : 800] : 96 : seq_number : 32'h76672da8 - tcp[0] : [ 801 : 832] : 100 : ack_number : 32'hd8a416cc - tcp[0] : [ 833 : 836] : 104 : offset : 4'hb - tcp[0] : [ 837 : 840] : 104 : rsvd : 4'h5 - tcp[0] : [ 841 : 848] : 105 : flags : 8'h6 (=> CWR 0 ECE 0 URG 0 ACK 0 PSH 0 RST 1 SYN 1 FIN 0) - tcp[0] : [ 849 : 864] : 106 : window : 16'h74b6 - tcp[0] : [ 865 : 880] : 108 : checksum : 16'he02d (GOOD) - tcp[0] : [ 881 : 896] : 110 : urgent_ptr : 16'h74b1 - tcp[0] : : 112 : options : (Total Len = 24) + tcp[0] : [ 705 : 720] : 88 : src_prt : 16'h73e1 + tcp[0] : [ 721 : 736] : 90 : dst_prt : 16'hfff (STT) + tcp[0] : [ 737 : 768] : 92 : seq_number : 32'h3a0e06a4 + tcp[0] : [ 769 : 800] : 96 : ack_number : 32'hda40156e + tcp[0] : [ 801 : 804] : 100 : offset : 4'hb + tcp[0] : [ 805 : 808] : 100 : rsvd : 4'h6 + tcp[0] : [ 809 : 816] : 101 : flags : 8'h24 (=> CWR 0 ECE 0 URG 1 ACK 0 PSH 0 RST 1 SYN 0 FIN 0) + tcp[0] : [ 817 : 832] : 102 : window : 16'h4305 + tcp[0] : [ 833 : 848] : 104 : checksum : 16'h1eb0 (GOOD) + tcp[0] : [ 849 : 864] : 106 : urgent_ptr : 16'hd1ac + tcp[0] : : 108 : options : (Total Len = 24) tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[0] : 0 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - tcp[0] : 16 : 80 c7 81 1f 8f 28 6d a9 | + tcp[0] : 0 : da a2 1c 61 e0 5f d8 2c | 82 f0 50 df 30 84 b7 e0 + tcp[0] : 16 : 03 f0 6a 83 50 e9 61 37 | tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - stt[0] : [1089 : 1096] : 136 : version : 8'h0 - stt[0] : [1097 : 1104] : 137 : flags : 8'he (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 1 CHKV 0) - stt[0] : [1105 : 1112] : 138 : l4_offset : 8'h42 - stt[0] : [1113 : 1120] : 139 : rsvd : 8'h7a - stt[0] : [1121 : 1136] : 140 : max_seg_sz : 16'h993d - stt[0] : [1137 : 1139] : 142 : PCP : 3'h4 - stt[0] : [1140 : 1140] : 142 : V : 1'h1 - stt[0] : [1141 : 1152] : 142 : vlan : 12'he52 - stt[0] : [1153 : 1216] : 144 : ctx_id : 64'h5eb9729c079c5c82 - stt[0] : [1217 : 1232] : 152 : pad : 16'h0 - eth[2] : [1233 : 1280] : 154 : da : 48'hb7d46974f140 - eth[2] : [1281 : 1328] : 160 : sa : 48'h4bc4611a008 - eth[2] : [1329 : 1344] : 166 : etype : 16'h800 (IPV4) - ipv4[1] : [1345 : 1348] : 168 : version : 4'h4 - ipv4[1] : [1349 : 1352] : 168 : ihl : 4'hd - ipv4[1] : [1353 : 1360] : 169 : tos : 8'hf6 - ipv4[1] : [1361 : 1376] : 170 : total_length : 16'h6b - ipv4[1] : [1377 : 1392] : 172 : id : 16'h4d85 - ipv4[1] : [1393 : 1393] : 174 : reserved : 1'h0 - ipv4[1] : [1394 : 1394] : 174 : df : 1'h0 - ipv4[1] : [1395 : 1395] : 174 : mf : 1'h1 - ipv4[1] : [1396 : 1408] : 174 : frag_offset : 13'h175d - ipv4[1] : [1409 : 1416] : 176 : ttl : 8'hca - ipv4[1] : [1417 : 1424] : 177 : protocol : 8'h6 (TCP) - ipv4[1] : [1425 : 1440] : 178 : checksum : 16'hac33 (GOOD) - ipv4[1] : [1441 : 1472] : 180 : ip_sa : 32'h3425947b - ipv4[1] : [1473 : 1504] : 184 : ip_da : 32'he74237be - ipv4[1] : : 188 : options : (Total Len = 32) + stt[0] : [1057 : 1064] : 132 : version : 8'h0 + stt[0] : [1065 : 1072] : 133 : flags : 8'hd (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 0 CHKV 1) + stt[0] : [1073 : 1080] : 134 : l4_offset : 8'h3e + stt[0] : [1081 : 1088] : 135 : rsvd : 8'hea + stt[0] : [1089 : 1104] : 136 : max_seg_sz : 16'hdcc4 + stt[0] : [1105 : 1107] : 138 : PCP : 3'h1 + stt[0] : [1108 : 1108] : 138 : V : 1'h0 + stt[0] : [1109 : 1120] : 138 : vlan : 12'ha + stt[0] : [1121 : 1184] : 140 : ctx_id : 64'hb0b323bc414e77c6 + stt[0] : [1185 : 1200] : 148 : pad : 16'h0 + eth[2] : [1201 : 1248] : 150 : da : 48'hc274d747f75b + eth[2] : [1249 : 1296] : 156 : sa : 48'he8ceff5a4fd + eth[2] : [1297 : 1312] : 162 : etype : 16'h800 (IPV4) + ipv4[1] : [1313 : 1316] : 164 : version : 4'h4 + ipv4[1] : [1317 : 1320] : 164 : ihl : 4'hc + ipv4[1] : [1321 : 1328] : 165 : tos : 8'h4e + ipv4[1] : [1329 : 1344] : 166 : total_length : 16'h64 + ipv4[1] : [1345 : 1360] : 168 : id : 16'ha14d + ipv4[1] : [1361 : 1361] : 170 : reserved : 1'h1 + ipv4[1] : [1362 : 1362] : 170 : df : 1'h1 + ipv4[1] : [1363 : 1363] : 170 : mf : 1'h0 + ipv4[1] : [1364 : 1376] : 170 : frag_offset : 13'h0 + ipv4[1] : [1377 : 1384] : 172 : ttl : 8'h4e + ipv4[1] : [1385 : 1392] : 173 : protocol : 8'h6 (TCP) + ipv4[1] : [1393 : 1408] : 174 : checksum : 16'h5a4f (GOOD) + ipv4[1] : [1409 : 1440] : 176 : ip_sa : 32'he9317ed1 + ipv4[1] : [1441 : 1472] : 180 : ip_da : 32'hac1a5c49 + ipv4[1] : : 184 : options : (Total Len = 28) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 11 be 92 ae 51 a9 a8 cb | f4 36 f5 7e d7 98 d4 27 - ipv4[1] : 16 : b4 cd 6a 0c 78 d0 b0 92 | 8b b3 c8 23 1a 2c e4 46 + ipv4[1] : 0 : 29 95 43 b4 1e 50 6d bc | 88 11 0f d4 21 e8 22 18 + ipv4[1] : 16 : 6d 46 dc 7f b0 b3 cd 06 | 92 b5 09 d0 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : [1761 : 1776] : 220 : src_prt : 16'h6219 - tcp[1] : [1777 : 1792] : 222 : dst_prt : 16'h920b (UNKNOWN) - tcp[1] : [1793 : 1824] : 224 : seq_number : 32'hb4fc3063 - tcp[1] : [1825 : 1856] : 228 : ack_number : 32'hf611d10f - tcp[1] : [1857 : 1860] : 232 : offset : 4'hb - tcp[1] : [1861 : 1864] : 232 : rsvd : 4'he - tcp[1] : [1865 : 1872] : 233 : flags : 8'h9e (=> CWR 1 ECE 0 URG 0 ACK 1 PSH 1 RST 1 SYN 1 FIN 0) - tcp[1] : [1873 : 1888] : 234 : window : 16'hde9b - tcp[1] : [1889 : 1904] : 236 : checksum : 16'h1d97 (GOOD) - tcp[1] : [1905 : 1920] : 238 : urgent_ptr : 16'h3cf4 - tcp[1] : : 240 : options : (Total Len = 24) + tcp[1] : [1697 : 1712] : 212 : src_prt : 16'h4619 + tcp[1] : [1713 : 1728] : 214 : dst_prt : 16'hd86 (UNKNOWN) + tcp[1] : [1729 : 1760] : 216 : seq_number : 32'h97b569f1 + tcp[1] : [1761 : 1792] : 220 : ack_number : 32'h39909e06 + tcp[1] : [1793 : 1796] : 224 : offset : 4'hb + tcp[1] : [1797 : 1800] : 224 : rsvd : 4'hb + tcp[1] : [1801 : 1808] : 225 : flags : 8'hcf (=> CWR 1 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 1 FIN 1) + tcp[1] : [1809 : 1824] : 226 : window : 16'hd9f5 + tcp[1] : [1825 : 1840] : 228 : checksum : 16'hfd8d (GOOD) + tcp[1] : [1841 : 1856] : 230 : urgent_ptr : 16'h3ba7 + tcp[1] : : 232 : options : (Total Len = 24) tcp[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - tcp[1] : 0 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - tcp[1] : 16 : 3c bc 42 c3 91 d1 28 4a | + tcp[1] : 0 : 10 e6 3e e1 72 a3 fa 79 | a9 a2 34 59 12 da bf 11 + tcp[1] : 16 : 57 27 31 54 cb 99 4d a6 | tcp[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - data[0] : data_len : 11 (data => 25 ca 9d f8 ..) + data[0] : data_len : 8 (data => 78 87 c3 04 ..) toh : pad_len : 0 - toh : [2201 : 2232] : 275 : crc : 32'hb80b8abb (GOOD) + toh : [2113 : 2144] : 264 : crc32 : 32'h25c69e75 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 04 1e ca 8b fd 09 5d 48 | 8c 6f 43 c2 88 e7 8c 3f - pkt_lib : 16 : 50 0b 6a d5 d6 97 7f 91 | df da dc 14 36 bb 08 00 - pkt_lib : 32 : 4f 08 00 f3 83 b8 ac d4 | 09 06 9c 03 f3 b4 62 4e - pkt_lib : 48 : f9 d3 ae 40 0f ec e9 16 | 29 83 86 38 a7 18 04 03 - pkt_lib : 64 : 66 bc 40 eb 8d b5 e0 f2 | f0 e7 06 65 de f7 3c f3 - pkt_lib : 80 : a8 2c 0d 84 fd 9c b5 88 | a1 bf 54 5d 0a ac 0f ff - pkt_lib : 96 : 76 67 2d a8 d8 a4 16 cc | b5 06 74 b6 e0 2d 74 b1 - pkt_lib : 112 : ab 75 b3 b1 9b e4 b2 25 | 37 f0 bf 4b 72 23 f2 0a - pkt_lib : 128 : 80 c7 81 1f 8f 28 6d a9 | 00 0e 42 7a 99 3d 9e 52 - pkt_lib : 144 : 5e b9 72 9c 07 9c 5c 82 | 00 00 b7 d4 69 74 f1 40 - pkt_lib : 160 : 04 bc 46 11 a0 08 08 00 | 4d f6 00 6b 4d 85 37 5d - pkt_lib : 176 : ca 06 ac 33 34 25 94 7b | e7 42 37 be 11 be 92 ae - pkt_lib : 192 : 51 a9 a8 cb f4 36 f5 7e | d7 98 d4 27 b4 cd 6a 0c - pkt_lib : 208 : 78 d0 b0 92 8b b3 c8 23 | 1a 2c e4 46 62 19 92 0b - pkt_lib : 224 : b4 fc 30 63 f6 11 d1 0f | be 9e de 9b 1d 97 3c f4 - pkt_lib : 240 : 94 3f ac fa 97 6f 92 6c | 0b 65 8e 20 16 5a d6 56 - pkt_lib : 256 : 3c bc 42 c3 91 d1 28 4a | 25 ca 9d f8 d4 5e 2b 62 - pkt_lib : 272 : 63 48 2e b8 0b 8a bb + pkt_lib : 0 : c6 b8 93 79 6a 89 f7 e3 | 1d 1d 3d ea 88 e7 14 3c + pkt_lib : 16 : f8 6a 85 00 01 68 d7 24 | ed f9 e1 a0 e9 d2 08 00 + pkt_lib : 32 : 4e ec 00 e8 a6 c9 c0 00 | 40 06 3b 0b c1 5a c1 38 + pkt_lib : 48 : 78 73 44 df da 5e 24 0f | cd 1a a4 99 66 a1 d5 e9 + pkt_lib : 64 : 62 58 c9 2f 8f 6e aa d0 | 51 d4 ad 12 5a af 84 23 + pkt_lib : 80 : 36 88 d4 c4 b7 71 db 7b | 73 e1 0f ff 3a 0e 06 a4 + pkt_lib : 96 : da 40 15 6e b6 24 43 05 | 1e b0 d1 ac da a2 1c 61 + pkt_lib : 112 : e0 5f d8 2c 82 f0 50 df | 30 84 b7 e0 03 f0 6a 83 + pkt_lib : 128 : 50 e9 61 37 00 0d 3e ea | dc c4 20 0a b0 b3 23 bc + pkt_lib : 144 : 41 4e 77 c6 00 00 c2 74 | d7 47 f7 5b 0e 8c ef f5 + pkt_lib : 160 : a4 fd 08 00 4c 4e 00 64 | a1 4d c0 00 4e 06 5a 4f + pkt_lib : 176 : e9 31 7e d1 ac 1a 5c 49 | 29 95 43 b4 1e 50 6d bc + pkt_lib : 192 : 88 11 0f d4 21 e8 22 18 | 6d 46 dc 7f b0 b3 cd 06 + pkt_lib : 208 : 92 b5 09 d0 46 19 0d 86 | 97 b5 69 f1 39 90 9e 06 + pkt_lib : 224 : bb cf d9 f5 fd 8d 3b a7 | 10 e6 3e e1 72 a3 fa 79 + pkt_lib : 240 : a9 a2 34 59 12 da bf 11 | 57 27 31 54 cb 99 4d a6 + pkt_lib : 256 : 78 87 c3 04 cf ed 79 84 | 25 c6 9e 75 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 279) + pkt_lib : (Total Len = 268) 0 : INFO : TEST : Compare Pkt 11 - cmp_hdr : {eth[0], itag[0], eth[1], ipv4[0], tcp[0], stt[0], eth[2], ipv4[1], tcp[1], data[0]} - toh : plen : 279 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h41eca8bfd09 - eth[0] : [ 48 : 95] : 6 : sa : 48'h5d488c6f43c2 - eth[0] : [ 96 : 111] : 12 : etype : 16'h88e7 (ITAG) - itag[0] : [ 112 : 114] : 14 : pri : 3'h4 - itag[0] : [ 115 : 115] : 14 : de : 1'h0 - itag[0] : [ 116 : 117] : 14 : uca : 2'h1 - itag[0] : [ 118 : 120] : 14 : rsvd : 3'h4 - itag[0] : [ 121 : 144] : 15 : sid : 24'h3f500b - eth[1] : [ 145 : 192] : 18 : da : 48'h6ad5d6977f91 - eth[1] : [ 193 : 240] : 24 : sa : 48'hdfdadc1436bb - eth[1] : [ 241 : 256] : 30 : etype : 16'h800 (IPV4) - ipv4[0] : [ 257 : 260] : 32 : version : 4'h4 - ipv4[0] : [ 261 : 264] : 32 : ihl : 4'hf - ipv4[0] : [ 265 : 272] : 33 : tos : 8'h8 - ipv4[0] : [ 273 : 288] : 34 : total_length : 16'hf3 - ipv4[0] : [ 289 : 304] : 36 : id : 16'h83b8 - ipv4[0] : [ 305 : 305] : 38 : reserved : 1'h1 - ipv4[0] : [ 306 : 306] : 38 : df : 1'h0 - ipv4[0] : [ 307 : 307] : 38 : mf : 1'h1 - ipv4[0] : [ 308 : 320] : 38 : frag_offset : 13'hcd4 - ipv4[0] : [ 321 : 328] : 40 : ttl : 8'h9 - ipv4[0] : [ 329 : 336] : 41 : protocol : 8'h6 (TCP) - ipv4[0] : [ 337 : 352] : 42 : checksum : 16'h9c03 (GOOD) - ipv4[0] : [ 353 : 384] : 44 : ip_sa : 32'hf3b4624e - ipv4[0] : [ 385 : 416] : 48 : ip_da : 32'hf9d3ae40 - ipv4[0] : options : Matched :-) Length Rcv => 40 Exp => 40 - tcp[0] : [ 737 : 752] : 92 : src_prt : 16'haac - tcp[0] : [ 753 : 768] : 94 : dst_prt : 16'hfff (STT) - tcp[0] : [ 769 : 800] : 96 : seq_number : 32'h76672da8 - tcp[0] : [ 801 : 832] : 100 : ack_number : 32'hd8a416cc - tcp[0] : [ 833 : 836] : 104 : offset : 4'hb - tcp[0] : [ 837 : 840] : 104 : rsvd : 4'h5 - tcp[0] : [ 841 : 848] : 105 : flags : 8'h6 (=> CWR 0 ECE 0 URG 0 ACK 0 PSH 0 RST 1 SYN 1 FIN 0) - tcp[0] : [ 849 : 864] : 106 : window : 16'h74b6 - tcp[0] : [ 865 : 880] : 108 : checksum : 16'he02d (GOOD) - tcp[0] : [ 881 : 896] : 110 : urgent_ptr : 16'h74b1 - tcp[0] : options : Matched :-) Length Rcv => 24 Exp => 24 - stt[0] : [1089 : 1096] : 136 : version : 8'h0 - stt[0] : [1097 : 1104] : 137 : flags : 8'he (=> RSVD 0000 TCPP 1 IPPR 1 CHKP 1 CHKV 0) - stt[0] : [1105 : 1112] : 138 : l4_offset : 8'h42 - stt[0] : [1113 : 1120] : 139 : rsvd : 8'h7a - stt[0] : [1121 : 1136] : 140 : max_seg_sz : 16'h993d - stt[0] : [1137 : 1139] : 142 : PCP : 3'h4 - stt[0] : [1140 : 1140] : 142 : V : 1'h1 - stt[0] : [1141 : 1152] : 142 : vlan : 12'he52 - stt[0] : [1153 : 1216] : 144 : ctx_id : 64'h5eb9729c079c5c82 - stt[0] : [1217 : 1232] : 152 : pad : 16'h0 - eth[2] : [1233 : 1280] : 154 : da : 48'hb7d46974f140 - eth[2] : [1281 : 1328] : 160 : sa : 48'h4bc4611a008 - eth[2] : [1329 : 1344] : 166 : etype : 16'h800 (IPV4) - ipv4[1] : [1345 : 1348] : 168 : version : 4'h4 - ipv4[1] : [1349 : 1352] : 168 : ihl : 4'hd - ipv4[1] : [1353 : 1360] : 169 : tos : 8'hf6 - ipv4[1] : [1361 : 1376] : 170 : total_length : 16'h6b - ipv4[1] : [1377 : 1392] : 172 : id : 16'h4d85 - ipv4[1] : [1393 : 1393] : 174 : reserved : 1'h0 - ipv4[1] : [1394 : 1394] : 174 : df : 1'h0 - ipv4[1] : [1395 : 1395] : 174 : mf : 1'h1 - ipv4[1] : [1396 : 1408] : 174 : frag_offset : 13'h175d - ipv4[1] : [1409 : 1416] : 176 : ttl : 8'hca - ipv4[1] : [1417 : 1424] : 177 : protocol : 8'h6 (TCP) - ipv4[1] : [1425 : 1440] : 178 : checksum : 16'hac33 (GOOD) - ipv4[1] : [1441 : 1472] : 180 : ip_sa : 32'h3425947b - ipv4[1] : [1473 : 1504] : 184 : ip_da : 32'he74237be - ipv4[1] : options : Matched :-) Length Rcv => 32 Exp => 32 - tcp[1] : [1761 : 1776] : 220 : src_prt : 16'h6219 - tcp[1] : [1777 : 1792] : 222 : dst_prt : 16'h920b (UNKNOWN) - tcp[1] : [1793 : 1824] : 224 : seq_number : 32'hb4fc3063 - tcp[1] : [1825 : 1856] : 228 : ack_number : 32'hf611d10f - tcp[1] : [1857 : 1860] : 232 : offset : 4'hb - tcp[1] : [1861 : 1864] : 232 : rsvd : 4'he - tcp[1] : [1865 : 1872] : 233 : flags : 8'h9e (=> CWR 1 ECE 0 URG 0 ACK 1 PSH 1 RST 1 SYN 1 FIN 0) - tcp[1] : [1873 : 1888] : 234 : window : 16'hde9b - tcp[1] : [1889 : 1904] : 236 : checksum : 16'h1d97 (GOOD) - tcp[1] : [1905 : 1920] : 238 : urgent_ptr : 16'h3cf4 - tcp[1] : options : Matched :-) Length Rcv => 24 Exp => 24 - data[0] : data_len : 11 (data => 25 ca 9d f8 ..) - toh : pad_len : 0 - toh : [2201 : 2232] : 275 : crc : 32'hb80b8abb (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 279 Exp => 279 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 268 Exp => 268 + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 243 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 244 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 245 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 246 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 247 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 248 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 249 does not exist, 243 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 250 does not exist, 243 items are in the dynamic array. 0 : INFO : TEST : Pack Pkt 12 - cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} - toh : plen : 188 + cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} (IEEE802) + toh : plen : 247 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9c09b405ef19 - eth[0] : [ 48 : 95] : 6 : sa : 48'h1d8c8df8dba + eth[0] : [ 0 : 47] : 0 : da : 48'h51408f65abf + eth[0] : [ 48 : 95] : 6 : sa : 48'h7261db568b31 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he88 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hc10 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 144 : 146] : 18 : cos : 3'h6 + dot1q[1] : [ 144 : 146] : 18 : cos : 3'h2 dot1q[1] : [ 147 : 147] : 18 : cfi : 1'h1 - dot1q[1] : [ 148 : 159] : 18 : vlan : 12'h763 + dot1q[1] : [ 148 : 159] : 18 : vlan : 12'he5c dot1q[1] : [ 160 : 175] : 20 : etype : 16'h800 (IPV4) ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 - ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h5 - ipv4[0] : [ 184 : 191] : 23 : tos : 8'hdc - ipv4[0] : [ 192 : 207] : 24 : total_length : 16'ha2 - ipv4[0] : [ 208 : 223] : 26 : id : 16'h114b + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h9 + ipv4[0] : [ 184 : 191] : 23 : tos : 8'h5c + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'hdd + ipv4[0] : [ 208 : 223] : 26 : id : 16'hd267 ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h0 ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 - ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1664 - ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h77 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1e72 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h3f ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h6 (TCP) - ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h8f77 (GOOD) - ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'he8ca8985 - ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'hba4c3eb7 - tcp[0] : [ 336 : 351] : 42 : src_prt : 16'h3155 - tcp[0] : [ 352 : 367] : 44 : dst_prt : 16'hfff (STT) - tcp[0] : [ 368 : 399] : 46 : seq_number : 32'h97eb4f78 - tcp[0] : [ 400 : 431] : 50 : ack_number : 32'h17f10324 - tcp[0] : [ 432 : 435] : 54 : offset : 4'h5 - tcp[0] : [ 436 : 439] : 54 : rsvd : 4'h9 - tcp[0] : [ 440 : 447] : 55 : flags : 8'h4d (=> CWR 0 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 0 FIN 1) - tcp[0] : [ 448 : 463] : 56 : window : 16'hfc1f - tcp[0] : [ 464 : 479] : 58 : checksum : 16'h5097 (GOOD) - tcp[0] : [ 480 : 495] : 60 : urgent_ptr : 16'h57c8 - stt[0] : [ 496 : 503] : 62 : version : 8'h0 - stt[0] : [ 504 : 511] : 63 : flags : 8'h2 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 1 CHKV 0) - stt[0] : [ 512 : 519] : 64 : l4_offset : 8'h36 - stt[0] : [ 520 : 527] : 65 : rsvd : 8'hb2 - stt[0] : [ 528 : 543] : 66 : max_seg_sz : 16'h43e - stt[0] : [ 544 : 546] : 68 : PCP : 3'h6 - stt[0] : [ 547 : 547] : 68 : V : 1'h1 - stt[0] : [ 548 : 559] : 68 : vlan : 12'hc9e - stt[0] : [ 560 : 623] : 70 : ctx_id : 64'hda736e0392315bb2 - stt[0] : [ 624 : 639] : 78 : pad : 16'h0 - eth[1] : [ 640 : 687] : 80 : da : 48'h37190946bd57 - eth[1] : [ 688 : 735] : 86 : sa : 48'hd2230f1a4bbf - eth[1] : [ 736 : 751] : 92 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h31 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'h1502e - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h32 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h4 (IPV4) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hee - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'hc8ada10e70a3249781d3722d7a7abde4 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'h76f52392fdcd89d646832b5c056f8eaa - ipv4[1] : [1072 : 1075] : 134 : version : 4'h4 - ipv4[1] : [1076 : 1079] : 134 : ihl : 4'h9 - ipv4[1] : [1080 : 1087] : 135 : tos : 8'h47 - ipv4[1] : [1088 : 1103] : 136 : total_length : 16'h32 - ipv4[1] : [1104 : 1119] : 138 : id : 16'h56f5 - ipv4[1] : [1120 : 1120] : 140 : reserved : 1'h1 - ipv4[1] : [1121 : 1121] : 140 : df : 1'h1 - ipv4[1] : [1122 : 1122] : 140 : mf : 1'h0 - ipv4[1] : [1123 : 1135] : 140 : frag_offset : 13'h0 - ipv4[1] : [1136 : 1143] : 142 : ttl : 8'h89 - ipv4[1] : [1144 : 1151] : 143 : protocol : 8'h2 (IGMP) - ipv4[1] : [1152 : 1167] : 144 : checksum : 16'h3da7 (GOOD) - ipv4[1] : [1168 : 1199] : 146 : ip_sa : 32'hcd0c47e3 - ipv4[1] : [1200 : 1231] : 150 : ip_da : 32'hb0d6e661 - ipv4[1] : : 154 : options : (Total Len = 16) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h639 (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'hb48e3b20 + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h50a4552d + ipv4[0] : : 42 : options : (Total Len = 16) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : fa 51 bb 31 30 ae 23 e1 | 68 af d9 74 3e 06 3f ef + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : [ 464 : 479] : 58 : src_prt : 16'h54ea + tcp[0] : [ 480 : 495] : 60 : dst_prt : 16'hfff (STT) + tcp[0] : [ 496 : 527] : 62 : seq_number : 32'h72398fa5 + tcp[0] : [ 528 : 559] : 66 : ack_number : 32'hc6eb4057 + tcp[0] : [ 560 : 563] : 70 : offset : 4'hf + tcp[0] : [ 564 : 567] : 70 : rsvd : 4'hd + tcp[0] : [ 568 : 575] : 71 : flags : 8'he7 (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 0 RST 1 SYN 1 FIN 1) + tcp[0] : [ 576 : 591] : 72 : window : 16'h4542 + tcp[0] : [ 592 : 607] : 74 : checksum : 16'h6b1c (GOOD) + tcp[0] : [ 608 : 623] : 76 : urgent_ptr : 16'h21ea + tcp[0] : : 78 : options : (Total Len = 40) + tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : 0 : 78 cf 8e 04 48 47 bc fd | 6a 11 53 cf 06 0d eb f4 + tcp[0] : 16 : 9e 5a 12 1a ab 98 55 fb | 7c 56 33 ef d5 a4 f5 e7 + tcp[0] : 32 : 8d b2 62 84 dd 07 82 bc | + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + stt[0] : [ 944 : 951] : 118 : version : 8'h0 + stt[0] : [ 952 : 959] : 119 : flags : 8'h0 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 0 CHKV 0) + stt[0] : [ 960 : 967] : 120 : l4_offset : 8'h36 + stt[0] : [ 968 : 975] : 121 : rsvd : 8'h39 + stt[0] : [ 976 : 991] : 122 : max_seg_sz : 16'hbd4f + stt[0] : [ 992 : 994] : 124 : PCP : 3'h6 + stt[0] : [ 995 : 995] : 124 : V : 1'h0 + stt[0] : [ 996 : 1007] : 124 : vlan : 12'ha7 + stt[0] : [1008 : 1071] : 126 : ctx_id : 64'he4e08b47b6bb14a8 + stt[0] : [1072 : 1087] : 134 : pad : 16'h0 + eth[1] : [1088 : 1135] : 136 : da : 48'h89a3e1e37b73 + eth[1] : [1136 : 1183] : 142 : sa : 48'h79804768f83b + eth[1] : [1184 : 1199] : 148 : etype : 16'h86dd (IPV6) + ipv6[0] : [1200 : 1203] : 150 : version : 4'h6 + ipv6[0] : [1204 : 1211] : 150 : tos : 8'hb + ipv6[0] : [1212 : 1231] : 151 : flow_label : 20'hacbed + ipv6[0] : [1232 : 1247] : 154 : payload_len : 16'h35 + ipv6[0] : [1248 : 1255] : 156 : protocol : 8'h4 (IPV4) + ipv6[0] : [1256 : 1263] : 157 : ttl : 8'h11 + ipv6[0] : [1264 : 1391] : 158 : ip6_sa : 128'hbbb927b35c2acbe5ac1966fb0b24c922 + ipv6[0] : [1392 : 1519] : 174 : ip6_da : 128'h5d9714fbcf7af541f146224187784878 + ipv4[1] : [1520 : 1523] : 190 : version : 4'h4 + ipv4[1] : [1524 : 1527] : 190 : ihl : 4'ha + ipv4[1] : [1528 : 1535] : 191 : tos : 8'hb6 + ipv4[1] : [1536 : 1551] : 192 : total_length : 16'h35 + ipv4[1] : [1552 : 1567] : 194 : id : 16'h7b36 + ipv4[1] : [1568 : 1568] : 196 : reserved : 1'h0 + ipv4[1] : [1569 : 1569] : 196 : df : 1'h1 + ipv4[1] : [1570 : 1570] : 196 : mf : 1'h0 + ipv4[1] : [1571 : 1583] : 196 : frag_offset : 13'h0 + ipv4[1] : [1584 : 1591] : 198 : ttl : 8'h24 + ipv4[1] : [1592 : 1599] : 199 : protocol : 8'h2 (IGMP) + ipv4[1] : [1600 : 1615] : 200 : checksum : 16'haea1 (GOOD) + ipv4[1] : [1616 : 1647] : 202 : ip_sa : 32'h27cc9839 + ipv4[1] : [1648 : 1679] : 206 : ip_da : 32'h131adcfe + ipv4[1] : : 210 : options : (Total Len = 20) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 91 82 a5 65 d9 d6 04 d2 | 02 ea f7 60 5d d2 bf 0f + ipv4[1] : 0 : d7 07 00 9e 96 42 e3 97 | 2d 7b 6e be 67 58 67 f8 + ipv4[1] : 16 : aa 28 0f e8 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [1360 : 1367] : 170 : igmp_type : 8'h16 - igmp[0] : [1368 : 1375] : 171 : max_res_code : 8'h18 - igmp[0] : [1376 : 1391] : 172 : checksum : 16'hb8dc (GOOD) - igmp[0] : [1392 : 1407] : 174 : group_addr : 16'hf5ae8ce0 - data[0] : data_len : 6 (data => c4 1b c1 a1 ..) + igmp[0] : [1840 : 1847] : 230 : igmp_type : 8'h22 + igmp[0] : [1848 : 1855] : 231 : max_res_code : 8'hb8 + igmp[0] : [1856 : 1871] : 232 : checksum : 16'h7684 (GOOD) + igmp[0] : [1872 : 1903] : 234 : group_addr : 32'h57076465 + data[0] : data_len : 5 (data => 2c 1d 7f 26 ..) toh : pad_len : 0 - toh : [1456 : 1487] : 182 : crc : 32'h999211f5 (GOOD) + toh : [1944 : 1975] : 243 : crc32 : 32'he98d94e (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 9c 09 b4 05 ef 19 01 d8 | c8 df 8d ba 81 00 be 88 - pkt_lib : 16 : 81 00 d7 63 08 00 45 dc | 00 a2 11 4b 36 64 77 06 - pkt_lib : 32 : 8f 77 e8 ca 89 85 ba 4c | 3e b7 31 55 0f ff 97 eb - pkt_lib : 48 : 4f 78 17 f1 03 24 59 4d | fc 1f 50 97 57 c8 00 02 - pkt_lib : 64 : 36 b2 04 3e dc 9e da 73 | 6e 03 92 31 5b b2 00 00 - pkt_lib : 80 : 37 19 09 46 bd 57 d2 23 | 0f 1a 4b bf 86 dd 63 11 - pkt_lib : 96 : 50 2e 00 32 04 ee c8 ad | a1 0e 70 a3 24 97 81 d3 - pkt_lib : 112 : 72 2d 7a 7a bd e4 76 f5 | 23 92 fd cd 89 d6 46 83 - pkt_lib : 128 : 2b 5c 05 6f 8e aa 49 47 | 00 32 56 f5 c0 00 89 02 - pkt_lib : 144 : 3d a7 cd 0c 47 e3 b0 d6 | e6 61 91 82 a5 65 d9 d6 - pkt_lib : 160 : 04 d2 02 ea f7 60 5d d2 | bf 0f 16 18 00 00 f5 ae - pkt_lib : 176 : 8c e0 c4 1b c1 a1 28 be | 99 92 11 f5 + pkt_lib : 0 : 05 14 08 f6 5a bf 72 61 | db 56 8b 31 81 00 8c 10 + pkt_lib : 16 : 81 00 5e 5c 08 00 49 5c | 00 dd d2 67 3e 72 3f 06 + pkt_lib : 32 : 06 39 b4 8e 3b 20 50 a4 | 55 2d fa 51 bb 31 30 ae + pkt_lib : 48 : 23 e1 68 af d9 74 3e 06 | 3f ef 54 ea 0f ff 72 39 + pkt_lib : 64 : 8f a5 c6 eb 40 57 fd e7 | 45 42 6b 1c 21 ea 78 cf + pkt_lib : 80 : 8e 04 48 47 bc fd 6a 11 | 53 cf 06 0d eb f4 9e 5a + pkt_lib : 96 : 12 1a ab 98 55 fb 7c 56 | 33 ef d5 a4 f5 e7 8d b2 + pkt_lib : 112 : 62 84 dd 07 82 bc 00 00 | 36 39 bd 4f c0 a7 e4 e0 + pkt_lib : 128 : 8b 47 b6 bb 14 a8 00 00 | 89 a3 e1 e3 7b 73 79 80 + pkt_lib : 144 : 47 68 f8 3b 86 dd 60 ba | cb ed 00 35 04 11 bb b9 + pkt_lib : 160 : 27 b3 5c 2a cb e5 ac 19 | 66 fb 0b 24 c9 22 5d 97 + pkt_lib : 176 : 14 fb cf 7a f5 41 f1 46 | 22 41 87 78 48 78 4a b6 + pkt_lib : 192 : 00 35 7b 36 40 00 24 02 | ae a1 27 cc 98 39 13 1a + pkt_lib : 208 : dc fe d7 07 00 9e 96 42 | e3 97 2d 7b 6e be 67 58 + pkt_lib : 224 : 67 f8 aa 28 0f e8 22 b8 | 00 00 57 07 64 65 2c 1d + pkt_lib : 240 : 7f 26 13 0e 98 d9 4e pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 188) + pkt_lib : (Total Len = 247) 0 : INFO : TEST : Unpack Pkt 12 - cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} - toh : plen : 188 + cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} (IEEE802) + toh : plen : 247 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9c09b405ef19 - eth[0] : [ 48 : 95] : 6 : sa : 48'h1d8c8df8dba + eth[0] : [ 0 : 47] : 0 : da : 48'h51408f65abf + eth[0] : [ 48 : 95] : 6 : sa : 48'h7261db568b31 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he88 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hc10 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 144 : 146] : 18 : cos : 3'h6 + dot1q[1] : [ 144 : 146] : 18 : cos : 3'h2 dot1q[1] : [ 147 : 147] : 18 : cfi : 1'h1 - dot1q[1] : [ 148 : 159] : 18 : vlan : 12'h763 + dot1q[1] : [ 148 : 159] : 18 : vlan : 12'he5c dot1q[1] : [ 160 : 175] : 20 : etype : 16'h800 (IPV4) ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 - ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h5 - ipv4[0] : [ 184 : 191] : 23 : tos : 8'hdc - ipv4[0] : [ 192 : 207] : 24 : total_length : 16'ha2 - ipv4[0] : [ 208 : 223] : 26 : id : 16'h114b + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h9 + ipv4[0] : [ 184 : 191] : 23 : tos : 8'h5c + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'hdd + ipv4[0] : [ 208 : 223] : 26 : id : 16'hd267 ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h0 ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 - ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1664 - ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h77 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1e72 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h3f ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h6 (TCP) - ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h8f77 (GOOD) - ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'he8ca8985 - ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'hba4c3eb7 - tcp[0] : [ 336 : 351] : 42 : src_prt : 16'h3155 - tcp[0] : [ 352 : 367] : 44 : dst_prt : 16'hfff (STT) - tcp[0] : [ 368 : 399] : 46 : seq_number : 32'h97eb4f78 - tcp[0] : [ 400 : 431] : 50 : ack_number : 32'h17f10324 - tcp[0] : [ 432 : 435] : 54 : offset : 4'h5 - tcp[0] : [ 436 : 439] : 54 : rsvd : 4'h9 - tcp[0] : [ 440 : 447] : 55 : flags : 8'h4d (=> CWR 0 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 0 FIN 1) - tcp[0] : [ 448 : 463] : 56 : window : 16'hfc1f - tcp[0] : [ 464 : 479] : 58 : checksum : 16'h5097 (GOOD) - tcp[0] : [ 480 : 495] : 60 : urgent_ptr : 16'h57c8 - stt[0] : [ 496 : 503] : 62 : version : 8'h0 - stt[0] : [ 504 : 511] : 63 : flags : 8'h2 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 1 CHKV 0) - stt[0] : [ 512 : 519] : 64 : l4_offset : 8'h36 - stt[0] : [ 520 : 527] : 65 : rsvd : 8'hb2 - stt[0] : [ 528 : 543] : 66 : max_seg_sz : 16'h43e - stt[0] : [ 544 : 546] : 68 : PCP : 3'h6 - stt[0] : [ 547 : 547] : 68 : V : 1'h1 - stt[0] : [ 548 : 559] : 68 : vlan : 12'hc9e - stt[0] : [ 560 : 623] : 70 : ctx_id : 64'hda736e0392315bb2 - stt[0] : [ 624 : 639] : 78 : pad : 16'h0 - eth[1] : [ 640 : 687] : 80 : da : 48'h37190946bd57 - eth[1] : [ 688 : 735] : 86 : sa : 48'hd2230f1a4bbf - eth[1] : [ 736 : 751] : 92 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h31 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'h1502e - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h32 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h4 (IPV4) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hee - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'hc8ada10e70a3249781d3722d7a7abde4 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'h76f52392fdcd89d646832b5c056f8eaa - ipv4[1] : [1072 : 1075] : 134 : version : 4'h4 - ipv4[1] : [1076 : 1079] : 134 : ihl : 4'h9 - ipv4[1] : [1080 : 1087] : 135 : tos : 8'h47 - ipv4[1] : [1088 : 1103] : 136 : total_length : 16'h32 - ipv4[1] : [1104 : 1119] : 138 : id : 16'h56f5 - ipv4[1] : [1120 : 1120] : 140 : reserved : 1'h1 - ipv4[1] : [1121 : 1121] : 140 : df : 1'h1 - ipv4[1] : [1122 : 1122] : 140 : mf : 1'h0 - ipv4[1] : [1123 : 1135] : 140 : frag_offset : 13'h0 - ipv4[1] : [1136 : 1143] : 142 : ttl : 8'h89 - ipv4[1] : [1144 : 1151] : 143 : protocol : 8'h2 (IGMP) - ipv4[1] : [1152 : 1167] : 144 : checksum : 16'h3da7 (GOOD) - ipv4[1] : [1168 : 1199] : 146 : ip_sa : 32'hcd0c47e3 - ipv4[1] : [1200 : 1231] : 150 : ip_da : 32'hb0d6e661 - ipv4[1] : : 154 : options : (Total Len = 16) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h639 (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'hb48e3b20 + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h50a4552d + ipv4[0] : : 42 : options : (Total Len = 16) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : fa 51 bb 31 30 ae 23 e1 | 68 af d9 74 3e 06 3f ef + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : [ 464 : 479] : 58 : src_prt : 16'h54ea + tcp[0] : [ 480 : 495] : 60 : dst_prt : 16'hfff (STT) + tcp[0] : [ 496 : 527] : 62 : seq_number : 32'h72398fa5 + tcp[0] : [ 528 : 559] : 66 : ack_number : 32'hc6eb4057 + tcp[0] : [ 560 : 563] : 70 : offset : 4'hf + tcp[0] : [ 564 : 567] : 70 : rsvd : 4'hd + tcp[0] : [ 568 : 575] : 71 : flags : 8'he7 (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 0 RST 1 SYN 1 FIN 1) + tcp[0] : [ 576 : 591] : 72 : window : 16'h4542 + tcp[0] : [ 592 : 607] : 74 : checksum : 16'h6b1c (GOOD) + tcp[0] : [ 608 : 623] : 76 : urgent_ptr : 16'h21ea + tcp[0] : : 78 : options : (Total Len = 40) + tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : 0 : 78 cf 8e 04 48 47 bc fd | 6a 11 53 cf 06 0d eb f4 + tcp[0] : 16 : 9e 5a 12 1a ab 98 55 fb | 7c 56 33 ef d5 a4 f5 e7 + tcp[0] : 32 : 8d b2 62 84 dd 07 82 bc | + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + stt[0] : [ 944 : 951] : 118 : version : 8'h0 + stt[0] : [ 952 : 959] : 119 : flags : 8'h0 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 0 CHKV 0) + stt[0] : [ 960 : 967] : 120 : l4_offset : 8'h36 + stt[0] : [ 968 : 975] : 121 : rsvd : 8'h39 + stt[0] : [ 976 : 991] : 122 : max_seg_sz : 16'hbd4f + stt[0] : [ 992 : 994] : 124 : PCP : 3'h6 + stt[0] : [ 995 : 995] : 124 : V : 1'h0 + stt[0] : [ 996 : 1007] : 124 : vlan : 12'ha7 + stt[0] : [1008 : 1071] : 126 : ctx_id : 64'he4e08b47b6bb14a8 + stt[0] : [1072 : 1087] : 134 : pad : 16'h0 + eth[1] : [1088 : 1135] : 136 : da : 48'h89a3e1e37b73 + eth[1] : [1136 : 1183] : 142 : sa : 48'h79804768f83b + eth[1] : [1184 : 1199] : 148 : etype : 16'h86dd (IPV6) + ipv6[0] : [1200 : 1203] : 150 : version : 4'h6 + ipv6[0] : [1204 : 1211] : 150 : tos : 8'hb + ipv6[0] : [1212 : 1231] : 151 : flow_label : 20'hacbed + ipv6[0] : [1232 : 1247] : 154 : payload_len : 16'h35 + ipv6[0] : [1248 : 1255] : 156 : protocol : 8'h4 (IPV4) + ipv6[0] : [1256 : 1263] : 157 : ttl : 8'h11 + ipv6[0] : [1264 : 1391] : 158 : ip6_sa : 128'hbbb927b35c2acbe5ac1966fb0b24c922 + ipv6[0] : [1392 : 1519] : 174 : ip6_da : 128'h5d9714fbcf7af541f146224187784878 + ipv4[1] : [1520 : 1523] : 190 : version : 4'h4 + ipv4[1] : [1524 : 1527] : 190 : ihl : 4'ha + ipv4[1] : [1528 : 1535] : 191 : tos : 8'hb6 + ipv4[1] : [1536 : 1551] : 192 : total_length : 16'h35 + ipv4[1] : [1552 : 1567] : 194 : id : 16'h7b36 + ipv4[1] : [1568 : 1568] : 196 : reserved : 1'h0 + ipv4[1] : [1569 : 1569] : 196 : df : 1'h1 + ipv4[1] : [1570 : 1570] : 196 : mf : 1'h0 + ipv4[1] : [1571 : 1583] : 196 : frag_offset : 13'h0 + ipv4[1] : [1584 : 1591] : 198 : ttl : 8'h24 + ipv4[1] : [1592 : 1599] : 199 : protocol : 8'h2 (IGMP) + ipv4[1] : [1600 : 1615] : 200 : checksum : 16'haea1 (GOOD) + ipv4[1] : [1616 : 1647] : 202 : ip_sa : 32'h27cc9839 + ipv4[1] : [1648 : 1679] : 206 : ip_da : 32'h131adcfe + ipv4[1] : : 210 : options : (Total Len = 20) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 91 82 a5 65 d9 d6 04 d2 | 02 ea f7 60 5d d2 bf 0f + ipv4[1] : 0 : d7 07 00 9e 96 42 e3 97 | 2d 7b 6e be 67 58 67 f8 + ipv4[1] : 16 : aa 28 0f e8 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [1360 : 1367] : 170 : igmp_type : 8'h16 - igmp[0] : [1368 : 1375] : 171 : max_res_code : 8'h18 - igmp[0] : [1376 : 1391] : 172 : checksum : 16'h0 (GOOD) - igmp[0] : [1392 : 1407] : 174 : group_addr : 16'hf5ae8ce0 - data[0] : data_len : 6 (data => c4 1b c1 a1 ..) + igmp[0] : [1840 : 1847] : 230 : igmp_type : 8'h22 + igmp[0] : [1848 : 1855] : 231 : max_res_code : 8'hb8 + igmp[0] : [1856 : 1871] : 232 : checksum : 16'h0 (GOOD) + igmp[0] : [1872 : 1903] : 234 : group_addr : 32'h57076465 + data[0] : data_len : 5 (data => 2c 1d 7f 26 ..) toh : pad_len : 0 - toh : [1456 : 1487] : 182 : crc : 32'h999211f5 (GOOD) + toh : [1944 : 1975] : 243 : crc32 : 32'he98d94e (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 9c 09 b4 05 ef 19 01 d8 | c8 df 8d ba 81 00 be 88 - pkt_lib : 16 : 81 00 d7 63 08 00 45 dc | 00 a2 11 4b 36 64 77 06 - pkt_lib : 32 : 8f 77 e8 ca 89 85 ba 4c | 3e b7 31 55 0f ff 97 eb - pkt_lib : 48 : 4f 78 17 f1 03 24 59 4d | fc 1f 50 97 57 c8 00 02 - pkt_lib : 64 : 36 b2 04 3e dc 9e da 73 | 6e 03 92 31 5b b2 00 00 - pkt_lib : 80 : 37 19 09 46 bd 57 d2 23 | 0f 1a 4b bf 86 dd 63 11 - pkt_lib : 96 : 50 2e 00 32 04 ee c8 ad | a1 0e 70 a3 24 97 81 d3 - pkt_lib : 112 : 72 2d 7a 7a bd e4 76 f5 | 23 92 fd cd 89 d6 46 83 - pkt_lib : 128 : 2b 5c 05 6f 8e aa 49 47 | 00 32 56 f5 c0 00 89 02 - pkt_lib : 144 : 3d a7 cd 0c 47 e3 b0 d6 | e6 61 91 82 a5 65 d9 d6 - pkt_lib : 160 : 04 d2 02 ea f7 60 5d d2 | bf 0f 16 18 00 00 f5 ae - pkt_lib : 176 : 8c e0 c4 1b c1 a1 28 be | 99 92 11 f5 + pkt_lib : 0 : 05 14 08 f6 5a bf 72 61 | db 56 8b 31 81 00 8c 10 + pkt_lib : 16 : 81 00 5e 5c 08 00 49 5c | 00 dd d2 67 3e 72 3f 06 + pkt_lib : 32 : 06 39 b4 8e 3b 20 50 a4 | 55 2d fa 51 bb 31 30 ae + pkt_lib : 48 : 23 e1 68 af d9 74 3e 06 | 3f ef 54 ea 0f ff 72 39 + pkt_lib : 64 : 8f a5 c6 eb 40 57 fd e7 | 45 42 6b 1c 21 ea 78 cf + pkt_lib : 80 : 8e 04 48 47 bc fd 6a 11 | 53 cf 06 0d eb f4 9e 5a + pkt_lib : 96 : 12 1a ab 98 55 fb 7c 56 | 33 ef d5 a4 f5 e7 8d b2 + pkt_lib : 112 : 62 84 dd 07 82 bc 00 00 | 36 39 bd 4f c0 a7 e4 e0 + pkt_lib : 128 : 8b 47 b6 bb 14 a8 00 00 | 89 a3 e1 e3 7b 73 79 80 + pkt_lib : 144 : 47 68 f8 3b 86 dd 60 ba | cb ed 00 35 04 11 bb b9 + pkt_lib : 160 : 27 b3 5c 2a cb e5 ac 19 | 66 fb 0b 24 c9 22 5d 97 + pkt_lib : 176 : 14 fb cf 7a f5 41 f1 46 | 22 41 87 78 48 78 4a b6 + pkt_lib : 192 : 00 35 7b 36 40 00 24 02 | ae a1 27 cc 98 39 13 1a + pkt_lib : 208 : dc fe d7 07 00 9e 96 42 | e3 97 2d 7b 6e be 67 58 + pkt_lib : 224 : 67 f8 aa 28 0f e8 22 b8 | 00 00 57 07 64 65 2c 1d + pkt_lib : 240 : 7f 26 13 0e 98 d9 4e pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 188) + pkt_lib : (Total Len = 247) 0 : INFO : TEST : Copy Pkt 12 - cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} - toh : plen : 188 + cfg_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} (IEEE802) + toh : plen : 247 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9c09b405ef19 - eth[0] : [ 48 : 95] : 6 : sa : 48'h1d8c8df8dba + eth[0] : [ 0 : 47] : 0 : da : 48'h51408f65abf + eth[0] : [ 48 : 95] : 6 : sa : 48'h7261db568b31 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he88 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hc10 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 144 : 146] : 18 : cos : 3'h6 + dot1q[1] : [ 144 : 146] : 18 : cos : 3'h2 dot1q[1] : [ 147 : 147] : 18 : cfi : 1'h1 - dot1q[1] : [ 148 : 159] : 18 : vlan : 12'h763 + dot1q[1] : [ 148 : 159] : 18 : vlan : 12'he5c dot1q[1] : [ 160 : 175] : 20 : etype : 16'h800 (IPV4) ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 - ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h5 - ipv4[0] : [ 184 : 191] : 23 : tos : 8'hdc - ipv4[0] : [ 192 : 207] : 24 : total_length : 16'ha2 - ipv4[0] : [ 208 : 223] : 26 : id : 16'h114b + ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h9 + ipv4[0] : [ 184 : 191] : 23 : tos : 8'h5c + ipv4[0] : [ 192 : 207] : 24 : total_length : 16'hdd + ipv4[0] : [ 208 : 223] : 26 : id : 16'hd267 ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h0 ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 - ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1664 - ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h77 + ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1e72 + ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h3f ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h6 (TCP) - ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h8f77 (GOOD) - ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'he8ca8985 - ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'hba4c3eb7 - tcp[0] : [ 336 : 351] : 42 : src_prt : 16'h3155 - tcp[0] : [ 352 : 367] : 44 : dst_prt : 16'hfff (STT) - tcp[0] : [ 368 : 399] : 46 : seq_number : 32'h97eb4f78 - tcp[0] : [ 400 : 431] : 50 : ack_number : 32'h17f10324 - tcp[0] : [ 432 : 435] : 54 : offset : 4'h5 - tcp[0] : [ 436 : 439] : 54 : rsvd : 4'h9 - tcp[0] : [ 440 : 447] : 55 : flags : 8'h4d (=> CWR 0 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 0 FIN 1) - tcp[0] : [ 448 : 463] : 56 : window : 16'hfc1f - tcp[0] : [ 464 : 479] : 58 : checksum : 16'h5097 (GOOD) - tcp[0] : [ 480 : 495] : 60 : urgent_ptr : 16'h57c8 - stt[0] : [ 496 : 503] : 62 : version : 8'h0 - stt[0] : [ 504 : 511] : 63 : flags : 8'h2 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 1 CHKV 0) - stt[0] : [ 512 : 519] : 64 : l4_offset : 8'h36 - stt[0] : [ 520 : 527] : 65 : rsvd : 8'hb2 - stt[0] : [ 528 : 543] : 66 : max_seg_sz : 16'h43e - stt[0] : [ 544 : 546] : 68 : PCP : 3'h6 - stt[0] : [ 547 : 547] : 68 : V : 1'h1 - stt[0] : [ 548 : 559] : 68 : vlan : 12'hc9e - stt[0] : [ 560 : 623] : 70 : ctx_id : 64'hda736e0392315bb2 - stt[0] : [ 624 : 639] : 78 : pad : 16'h0 - eth[1] : [ 640 : 687] : 80 : da : 48'h37190946bd57 - eth[1] : [ 688 : 735] : 86 : sa : 48'hd2230f1a4bbf - eth[1] : [ 736 : 751] : 92 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h31 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'h1502e - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h32 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h4 (IPV4) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hee - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'hc8ada10e70a3249781d3722d7a7abde4 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'h76f52392fdcd89d646832b5c056f8eaa - ipv4[1] : [1072 : 1075] : 134 : version : 4'h4 - ipv4[1] : [1076 : 1079] : 134 : ihl : 4'h9 - ipv4[1] : [1080 : 1087] : 135 : tos : 8'h47 - ipv4[1] : [1088 : 1103] : 136 : total_length : 16'h32 - ipv4[1] : [1104 : 1119] : 138 : id : 16'h56f5 - ipv4[1] : [1120 : 1120] : 140 : reserved : 1'h1 - ipv4[1] : [1121 : 1121] : 140 : df : 1'h1 - ipv4[1] : [1122 : 1122] : 140 : mf : 1'h0 - ipv4[1] : [1123 : 1135] : 140 : frag_offset : 13'h0 - ipv4[1] : [1136 : 1143] : 142 : ttl : 8'h89 - ipv4[1] : [1144 : 1151] : 143 : protocol : 8'h2 (IGMP) - ipv4[1] : [1152 : 1167] : 144 : checksum : 16'h3da7 (GOOD) - ipv4[1] : [1168 : 1199] : 146 : ip_sa : 32'hcd0c47e3 - ipv4[1] : [1200 : 1231] : 150 : ip_da : 32'hb0d6e661 - ipv4[1] : : 154 : options : (Total Len = 16) + ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h639 (GOOD) + ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'hb48e3b20 + ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'h50a4552d + ipv4[0] : : 42 : options : (Total Len = 16) + ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv4[0] : 0 : fa 51 bb 31 30 ae 23 e1 | 68 af d9 74 3e 06 3f ef + ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : [ 464 : 479] : 58 : src_prt : 16'h54ea + tcp[0] : [ 480 : 495] : 60 : dst_prt : 16'hfff (STT) + tcp[0] : [ 496 : 527] : 62 : seq_number : 32'h72398fa5 + tcp[0] : [ 528 : 559] : 66 : ack_number : 32'hc6eb4057 + tcp[0] : [ 560 : 563] : 70 : offset : 4'hf + tcp[0] : [ 564 : 567] : 70 : rsvd : 4'hd + tcp[0] : [ 568 : 575] : 71 : flags : 8'he7 (=> CWR 1 ECE 1 URG 1 ACK 0 PSH 0 RST 1 SYN 1 FIN 1) + tcp[0] : [ 576 : 591] : 72 : window : 16'h4542 + tcp[0] : [ 592 : 607] : 74 : checksum : 16'h6b1c (GOOD) + tcp[0] : [ 608 : 623] : 76 : urgent_ptr : 16'h21ea + tcp[0] : : 78 : options : (Total Len = 40) + tcp[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + tcp[0] : 0 : 78 cf 8e 04 48 47 bc fd | 6a 11 53 cf 06 0d eb f4 + tcp[0] : 16 : 9e 5a 12 1a ab 98 55 fb | 7c 56 33 ef d5 a4 f5 e7 + tcp[0] : 32 : 8d b2 62 84 dd 07 82 bc | + tcp[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + stt[0] : [ 944 : 951] : 118 : version : 8'h0 + stt[0] : [ 952 : 959] : 119 : flags : 8'h0 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 0 CHKV 0) + stt[0] : [ 960 : 967] : 120 : l4_offset : 8'h36 + stt[0] : [ 968 : 975] : 121 : rsvd : 8'h39 + stt[0] : [ 976 : 991] : 122 : max_seg_sz : 16'hbd4f + stt[0] : [ 992 : 994] : 124 : PCP : 3'h6 + stt[0] : [ 995 : 995] : 124 : V : 1'h0 + stt[0] : [ 996 : 1007] : 124 : vlan : 12'ha7 + stt[0] : [1008 : 1071] : 126 : ctx_id : 64'he4e08b47b6bb14a8 + stt[0] : [1072 : 1087] : 134 : pad : 16'h0 + eth[1] : [1088 : 1135] : 136 : da : 48'h89a3e1e37b73 + eth[1] : [1136 : 1183] : 142 : sa : 48'h79804768f83b + eth[1] : [1184 : 1199] : 148 : etype : 16'h86dd (IPV6) + ipv6[0] : [1200 : 1203] : 150 : version : 4'h6 + ipv6[0] : [1204 : 1211] : 150 : tos : 8'hb + ipv6[0] : [1212 : 1231] : 151 : flow_label : 20'hacbed + ipv6[0] : [1232 : 1247] : 154 : payload_len : 16'h35 + ipv6[0] : [1248 : 1255] : 156 : protocol : 8'h4 (IPV4) + ipv6[0] : [1256 : 1263] : 157 : ttl : 8'h11 + ipv6[0] : [1264 : 1391] : 158 : ip6_sa : 128'hbbb927b35c2acbe5ac1966fb0b24c922 + ipv6[0] : [1392 : 1519] : 174 : ip6_da : 128'h5d9714fbcf7af541f146224187784878 + ipv4[1] : [1520 : 1523] : 190 : version : 4'h4 + ipv4[1] : [1524 : 1527] : 190 : ihl : 4'ha + ipv4[1] : [1528 : 1535] : 191 : tos : 8'hb6 + ipv4[1] : [1536 : 1551] : 192 : total_length : 16'h35 + ipv4[1] : [1552 : 1567] : 194 : id : 16'h7b36 + ipv4[1] : [1568 : 1568] : 196 : reserved : 1'h0 + ipv4[1] : [1569 : 1569] : 196 : df : 1'h1 + ipv4[1] : [1570 : 1570] : 196 : mf : 1'h0 + ipv4[1] : [1571 : 1583] : 196 : frag_offset : 13'h0 + ipv4[1] : [1584 : 1591] : 198 : ttl : 8'h24 + ipv4[1] : [1592 : 1599] : 199 : protocol : 8'h2 (IGMP) + ipv4[1] : [1600 : 1615] : 200 : checksum : 16'haea1 (GOOD) + ipv4[1] : [1616 : 1647] : 202 : ip_sa : 32'h27cc9839 + ipv4[1] : [1648 : 1679] : 206 : ip_da : 32'h131adcfe + ipv4[1] : : 210 : options : (Total Len = 20) ipv4[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[1] : 0 : 91 82 a5 65 d9 d6 04 d2 | 02 ea f7 60 5d d2 bf 0f + ipv4[1] : 0 : d7 07 00 9e 96 42 e3 97 | 2d 7b 6e be 67 58 67 f8 + ipv4[1] : 16 : aa 28 0f e8 ipv4[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [1360 : 1367] : 170 : igmp_type : 8'h16 - igmp[0] : [1368 : 1375] : 171 : max_res_code : 8'h18 - igmp[0] : [1376 : 1391] : 172 : checksum : 16'h0 (GOOD) - igmp[0] : [1392 : 1407] : 174 : group_addr : 16'hf5ae8ce0 - data[0] : data_len : 6 (data => c4 1b c1 a1 ..) + igmp[0] : [1840 : 1847] : 230 : igmp_type : 8'h22 + igmp[0] : [1848 : 1855] : 231 : max_res_code : 8'hb8 + igmp[0] : [1856 : 1871] : 232 : checksum : 16'h0 (GOOD) + igmp[0] : [1872 : 1903] : 234 : group_addr : 32'h57076465 + data[0] : data_len : 5 (data => 2c 1d 7f 26 ..) toh : pad_len : 0 - toh : [1456 : 1487] : 182 : crc : 32'h999211f5 (GOOD) + toh : [1944 : 1975] : 243 : crc32 : 32'he98d94e (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 9c 09 b4 05 ef 19 01 d8 | c8 df 8d ba 81 00 be 88 - pkt_lib : 16 : 81 00 d7 63 08 00 45 dc | 00 a2 11 4b 36 64 77 06 - pkt_lib : 32 : 8f 77 e8 ca 89 85 ba 4c | 3e b7 31 55 0f ff 97 eb - pkt_lib : 48 : 4f 78 17 f1 03 24 59 4d | fc 1f 50 97 57 c8 00 02 - pkt_lib : 64 : 36 b2 04 3e dc 9e da 73 | 6e 03 92 31 5b b2 00 00 - pkt_lib : 80 : 37 19 09 46 bd 57 d2 23 | 0f 1a 4b bf 86 dd 63 11 - pkt_lib : 96 : 50 2e 00 32 04 ee c8 ad | a1 0e 70 a3 24 97 81 d3 - pkt_lib : 112 : 72 2d 7a 7a bd e4 76 f5 | 23 92 fd cd 89 d6 46 83 - pkt_lib : 128 : 2b 5c 05 6f 8e aa 49 47 | 00 32 56 f5 c0 00 89 02 - pkt_lib : 144 : 3d a7 cd 0c 47 e3 b0 d6 | e6 61 91 82 a5 65 d9 d6 - pkt_lib : 160 : 04 d2 02 ea f7 60 5d d2 | bf 0f 16 18 00 00 f5 ae - pkt_lib : 176 : 8c e0 c4 1b c1 a1 28 be | 99 92 11 f5 + pkt_lib : 0 : 05 14 08 f6 5a bf 72 61 | db 56 8b 31 81 00 8c 10 + pkt_lib : 16 : 81 00 5e 5c 08 00 49 5c | 00 dd d2 67 3e 72 3f 06 + pkt_lib : 32 : 06 39 b4 8e 3b 20 50 a4 | 55 2d fa 51 bb 31 30 ae + pkt_lib : 48 : 23 e1 68 af d9 74 3e 06 | 3f ef 54 ea 0f ff 72 39 + pkt_lib : 64 : 8f a5 c6 eb 40 57 fd e7 | 45 42 6b 1c 21 ea 78 cf + pkt_lib : 80 : 8e 04 48 47 bc fd 6a 11 | 53 cf 06 0d eb f4 9e 5a + pkt_lib : 96 : 12 1a ab 98 55 fb 7c 56 | 33 ef d5 a4 f5 e7 8d b2 + pkt_lib : 112 : 62 84 dd 07 82 bc 00 00 | 36 39 bd 4f c0 a7 e4 e0 + pkt_lib : 128 : 8b 47 b6 bb 14 a8 00 00 | 89 a3 e1 e3 7b 73 79 80 + pkt_lib : 144 : 47 68 f8 3b 86 dd 60 ba | cb ed 00 35 04 11 bb b9 + pkt_lib : 160 : 27 b3 5c 2a cb e5 ac 19 | 66 fb 0b 24 c9 22 5d 97 + pkt_lib : 176 : 14 fb cf 7a f5 41 f1 46 | 22 41 87 78 48 78 4a b6 + pkt_lib : 192 : 00 35 7b 36 40 00 24 02 | ae a1 27 cc 98 39 13 1a + pkt_lib : 208 : dc fe d7 07 00 9e 96 42 | e3 97 2d 7b 6e be 67 58 + pkt_lib : 224 : 67 f8 aa 28 0f e8 22 b8 | 00 00 57 07 64 65 2c 1d + pkt_lib : 240 : 7f 26 13 0e 98 d9 4e pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 188) + pkt_lib : (Total Len = 247) 0 : INFO : TEST : Compare Pkt 12 - cmp_hdr : {eth[0], dot1q[0], dot1q[1], ipv4[0], tcp[0], stt[0], eth[1], ipv6[0], ipv4[1], igmp[0], data[0]} - toh : plen : 188 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h9c09b405ef19 - eth[0] : [ 48 : 95] : 6 : sa : 48'h1d8c8df8dba - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'he88 - dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8100 (DOT1Q) - dot1q[1] : [ 144 : 146] : 18 : cos : 3'h6 - dot1q[1] : [ 147 : 147] : 18 : cfi : 1'h1 - dot1q[1] : [ 148 : 159] : 18 : vlan : 12'h763 - dot1q[1] : [ 160 : 175] : 20 : etype : 16'h800 (IPV4) - ipv4[0] : [ 176 : 179] : 22 : version : 4'h4 - ipv4[0] : [ 180 : 183] : 22 : ihl : 4'h5 - ipv4[0] : [ 184 : 191] : 23 : tos : 8'hdc - ipv4[0] : [ 192 : 207] : 24 : total_length : 16'ha2 - ipv4[0] : [ 208 : 223] : 26 : id : 16'h114b - ipv4[0] : [ 224 : 224] : 28 : reserved : 1'h0 - ipv4[0] : [ 225 : 225] : 28 : df : 1'h0 - ipv4[0] : [ 226 : 226] : 28 : mf : 1'h1 - ipv4[0] : [ 227 : 239] : 28 : frag_offset : 13'h1664 - ipv4[0] : [ 240 : 247] : 30 : ttl : 8'h77 - ipv4[0] : [ 248 : 255] : 31 : protocol : 8'h6 (TCP) - ipv4[0] : [ 256 : 271] : 32 : checksum : 16'h8f77 (GOOD) - ipv4[0] : [ 272 : 303] : 34 : ip_sa : 32'he8ca8985 - ipv4[0] : [ 304 : 335] : 38 : ip_da : 32'hba4c3eb7 - tcp[0] : [ 336 : 351] : 42 : src_prt : 16'h3155 - tcp[0] : [ 352 : 367] : 44 : dst_prt : 16'hfff (STT) - tcp[0] : [ 368 : 399] : 46 : seq_number : 32'h97eb4f78 - tcp[0] : [ 400 : 431] : 50 : ack_number : 32'h17f10324 - tcp[0] : [ 432 : 435] : 54 : offset : 4'h5 - tcp[0] : [ 436 : 439] : 54 : rsvd : 4'h9 - tcp[0] : [ 440 : 447] : 55 : flags : 8'h4d (=> CWR 0 ECE 1 URG 0 ACK 0 PSH 1 RST 1 SYN 0 FIN 1) - tcp[0] : [ 448 : 463] : 56 : window : 16'hfc1f - tcp[0] : [ 464 : 479] : 58 : checksum : 16'h5097 (GOOD) - tcp[0] : [ 480 : 495] : 60 : urgent_ptr : 16'h57c8 - stt[0] : [ 496 : 503] : 62 : version : 8'h0 - stt[0] : [ 504 : 511] : 63 : flags : 8'h2 (=> RSVD 0000 TCPP 0 IPPR 0 CHKP 1 CHKV 0) - stt[0] : [ 512 : 519] : 64 : l4_offset : 8'h36 - stt[0] : [ 520 : 527] : 65 : rsvd : 8'hb2 - stt[0] : [ 528 : 543] : 66 : max_seg_sz : 16'h43e - stt[0] : [ 544 : 546] : 68 : PCP : 3'h6 - stt[0] : [ 547 : 547] : 68 : V : 1'h1 - stt[0] : [ 548 : 559] : 68 : vlan : 12'hc9e - stt[0] : [ 560 : 623] : 70 : ctx_id : 64'hda736e0392315bb2 - stt[0] : [ 624 : 639] : 78 : pad : 16'h0 - eth[1] : [ 640 : 687] : 80 : da : 48'h37190946bd57 - eth[1] : [ 688 : 735] : 86 : sa : 48'hd2230f1a4bbf - eth[1] : [ 736 : 751] : 92 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 752 : 755] : 94 : version : 4'h6 - ipv6[0] : [ 756 : 763] : 94 : tos : 8'h31 - ipv6[0] : [ 764 : 783] : 95 : flow_label : 20'h1502e - ipv6[0] : [ 784 : 799] : 98 : payload_len : 16'h32 - ipv6[0] : [ 800 : 807] : 100 : protocol : 8'h4 (IPV4) - ipv6[0] : [ 808 : 815] : 101 : ttl : 8'hee - ipv6[0] : [ 816 : 943] : 102 : ip6_sa : 128'hc8ada10e70a3249781d3722d7a7abde4 - ipv6[0] : [ 944 : 1071] : 118 : ip6_da : 128'h76f52392fdcd89d646832b5c056f8eaa - ipv4[1] : [1072 : 1075] : 134 : version : 4'h4 - ipv4[1] : [1076 : 1079] : 134 : ihl : 4'h9 - ipv4[1] : [1080 : 1087] : 135 : tos : 8'h47 - ipv4[1] : [1088 : 1103] : 136 : total_length : 16'h32 - ipv4[1] : [1104 : 1119] : 138 : id : 16'h56f5 - ipv4[1] : [1120 : 1120] : 140 : reserved : 1'h1 - ipv4[1] : [1121 : 1121] : 140 : df : 1'h1 - ipv4[1] : [1122 : 1122] : 140 : mf : 1'h0 - ipv4[1] : [1123 : 1135] : 140 : frag_offset : 13'h0 - ipv4[1] : [1136 : 1143] : 142 : ttl : 8'h89 - ipv4[1] : [1144 : 1151] : 143 : protocol : 8'h2 (IGMP) - ipv4[1] : [1152 : 1167] : 144 : checksum : 16'h3da7 (GOOD) - ipv4[1] : [1168 : 1199] : 146 : ip_sa : 32'hcd0c47e3 - ipv4[1] : [1200 : 1231] : 150 : ip_da : 32'hb0d6e661 - ipv4[1] : options : Matched :-) Length Rcv => 16 Exp => 16 - igmp[0] : [1360 : 1367] : 170 : igmp_type : 8'h16 - igmp[0] : [1368 : 1375] : 171 : max_res_code : 8'h18 - igmp[0] : [1376 : 1391] : 172 : checksum : 16'h0 (GOOD) - igmp[0] : [1392 : 1407] : 174 : group_addr : 16'hf5ae8ce0 - data[0] : data_len : 6 (data => c4 1b c1 a1 ..) - toh : pad_len : 0 - toh : [1456 : 1487] : 182 : crc : 32'h999211f5 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 188 Exp => 188 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 247 Exp => 247 0 : INFO : TEST : Pack Pkt 13 - cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} - toh : plen : 102 + cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} (IEEE802) + toh : plen : 90 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6cda644c3155 - eth[0] : [ 48 : 95] : 6 : sa : 48'h750ba215204b + eth[0] : [ 0 : 47] : 0 : da : 48'he3585f18275c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc159bacbe8a0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8915 (ROCE) grh[0] : [ 112 : 115] : 14 : ipver : 4'h6 - grh[0] : [ 116 : 123] : 14 : tclass : 8'h77 - grh[0] : [ 124 : 143] : 15 : flow_label : 20'h9e853 - grh[0] : [ 144 : 159] : 18 : payload_len : 16'h2c + grh[0] : [ 116 : 123] : 14 : tclass : 8'h28 + grh[0] : [ 124 : 143] : 15 : flow_label : 20'ha95d7 + grh[0] : [ 144 : 159] : 18 : payload_len : 16'h20 grh[0] : [ 160 : 167] : 20 : protocol : 8'h1b (BTH) - grh[0] : [ 168 : 175] : 21 : hoplmt : 8'h22 - grh[0] : [ 176 : 303] : 22 : sgid : 128'h3b6a64de7bfd27072bdb39d3326c0084 - grh[0] : [ 304 : 431] : 38 : dgid : 128'ha9c254b42a08708da8fbc49ccd6ebcb2 - bth[0] : [ 432 : 439] : 54 : opcode : 8'b1010010 - bth[0] : [ 440 : 440] : 55 : S : 1'b0 + grh[0] : [ 168 : 175] : 21 : hoplmt : 8'ha5 + grh[0] : [ 176 : 303] : 22 : sgid : 128'h704289237628f8fc6f66d5ad3ced08bf + grh[0] : [ 304 : 431] : 38 : dgid : 128'hfe9bfa103e60044b420d2808218836ef + bth[0] : [ 432 : 439] : 54 : opcode : 8'b11011011 + bth[0] : [ 440 : 440] : 55 : S : 1'b1 bth[0] : [ 441 : 441] : 55 : M : 1'b1 - bth[0] : [ 442 : 443] : 55 : padcnt : 2'h2 + bth[0] : [ 442 : 443] : 55 : padcnt : 2'h1 bth[0] : [ 444 : 447] : 55 : tver : 4'h0 - bth[0] : [ 448 : 463] : 56 : p_key : 16'hcabf - bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'h1e - bth[0] : [ 472 : 495] : 59 : destQP : 24'hc77193 + bth[0] : [ 448 : 463] : 56 : p_key : 16'h8f10 + bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'hd6 + bth[0] : [ 472 : 495] : 59 : destQP : 24'hb00949 bth[0] : [ 496 : 496] : 62 : A : 1'b0 - bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h1 - bth[0] : [ 504 : 527] : 63 : psn : 24'h2a1b11 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 528 : 535] : 66 : rsvd_rdeth : 8'hf - bth[0] : [ 536 : 559] : 67 : EEcnxt : 24'h74973c - bth[0] : ~~~~~~~~~~ AETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 560 : 567] : 70 : syndrom : 8'h2e - bth[0] : [ 568 : 591] : 71 : msn : 24'h7c3f60 - bth[0] : ~~~~~~~~~~ ATOMICACKETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 592 : 655] : 74 : atomicacketh_hdr : 64'h6c2506504603c16 - data[0] : data_len : 12 (data => 44 0f 04 4a ..) - roce[0] : [ 752 : 783] : 94 : icrc : 32'h2524e5c0 (GOOD) + bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h24 + bth[0] : [ 504 : 527] : 63 : psn : 24'hcd33c9 + bth[0] : ~~~~~~~~~~ No Extension Transport Header ~~~~~ + data[0] : data_len : 16 (data => b2 a7 38 42 ..) + roce[0] : [ 656 : 687] : 82 : icrc : 32'h9fab4a2 (GOOD) toh : pad_len : 0 - toh : [ 784 : 815] : 98 : crc : 32'h37ea449 (GOOD) + toh : [ 688 : 719] : 86 : crc32 : 32'hb3cfd857 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c da 64 4c 31 55 75 0b | a2 15 20 4b 89 15 67 79 - pkt_lib : 16 : e8 53 00 2c 1b 22 3b 6a | 64 de 7b fd 27 07 2b db - pkt_lib : 32 : 39 d3 32 6c 00 84 a9 c2 | 54 b4 2a 08 70 8d a8 fb - pkt_lib : 48 : c4 9c cd 6e bc b2 52 60 | ca bf 1e c7 71 93 01 2a - pkt_lib : 64 : 1b 11 0f 74 97 3c 2e 7c | 3f 60 06 c2 50 65 04 60 - pkt_lib : 80 : 3c 16 44 0f 04 4a 11 af | 37 14 f4 b7 54 b7 25 24 - pkt_lib : 96 : e5 c0 03 7e a4 49 + pkt_lib : 0 : e3 58 5f 18 27 5c c1 59 | ba cb e8 a0 89 15 62 8a + pkt_lib : 16 : 95 d7 00 20 1b a5 70 42 | 89 23 76 28 f8 fc 6f 66 + pkt_lib : 32 : d5 ad 3c ed 08 bf fe 9b | fa 10 3e 60 04 4b 42 0d + pkt_lib : 48 : 28 08 21 88 36 ef db d0 | 8f 10 d6 b0 09 49 24 cd + pkt_lib : 64 : 33 c9 b2 a7 38 42 a7 1a | cb 37 f8 88 4c 2a 30 67 + pkt_lib : 80 : ab 34 09 fa b4 a2 b3 cf | d8 57 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 102) + pkt_lib : (Total Len = 90) 0 : INFO : TEST : Unpack Pkt 13 - cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} - toh : plen : 102 + cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} (IEEE802) + toh : plen : 90 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6cda644c3155 - eth[0] : [ 48 : 95] : 6 : sa : 48'h750ba215204b + eth[0] : [ 0 : 47] : 0 : da : 48'he3585f18275c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc159bacbe8a0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8915 (ROCE) grh[0] : [ 112 : 115] : 14 : ipver : 4'h6 - grh[0] : [ 116 : 123] : 14 : tclass : 8'h77 - grh[0] : [ 124 : 143] : 15 : flow_label : 20'h9e853 - grh[0] : [ 144 : 159] : 18 : payload_len : 16'h2c + grh[0] : [ 116 : 123] : 14 : tclass : 8'h28 + grh[0] : [ 124 : 143] : 15 : flow_label : 20'ha95d7 + grh[0] : [ 144 : 159] : 18 : payload_len : 16'h20 grh[0] : [ 160 : 167] : 20 : protocol : 8'h1b (BTH) - grh[0] : [ 168 : 175] : 21 : hoplmt : 8'h22 - grh[0] : [ 176 : 303] : 22 : sgid : 128'h3b6a64de7bfd27072bdb39d3326c0084 - grh[0] : [ 304 : 431] : 38 : dgid : 128'ha9c254b42a08708da8fbc49ccd6ebcb2 - bth[0] : [ 432 : 439] : 54 : opcode : 8'b1010010 - bth[0] : [ 440 : 440] : 55 : S : 1'b0 + grh[0] : [ 168 : 175] : 21 : hoplmt : 8'ha5 + grh[0] : [ 176 : 303] : 22 : sgid : 128'h704289237628f8fc6f66d5ad3ced08bf + grh[0] : [ 304 : 431] : 38 : dgid : 128'hfe9bfa103e60044b420d2808218836ef + bth[0] : [ 432 : 439] : 54 : opcode : 8'b11011011 + bth[0] : [ 440 : 440] : 55 : S : 1'b1 bth[0] : [ 441 : 441] : 55 : M : 1'b1 - bth[0] : [ 442 : 443] : 55 : padcnt : 2'h2 + bth[0] : [ 442 : 443] : 55 : padcnt : 2'h1 bth[0] : [ 444 : 447] : 55 : tver : 4'h0 - bth[0] : [ 448 : 463] : 56 : p_key : 16'hcabf - bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'h1e - bth[0] : [ 472 : 495] : 59 : destQP : 24'hc77193 + bth[0] : [ 448 : 463] : 56 : p_key : 16'h8f10 + bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'hd6 + bth[0] : [ 472 : 495] : 59 : destQP : 24'hb00949 bth[0] : [ 496 : 496] : 62 : A : 1'b0 - bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h1 - bth[0] : [ 504 : 527] : 63 : psn : 24'h2a1b11 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 528 : 535] : 66 : rsvd_rdeth : 8'hf - bth[0] : [ 536 : 559] : 67 : EEcnxt : 24'h74973c - bth[0] : ~~~~~~~~~~ AETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 560 : 567] : 70 : syndrom : 8'h2e - bth[0] : [ 568 : 591] : 71 : msn : 24'h7c3f60 - bth[0] : ~~~~~~~~~~ ATOMICACKETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 592 : 655] : 74 : atomicacketh_hdr : 64'h6c2506504603c16 - data[0] : data_len : 12 (data => 44 0f 04 4a ..) - roce[0] : [ 752 : 783] : 94 : icrc : 32'h2524e5c0 (GOOD) + bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h24 + bth[0] : [ 504 : 527] : 63 : psn : 24'hcd33c9 + bth[0] : ~~~~~~~~~~ No Extension Transport Header ~~~~~ + data[0] : data_len : 16 (data => b2 a7 38 42 ..) + roce[0] : [ 656 : 687] : 82 : icrc : 32'hb3cfd857 (GOOD) toh : pad_len : 0 - toh : [ 784 : 815] : 98 : crc : 32'h37ea449 (GOOD) + toh : [ 688 : 719] : 86 : crc32 : 32'hb3cfd857 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c da 64 4c 31 55 75 0b | a2 15 20 4b 89 15 67 79 - pkt_lib : 16 : e8 53 00 2c 1b 22 3b 6a | 64 de 7b fd 27 07 2b db - pkt_lib : 32 : 39 d3 32 6c 00 84 a9 c2 | 54 b4 2a 08 70 8d a8 fb - pkt_lib : 48 : c4 9c cd 6e bc b2 52 60 | ca bf 1e c7 71 93 01 2a - pkt_lib : 64 : 1b 11 0f 74 97 3c 2e 7c | 3f 60 06 c2 50 65 04 60 - pkt_lib : 80 : 3c 16 44 0f 04 4a 11 af | 37 14 f4 b7 54 b7 25 24 - pkt_lib : 96 : e5 c0 03 7e a4 49 + pkt_lib : 0 : e3 58 5f 18 27 5c c1 59 | ba cb e8 a0 89 15 62 8a + pkt_lib : 16 : 95 d7 00 20 1b a5 70 42 | 89 23 76 28 f8 fc 6f 66 + pkt_lib : 32 : d5 ad 3c ed 08 bf fe 9b | fa 10 3e 60 04 4b 42 0d + pkt_lib : 48 : 28 08 21 88 36 ef db d0 | 8f 10 d6 b0 09 49 24 cd + pkt_lib : 64 : 33 c9 b2 a7 38 42 a7 1a | cb 37 f8 88 4c 2a 30 67 + pkt_lib : 80 : ab 34 09 fa b4 a2 b3 cf | d8 57 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 102) + pkt_lib : (Total Len = 90) 0 : INFO : TEST : Copy Pkt 13 - cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} - toh : plen : 102 + cfg_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} (IEEE802) + toh : plen : 90 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6cda644c3155 - eth[0] : [ 48 : 95] : 6 : sa : 48'h750ba215204b + eth[0] : [ 0 : 47] : 0 : da : 48'he3585f18275c + eth[0] : [ 48 : 95] : 6 : sa : 48'hc159bacbe8a0 eth[0] : [ 96 : 111] : 12 : etype : 16'h8915 (ROCE) grh[0] : [ 112 : 115] : 14 : ipver : 4'h6 - grh[0] : [ 116 : 123] : 14 : tclass : 8'h77 - grh[0] : [ 124 : 143] : 15 : flow_label : 20'h9e853 - grh[0] : [ 144 : 159] : 18 : payload_len : 16'h2c + grh[0] : [ 116 : 123] : 14 : tclass : 8'h28 + grh[0] : [ 124 : 143] : 15 : flow_label : 20'ha95d7 + grh[0] : [ 144 : 159] : 18 : payload_len : 16'h20 grh[0] : [ 160 : 167] : 20 : protocol : 8'h1b (BTH) - grh[0] : [ 168 : 175] : 21 : hoplmt : 8'h22 - grh[0] : [ 176 : 303] : 22 : sgid : 128'h3b6a64de7bfd27072bdb39d3326c0084 - grh[0] : [ 304 : 431] : 38 : dgid : 128'ha9c254b42a08708da8fbc49ccd6ebcb2 - bth[0] : [ 432 : 439] : 54 : opcode : 8'b1010010 - bth[0] : [ 440 : 440] : 55 : S : 1'b0 + grh[0] : [ 168 : 175] : 21 : hoplmt : 8'ha5 + grh[0] : [ 176 : 303] : 22 : sgid : 128'h704289237628f8fc6f66d5ad3ced08bf + grh[0] : [ 304 : 431] : 38 : dgid : 128'hfe9bfa103e60044b420d2808218836ef + bth[0] : [ 432 : 439] : 54 : opcode : 8'b11011011 + bth[0] : [ 440 : 440] : 55 : S : 1'b1 bth[0] : [ 441 : 441] : 55 : M : 1'b1 - bth[0] : [ 442 : 443] : 55 : padcnt : 2'h2 + bth[0] : [ 442 : 443] : 55 : padcnt : 2'h1 bth[0] : [ 444 : 447] : 55 : tver : 4'h0 - bth[0] : [ 448 : 463] : 56 : p_key : 16'hcabf - bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'h1e - bth[0] : [ 472 : 495] : 59 : destQP : 24'hc77193 + bth[0] : [ 448 : 463] : 56 : p_key : 16'h8f10 + bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'hd6 + bth[0] : [ 472 : 495] : 59 : destQP : 24'hb00949 bth[0] : [ 496 : 496] : 62 : A : 1'b0 - bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h1 - bth[0] : [ 504 : 527] : 63 : psn : 24'h2a1b11 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 528 : 535] : 66 : rsvd_rdeth : 8'hf - bth[0] : [ 536 : 559] : 67 : EEcnxt : 24'h74973c - bth[0] : ~~~~~~~~~~ AETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 560 : 567] : 70 : syndrom : 8'h2e - bth[0] : [ 568 : 591] : 71 : msn : 24'h7c3f60 - bth[0] : ~~~~~~~~~~ ATOMICACKETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 592 : 655] : 74 : atomicacketh_hdr : 64'h6c2506504603c16 - data[0] : data_len : 12 (data => 44 0f 04 4a ..) - roce[0] : [ 752 : 783] : 94 : icrc : 32'h2524e5c0 (GOOD) + bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h24 + bth[0] : [ 504 : 527] : 63 : psn : 24'hcd33c9 + bth[0] : ~~~~~~~~~~ No Extension Transport Header ~~~~~ + data[0] : data_len : 16 (data => b2 a7 38 42 ..) + roce[0] : [ 656 : 687] : 82 : icrc : 32'hb3cfd857 (GOOD) toh : pad_len : 0 - toh : [ 784 : 815] : 98 : crc : 32'h37ea449 (GOOD) + toh : [ 688 : 719] : 86 : crc32 : 32'hb3cfd857 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 6c da 64 4c 31 55 75 0b | a2 15 20 4b 89 15 67 79 - pkt_lib : 16 : e8 53 00 2c 1b 22 3b 6a | 64 de 7b fd 27 07 2b db - pkt_lib : 32 : 39 d3 32 6c 00 84 a9 c2 | 54 b4 2a 08 70 8d a8 fb - pkt_lib : 48 : c4 9c cd 6e bc b2 52 60 | ca bf 1e c7 71 93 01 2a - pkt_lib : 64 : 1b 11 0f 74 97 3c 2e 7c | 3f 60 06 c2 50 65 04 60 - pkt_lib : 80 : 3c 16 44 0f 04 4a 11 af | 37 14 f4 b7 54 b7 25 24 - pkt_lib : 96 : e5 c0 03 7e a4 49 + pkt_lib : 0 : e3 58 5f 18 27 5c c1 59 | ba cb e8 a0 89 15 62 8a + pkt_lib : 16 : 95 d7 00 20 1b a5 70 42 | 89 23 76 28 f8 fc 6f 66 + pkt_lib : 32 : d5 ad 3c ed 08 bf fe 9b | fa 10 3e 60 04 4b 42 0d + pkt_lib : 48 : 28 08 21 88 36 ef db d0 | 8f 10 d6 b0 09 49 24 cd + pkt_lib : 64 : 33 c9 b2 a7 38 42 a7 1a | cb 37 f8 88 4c 2a 30 67 + pkt_lib : 80 : ab 34 09 fa b4 a2 b3 cf | d8 57 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 102) + pkt_lib : (Total Len = 90) 0 : INFO : TEST : Compare Pkt 13 - cmp_hdr : {eth[0], roce[0], grh[0], bth[0], data[0]} - toh : plen : 102 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6cda644c3155 - eth[0] : [ 48 : 95] : 6 : sa : 48'h750ba215204b - eth[0] : [ 96 : 111] : 12 : etype : 16'h8915 (ROCE) - grh[0] : [ 112 : 115] : 14 : ipver : 4'h6 - grh[0] : [ 116 : 123] : 14 : tclass : 8'h77 - grh[0] : [ 124 : 143] : 15 : flow_label : 20'h9e853 - grh[0] : [ 144 : 159] : 18 : payload_len : 16'h2c - grh[0] : [ 160 : 167] : 20 : protocol : 8'h1b (BTH) - grh[0] : [ 168 : 175] : 21 : hoplmt : 8'h22 - grh[0] : [ 176 : 303] : 22 : sgid : 128'h3b6a64de7bfd27072bdb39d3326c0084 - grh[0] : [ 304 : 431] : 38 : dgid : 128'ha9c254b42a08708da8fbc49ccd6ebcb2 - bth[0] : [ 432 : 439] : 54 : opcode : 8'b1010010 - bth[0] : [ 440 : 440] : 55 : S : 1'b0 - bth[0] : [ 441 : 441] : 55 : M : 1'b1 - bth[0] : [ 442 : 443] : 55 : padcnt : 2'h2 - bth[0] : [ 444 : 447] : 55 : tver : 4'h0 - bth[0] : [ 448 : 463] : 56 : p_key : 16'hcabf - bth[0] : [ 464 : 471] : 58 : rsvd0 : 8'h1e - bth[0] : [ 472 : 495] : 59 : destQP : 24'hc77193 - bth[0] : [ 496 : 496] : 62 : A : 1'b0 - bth[0] : [ 497 : 503] : 62 : rsvd1 : 7'h1 - bth[0] : [ 504 : 527] : 63 : psn : 24'h2a1b11 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 528 : 535] : 66 : rsvd_rdeth : 8'hf - bth[0] : [ 536 : 559] : 67 : EEcnxt : 24'h74973c - bth[0] : ~~~~~~~~~~ AETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 560 : 567] : 70 : syndrom : 8'h2e - bth[0] : [ 568 : 591] : 71 : msn : 24'h7c3f60 - bth[0] : ~~~~~~~~~~ ATOMICACKETH hdr ~~~~~~~~~~~~~~ - bth[0] : [ 592 : 655] : 74 : atomicacketh_hdr : 64'h6c2506504603c16 - data[0] : data_len : 12 (data => 44 0f 04 4a ..) - roce[0] : [ 752 : 783] : 94 : icrc : 32'h2524e5c0 (GOOD) - toh : pad_len : 0 - toh : [ 784 : 815] : 98 : crc : 32'h37ea449 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 102 Exp => 102 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 90 Exp => 90 0 : INFO : TEST : Pack Pkt 14 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], roce[0], grh[0], bth[0], data[0]} - toh : plen : 206 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6210ca75ff72 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4fe7e1559d26 - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hc - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h14 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbc - ipv4[0] : [ 144 : 159] : 18 : id : 16'hf042 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h1 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h0 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h60 - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h8019 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hebb6d12d - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h1e5bc0d5 - ipv4[0] : : 34 : options : (Total Len = 28) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 00 c0 05 dd a3 04 11 74 | c0 22 f9 9b 57 10 e7 e3 - ipv4[0] : 16 : eb d3 9b 41 2d 2d c7 b3 | 09 54 4d 7a - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 496 : 496] : 62 : C : 1'b0 - gre[0] : [ 497 : 497] : 62 : R : 1'b0 - gre[0] : [ 498 : 498] : 62 : K : 1'b0 - gre[0] : [ 499 : 499] : 62 : S : 1'b1 - gre[0] : [ 500 : 500] : 62 : s : 1'b1 - gre[0] : [ 501 : 503] : 62 : recur : 3'h0 - gre[0] : [ 504 : 504] : 63 : A : 1'b0 - gre[0] : [ 505 : 508] : 63 : flags : 4'h0 - gre[0] : [ 509 : 511] : 63 : version : 3'h0 - gre[0] : [ 512 : 527] : 64 : protocol : 16'h6558 (ETH) - gre[0] : [ 528 : 559] : 66 : sequence_number : 32'h96f74c51 - eth[1] : [ 560 : 607] : 70 : da : 48'h6633ab3a569 - eth[1] : [ 608 : 655] : 76 : sa : 48'h615d6ba9095f - eth[1] : [ 656 : 671] : 82 : etype : 16'h88e7 (ITAG) - itag[0] : [ 672 : 674] : 84 : pri : 3'h6 - itag[0] : [ 675 : 675] : 84 : de : 1'h1 - itag[0] : [ 676 : 677] : 84 : uca : 2'h1 - itag[0] : [ 678 : 680] : 84 : rsvd : 3'h7 - itag[0] : [ 681 : 704] : 85 : sid : 24'hbc516f - eth[2] : [ 705 : 752] : 88 : da : 48'h6d97c3391e8 - eth[2] : [ 753 : 800] : 94 : sa : 48'h54c4874910f0 - eth[2] : [ 801 : 816] : 100 : etype : 16'h8915 (ROCE) - grh[0] : [ 817 : 820] : 102 : ipver : 4'h6 - grh[0] : [ 821 : 828] : 102 : tclass : 8'h10 - grh[0] : [ 829 : 848] : 103 : flow_label : 20'hf6741 - grh[0] : [ 849 : 864] : 106 : payload_len : 16'h3c - grh[0] : [ 865 : 872] : 108 : protocol : 8'h1b (BTH) - grh[0] : [ 873 : 880] : 109 : hoplmt : 8'h5b - grh[0] : [ 881 : 1008] : 110 : sgid : 128'h985ee362d9a812dd95a678e2304a9b53 - grh[0] : [1009 : 1136] : 126 : dgid : 128'hfa75bf544e30aa789f0bde5a9841da91 - bth[0] : [1137 : 1144] : 142 : opcode : 8'b1001011 - bth[0] : [1145 : 1145] : 143 : S : 1'b0 - bth[0] : [1146 : 1146] : 143 : M : 1'b0 - bth[0] : [1147 : 1148] : 143 : padcnt : 2'h3 - bth[0] : [1149 : 1152] : 143 : tver : 4'h0 - bth[0] : [1153 : 1168] : 144 : p_key : 16'hf7f - bth[0] : [1169 : 1176] : 146 : rsvd0 : 8'h7b - bth[0] : [1177 : 1200] : 147 : destQP : 24'h50a29e - bth[0] : [1201 : 1201] : 150 : A : 1'b0 - bth[0] : [1202 : 1208] : 150 : rsvd1 : 7'h54 - bth[0] : [1209 : 1232] : 151 : psn : 24'hd870e5 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1233 : 1240] : 154 : rsvd_rdeth : 8'hee - bth[0] : [1241 : 1264] : 155 : EEcnxt : 24'h5d4fab - bth[0] : ~~~~~~~~~~ DETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1265 : 1296] : 158 : q_key : 32'h9d78cae4 - bth[0] : [1297 : 1304] : 162 : rsvd_deth : 8'h9a - bth[0] : [1305 : 1328] : 163 : srcQP : 24'hb7a041 - bth[0] : ~~~~~~~~~~ RETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1329 : 1392] : 166 : va_reth : 64'h480c215217ac6464 - bth[0] : [1393 : 1424] : 174 : r_key_reth : 32'h4599af6f - bth[0] : [1425 : 1456] : 178 : dmalen : 32'h5dd819f - bth[0] : ~~~~~~~~~~ IMMDT hdr ~~~~~~~~~~~~~~ - bth[0] : [1457 : 1488] : 182 : immdt_hdr : 32'h7246d4a2 - data[0] : data_len : 12 (data => 46 1e 64 bf ..) - roce[0] : [1585 : 1616] : 198 : icrc : 32'hfc858fe2 (GOOD) - toh : pad_len : 0 - toh : [1617 : 1648] : 202 : crc : 32'h82cbaf33 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 62 10 ca 75 ff 72 4f e7 | e1 55 9d 26 08 00 4c 14 - pkt_lib : 16 : 00 bc f0 42 c0 00 60 2f | 80 19 eb b6 d1 2d 1e 5b - pkt_lib : 32 : c0 d5 00 c0 05 dd a3 04 | 11 74 c0 22 f9 9b 57 10 - pkt_lib : 48 : e7 e3 eb d3 9b 41 2d 2d | c7 b3 09 54 4d 7a 18 00 - pkt_lib : 64 : 65 58 96 f7 4c 51 06 63 | 3a b3 a5 69 61 5d 6b a9 - pkt_lib : 80 : 09 5f 88 e7 df bc 51 6f | 06 d9 7c 33 91 e8 54 c4 - pkt_lib : 96 : 87 49 10 f0 89 15 61 0f | 67 41 00 3c 1b 5b 98 5e - pkt_lib : 112 : e3 62 d9 a8 12 dd 95 a6 | 78 e2 30 4a 9b 53 fa 75 - pkt_lib : 128 : bf 54 4e 30 aa 78 9f 0b | de 5a 98 41 da 91 4b 30 - pkt_lib : 144 : 0f 7f 7b 50 a2 9e 54 d8 | 70 e5 ee 5d 4f ab 9d 78 - pkt_lib : 160 : ca e4 9a b7 a0 41 48 0c | 21 52 17 ac 64 64 45 99 - pkt_lib : 176 : af 6f 05 dd 81 9f 72 46 | d4 a2 46 1e 64 bf 2b 24 - pkt_lib : 192 : b1 ee 76 31 1a 51 fc 85 | 8f e2 82 cb af 33 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 206) - -0 : INFO : TEST : Unpack Pkt 14 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], roce[0], grh[0], bth[0], data[0]} - toh : plen : 206 + cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} (IEEE802) + toh : plen : 78 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6210ca75ff72 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4fe7e1559d26 - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hc - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h14 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbc - ipv4[0] : [ 144 : 159] : 18 : id : 16'hf042 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h1 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h0 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h60 - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h8019 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hebb6d12d - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h1e5bc0d5 - ipv4[0] : : 34 : options : (Total Len = 28) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 00 c0 05 dd a3 04 11 74 | c0 22 f9 9b 57 10 e7 e3 - ipv4[0] : 16 : eb d3 9b 41 2d 2d c7 b3 | 09 54 4d 7a - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 496 : 496] : 62 : C : 1'b0 - gre[0] : [ 497 : 497] : 62 : R : 1'b0 - gre[0] : [ 498 : 498] : 62 : K : 1'b0 - gre[0] : [ 499 : 499] : 62 : S : 1'b1 - gre[0] : [ 500 : 500] : 62 : s : 1'b1 - gre[0] : [ 501 : 503] : 62 : recur : 3'h0 - gre[0] : [ 504 : 504] : 63 : A : 1'b0 - gre[0] : [ 505 : 508] : 63 : flags : 4'h0 - gre[0] : [ 509 : 511] : 63 : version : 3'h0 - gre[0] : [ 512 : 527] : 64 : protocol : 16'h6558 (ETH) - gre[0] : [ 528 : 559] : 66 : sequence_number : 32'h96f74c51 - eth[1] : [ 560 : 607] : 70 : da : 48'h6633ab3a569 - eth[1] : [ 608 : 655] : 76 : sa : 48'h615d6ba9095f - eth[1] : [ 656 : 671] : 82 : etype : 16'h88e7 (ITAG) - itag[0] : [ 672 : 674] : 84 : pri : 3'h6 - itag[0] : [ 675 : 675] : 84 : de : 1'h1 - itag[0] : [ 676 : 677] : 84 : uca : 2'h1 - itag[0] : [ 678 : 680] : 84 : rsvd : 3'h7 - itag[0] : [ 681 : 704] : 85 : sid : 24'hbc516f - eth[2] : [ 705 : 752] : 88 : da : 48'h6d97c3391e8 - eth[2] : [ 753 : 800] : 94 : sa : 48'h54c4874910f0 - eth[2] : [ 801 : 816] : 100 : etype : 16'h8915 (ROCE) - grh[0] : [ 817 : 820] : 102 : ipver : 4'h6 - grh[0] : [ 821 : 828] : 102 : tclass : 8'h10 - grh[0] : [ 829 : 848] : 103 : flow_label : 20'hf6741 - grh[0] : [ 849 : 864] : 106 : payload_len : 16'h3c - grh[0] : [ 865 : 872] : 108 : protocol : 8'h1b (BTH) - grh[0] : [ 873 : 880] : 109 : hoplmt : 8'h5b - grh[0] : [ 881 : 1008] : 110 : sgid : 128'h985ee362d9a812dd95a678e2304a9b53 - grh[0] : [1009 : 1136] : 126 : dgid : 128'hfa75bf544e30aa789f0bde5a9841da91 - bth[0] : [1137 : 1144] : 142 : opcode : 8'b1001011 - bth[0] : [1145 : 1145] : 143 : S : 1'b0 - bth[0] : [1146 : 1146] : 143 : M : 1'b0 - bth[0] : [1147 : 1148] : 143 : padcnt : 2'h3 - bth[0] : [1149 : 1152] : 143 : tver : 4'h0 - bth[0] : [1153 : 1168] : 144 : p_key : 16'hf7f - bth[0] : [1169 : 1176] : 146 : rsvd0 : 8'h7b - bth[0] : [1177 : 1200] : 147 : destQP : 24'h50a29e - bth[0] : [1201 : 1201] : 150 : A : 1'b0 - bth[0] : [1202 : 1208] : 150 : rsvd1 : 7'h54 - bth[0] : [1209 : 1232] : 151 : psn : 24'hd870e5 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1233 : 1240] : 154 : rsvd_rdeth : 8'hee - bth[0] : [1241 : 1264] : 155 : EEcnxt : 24'h5d4fab - bth[0] : ~~~~~~~~~~ DETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1265 : 1296] : 158 : q_key : 32'h9d78cae4 - bth[0] : [1297 : 1304] : 162 : rsvd_deth : 8'h9a - bth[0] : [1305 : 1328] : 163 : srcQP : 24'hb7a041 - bth[0] : ~~~~~~~~~~ RETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1329 : 1392] : 166 : va_reth : 64'h480c215217ac6464 - bth[0] : [1393 : 1424] : 174 : r_key_reth : 32'h4599af6f - bth[0] : [1425 : 1456] : 178 : dmalen : 32'h5dd819f - bth[0] : ~~~~~~~~~~ IMMDT hdr ~~~~~~~~~~~~~~ - bth[0] : [1457 : 1488] : 182 : immdt_hdr : 32'h7246d4a2 - data[0] : data_len : 12 (data => 46 1e 64 bf ..) - roce[0] : [1585 : 1616] : 198 : icrc : 32'hfc858fe2 (GOOD) - toh : pad_len : 0 - toh : [1617 : 1648] : 202 : crc : 32'h82cbaf33 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 62 10 ca 75 ff 72 4f e7 | e1 55 9d 26 08 00 4c 14 - pkt_lib : 16 : 00 bc f0 42 c0 00 60 2f | 80 19 eb b6 d1 2d 1e 5b - pkt_lib : 32 : c0 d5 00 c0 05 dd a3 04 | 11 74 c0 22 f9 9b 57 10 - pkt_lib : 48 : e7 e3 eb d3 9b 41 2d 2d | c7 b3 09 54 4d 7a 18 00 - pkt_lib : 64 : 65 58 96 f7 4c 51 06 63 | 3a b3 a5 69 61 5d 6b a9 - pkt_lib : 80 : 09 5f 88 e7 df bc 51 6f | 06 d9 7c 33 91 e8 54 c4 - pkt_lib : 96 : 87 49 10 f0 89 15 61 0f | 67 41 00 3c 1b 5b 98 5e - pkt_lib : 112 : e3 62 d9 a8 12 dd 95 a6 | 78 e2 30 4a 9b 53 fa 75 - pkt_lib : 128 : bf 54 4e 30 aa 78 9f 0b | de 5a 98 41 da 91 4b 30 - pkt_lib : 144 : 0f 7f 7b 50 a2 9e 54 d8 | 70 e5 ee 5d 4f ab 9d 78 - pkt_lib : 160 : ca e4 9a b7 a0 41 48 0c | 21 52 17 ac 64 64 45 99 - pkt_lib : 176 : af 6f 05 dd 81 9f 72 46 | d4 a2 46 1e 64 bf 2b 24 - pkt_lib : 192 : b1 ee 76 31 1a 51 fc 85 | 8f e2 82 cb af 33 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 206) - -0 : INFO : TEST : Copy Pkt 14 - cfg_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], roce[0], grh[0], bth[0], data[0]} - toh : plen : 206 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6210ca75ff72 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4fe7e1559d26 - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hc - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h14 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbc - ipv4[0] : [ 144 : 159] : 18 : id : 16'hf042 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h1 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h0 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h60 - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h8019 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hebb6d12d - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h1e5bc0d5 - ipv4[0] : : 34 : options : (Total Len = 28) - ipv4[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv4[0] : 0 : 00 c0 05 dd a3 04 11 74 | c0 22 f9 9b 57 10 e7 e3 - ipv4[0] : 16 : eb d3 9b 41 2d 2d c7 b3 | 09 54 4d 7a - ipv4[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - gre[0] : [ 496 : 496] : 62 : C : 1'b0 - gre[0] : [ 497 : 497] : 62 : R : 1'b0 - gre[0] : [ 498 : 498] : 62 : K : 1'b0 - gre[0] : [ 499 : 499] : 62 : S : 1'b1 - gre[0] : [ 500 : 500] : 62 : s : 1'b1 - gre[0] : [ 501 : 503] : 62 : recur : 3'h0 - gre[0] : [ 504 : 504] : 63 : A : 1'b0 - gre[0] : [ 505 : 508] : 63 : flags : 4'h0 - gre[0] : [ 509 : 511] : 63 : version : 3'h0 - gre[0] : [ 512 : 527] : 64 : protocol : 16'h6558 (ETH) - gre[0] : [ 528 : 559] : 66 : sequence_number : 32'h96f74c51 - eth[1] : [ 560 : 607] : 70 : da : 48'h6633ab3a569 - eth[1] : [ 608 : 655] : 76 : sa : 48'h615d6ba9095f - eth[1] : [ 656 : 671] : 82 : etype : 16'h88e7 (ITAG) - itag[0] : [ 672 : 674] : 84 : pri : 3'h6 - itag[0] : [ 675 : 675] : 84 : de : 1'h1 - itag[0] : [ 676 : 677] : 84 : uca : 2'h1 - itag[0] : [ 678 : 680] : 84 : rsvd : 3'h7 - itag[0] : [ 681 : 704] : 85 : sid : 24'hbc516f - eth[2] : [ 705 : 752] : 88 : da : 48'h6d97c3391e8 - eth[2] : [ 753 : 800] : 94 : sa : 48'h54c4874910f0 - eth[2] : [ 801 : 816] : 100 : etype : 16'h8915 (ROCE) - grh[0] : [ 817 : 820] : 102 : ipver : 4'h6 - grh[0] : [ 821 : 828] : 102 : tclass : 8'h10 - grh[0] : [ 829 : 848] : 103 : flow_label : 20'hf6741 - grh[0] : [ 849 : 864] : 106 : payload_len : 16'h3c - grh[0] : [ 865 : 872] : 108 : protocol : 8'h1b (BTH) - grh[0] : [ 873 : 880] : 109 : hoplmt : 8'h5b - grh[0] : [ 881 : 1008] : 110 : sgid : 128'h985ee362d9a812dd95a678e2304a9b53 - grh[0] : [1009 : 1136] : 126 : dgid : 128'hfa75bf544e30aa789f0bde5a9841da91 - bth[0] : [1137 : 1144] : 142 : opcode : 8'b1001011 - bth[0] : [1145 : 1145] : 143 : S : 1'b0 - bth[0] : [1146 : 1146] : 143 : M : 1'b0 - bth[0] : [1147 : 1148] : 143 : padcnt : 2'h3 - bth[0] : [1149 : 1152] : 143 : tver : 4'h0 - bth[0] : [1153 : 1168] : 144 : p_key : 16'hf7f - bth[0] : [1169 : 1176] : 146 : rsvd0 : 8'h7b - bth[0] : [1177 : 1200] : 147 : destQP : 24'h50a29e - bth[0] : [1201 : 1201] : 150 : A : 1'b0 - bth[0] : [1202 : 1208] : 150 : rsvd1 : 7'h54 - bth[0] : [1209 : 1232] : 151 : psn : 24'hd870e5 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1233 : 1240] : 154 : rsvd_rdeth : 8'hee - bth[0] : [1241 : 1264] : 155 : EEcnxt : 24'h5d4fab - bth[0] : ~~~~~~~~~~ DETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1265 : 1296] : 158 : q_key : 32'h9d78cae4 - bth[0] : [1297 : 1304] : 162 : rsvd_deth : 8'h9a - bth[0] : [1305 : 1328] : 163 : srcQP : 24'hb7a041 - bth[0] : ~~~~~~~~~~ RETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1329 : 1392] : 166 : va_reth : 64'h480c215217ac6464 - bth[0] : [1393 : 1424] : 174 : r_key_reth : 32'h4599af6f - bth[0] : [1425 : 1456] : 178 : dmalen : 32'h5dd819f - bth[0] : ~~~~~~~~~~ IMMDT hdr ~~~~~~~~~~~~~~ - bth[0] : [1457 : 1488] : 182 : immdt_hdr : 32'h7246d4a2 - data[0] : data_len : 12 (data => 46 1e 64 bf ..) - roce[0] : [1585 : 1616] : 198 : icrc : 32'hfc858fe2 (GOOD) - toh : pad_len : 0 - toh : [1617 : 1648] : 202 : crc : 32'h82cbaf33 (GOOD) - - pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 62 10 ca 75 ff 72 4f e7 | e1 55 9d 26 08 00 4c 14 - pkt_lib : 16 : 00 bc f0 42 c0 00 60 2f | 80 19 eb b6 d1 2d 1e 5b - pkt_lib : 32 : c0 d5 00 c0 05 dd a3 04 | 11 74 c0 22 f9 9b 57 10 - pkt_lib : 48 : e7 e3 eb d3 9b 41 2d 2d | c7 b3 09 54 4d 7a 18 00 - pkt_lib : 64 : 65 58 96 f7 4c 51 06 63 | 3a b3 a5 69 61 5d 6b a9 - pkt_lib : 80 : 09 5f 88 e7 df bc 51 6f | 06 d9 7c 33 91 e8 54 c4 - pkt_lib : 96 : 87 49 10 f0 89 15 61 0f | 67 41 00 3c 1b 5b 98 5e - pkt_lib : 112 : e3 62 d9 a8 12 dd 95 a6 | 78 e2 30 4a 9b 53 fa 75 - pkt_lib : 128 : bf 54 4e 30 aa 78 9f 0b | de 5a 98 41 da 91 4b 30 - pkt_lib : 144 : 0f 7f 7b 50 a2 9e 54 d8 | 70 e5 ee 5d 4f ab 9d 78 - pkt_lib : 160 : ca e4 9a b7 a0 41 48 0c | 21 52 17 ac 64 64 45 99 - pkt_lib : 176 : af 6f 05 dd 81 9f 72 46 | d4 a2 46 1e 64 bf 2b 24 - pkt_lib : 192 : b1 ee 76 31 1a 51 fc 85 | 8f e2 82 cb af 33 - pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 206) - -0 : INFO : TEST : Compare Pkt 14 - cmp_hdr : {eth[0], ipv4[0], gre[0], eth[1], itag[0], eth[2], roce[0], grh[0], bth[0], data[0]} - toh : plen : 206 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h6210ca75ff72 - eth[0] : [ 48 : 95] : 6 : sa : 48'h4fe7e1559d26 - eth[0] : [ 96 : 111] : 12 : etype : 16'h800 (IPV4) - ipv4[0] : [ 112 : 115] : 14 : version : 4'h4 - ipv4[0] : [ 116 : 119] : 14 : ihl : 4'hc - ipv4[0] : [ 120 : 127] : 15 : tos : 8'h14 - ipv4[0] : [ 128 : 143] : 16 : total_length : 16'hbc - ipv4[0] : [ 144 : 159] : 18 : id : 16'hf042 - ipv4[0] : [ 160 : 160] : 20 : reserved : 1'h1 - ipv4[0] : [ 161 : 161] : 20 : df : 1'h1 - ipv4[0] : [ 162 : 162] : 20 : mf : 1'h0 - ipv4[0] : [ 163 : 175] : 20 : frag_offset : 13'h0 - ipv4[0] : [ 176 : 183] : 22 : ttl : 8'h60 - ipv4[0] : [ 184 : 191] : 23 : protocol : 8'h2f (GRE) - ipv4[0] : [ 192 : 207] : 24 : checksum : 16'h8019 (GOOD) - ipv4[0] : [ 208 : 239] : 26 : ip_sa : 32'hebb6d12d - ipv4[0] : [ 240 : 271] : 30 : ip_da : 32'h1e5bc0d5 - ipv4[0] : options : Matched :-) Length Rcv => 28 Exp => 28 - gre[0] : [ 496 : 496] : 62 : C : 1'b0 - gre[0] : [ 497 : 497] : 62 : R : 1'b0 - gre[0] : [ 498 : 498] : 62 : K : 1'b0 - gre[0] : [ 499 : 499] : 62 : S : 1'b1 - gre[0] : [ 500 : 500] : 62 : s : 1'b1 - gre[0] : [ 501 : 503] : 62 : recur : 3'h0 - gre[0] : [ 504 : 504] : 63 : A : 1'b0 - gre[0] : [ 505 : 508] : 63 : flags : 4'h0 - gre[0] : [ 509 : 511] : 63 : version : 3'h0 - gre[0] : [ 512 : 527] : 64 : protocol : 16'h6558 (ETH) - gre[0] : [ 528 : 559] : 66 : sequence_number : 32'h96f74c51 - eth[1] : [ 560 : 607] : 70 : da : 48'h6633ab3a569 - eth[1] : [ 608 : 655] : 76 : sa : 48'h615d6ba9095f - eth[1] : [ 656 : 671] : 82 : etype : 16'h88e7 (ITAG) - itag[0] : [ 672 : 674] : 84 : pri : 3'h6 - itag[0] : [ 675 : 675] : 84 : de : 1'h1 - itag[0] : [ 676 : 677] : 84 : uca : 2'h1 - itag[0] : [ 678 : 680] : 84 : rsvd : 3'h7 - itag[0] : [ 681 : 704] : 85 : sid : 24'hbc516f - eth[2] : [ 705 : 752] : 88 : da : 48'h6d97c3391e8 - eth[2] : [ 753 : 800] : 94 : sa : 48'h54c4874910f0 - eth[2] : [ 801 : 816] : 100 : etype : 16'h8915 (ROCE) - grh[0] : [ 817 : 820] : 102 : ipver : 4'h6 - grh[0] : [ 821 : 828] : 102 : tclass : 8'h10 - grh[0] : [ 829 : 848] : 103 : flow_label : 20'hf6741 - grh[0] : [ 849 : 864] : 106 : payload_len : 16'h3c - grh[0] : [ 865 : 872] : 108 : protocol : 8'h1b (BTH) - grh[0] : [ 873 : 880] : 109 : hoplmt : 8'h5b - grh[0] : [ 881 : 1008] : 110 : sgid : 128'h985ee362d9a812dd95a678e2304a9b53 - grh[0] : [1009 : 1136] : 126 : dgid : 128'hfa75bf544e30aa789f0bde5a9841da91 - bth[0] : [1137 : 1144] : 142 : opcode : 8'b1001011 - bth[0] : [1145 : 1145] : 143 : S : 1'b0 - bth[0] : [1146 : 1146] : 143 : M : 1'b0 - bth[0] : [1147 : 1148] : 143 : padcnt : 2'h3 - bth[0] : [1149 : 1152] : 143 : tver : 4'h0 - bth[0] : [1153 : 1168] : 144 : p_key : 16'hf7f - bth[0] : [1169 : 1176] : 146 : rsvd0 : 8'h7b - bth[0] : [1177 : 1200] : 147 : destQP : 24'h50a29e - bth[0] : [1201 : 1201] : 150 : A : 1'b0 - bth[0] : [1202 : 1208] : 150 : rsvd1 : 7'h54 - bth[0] : [1209 : 1232] : 151 : psn : 24'hd870e5 - bth[0] : ~~~~~~~~~~ RDETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1233 : 1240] : 154 : rsvd_rdeth : 8'hee - bth[0] : [1241 : 1264] : 155 : EEcnxt : 24'h5d4fab - bth[0] : ~~~~~~~~~~ DETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1265 : 1296] : 158 : q_key : 32'h9d78cae4 - bth[0] : [1297 : 1304] : 162 : rsvd_deth : 8'h9a - bth[0] : [1305 : 1328] : 163 : srcQP : 24'hb7a041 - bth[0] : ~~~~~~~~~~ RETH hdr ~~~~~~~~~~~~~~ - bth[0] : [1329 : 1392] : 166 : va_reth : 64'h480c215217ac6464 - bth[0] : [1393 : 1424] : 174 : r_key_reth : 32'h4599af6f - bth[0] : [1425 : 1456] : 178 : dmalen : 32'h5dd819f - bth[0] : ~~~~~~~~~~ IMMDT hdr ~~~~~~~~~~~~~~ - bth[0] : [1457 : 1488] : 182 : immdt_hdr : 32'h7246d4a2 - data[0] : data_len : 12 (data => 46 1e 64 bf ..) - roce[0] : [1585 : 1616] : 198 : icrc : 32'hfc858fe2 (GOOD) - toh : pad_len : 0 - toh : [1617 : 1648] : 202 : crc : 32'h82cbaf33 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 206 Exp => 206 - -0 : INFO : TEST : Pack Pkt 15 - cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} - toh : plen : 74 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h556da33228d4 - eth[0] : [ 48 : 95] : 6 : sa : 48'hb6a417ed2d96 + eth[0] : [ 0 : 47] : 0 : da : 48'hd3c36c8bf49a + eth[0] : [ 48 : 95] : 6 : sa : 48'h379bb8f00add eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h7 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hf25 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hfc4 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8906 (FCOE) foce[0] : [ 144 : 147] : 18 : fcoe_ver : 4'h0 - foce[0] : [ 148 : 151] : 18 : fcoe_type : 4'h0 - foce[0] : [ 152 : 159] : 19 : sof : 8'h35 - foce[0] : [ 160 : 160] : 20 : tv : 1'b1 - foce[0] : [ 161 : 191] : 20 : rsvd0 : 31'h4166d32 - foce[0] : [ 192 : 255] : 24 : timestamp : 64'ha9c2e90ebe2ede65 - fc[0] : [ 256 : 263] : 32 : r_ctl : 8'hb8 - fc[0] : [ 264 : 287] : 33 : d_id : 24'hefc50a - fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h21 - fc[0] : [ 296 : 319] : 37 : s_id : 24'h69145e - fc[0] : [ 320 : 327] : 40 : fc_type : 8'h39 - fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hec7f09 - fc[0] : [ 352 : 359] : 44 : seq_id : 8'h5f - fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h22 - fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h5e2e - fc[0] : [ 384 : 399] : 48 : ox_id : 16'hab39 - fc[0] : [ 400 : 415] : 50 : rx_id : 16'h93b2 - fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'ha834c617 - data[0] : data_len : 6 (data => 94 4f ce dd ..) - fc[0] : [ 496 : 527] : 62 : fcrc : 32'hc51a3e09 (GOOD) - foce[0] : [ 528 : 535] : 66 : eof : 8'h4e - foce[0] : [ 536 : 559] : 67 : rsvd1 : 24'h103202 + foce[0] : [ 148 : 247] : 18 : rsvd_0 : 100'h8c0a6f13b2138717bd64576d8 + foce[0] : [ 248 : 255] : 31 : sof : 8'h28 + fc[0] : [ 256 : 263] : 32 : r_ctl : 8'h1 + fc[0] : [ 264 : 287] : 33 : d_id : 24'h774c9b + fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h92 + fc[0] : [ 296 : 319] : 37 : s_id : 24'h526d44 + fc[0] : [ 320 : 327] : 40 : fc_type : 8'had + fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hfb304b + fc[0] : [ 352 : 359] : 44 : seq_id : 8'hb3 + fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h34 + fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h633f + fc[0] : [ 384 : 399] : 48 : ox_id : 16'hef89 + fc[0] : [ 400 : 415] : 50 : rx_id : 16'h9f70 + fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'hc46a2cc1 + data[0] : data_len : 10 (data => be 52 5b 3e ..) + fc[0] : [ 528 : 559] : 66 : fcrc : 32'ha7ab4707 (GOOD) + foce[0] : [ 560 : 567] : 70 : eof : 8'h44 + foce[0] : [ 568 : 591] : 71 : rsvd1 : 24'h3ead6e toh : pad_len : 0 - toh : [ 560 : 591] : 70 : crc : 32'hb7cd5743 (GOOD) + toh : [ 592 : 623] : 74 : crc32 : 32'h7dbf5544 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 55 6d a3 32 28 d4 b6 a4 | 17 ed 2d 96 81 00 ff 25 - pkt_lib : 16 : 89 06 00 35 84 16 6d 32 | a9 c2 e9 0e be 2e de 65 - pkt_lib : 32 : b8 ef c5 0a 21 69 14 5e | 39 ec 7f 09 5f 22 5e 2e - pkt_lib : 48 : ab 39 93 b2 a8 34 c6 17 | 94 4f ce dd 4d c5 c5 1a - pkt_lib : 64 : 3e 09 4e 10 32 02 b7 cd | 57 43 + pkt_lib : 0 : d3 c3 6c 8b f4 9a 37 9b | b8 f0 0a dd 81 00 af c4 + pkt_lib : 16 : 89 06 08 c0 a6 f1 3b 21 | 38 71 7b d6 45 76 d8 28 + pkt_lib : 32 : 01 77 4c 9b 92 52 6d 44 | ad fb 30 4b b3 34 63 3f + pkt_lib : 48 : ef 89 9f 70 c4 6a 2c c1 | be 52 5b 3e b3 3e 64 c7 + pkt_lib : 64 : 4d 21 a7 ab 47 07 44 3e | ad 6e 7d bf 55 44 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 74) + pkt_lib : (Total Len = 78) -0 : INFO : TEST : Unpack Pkt 15 - cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} - toh : plen : 74 +0 : INFO : TEST : Unpack Pkt 14 + cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} (IEEE802) + toh : plen : 78 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h556da33228d4 - eth[0] : [ 48 : 95] : 6 : sa : 48'hb6a417ed2d96 + eth[0] : [ 0 : 47] : 0 : da : 48'hd3c36c8bf49a + eth[0] : [ 48 : 95] : 6 : sa : 48'h379bb8f00add eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h7 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hf25 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hfc4 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8906 (FCOE) foce[0] : [ 144 : 147] : 18 : fcoe_ver : 4'h0 - foce[0] : [ 148 : 151] : 18 : fcoe_type : 4'h0 - foce[0] : [ 152 : 159] : 19 : sof : 8'h35 - foce[0] : [ 160 : 160] : 20 : tv : 1'b1 - foce[0] : [ 161 : 191] : 20 : rsvd0 : 31'h4166d32 - foce[0] : [ 192 : 255] : 24 : timestamp : 64'ha9c2e90ebe2ede65 - fc[0] : [ 256 : 263] : 32 : r_ctl : 8'hb8 - fc[0] : [ 264 : 287] : 33 : d_id : 24'hefc50a - fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h21 - fc[0] : [ 296 : 319] : 37 : s_id : 24'h69145e - fc[0] : [ 320 : 327] : 40 : fc_type : 8'h39 - fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hec7f09 - fc[0] : [ 352 : 359] : 44 : seq_id : 8'h5f - fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h22 - fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h5e2e - fc[0] : [ 384 : 399] : 48 : ox_id : 16'hab39 - fc[0] : [ 400 : 415] : 50 : rx_id : 16'h93b2 - fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'ha834c617 - data[0] : data_len : 6 (data => 94 4f ce dd ..) - fc[0] : [ 496 : 527] : 62 : fcrc : 32'hc51a3e09 (GOOD) - foce[0] : [ 528 : 535] : 66 : eof : 8'h4e - foce[0] : [ 536 : 559] : 67 : rsvd1 : 24'h103202 + foce[0] : [ 148 : 247] : 18 : rsvd_0 : 100'h8c0a6f13b2138717bd64576d8 + foce[0] : [ 248 : 255] : 31 : sof : 8'h28 + fc[0] : [ 256 : 263] : 32 : r_ctl : 8'h1 + fc[0] : [ 264 : 287] : 33 : d_id : 24'h774c9b + fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h92 + fc[0] : [ 296 : 319] : 37 : s_id : 24'h526d44 + fc[0] : [ 320 : 327] : 40 : fc_type : 8'had + fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hfb304b + fc[0] : [ 352 : 359] : 44 : seq_id : 8'hb3 + fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h34 + fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h633f + fc[0] : [ 384 : 399] : 48 : ox_id : 16'hef89 + fc[0] : [ 400 : 415] : 50 : rx_id : 16'h9f70 + fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'hc46a2cc1 + data[0] : data_len : 10 (data => be 52 5b 3e ..) + fc[0] : [ 528 : 559] : 66 : fcrc : 32'h443ead6e (GOOD) + foce[0] : [ 560 : 567] : 70 : eof : 8'h7d + foce[0] : [ 568 : 591] : 71 : rsvd1 : 24'hbf5544 toh : pad_len : 0 - toh : [ 560 : 591] : 70 : crc : 32'hb7cd5743 (GOOD) + toh : [ 592 : 623] : 74 : crc32 : 32'h7dbf5544 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 55 6d a3 32 28 d4 b6 a4 | 17 ed 2d 96 81 00 ff 25 - pkt_lib : 16 : 89 06 00 35 84 16 6d 32 | a9 c2 e9 0e be 2e de 65 - pkt_lib : 32 : b8 ef c5 0a 21 69 14 5e | 39 ec 7f 09 5f 22 5e 2e - pkt_lib : 48 : ab 39 93 b2 a8 34 c6 17 | 94 4f ce dd 4d c5 c5 1a - pkt_lib : 64 : 3e 09 4e 10 32 02 b7 cd | 57 43 + pkt_lib : 0 : d3 c3 6c 8b f4 9a 37 9b | b8 f0 0a dd 81 00 af c4 + pkt_lib : 16 : 89 06 08 c0 a6 f1 3b 21 | 38 71 7b d6 45 76 d8 28 + pkt_lib : 32 : 01 77 4c 9b 92 52 6d 44 | ad fb 30 4b b3 34 63 3f + pkt_lib : 48 : ef 89 9f 70 c4 6a 2c c1 | be 52 5b 3e b3 3e 64 c7 + pkt_lib : 64 : 4d 21 a7 ab 47 07 44 3e | ad 6e 7d bf 55 44 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 74) + pkt_lib : (Total Len = 78) -0 : INFO : TEST : Copy Pkt 15 - cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} - toh : plen : 74 +0 : INFO : TEST : Copy Pkt 14 + cfg_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} (IEEE802) + toh : plen : 78 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h556da33228d4 - eth[0] : [ 48 : 95] : 6 : sa : 48'hb6a417ed2d96 + eth[0] : [ 0 : 47] : 0 : da : 48'hd3c36c8bf49a + eth[0] : [ 48 : 95] : 6 : sa : 48'h379bb8f00add eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h7 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hf25 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h5 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hfc4 dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8906 (FCOE) foce[0] : [ 144 : 147] : 18 : fcoe_ver : 4'h0 - foce[0] : [ 148 : 151] : 18 : fcoe_type : 4'h0 - foce[0] : [ 152 : 159] : 19 : sof : 8'h35 - foce[0] : [ 160 : 160] : 20 : tv : 1'b1 - foce[0] : [ 161 : 191] : 20 : rsvd0 : 31'h4166d32 - foce[0] : [ 192 : 255] : 24 : timestamp : 64'ha9c2e90ebe2ede65 - fc[0] : [ 256 : 263] : 32 : r_ctl : 8'hb8 - fc[0] : [ 264 : 287] : 33 : d_id : 24'hefc50a - fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h21 - fc[0] : [ 296 : 319] : 37 : s_id : 24'h69145e - fc[0] : [ 320 : 327] : 40 : fc_type : 8'h39 - fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hec7f09 - fc[0] : [ 352 : 359] : 44 : seq_id : 8'h5f - fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h22 - fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h5e2e - fc[0] : [ 384 : 399] : 48 : ox_id : 16'hab39 - fc[0] : [ 400 : 415] : 50 : rx_id : 16'h93b2 - fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'ha834c617 - data[0] : data_len : 6 (data => 94 4f ce dd ..) - fc[0] : [ 496 : 527] : 62 : fcrc : 32'hc51a3e09 (GOOD) - foce[0] : [ 528 : 535] : 66 : eof : 8'h4e - foce[0] : [ 536 : 559] : 67 : rsvd1 : 24'h103202 + foce[0] : [ 148 : 247] : 18 : rsvd_0 : 100'h8c0a6f13b2138717bd64576d8 + foce[0] : [ 248 : 255] : 31 : sof : 8'h28 + fc[0] : [ 256 : 263] : 32 : r_ctl : 8'h1 + fc[0] : [ 264 : 287] : 33 : d_id : 24'h774c9b + fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h92 + fc[0] : [ 296 : 319] : 37 : s_id : 24'h526d44 + fc[0] : [ 320 : 327] : 40 : fc_type : 8'had + fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hfb304b + fc[0] : [ 352 : 359] : 44 : seq_id : 8'hb3 + fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h34 + fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h633f + fc[0] : [ 384 : 399] : 48 : ox_id : 16'hef89 + fc[0] : [ 400 : 415] : 50 : rx_id : 16'h9f70 + fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'hc46a2cc1 + data[0] : data_len : 10 (data => be 52 5b 3e ..) + fc[0] : [ 528 : 559] : 66 : fcrc : 32'h443ead6e (GOOD) + foce[0] : [ 560 : 567] : 70 : eof : 8'h7d + foce[0] : [ 568 : 591] : 71 : rsvd1 : 24'hbf5544 toh : pad_len : 0 - toh : [ 560 : 591] : 70 : crc : 32'hb7cd5743 (GOOD) + toh : [ 592 : 623] : 74 : crc32 : 32'h7dbf5544 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 55 6d a3 32 28 d4 b6 a4 | 17 ed 2d 96 81 00 ff 25 - pkt_lib : 16 : 89 06 00 35 84 16 6d 32 | a9 c2 e9 0e be 2e de 65 - pkt_lib : 32 : b8 ef c5 0a 21 69 14 5e | 39 ec 7f 09 5f 22 5e 2e - pkt_lib : 48 : ab 39 93 b2 a8 34 c6 17 | 94 4f ce dd 4d c5 c5 1a - pkt_lib : 64 : 3e 09 4e 10 32 02 b7 cd | 57 43 + pkt_lib : 0 : d3 c3 6c 8b f4 9a 37 9b | b8 f0 0a dd 81 00 af c4 + pkt_lib : 16 : 89 06 08 c0 a6 f1 3b 21 | 38 71 7b d6 45 76 d8 28 + pkt_lib : 32 : 01 77 4c 9b 92 52 6d 44 | ad fb 30 4b b3 34 63 3f + pkt_lib : 48 : ef 89 9f 70 c4 6a 2c c1 | be 52 5b 3e b3 3e 64 c7 + pkt_lib : 64 : 4d 21 a7 ab 47 07 44 3e | ad 6e 7d bf 55 44 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 74) + pkt_lib : (Total Len = 78) -0 : INFO : TEST : Compare Pkt 15 - cmp_hdr : {eth[0], dot1q[0], foce[0], fc[0], data[0]} - toh : plen : 74 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h556da33228d4 - eth[0] : [ 48 : 95] : 6 : sa : 48'hb6a417ed2d96 - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h7 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'hf25 - dot1q[0] : [ 128 : 143] : 16 : etype : 16'h8906 (FCOE) - foce[0] : [ 144 : 147] : 18 : fcoe_ver : 4'h0 - foce[0] : [ 148 : 151] : 18 : fcoe_type : 4'h0 - foce[0] : [ 152 : 159] : 19 : sof : 8'h35 - foce[0] : [ 160 : 160] : 20 : tv : 1'b1 - foce[0] : [ 161 : 191] : 20 : rsvd0 : 31'h4166d32 - foce[0] : [ 192 : 255] : 24 : timestamp : 64'ha9c2e90ebe2ede65 - fc[0] : [ 256 : 263] : 32 : r_ctl : 8'hb8 - fc[0] : [ 264 : 287] : 33 : d_id : 24'hefc50a - fc[0] : [ 288 : 295] : 36 : cs_ctl_pri : 8'h21 - fc[0] : [ 296 : 319] : 37 : s_id : 24'h69145e - fc[0] : [ 320 : 327] : 40 : fc_type : 8'h39 - fc[0] : [ 328 : 351] : 41 : f_ctl : 24'hec7f09 - fc[0] : [ 352 : 359] : 44 : seq_id : 8'h5f - fc[0] : [ 360 : 367] : 45 : df_ctl : 8'h22 - fc[0] : [ 368 : 383] : 46 : seq_cnt : 16'h5e2e - fc[0] : [ 384 : 399] : 48 : ox_id : 16'hab39 - fc[0] : [ 400 : 415] : 50 : rx_id : 16'h93b2 - fc[0] : [ 416 : 447] : 52 : fc_parameter : 32'ha834c617 - data[0] : data_len : 6 (data => 94 4f ce dd ..) - fc[0] : [ 496 : 527] : 62 : fcrc : 32'hc51a3e09 (GOOD) - foce[0] : [ 528 : 535] : 66 : eof : 8'h4e - foce[0] : [ 536 : 559] : 67 : rsvd1 : 24'h103202 - toh : pad_len : 0 - toh : [ 560 : 591] : 70 : crc : 32'hb7cd5743 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 74 Exp => 74 +0 : INFO : TEST : Compare Pkt 14 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 78 Exp => 78 -0 : INFO : TEST : Pack Pkt 16 - cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} - toh : plen : 58 +0 : INFO : TEST : Pack Pkt 15 + cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} (IEEE802) + toh : plen : 63 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h70e22aad44b7 - eth[0] : [ 48 : 95] : 6 : sa : 48'hf2c841c8660 + eth[0] : [ 0 : 47] : 0 : da : 48'h7c1fcb876ed5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h4ad7cfc95387 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9d2 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h68f dot1q[0] : [ 128 : 143] : 16 : etype : 16'hffff (CNTAG) - cntag[0] : [ 144 : 159] : 18 : flow_id : 16'hc498 + cntag[0] : [ 144 : 159] : 18 : flow_id : 16'he44a cntag[0] : [ 160 : 175] : 20 : etype : 16'h22e7 (CNM) cnm[0] : [ 176 : 179] : 22 : cnm_ver : 4'h0 - cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h15 - cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h23 - cnm[0] : [ 192 : 255] : 24 : cpid : 64'hf393815c20519fb6 - cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h8aff - cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h2dec - cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'h30d2 - cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h35a5ae16d636 - cnm[0] : [ 352 : 367] : 44 : encap_len : 16'h8 - data[0] : data_len : 8 (data => 8e 86 ce b7 ..) + cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h2 + cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h26 + cnm[0] : [ 192 : 255] : 24 : cpid : 64'hbe8c331dee1cdb3f + cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h84f6 + cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h40c8 + cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'hae8d + cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h751780043574 + cnm[0] : [ 352 : 367] : 44 : encap_len : 16'hd + data[0] : data_len : 13 (data => a7 fd 20 f6 ..) toh : pad_len : 0 - toh : [ 432 : 463] : 54 : crc : 32'ha25d1026 (GOOD) + toh : [ 472 : 503] : 59 : crc32 : 32'heb01c8af (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 70 e2 2a ad 44 b7 0f 2c | 84 1c 86 60 81 00 99 d2 - pkt_lib : 16 : ff ff c4 98 22 e7 05 63 | f3 93 81 5c 20 51 9f b6 - pkt_lib : 32 : 8a ff 2d ec 30 d2 35 a5 | ae 16 d6 36 00 08 8e 86 - pkt_lib : 48 : ce b7 3c b8 e7 b6 a2 5d | 10 26 + pkt_lib : 0 : 7c 1f cb 87 6e d5 4a d7 | cf c9 53 87 81 00 46 8f + pkt_lib : 16 : ff ff e4 4a 22 e7 00 a6 | be 8c 33 1d ee 1c db 3f + pkt_lib : 32 : 84 f6 40 c8 ae 8d 75 17 | 80 04 35 74 00 0d a7 fd + pkt_lib : 48 : 20 f6 ab f1 5b e8 63 a7 | 0d f9 04 eb 01 c8 af pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 58) + pkt_lib : (Total Len = 63) -0 : INFO : TEST : Unpack Pkt 16 - cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} - toh : plen : 58 +0 : INFO : TEST : Unpack Pkt 15 + cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} (IEEE802) + toh : plen : 63 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h70e22aad44b7 - eth[0] : [ 48 : 95] : 6 : sa : 48'hf2c841c8660 + eth[0] : [ 0 : 47] : 0 : da : 48'h7c1fcb876ed5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h4ad7cfc95387 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9d2 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h68f dot1q[0] : [ 128 : 143] : 16 : etype : 16'hffff (CNTAG) - cntag[0] : [ 144 : 159] : 18 : flow_id : 16'hc498 + cntag[0] : [ 144 : 159] : 18 : flow_id : 16'he44a cntag[0] : [ 160 : 175] : 20 : etype : 16'h22e7 (CNM) cnm[0] : [ 176 : 179] : 22 : cnm_ver : 4'h0 - cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h15 - cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h23 - cnm[0] : [ 192 : 255] : 24 : cpid : 64'hf393815c20519fb6 - cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h8aff - cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h2dec - cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'h30d2 - cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h35a5ae16d636 - cnm[0] : [ 352 : 367] : 44 : encap_len : 16'h8 - data[0] : data_len : 8 (data => 8e 86 ce b7 ..) + cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h2 + cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h26 + cnm[0] : [ 192 : 255] : 24 : cpid : 64'hbe8c331dee1cdb3f + cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h84f6 + cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h40c8 + cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'hae8d + cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h751780043574 + cnm[0] : [ 352 : 367] : 44 : encap_len : 16'hd + data[0] : data_len : 13 (data => a7 fd 20 f6 ..) toh : pad_len : 0 - toh : [ 432 : 463] : 54 : crc : 32'ha25d1026 (GOOD) + toh : [ 472 : 503] : 59 : crc32 : 32'heb01c8af (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 70 e2 2a ad 44 b7 0f 2c | 84 1c 86 60 81 00 99 d2 - pkt_lib : 16 : ff ff c4 98 22 e7 05 63 | f3 93 81 5c 20 51 9f b6 - pkt_lib : 32 : 8a ff 2d ec 30 d2 35 a5 | ae 16 d6 36 00 08 8e 86 - pkt_lib : 48 : ce b7 3c b8 e7 b6 a2 5d | 10 26 + pkt_lib : 0 : 7c 1f cb 87 6e d5 4a d7 | cf c9 53 87 81 00 46 8f + pkt_lib : 16 : ff ff e4 4a 22 e7 00 a6 | be 8c 33 1d ee 1c db 3f + pkt_lib : 32 : 84 f6 40 c8 ae 8d 75 17 | 80 04 35 74 00 0d a7 fd + pkt_lib : 48 : 20 f6 ab f1 5b e8 63 a7 | 0d f9 04 eb 01 c8 af pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 58) + pkt_lib : (Total Len = 63) -0 : INFO : TEST : Copy Pkt 16 - cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} - toh : plen : 58 +0 : INFO : TEST : Copy Pkt 15 + cfg_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} (IEEE802) + toh : plen : 63 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h70e22aad44b7 - eth[0] : [ 48 : 95] : 6 : sa : 48'hf2c841c8660 + eth[0] : [ 0 : 47] : 0 : da : 48'h7c1fcb876ed5 + eth[0] : [ 48 : 95] : 6 : sa : 48'h4ad7cfc95387 eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9d2 + dot1q[0] : [ 112 : 114] : 14 : cos : 3'h2 + dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h0 + dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h68f dot1q[0] : [ 128 : 143] : 16 : etype : 16'hffff (CNTAG) - cntag[0] : [ 144 : 159] : 18 : flow_id : 16'hc498 + cntag[0] : [ 144 : 159] : 18 : flow_id : 16'he44a cntag[0] : [ 160 : 175] : 20 : etype : 16'h22e7 (CNM) cnm[0] : [ 176 : 179] : 22 : cnm_ver : 4'h0 - cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h15 - cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h23 - cnm[0] : [ 192 : 255] : 24 : cpid : 64'hf393815c20519fb6 - cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h8aff - cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h2dec - cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'h30d2 - cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h35a5ae16d636 - cnm[0] : [ 352 : 367] : 44 : encap_len : 16'h8 - data[0] : data_len : 8 (data => 8e 86 ce b7 ..) + cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h2 + cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h26 + cnm[0] : [ 192 : 255] : 24 : cpid : 64'hbe8c331dee1cdb3f + cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h84f6 + cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h40c8 + cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'hae8d + cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h751780043574 + cnm[0] : [ 352 : 367] : 44 : encap_len : 16'hd + data[0] : data_len : 13 (data => a7 fd 20 f6 ..) toh : pad_len : 0 - toh : [ 432 : 463] : 54 : crc : 32'ha25d1026 (GOOD) + toh : [ 472 : 503] : 59 : crc32 : 32'heb01c8af (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : 70 e2 2a ad 44 b7 0f 2c | 84 1c 86 60 81 00 99 d2 - pkt_lib : 16 : ff ff c4 98 22 e7 05 63 | f3 93 81 5c 20 51 9f b6 - pkt_lib : 32 : 8a ff 2d ec 30 d2 35 a5 | ae 16 d6 36 00 08 8e 86 - pkt_lib : 48 : ce b7 3c b8 e7 b6 a2 5d | 10 26 + pkt_lib : 0 : 7c 1f cb 87 6e d5 4a d7 | cf c9 53 87 81 00 46 8f + pkt_lib : 16 : ff ff e4 4a 22 e7 00 a6 | be 8c 33 1d ee 1c db 3f + pkt_lib : 32 : 84 f6 40 c8 ae 8d 75 17 | 80 04 35 74 00 0d a7 fd + pkt_lib : 48 : 20 f6 ab f1 5b e8 63 a7 | 0d f9 04 eb 01 c8 af pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 58) + pkt_lib : (Total Len = 63) -0 : INFO : TEST : Compare Pkt 16 - cmp_hdr : {eth[0], dot1q[0], cntag[0], cnm[0], data[0]} - toh : plen : 58 - toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'h70e22aad44b7 - eth[0] : [ 48 : 95] : 6 : sa : 48'hf2c841c8660 - eth[0] : [ 96 : 111] : 12 : etype : 16'h8100 (DOT1Q) - dot1q[0] : [ 112 : 114] : 14 : cos : 3'h4 - dot1q[0] : [ 115 : 115] : 14 : cfi : 1'h1 - dot1q[0] : [ 116 : 127] : 14 : vlan : 12'h9d2 - dot1q[0] : [ 128 : 143] : 16 : etype : 16'hffff (CNTAG) - cntag[0] : [ 144 : 159] : 18 : flow_id : 16'hc498 - cntag[0] : [ 160 : 175] : 20 : etype : 16'h22e7 (CNM) - cnm[0] : [ 176 : 179] : 22 : cnm_ver : 4'h0 - cnm[0] : [ 180 : 185] : 22 : rsvd : 6'h15 - cnm[0] : [ 186 : 191] : 23 : qfeedback : 6'h23 - cnm[0] : [ 192 : 255] : 24 : cpid : 64'hf393815c20519fb6 - cnm[0] : [ 256 : 271] : 32 : cnmqoffset : 16'h8aff - cnm[0] : [ 272 : 287] : 34 : cnmqdelta : 16'h2dec - cnm[0] : [ 288 : 303] : 36 : encap_priority : 16'h30d2 - cnm[0] : [ 304 : 351] : 38 : encap_da : 48'h35a5ae16d636 - cnm[0] : [ 352 : 367] : 44 : encap_len : 16'h8 - data[0] : data_len : 8 (data => 8e 86 ce b7 ..) - toh : pad_len : 0 - toh : [ 432 : 463] : 54 : crc : 32'ha25d1026 (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 58 Exp => 58 +0 : INFO : TEST : Compare Pkt 15 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 63 Exp => 63 -0 : INFO : TEST : Pack Pkt 17 - cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} - toh : plen : 300 + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 295 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 296 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 297 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 298 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 299 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 300 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 301 does not exist, 295 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 302 does not exist, 295 items are in the dynamic array. +0 : INFO : TEST : Pack Pkt 16 + cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 299 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hedb3c06c36ec - eth[0] : [ 48 : 95] : 6 : sa : 48'h7fc6b1a5c5fe + eth[0] : [ 0 : 47] : 0 : da : 48'h41be3ac2bbc1 + eth[0] : [ 48 : 95] : 6 : sa : 48'h3894d0f0818 eth[0] : [ 96 : 111] : 12 : etype : 16'h86dd (IPV6) ipv6[0] : [ 112 : 115] : 14 : version : 4'h6 - ipv6[0] : [ 116 : 123] : 14 : tos : 8'he2 - ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'hc6663 - ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf2 + ipv6[0] : [ 116 : 123] : 14 : tos : 8'hf0 + ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'h941e2 + ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf1 ipv6[0] : [ 160 : 167] : 20 : protocol : 8'h0 (IPV6 HOPOPT) ipv6[0] : [ 168 : 175] : 21 : ttl : 8'hd2 - ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h95683718e7f41001bde2c537d961415d - ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'he8fe95213afdb2914fe54b045c102f55 + ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h5966cbed9559d8b2def229e72fbd29ad + ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'h7c4bb975a6f6a296e3be9f8af82b1af7 ipv6_hopopts[0] : [ 432 : 439] : 54 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'he - ipv6_hopopts[0] : : 56 : options : (Total Len = 118) + ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'h15 + ipv6_hopopts[0] : : 56 : options : (Total Len = 174) ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_hopopts[0] : 0 : 5b 36 85 e8 d0 63 64 ed | 84 9d 9a dc ee b1 ad c1 - ipv6_hopopts[0] : 16 : 90 1f 7a 23 f8 2f e5 99 | 33 34 46 5e fc 3f 78 eb - ipv6_hopopts[0] : 32 : 1d e0 4e 2a 05 e0 48 20 | 2f 7a 56 40 72 34 f7 ed - ipv6_hopopts[0] : 48 : be 8b ad 0e 77 5b ea f7 | b1 9c 11 64 a0 3c 9a a2 - ipv6_hopopts[0] : 64 : ac 5f 82 5c f9 4b 45 e1 | 58 f4 f7 5c 22 dd a1 22 - ipv6_hopopts[0] : 80 : e7 b1 6c 9d d2 7a e1 0f | 3d 86 be ae ea b4 e0 7d - ipv6_hopopts[0] : 96 : e0 b5 cb 65 6d 5f 5b 02 | ef 9a a9 92 cd 7f 16 3a - ipv6_hopopts[0] : 112 : 3e 4a 3d 27 1c 28 + ipv6_hopopts[0] : 0 : 55 45 ab ee b3 64 4e 0d | 8a ce 5c 51 35 90 93 9d + ipv6_hopopts[0] : 16 : 0a bd c6 c8 3b 47 d3 e1 | b7 d7 54 4a b8 81 84 c6 + ipv6_hopopts[0] : 32 : 39 4c f0 64 47 c8 78 a3 | c5 4b 86 ec fa 87 b2 f0 + ipv6_hopopts[0] : 48 : da 84 ac 8d ef f2 fc 47 | 10 33 cd ca 84 18 61 53 + ipv6_hopopts[0] : 64 : 14 d6 3b a5 54 fc 29 75 | 26 fe d5 72 74 aa fb 4a + ipv6_hopopts[0] : 80 : a6 e8 16 86 a3 a1 41 67 | 7d b3 b9 bd 5b 16 04 db + ipv6_hopopts[0] : 96 : 83 83 4c 1a f6 da c0 63 | 27 cf 56 ed 5c ef fa 57 + ipv6_hopopts[0] : 112 : eb aa fc 47 ef 12 92 bd | 93 da 33 a7 95 cc 16 0b + ipv6_hopopts[0] : 128 : 08 eb f9 2c 2c c8 9f 7b | 4c b3 1c b7 c5 85 eb 0b + ipv6_hopopts[0] : 144 : 12 e2 8d bb 66 a7 c4 80 | be 8e 50 b7 3c 69 f2 07 + ipv6_hopopts[0] : 160 : f6 ef 64 99 6c 13 2f 53 | fc a0 63 77 0b 5f ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : [1392 : 1399] : 174 : protocol : 8'h2b (IPV6 ROUT) - ipv6_opts[0] : [1400 : 1407] : 175 : hdr_ext_len : 8'h7 - ipv6_opts[0] : : 176 : options : (Total Len = 62) + ipv6_opts[0] : [1840 : 1847] : 230 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [1848 : 1855] : 231 : hdr_ext_len : 8'h0 + ipv6_opts[0] : : 232 : options : (Total Len = 6) ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : 0 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - ipv6_opts[0] : 16 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - ipv6_opts[0] : 32 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - ipv6_opts[0] : 48 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da + ipv6_opts[0] : 0 : 2c 1a 8e 50 a4 39 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ ipv6_rout[0] : [1904 : 1911] : 238 : protocol : 8'h2c (IPV^ FRAG) - ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h3 - ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'h1b - ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h7c - ipv6_rout[0] : : 242 : options : (Total Len = 30) + ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h2 + ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'hb0 + ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h60 + ipv6_rout[0] : : 242 : options : (Total Len = 22) ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_rout[0] : 0 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - ipv6_rout[0] : 16 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 + ipv6_rout[0] : 0 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + ipv6_rout[0] : 16 : 91 88 bb 49 7c 04 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_frag[0] : [2176 : 2183] : 272 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_frag[0] : [2184 : 2191] : 273 : hdr_ext_len : 8'h0 - ipv6_frag[0] : [2192 : 2204] : 274 : frag_offset : 13'h163 - ipv6_frag[0] : [2205 : 2206] : 275 : frag_rsvd : 2'h0 - ipv6_frag[0] : [2207 : 2207] : 275 : M : 1'h1 - ipv6_frag[0] : [2208 : 2239] : 276 : identification : 32'ha0db43e - ipv6_opts[1] : [2240 : 2247] : 280 : protocol : 8'h2 (IGMP) - ipv6_opts[1] : [2248 : 2255] : 281 : hdr_ext_len : 8'h0 - ipv6_opts[1] : : 282 : options : (Total Len = 6) + ipv6_frag[0] : [2112 : 2119] : 264 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [2120 : 2127] : 265 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [2128 : 2140] : 266 : frag_offset : 13'h1083 + ipv6_frag[0] : [2141 : 2142] : 267 : frag_rsvd : 2'h0 + ipv6_frag[0] : [2143 : 2143] : 267 : M : 1'h1 + ipv6_frag[0] : [2144 : 2175] : 268 : identification : 32'h7ac8ab3a + ipv6_opts[1] : [2176 : 2183] : 272 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [2184 : 2191] : 273 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 274 : options : (Total Len = 14) ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[1] : 0 : 76 b5 3f e4 c2 c1 + ipv6_opts[1] : 0 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h11 - igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'hfa - igmp[0] : [2320 : 2335] : 290 : checksum : 16'h64ec (GOOD) - igmp[0] : [2336 : 2351] : 292 : group_addr : 16'h6b31756d - data[0] : data_len : 2 (data => a8 7a) + igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h16 + igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'he2 + igmp[0] : [2320 : 2335] : 290 : checksum : 16'hbc90 (GOOD) + igmp[0] : [2336 : 2367] : 292 : group_addr : 32'hd7e0545f + data[0] : data_len : 1 (data => 4d) toh : pad_len : 0 - toh : [2368 : 2399] : 296 : crc : 32'h6449a05f (GOOD) + toh : [2376 : 2407] : 297 : crc32 : 32'h27536d2b (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : ed b3 c0 6c 36 ec 7f c6 | b1 a5 c5 fe 86 dd 6e 2c - pkt_lib : 16 : 66 63 00 f2 00 d2 95 68 | 37 18 e7 f4 10 01 bd e2 - pkt_lib : 32 : c5 37 d9 61 41 5d e8 fe | 95 21 3a fd b2 91 4f e5 - pkt_lib : 48 : 4b 04 5c 10 2f 55 3c 0e | 5b 36 85 e8 d0 63 64 ed - pkt_lib : 64 : 84 9d 9a dc ee b1 ad c1 | 90 1f 7a 23 f8 2f e5 99 - pkt_lib : 80 : 33 34 46 5e fc 3f 78 eb | 1d e0 4e 2a 05 e0 48 20 - pkt_lib : 96 : 2f 7a 56 40 72 34 f7 ed | be 8b ad 0e 77 5b ea f7 - pkt_lib : 112 : b1 9c 11 64 a0 3c 9a a2 | ac 5f 82 5c f9 4b 45 e1 - pkt_lib : 128 : 58 f4 f7 5c 22 dd a1 22 | e7 b1 6c 9d d2 7a e1 0f - pkt_lib : 144 : 3d 86 be ae ea b4 e0 7d | e0 b5 cb 65 6d 5f 5b 02 - pkt_lib : 160 : ef 9a a9 92 cd 7f 16 3a | 3e 4a 3d 27 1c 28 2b 07 - pkt_lib : 176 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - pkt_lib : 192 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - pkt_lib : 208 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - pkt_lib : 224 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da 2c 03 - pkt_lib : 240 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - pkt_lib : 256 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 3c 00 - pkt_lib : 272 : 0b 19 0a 0d b4 3e 02 00 | 76 b5 3f e4 c2 c1 11 fa - pkt_lib : 288 : 00 00 6b 31 75 6d a8 7a | 64 49 a0 5f + pkt_lib : 0 : 41 be 3a c2 bb c1 03 89 | 4d 0f 08 18 86 dd 6f 09 + pkt_lib : 16 : 41 e2 00 f1 00 d2 59 66 | cb ed 95 59 d8 b2 de f2 + pkt_lib : 32 : 29 e7 2f bd 29 ad 7c 4b | b9 75 a6 f6 a2 96 e3 be + pkt_lib : 48 : 9f 8a f8 2b 1a f7 3c 15 | 55 45 ab ee b3 64 4e 0d + pkt_lib : 64 : 8a ce 5c 51 35 90 93 9d | 0a bd c6 c8 3b 47 d3 e1 + pkt_lib : 80 : b7 d7 54 4a b8 81 84 c6 | 39 4c f0 64 47 c8 78 a3 + pkt_lib : 96 : c5 4b 86 ec fa 87 b2 f0 | da 84 ac 8d ef f2 fc 47 + pkt_lib : 112 : 10 33 cd ca 84 18 61 53 | 14 d6 3b a5 54 fc 29 75 + pkt_lib : 128 : 26 fe d5 72 74 aa fb 4a | a6 e8 16 86 a3 a1 41 67 + pkt_lib : 144 : 7d b3 b9 bd 5b 16 04 db | 83 83 4c 1a f6 da c0 63 + pkt_lib : 160 : 27 cf 56 ed 5c ef fa 57 | eb aa fc 47 ef 12 92 bd + pkt_lib : 176 : 93 da 33 a7 95 cc 16 0b | 08 eb f9 2c 2c c8 9f 7b + pkt_lib : 192 : 4c b3 1c b7 c5 85 eb 0b | 12 e2 8d bb 66 a7 c4 80 + pkt_lib : 208 : be 8e 50 b7 3c 69 f2 07 | f6 ef 64 99 6c 13 2f 53 + pkt_lib : 224 : fc a0 63 77 0b 5f 2b 00 | 2c 1a 8e 50 a4 39 2c 02 + pkt_lib : 240 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + pkt_lib : 256 : 91 88 bb 49 7c 04 3c 00 | 84 19 7a c8 ab 3a 02 01 + pkt_lib : 272 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c 16 e2 + pkt_lib : 288 : 00 00 d7 e0 54 5f 4d 27 | 53 6d 2b pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 300) + pkt_lib : (Total Len = 299) -0 : INFO : TEST : Unpack Pkt 17 - cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} - toh : plen : 300 +0 : INFO : TEST : Unpack Pkt 16 + cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 299 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hedb3c06c36ec - eth[0] : [ 48 : 95] : 6 : sa : 48'h7fc6b1a5c5fe + eth[0] : [ 0 : 47] : 0 : da : 48'h41be3ac2bbc1 + eth[0] : [ 48 : 95] : 6 : sa : 48'h3894d0f0818 eth[0] : [ 96 : 111] : 12 : etype : 16'h86dd (IPV6) ipv6[0] : [ 112 : 115] : 14 : version : 4'h6 - ipv6[0] : [ 116 : 123] : 14 : tos : 8'he2 - ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'hc6663 - ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf2 + ipv6[0] : [ 116 : 123] : 14 : tos : 8'hf0 + ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'h941e2 + ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf1 ipv6[0] : [ 160 : 167] : 20 : protocol : 8'h0 (IPV6 HOPOPT) ipv6[0] : [ 168 : 175] : 21 : ttl : 8'hd2 - ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h95683718e7f41001bde2c537d961415d - ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'he8fe95213afdb2914fe54b045c102f55 + ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h5966cbed9559d8b2def229e72fbd29ad + ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'h7c4bb975a6f6a296e3be9f8af82b1af7 ipv6_hopopts[0] : [ 432 : 439] : 54 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'he - ipv6_hopopts[0] : : 56 : options : (Total Len = 118) + ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'h15 + ipv6_hopopts[0] : : 56 : options : (Total Len = 174) ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_hopopts[0] : 0 : 5b 36 85 e8 d0 63 64 ed | 84 9d 9a dc ee b1 ad c1 - ipv6_hopopts[0] : 16 : 90 1f 7a 23 f8 2f e5 99 | 33 34 46 5e fc 3f 78 eb - ipv6_hopopts[0] : 32 : 1d e0 4e 2a 05 e0 48 20 | 2f 7a 56 40 72 34 f7 ed - ipv6_hopopts[0] : 48 : be 8b ad 0e 77 5b ea f7 | b1 9c 11 64 a0 3c 9a a2 - ipv6_hopopts[0] : 64 : ac 5f 82 5c f9 4b 45 e1 | 58 f4 f7 5c 22 dd a1 22 - ipv6_hopopts[0] : 80 : e7 b1 6c 9d d2 7a e1 0f | 3d 86 be ae ea b4 e0 7d - ipv6_hopopts[0] : 96 : e0 b5 cb 65 6d 5f 5b 02 | ef 9a a9 92 cd 7f 16 3a - ipv6_hopopts[0] : 112 : 3e 4a 3d 27 1c 28 + ipv6_hopopts[0] : 0 : 55 45 ab ee b3 64 4e 0d | 8a ce 5c 51 35 90 93 9d + ipv6_hopopts[0] : 16 : 0a bd c6 c8 3b 47 d3 e1 | b7 d7 54 4a b8 81 84 c6 + ipv6_hopopts[0] : 32 : 39 4c f0 64 47 c8 78 a3 | c5 4b 86 ec fa 87 b2 f0 + ipv6_hopopts[0] : 48 : da 84 ac 8d ef f2 fc 47 | 10 33 cd ca 84 18 61 53 + ipv6_hopopts[0] : 64 : 14 d6 3b a5 54 fc 29 75 | 26 fe d5 72 74 aa fb 4a + ipv6_hopopts[0] : 80 : a6 e8 16 86 a3 a1 41 67 | 7d b3 b9 bd 5b 16 04 db + ipv6_hopopts[0] : 96 : 83 83 4c 1a f6 da c0 63 | 27 cf 56 ed 5c ef fa 57 + ipv6_hopopts[0] : 112 : eb aa fc 47 ef 12 92 bd | 93 da 33 a7 95 cc 16 0b + ipv6_hopopts[0] : 128 : 08 eb f9 2c 2c c8 9f 7b | 4c b3 1c b7 c5 85 eb 0b + ipv6_hopopts[0] : 144 : 12 e2 8d bb 66 a7 c4 80 | be 8e 50 b7 3c 69 f2 07 + ipv6_hopopts[0] : 160 : f6 ef 64 99 6c 13 2f 53 | fc a0 63 77 0b 5f ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : [1392 : 1399] : 174 : protocol : 8'h2b (IPV6 ROUT) - ipv6_opts[0] : [1400 : 1407] : 175 : hdr_ext_len : 8'h7 - ipv6_opts[0] : : 176 : options : (Total Len = 62) + ipv6_opts[0] : [1840 : 1847] : 230 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [1848 : 1855] : 231 : hdr_ext_len : 8'h0 + ipv6_opts[0] : : 232 : options : (Total Len = 6) ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : 0 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - ipv6_opts[0] : 16 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - ipv6_opts[0] : 32 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - ipv6_opts[0] : 48 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da + ipv6_opts[0] : 0 : 2c 1a 8e 50 a4 39 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ ipv6_rout[0] : [1904 : 1911] : 238 : protocol : 8'h2c (IPV^ FRAG) - ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h3 - ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'h1b - ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h7c - ipv6_rout[0] : : 242 : options : (Total Len = 30) + ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h2 + ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'hb0 + ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h60 + ipv6_rout[0] : : 242 : options : (Total Len = 22) ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_rout[0] : 0 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - ipv6_rout[0] : 16 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 + ipv6_rout[0] : 0 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + ipv6_rout[0] : 16 : 91 88 bb 49 7c 04 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_frag[0] : [2176 : 2183] : 272 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_frag[0] : [2184 : 2191] : 273 : hdr_ext_len : 8'h0 - ipv6_frag[0] : [2192 : 2204] : 274 : frag_offset : 13'h163 - ipv6_frag[0] : [2205 : 2206] : 275 : frag_rsvd : 2'h0 - ipv6_frag[0] : [2207 : 2207] : 275 : M : 1'h1 - ipv6_frag[0] : [2208 : 2239] : 276 : identification : 32'ha0db43e - ipv6_opts[1] : [2240 : 2247] : 280 : protocol : 8'h2 (IGMP) - ipv6_opts[1] : [2248 : 2255] : 281 : hdr_ext_len : 8'h0 - ipv6_opts[1] : : 282 : options : (Total Len = 6) + ipv6_frag[0] : [2112 : 2119] : 264 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [2120 : 2127] : 265 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [2128 : 2140] : 266 : frag_offset : 13'h1083 + ipv6_frag[0] : [2141 : 2142] : 267 : frag_rsvd : 2'h0 + ipv6_frag[0] : [2143 : 2143] : 267 : M : 1'h1 + ipv6_frag[0] : [2144 : 2175] : 268 : identification : 32'h7ac8ab3a + ipv6_opts[1] : [2176 : 2183] : 272 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [2184 : 2191] : 273 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 274 : options : (Total Len = 14) ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[1] : 0 : 76 b5 3f e4 c2 c1 + ipv6_opts[1] : 0 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h11 - igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'hfa + igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h16 + igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'he2 igmp[0] : [2320 : 2335] : 290 : checksum : 16'h0 (GOOD) - igmp[0] : [2336 : 2351] : 292 : group_addr : 16'h6b31756d - data[0] : data_len : 2 (data => a8 7a) + igmp[0] : [2336 : 2367] : 292 : group_addr : 32'hd7e0545f + data[0] : data_len : 1 (data => 4d) toh : pad_len : 0 - toh : [2368 : 2399] : 296 : crc : 32'h6449a05f (GOOD) + toh : [2376 : 2407] : 297 : crc32 : 32'h27536d2b (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : ed b3 c0 6c 36 ec 7f c6 | b1 a5 c5 fe 86 dd 6e 2c - pkt_lib : 16 : 66 63 00 f2 00 d2 95 68 | 37 18 e7 f4 10 01 bd e2 - pkt_lib : 32 : c5 37 d9 61 41 5d e8 fe | 95 21 3a fd b2 91 4f e5 - pkt_lib : 48 : 4b 04 5c 10 2f 55 3c 0e | 5b 36 85 e8 d0 63 64 ed - pkt_lib : 64 : 84 9d 9a dc ee b1 ad c1 | 90 1f 7a 23 f8 2f e5 99 - pkt_lib : 80 : 33 34 46 5e fc 3f 78 eb | 1d e0 4e 2a 05 e0 48 20 - pkt_lib : 96 : 2f 7a 56 40 72 34 f7 ed | be 8b ad 0e 77 5b ea f7 - pkt_lib : 112 : b1 9c 11 64 a0 3c 9a a2 | ac 5f 82 5c f9 4b 45 e1 - pkt_lib : 128 : 58 f4 f7 5c 22 dd a1 22 | e7 b1 6c 9d d2 7a e1 0f - pkt_lib : 144 : 3d 86 be ae ea b4 e0 7d | e0 b5 cb 65 6d 5f 5b 02 - pkt_lib : 160 : ef 9a a9 92 cd 7f 16 3a | 3e 4a 3d 27 1c 28 2b 07 - pkt_lib : 176 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - pkt_lib : 192 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - pkt_lib : 208 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - pkt_lib : 224 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da 2c 03 - pkt_lib : 240 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - pkt_lib : 256 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 3c 00 - pkt_lib : 272 : 0b 19 0a 0d b4 3e 02 00 | 76 b5 3f e4 c2 c1 11 fa - pkt_lib : 288 : 00 00 6b 31 75 6d a8 7a | 64 49 a0 5f + pkt_lib : 0 : 41 be 3a c2 bb c1 03 89 | 4d 0f 08 18 86 dd 6f 09 + pkt_lib : 16 : 41 e2 00 f1 00 d2 59 66 | cb ed 95 59 d8 b2 de f2 + pkt_lib : 32 : 29 e7 2f bd 29 ad 7c 4b | b9 75 a6 f6 a2 96 e3 be + pkt_lib : 48 : 9f 8a f8 2b 1a f7 3c 15 | 55 45 ab ee b3 64 4e 0d + pkt_lib : 64 : 8a ce 5c 51 35 90 93 9d | 0a bd c6 c8 3b 47 d3 e1 + pkt_lib : 80 : b7 d7 54 4a b8 81 84 c6 | 39 4c f0 64 47 c8 78 a3 + pkt_lib : 96 : c5 4b 86 ec fa 87 b2 f0 | da 84 ac 8d ef f2 fc 47 + pkt_lib : 112 : 10 33 cd ca 84 18 61 53 | 14 d6 3b a5 54 fc 29 75 + pkt_lib : 128 : 26 fe d5 72 74 aa fb 4a | a6 e8 16 86 a3 a1 41 67 + pkt_lib : 144 : 7d b3 b9 bd 5b 16 04 db | 83 83 4c 1a f6 da c0 63 + pkt_lib : 160 : 27 cf 56 ed 5c ef fa 57 | eb aa fc 47 ef 12 92 bd + pkt_lib : 176 : 93 da 33 a7 95 cc 16 0b | 08 eb f9 2c 2c c8 9f 7b + pkt_lib : 192 : 4c b3 1c b7 c5 85 eb 0b | 12 e2 8d bb 66 a7 c4 80 + pkt_lib : 208 : be 8e 50 b7 3c 69 f2 07 | f6 ef 64 99 6c 13 2f 53 + pkt_lib : 224 : fc a0 63 77 0b 5f 2b 00 | 2c 1a 8e 50 a4 39 2c 02 + pkt_lib : 240 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + pkt_lib : 256 : 91 88 bb 49 7c 04 3c 00 | 84 19 7a c8 ab 3a 02 01 + pkt_lib : 272 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c 16 e2 + pkt_lib : 288 : 00 00 d7 e0 54 5f 4d 27 | 53 6d 2b pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 300) + pkt_lib : (Total Len = 299) -0 : INFO : TEST : Copy Pkt 17 - cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} - toh : plen : 300 +0 : INFO : TEST : Copy Pkt 16 + cfg_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 299 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hedb3c06c36ec - eth[0] : [ 48 : 95] : 6 : sa : 48'h7fc6b1a5c5fe + eth[0] : [ 0 : 47] : 0 : da : 48'h41be3ac2bbc1 + eth[0] : [ 48 : 95] : 6 : sa : 48'h3894d0f0818 eth[0] : [ 96 : 111] : 12 : etype : 16'h86dd (IPV6) ipv6[0] : [ 112 : 115] : 14 : version : 4'h6 - ipv6[0] : [ 116 : 123] : 14 : tos : 8'he2 - ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'hc6663 - ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf2 + ipv6[0] : [ 116 : 123] : 14 : tos : 8'hf0 + ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'h941e2 + ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf1 ipv6[0] : [ 160 : 167] : 20 : protocol : 8'h0 (IPV6 HOPOPT) ipv6[0] : [ 168 : 175] : 21 : ttl : 8'hd2 - ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h95683718e7f41001bde2c537d961415d - ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'he8fe95213afdb2914fe54b045c102f55 + ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h5966cbed9559d8b2def229e72fbd29ad + ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'h7c4bb975a6f6a296e3be9f8af82b1af7 ipv6_hopopts[0] : [ 432 : 439] : 54 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'he - ipv6_hopopts[0] : : 56 : options : (Total Len = 118) + ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'h15 + ipv6_hopopts[0] : : 56 : options : (Total Len = 174) ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_hopopts[0] : 0 : 5b 36 85 e8 d0 63 64 ed | 84 9d 9a dc ee b1 ad c1 - ipv6_hopopts[0] : 16 : 90 1f 7a 23 f8 2f e5 99 | 33 34 46 5e fc 3f 78 eb - ipv6_hopopts[0] : 32 : 1d e0 4e 2a 05 e0 48 20 | 2f 7a 56 40 72 34 f7 ed - ipv6_hopopts[0] : 48 : be 8b ad 0e 77 5b ea f7 | b1 9c 11 64 a0 3c 9a a2 - ipv6_hopopts[0] : 64 : ac 5f 82 5c f9 4b 45 e1 | 58 f4 f7 5c 22 dd a1 22 - ipv6_hopopts[0] : 80 : e7 b1 6c 9d d2 7a e1 0f | 3d 86 be ae ea b4 e0 7d - ipv6_hopopts[0] : 96 : e0 b5 cb 65 6d 5f 5b 02 | ef 9a a9 92 cd 7f 16 3a - ipv6_hopopts[0] : 112 : 3e 4a 3d 27 1c 28 + ipv6_hopopts[0] : 0 : 55 45 ab ee b3 64 4e 0d | 8a ce 5c 51 35 90 93 9d + ipv6_hopopts[0] : 16 : 0a bd c6 c8 3b 47 d3 e1 | b7 d7 54 4a b8 81 84 c6 + ipv6_hopopts[0] : 32 : 39 4c f0 64 47 c8 78 a3 | c5 4b 86 ec fa 87 b2 f0 + ipv6_hopopts[0] : 48 : da 84 ac 8d ef f2 fc 47 | 10 33 cd ca 84 18 61 53 + ipv6_hopopts[0] : 64 : 14 d6 3b a5 54 fc 29 75 | 26 fe d5 72 74 aa fb 4a + ipv6_hopopts[0] : 80 : a6 e8 16 86 a3 a1 41 67 | 7d b3 b9 bd 5b 16 04 db + ipv6_hopopts[0] : 96 : 83 83 4c 1a f6 da c0 63 | 27 cf 56 ed 5c ef fa 57 + ipv6_hopopts[0] : 112 : eb aa fc 47 ef 12 92 bd | 93 da 33 a7 95 cc 16 0b + ipv6_hopopts[0] : 128 : 08 eb f9 2c 2c c8 9f 7b | 4c b3 1c b7 c5 85 eb 0b + ipv6_hopopts[0] : 144 : 12 e2 8d bb 66 a7 c4 80 | be 8e 50 b7 3c 69 f2 07 + ipv6_hopopts[0] : 160 : f6 ef 64 99 6c 13 2f 53 | fc a0 63 77 0b 5f ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : [1392 : 1399] : 174 : protocol : 8'h2b (IPV6 ROUT) - ipv6_opts[0] : [1400 : 1407] : 175 : hdr_ext_len : 8'h7 - ipv6_opts[0] : : 176 : options : (Total Len = 62) + ipv6_opts[0] : [1840 : 1847] : 230 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [1848 : 1855] : 231 : hdr_ext_len : 8'h0 + ipv6_opts[0] : : 232 : options : (Total Len = 6) ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[0] : 0 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - ipv6_opts[0] : 16 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - ipv6_opts[0] : 32 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - ipv6_opts[0] : 48 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da + ipv6_opts[0] : 0 : 2c 1a 8e 50 a4 39 ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ ipv6_rout[0] : [1904 : 1911] : 238 : protocol : 8'h2c (IPV^ FRAG) - ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h3 - ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'h1b - ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h7c - ipv6_rout[0] : : 242 : options : (Total Len = 30) + ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h2 + ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'hb0 + ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h60 + ipv6_rout[0] : : 242 : options : (Total Len = 22) ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_rout[0] : 0 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - ipv6_rout[0] : 16 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 + ipv6_rout[0] : 0 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + ipv6_rout[0] : 16 : 91 88 bb 49 7c 04 ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_frag[0] : [2176 : 2183] : 272 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_frag[0] : [2184 : 2191] : 273 : hdr_ext_len : 8'h0 - ipv6_frag[0] : [2192 : 2204] : 274 : frag_offset : 13'h163 - ipv6_frag[0] : [2205 : 2206] : 275 : frag_rsvd : 2'h0 - ipv6_frag[0] : [2207 : 2207] : 275 : M : 1'h1 - ipv6_frag[0] : [2208 : 2239] : 276 : identification : 32'ha0db43e - ipv6_opts[1] : [2240 : 2247] : 280 : protocol : 8'h2 (IGMP) - ipv6_opts[1] : [2248 : 2255] : 281 : hdr_ext_len : 8'h0 - ipv6_opts[1] : : 282 : options : (Total Len = 6) + ipv6_frag[0] : [2112 : 2119] : 264 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [2120 : 2127] : 265 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [2128 : 2140] : 266 : frag_offset : 13'h1083 + ipv6_frag[0] : [2141 : 2142] : 267 : frag_rsvd : 2'h0 + ipv6_frag[0] : [2143 : 2143] : 267 : M : 1'h1 + ipv6_frag[0] : [2144 : 2175] : 268 : identification : 32'h7ac8ab3a + ipv6_opts[1] : [2176 : 2183] : 272 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [2184 : 2191] : 273 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 274 : options : (Total Len = 14) ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - ipv6_opts[1] : 0 : 76 b5 3f e4 c2 c1 + ipv6_opts[1] : 0 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h11 - igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'hfa + igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h16 + igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'he2 igmp[0] : [2320 : 2335] : 290 : checksum : 16'h0 (GOOD) - igmp[0] : [2336 : 2351] : 292 : group_addr : 16'h6b31756d - data[0] : data_len : 2 (data => a8 7a) + igmp[0] : [2336 : 2367] : 292 : group_addr : 32'hd7e0545f + data[0] : data_len : 1 (data => 4d) + toh : pad_len : 0 + toh : [2376 : 2407] : 297 : crc32 : 32'h27536d2b (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 41 be 3a c2 bb c1 03 89 | 4d 0f 08 18 86 dd 6f 09 + pkt_lib : 16 : 41 e2 00 f1 00 d2 59 66 | cb ed 95 59 d8 b2 de f2 + pkt_lib : 32 : 29 e7 2f bd 29 ad 7c 4b | b9 75 a6 f6 a2 96 e3 be + pkt_lib : 48 : 9f 8a f8 2b 1a f7 3c 15 | 55 45 ab ee b3 64 4e 0d + pkt_lib : 64 : 8a ce 5c 51 35 90 93 9d | 0a bd c6 c8 3b 47 d3 e1 + pkt_lib : 80 : b7 d7 54 4a b8 81 84 c6 | 39 4c f0 64 47 c8 78 a3 + pkt_lib : 96 : c5 4b 86 ec fa 87 b2 f0 | da 84 ac 8d ef f2 fc 47 + pkt_lib : 112 : 10 33 cd ca 84 18 61 53 | 14 d6 3b a5 54 fc 29 75 + pkt_lib : 128 : 26 fe d5 72 74 aa fb 4a | a6 e8 16 86 a3 a1 41 67 + pkt_lib : 144 : 7d b3 b9 bd 5b 16 04 db | 83 83 4c 1a f6 da c0 63 + pkt_lib : 160 : 27 cf 56 ed 5c ef fa 57 | eb aa fc 47 ef 12 92 bd + pkt_lib : 176 : 93 da 33 a7 95 cc 16 0b | 08 eb f9 2c 2c c8 9f 7b + pkt_lib : 192 : 4c b3 1c b7 c5 85 eb 0b | 12 e2 8d bb 66 a7 c4 80 + pkt_lib : 208 : be 8e 50 b7 3c 69 f2 07 | f6 ef 64 99 6c 13 2f 53 + pkt_lib : 224 : fc a0 63 77 0b 5f 2b 00 | 2c 1a 8e 50 a4 39 2c 02 + pkt_lib : 240 : b0 60 c6 30 f2 d5 f6 41 | 7e 23 95 61 cd 2c 16 78 + pkt_lib : 256 : 91 88 bb 49 7c 04 3c 00 | 84 19 7a c8 ab 3a 02 01 + pkt_lib : 272 : 7c 7d 5b 8f f7 3f 61 87 | 05 60 d8 2f 56 3c 16 e2 + pkt_lib : 288 : 00 00 d7 e0 54 5f 4d 27 | 53 6d 2b + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 299) + +0 : INFO : TEST : Compare Pkt 16 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 299 Exp => 299 + + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 270 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 271 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 272 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 273 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 274 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 275 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 276 does not exist, 270 items are in the dynamic array. + pkt [start_offset + a_ls] = array_8[a_ls]; + | +xmsim: *W,RTSDAD (./pktlib_array_class.sv,78|10): SystemVerilog dynamic array index 277 does not exist, 270 items are in the dynamic array. +0 : INFO : TEST : Pack Pkt 17 + cfg_hdr : {eth[0], macsec[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 274 + toh : chop_plen_to : 0 + eth[0] : [ 0 : 47] : 0 : da : 48'heb03a6f43f61 + eth[0] : [ 48 : 95] : 6 : sa : 48'hb63d7a1fe47a + eth[0] : [ 96 : 111] : 12 : etype : 16'h88e5 (MACSEC) + macsec[0] : [ 112 : 117] : 14 : tci : 6'hb (=> V 0 ES 0 SC 1 SCB 0 E 1 C 1) + macsec[0] : [ 118 : 119] : 14 : an : 2'h0 + macsec[0] : [ 120 : 127] : 15 : sl : 8'd0 + macsec[0] : [ 128 : 159] : 16 : pn : 32'hfa4e629 + macsec[0] : [ 160 : 223] : 20 : sci : 64'h52881b86592afcee + macsec[0] : [ 224 : 239] : 28 : etype : 16'h86dd (IPV6) + macsec[0] : ~~~~~~~~~~ Encryption Related ~~~~~ + macsec[0] : auth_st : 0 + macsec[0] : auth_sz : 28 + macsec[0] : auth_adjust : 8'd0 + macsec[0] : enc_en : 1 + macsec[0] : enc_sz : 226 + macsec[0] : implict_sci : 64'h0 + macsec[0] : scb_port : 16'h0 + macsec[0] : default_port : 16'h1 + macsec[0] : key : 128'h0 + macsec[0] : final_sci : 64'h52881b86592afcee + macsec[0] : icv : (Total Len = 16) + macsec[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + macsec[0] : 0 : c3 bf 24 49 bf a2 de 14 | 4f 11 e7 05 79 60 a7 26 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 + ipv6[0] : [ 244 : 251] : 30 : tos : 8'h61 + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h93448 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'hb8 + ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h0 (IPV6 HOPOPT) + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h6c + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'hf7c4a3fb4d47e1ddbb01088c5eeaa37c + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'he0196e09a06e5a6301cd9a11689e8853 + ipv6_hopopts[0] : [ 560 : 567] : 70 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_hopopts[0] : [ 568 : 575] : 71 : hdr_ext_len : 8'h1 + ipv6_hopopts[0] : : 72 : options : (Total Len = 14) + ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_hopopts[0] : 0 : 24 57 c1 10 46 b2 c8 e3 | 64 5b 1f 1b d0 13 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : [ 688 : 695] : 86 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [ 696 : 703] : 87 : hdr_ext_len : 8'h5 + ipv6_opts[0] : : 88 : options : (Total Len = 46) + ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : 0 : 91 14 62 4f 8b 45 ee 5a | 94 ac 1c 34 3f 46 9e 8f + ipv6_opts[0] : 16 : 3f 8e 75 7a 5a 90 7d 69 | 64 4f 58 6d 92 39 7d 04 + ipv6_opts[0] : 32 : 29 eb 47 b7 0c 2f 54 55 | e3 50 81 32 de a6 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : [1072 : 1079] : 134 : protocol : 8'h2c (IPV^ FRAG) + ipv6_rout[0] : [1080 : 1087] : 135 : hdr_ext_len : 8'h9 + ipv6_rout[0] : [1088 : 1095] : 136 : routing_type : 8'hcf + ipv6_rout[0] : [1096 : 1103] : 137 : seg_left : 8'h46 + ipv6_rout[0] : : 138 : options : (Total Len = 78) + ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : 0 : cf 46 ad e2 e5 15 d2 0c | 0b 1a eb b4 1d 83 2a 71 + ipv6_rout[0] : 16 : 2d 6e 2a 9b 6b 35 6d 3f | 3b c2 c5 2f 10 0c ca c6 + ipv6_rout[0] : 32 : d3 97 94 3c c6 18 5f cc | 55 8c cf 91 bd 25 93 f6 + ipv6_rout[0] : 48 : e3 4b 6f 30 91 75 5b b6 | ab 27 d6 6b 29 2b e7 07 + ipv6_rout[0] : 64 : 04 85 d0 3d b3 9c 7d 6c | 4f 50 6f 8b 42 f5 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_frag[0] : [1728 : 1735] : 216 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [1736 : 1743] : 217 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [1744 : 1756] : 218 : frag_offset : 13'h161c + ipv6_frag[0] : [1757 : 1758] : 219 : frag_rsvd : 2'h0 + ipv6_frag[0] : [1759 : 1759] : 219 : M : 1'h1 + ipv6_frag[0] : [1760 : 1791] : 220 : identification : 32'he1683ed8 + ipv6_opts[1] : [1792 : 1799] : 224 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [1800 : 1807] : 225 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 226 : options : (Total Len = 14) + ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[1] : 0 : 5f 9a de be 9c de 97 0a | a3 22 5e 27 c9 f1 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + igmp[0] : [1920 : 1927] : 240 : igmp_type : 8'h22 + igmp[0] : [1928 : 1935] : 241 : max_res_code : 8'h89 + igmp[0] : [1936 : 1951] : 242 : checksum : 16'h785a (GOOD) + igmp[0] : [1952 : 1983] : 244 : group_addr : 32'h8c8403c5 + data[0] : data_len : 8 (data => a8 dd 97 c0 ..) + toh : pad_len : 0 + toh : [2048 : 2079] : 256 : crc32 : 32'h79e0ba16 (GOOD) + + pkt_lib : ~~~~~~~ Original Packet as per cfg_hdr ~~~~~~~ + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : eb 03 a6 f4 3f 61 b6 3d | 7a 1f e4 7a 88 e5 2c 00 + pkt_lib : 16 : 0f a4 e6 29 52 88 1b 86 | 59 2a fc ee 86 dd 66 19 + pkt_lib : 32 : 34 48 00 b8 00 6c f7 c4 | a3 fb 4d 47 e1 dd bb 01 + pkt_lib : 48 : 08 8c 5e ea a3 7c e0 19 | 6e 09 a0 6e 5a 63 01 cd + pkt_lib : 64 : 9a 11 68 9e 88 53 3c 01 | 24 57 c1 10 46 b2 c8 e3 + pkt_lib : 80 : 64 5b 1f 1b d0 13 2b 05 | 91 14 62 4f 8b 45 ee 5a + pkt_lib : 96 : 94 ac 1c 34 3f 46 9e 8f | 3f 8e 75 7a 5a 90 7d 69 + pkt_lib : 112 : 64 4f 58 6d 92 39 7d 04 | 29 eb 47 b7 0c 2f 54 55 + pkt_lib : 128 : e3 50 81 32 de a6 2c 09 | cf 46 ad e2 e5 15 d2 0c + pkt_lib : 144 : 0b 1a eb b4 1d 83 2a 71 | 2d 6e 2a 9b 6b 35 6d 3f + pkt_lib : 160 : 3b c2 c5 2f 10 0c ca c6 | d3 97 94 3c c6 18 5f cc + pkt_lib : 176 : 55 8c cf 91 bd 25 93 f6 | e3 4b 6f 30 91 75 5b b6 + pkt_lib : 192 : ab 27 d6 6b 29 2b e7 07 | 04 85 d0 3d b3 9c 7d 6c + pkt_lib : 208 : 4f 50 6f 8b 42 f5 3c 00 | b0 e1 e1 68 3e d8 02 01 + pkt_lib : 224 : 5f 9a de be 9c de 97 0a | a3 22 5e 27 c9 f1 22 89 + pkt_lib : 240 : 00 00 8c 84 03 c5 a8 dd | 97 c0 5c 82 37 b2 00 00 + pkt_lib : 256 : 00 00 00 00 00 00 00 00 | 00 00 00 00 00 00 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 270) + + pkt_lib : ~~~~~~~ Pkt after Modification/Encryption ~~~~~ + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : eb 03 a6 f4 3f 61 b6 3d | 7a 1f e4 7a 88 e5 2c 00 + pkt_lib : 16 : 0f a4 e6 29 52 88 1b 86 | 59 2a fc ee be 5b 4a c1 + pkt_lib : 32 : 10 bf 91 f0 ff 3e db 65 | 17 cf 80 d0 b0 4c 14 a9 + pkt_lib : 48 : ae 3d 8e e3 d8 0b 2c e8 | 46 83 37 51 41 68 9f 73 + pkt_lib : 64 : 81 f4 60 d1 f4 f7 fb f5 | be 1d 23 33 25 46 80 62 + pkt_lib : 80 : 38 14 f7 17 f7 58 80 f4 | cb b5 51 20 ac e9 6b 9c + pkt_lib : 96 : 60 2d ff 70 63 53 13 50 | b0 8f 94 50 82 2f e6 04 + pkt_lib : 112 : 3c 31 8d 32 b1 01 62 b8 | 67 77 c8 36 8d 04 29 9e + pkt_lib : 128 : 51 fa 6e 86 c4 52 7a fa | b1 70 3b 05 f1 bc 63 e1 + pkt_lib : 144 : 34 d5 65 d5 4e b7 a6 3c | 76 22 4c 95 90 22 9c 0a + pkt_lib : 160 : 03 f8 77 ec 9d 21 54 bd | 5f f7 98 fd 91 21 71 ec + pkt_lib : 176 : 0b d7 bd 35 fd da 53 d9 | 3f 51 19 ad f0 54 62 ff + pkt_lib : 192 : 3a 59 8d a3 f3 4c 94 cd | 05 8c a1 29 d6 8b 60 ab + pkt_lib : 208 : 1e a6 0c 07 95 37 1a b6 | b0 1d cd 5e 9b 5a c5 49 + pkt_lib : 224 : e8 23 fa 3a f4 f0 1f 5f | 95 fa 65 d7 ec 80 7b 5a + pkt_lib : 240 : ef ac c3 d0 00 4e bd c5 | 87 2b 8f d1 8c ce c3 bf + pkt_lib : 256 : 24 49 bf a2 de 14 4f 11 | e7 05 79 60 a7 26 79 e0 + pkt_lib : 272 : ba 16 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 274) + +0 : INFO : TEST : Unpack Pkt 17 + cfg_hdr : {eth[0], macsec[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 274 + toh : chop_plen_to : 0 + eth[0] : [ 0 : 47] : 0 : da : 48'heb03a6f43f61 + eth[0] : [ 48 : 95] : 6 : sa : 48'hb63d7a1fe47a + eth[0] : [ 96 : 111] : 12 : etype : 16'h88e5 (MACSEC) + macsec[0] : [ 112 : 117] : 14 : tci : 6'hb (=> V 0 ES 0 SC 1 SCB 0 E 1 C 1) + macsec[0] : [ 118 : 119] : 14 : an : 2'h0 + macsec[0] : [ 120 : 127] : 15 : sl : 8'd0 + macsec[0] : [ 128 : 159] : 16 : pn : 32'hfa4e629 + macsec[0] : [ 160 : 223] : 20 : sci : 64'h52881b86592afcee + macsec[0] : [ 224 : 239] : 28 : etype : 16'h86dd (IPV6) + macsec[0] : ~~~~~~~~~~ Encryption Related ~~~~~ + macsec[0] : auth_st : 0 + macsec[0] : auth_sz : 28 + macsec[0] : auth_adjust : 8'd0 + macsec[0] : enc_en : 1 + macsec[0] : enc_sz : 226 + macsec[0] : implict_sci : 64'h0 + macsec[0] : scb_port : 16'h0 + macsec[0] : default_port : 16'h1 + macsec[0] : key : 128'h0 + macsec[0] : final_sci : 64'h52881b86592afcee + macsec[0] : icv : (Total Len = 16) + macsec[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + macsec[0] : 0 : c3 bf 24 49 bf a2 de 14 | 4f 11 e7 05 79 60 a7 26 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 + ipv6[0] : [ 244 : 251] : 30 : tos : 8'h61 + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h93448 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'hb8 + ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h0 (IPV6 HOPOPT) + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h6c + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'hf7c4a3fb4d47e1ddbb01088c5eeaa37c + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'he0196e09a06e5a6301cd9a11689e8853 + ipv6_hopopts[0] : [ 560 : 567] : 70 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_hopopts[0] : [ 568 : 575] : 71 : hdr_ext_len : 8'h1 + ipv6_hopopts[0] : : 72 : options : (Total Len = 14) + ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_hopopts[0] : 0 : 24 57 c1 10 46 b2 c8 e3 | 64 5b 1f 1b d0 13 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : [ 688 : 695] : 86 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [ 696 : 703] : 87 : hdr_ext_len : 8'h5 + ipv6_opts[0] : : 88 : options : (Total Len = 46) + ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : 0 : 91 14 62 4f 8b 45 ee 5a | 94 ac 1c 34 3f 46 9e 8f + ipv6_opts[0] : 16 : 3f 8e 75 7a 5a 90 7d 69 | 64 4f 58 6d 92 39 7d 04 + ipv6_opts[0] : 32 : 29 eb 47 b7 0c 2f 54 55 | e3 50 81 32 de a6 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : [1072 : 1079] : 134 : protocol : 8'h2c (IPV^ FRAG) + ipv6_rout[0] : [1080 : 1087] : 135 : hdr_ext_len : 8'h9 + ipv6_rout[0] : [1088 : 1095] : 136 : routing_type : 8'hcf + ipv6_rout[0] : [1096 : 1103] : 137 : seg_left : 8'h46 + ipv6_rout[0] : : 138 : options : (Total Len = 78) + ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : 0 : cf 46 ad e2 e5 15 d2 0c | 0b 1a eb b4 1d 83 2a 71 + ipv6_rout[0] : 16 : 2d 6e 2a 9b 6b 35 6d 3f | 3b c2 c5 2f 10 0c ca c6 + ipv6_rout[0] : 32 : d3 97 94 3c c6 18 5f cc | 55 8c cf 91 bd 25 93 f6 + ipv6_rout[0] : 48 : e3 4b 6f 30 91 75 5b b6 | ab 27 d6 6b 29 2b e7 07 + ipv6_rout[0] : 64 : 04 85 d0 3d b3 9c 7d 6c | 4f 50 6f 8b 42 f5 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_frag[0] : [1728 : 1735] : 216 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [1736 : 1743] : 217 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [1744 : 1756] : 218 : frag_offset : 13'h161c + ipv6_frag[0] : [1757 : 1758] : 219 : frag_rsvd : 2'h0 + ipv6_frag[0] : [1759 : 1759] : 219 : M : 1'h1 + ipv6_frag[0] : [1760 : 1791] : 220 : identification : 32'he1683ed8 + ipv6_opts[1] : [1792 : 1799] : 224 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [1800 : 1807] : 225 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 226 : options : (Total Len = 14) + ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[1] : 0 : 5f 9a de be 9c de 97 0a | a3 22 5e 27 c9 f1 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + igmp[0] : [1920 : 1927] : 240 : igmp_type : 8'h22 + igmp[0] : [1928 : 1935] : 241 : max_res_code : 8'h89 + igmp[0] : [1936 : 1951] : 242 : checksum : 16'h0 (GOOD) + igmp[0] : [1952 : 1983] : 244 : group_addr : 32'h8c8403c5 + data[0] : data_len : 4 (data => a8 dd 97 c0 ) + toh : pad_len : 0 + toh : [2016 : 2047] : 252 : crc32 : 32'h5c8237b2 (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : eb 03 a6 f4 3f 61 b6 3d | 7a 1f e4 7a 88 e5 2c 00 + pkt_lib : 16 : 0f a4 e6 29 52 88 1b 86 | 59 2a fc ee be 5b 4a c1 + pkt_lib : 32 : 10 bf 91 f0 ff 3e db 65 | 17 cf 80 d0 b0 4c 14 a9 + pkt_lib : 48 : ae 3d 8e e3 d8 0b 2c e8 | 46 83 37 51 41 68 9f 73 + pkt_lib : 64 : 81 f4 60 d1 f4 f7 fb f5 | be 1d 23 33 25 46 80 62 + pkt_lib : 80 : 38 14 f7 17 f7 58 80 f4 | cb b5 51 20 ac e9 6b 9c + pkt_lib : 96 : 60 2d ff 70 63 53 13 50 | b0 8f 94 50 82 2f e6 04 + pkt_lib : 112 : 3c 31 8d 32 b1 01 62 b8 | 67 77 c8 36 8d 04 29 9e + pkt_lib : 128 : 51 fa 6e 86 c4 52 7a fa | b1 70 3b 05 f1 bc 63 e1 + pkt_lib : 144 : 34 d5 65 d5 4e b7 a6 3c | 76 22 4c 95 90 22 9c 0a + pkt_lib : 160 : 03 f8 77 ec 9d 21 54 bd | 5f f7 98 fd 91 21 71 ec + pkt_lib : 176 : 0b d7 bd 35 fd da 53 d9 | 3f 51 19 ad f0 54 62 ff + pkt_lib : 192 : 3a 59 8d a3 f3 4c 94 cd | 05 8c a1 29 d6 8b 60 ab + pkt_lib : 208 : 1e a6 0c 07 95 37 1a b6 | b0 1d cd 5e 9b 5a c5 49 + pkt_lib : 224 : e8 23 fa 3a f4 f0 1f 5f | 95 fa 65 d7 ec 80 7b 5a + pkt_lib : 240 : ef ac c3 d0 00 4e bd c5 | 87 2b 8f d1 8c ce c3 bf + pkt_lib : 256 : 24 49 bf a2 de 14 4f 11 | e7 05 79 60 a7 26 79 e0 + pkt_lib : 272 : ba 16 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 274) + +0 : INFO : TEST : Copy Pkt 17 + cfg_hdr : {eth[0], macsec[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} (IEEE802) + toh : plen : 274 + toh : chop_plen_to : 0 + eth[0] : [ 0 : 47] : 0 : da : 48'heb03a6f43f61 + eth[0] : [ 48 : 95] : 6 : sa : 48'hb63d7a1fe47a + eth[0] : [ 96 : 111] : 12 : etype : 16'h88e5 (MACSEC) + macsec[0] : [ 112 : 117] : 14 : tci : 6'hb (=> V 0 ES 0 SC 1 SCB 0 E 1 C 1) + macsec[0] : [ 118 : 119] : 14 : an : 2'h0 + macsec[0] : [ 120 : 127] : 15 : sl : 8'd0 + macsec[0] : [ 128 : 159] : 16 : pn : 32'hfa4e629 + macsec[0] : [ 160 : 223] : 20 : sci : 64'h52881b86592afcee + macsec[0] : [ 224 : 239] : 28 : etype : 16'h86dd (IPV6) + macsec[0] : ~~~~~~~~~~ Encryption Related ~~~~~ + macsec[0] : auth_st : 0 + macsec[0] : auth_sz : 28 + macsec[0] : auth_adjust : 8'd0 + macsec[0] : enc_en : 1 + macsec[0] : enc_sz : 226 + macsec[0] : implict_sci : 64'h0 + macsec[0] : scb_port : 16'h0 + macsec[0] : default_port : 16'h1 + macsec[0] : key : 128'h0 + macsec[0] : final_sci : 64'h52881b86592afcee + macsec[0] : icv : (Total Len = 16) + macsec[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + macsec[0] : 0 : c3 bf 24 49 bf a2 de 14 | 4f 11 e7 05 79 60 a7 26 + macsec[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6[0] : [ 240 : 243] : 30 : version : 4'h6 + ipv6[0] : [ 244 : 251] : 30 : tos : 8'h61 + ipv6[0] : [ 252 : 271] : 31 : flow_label : 20'h93448 + ipv6[0] : [ 272 : 287] : 34 : payload_len : 16'hb8 + ipv6[0] : [ 288 : 295] : 36 : protocol : 8'h0 (IPV6 HOPOPT) + ipv6[0] : [ 296 : 303] : 37 : ttl : 8'h6c + ipv6[0] : [ 304 : 431] : 38 : ip6_sa : 128'hf7c4a3fb4d47e1ddbb01088c5eeaa37c + ipv6[0] : [ 432 : 559] : 54 : ip6_da : 128'he0196e09a06e5a6301cd9a11689e8853 + ipv6_hopopts[0] : [ 560 : 567] : 70 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_hopopts[0] : [ 568 : 575] : 71 : hdr_ext_len : 8'h1 + ipv6_hopopts[0] : : 72 : options : (Total Len = 14) + ipv6_hopopts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_hopopts[0] : 0 : 24 57 c1 10 46 b2 c8 e3 | 64 5b 1f 1b d0 13 + ipv6_hopopts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : [ 688 : 695] : 86 : protocol : 8'h2b (IPV6 ROUT) + ipv6_opts[0] : [ 696 : 703] : 87 : hdr_ext_len : 8'h5 + ipv6_opts[0] : : 88 : options : (Total Len = 46) + ipv6_opts[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[0] : 0 : 91 14 62 4f 8b 45 ee 5a | 94 ac 1c 34 3f 46 9e 8f + ipv6_opts[0] : 16 : 3f 8e 75 7a 5a 90 7d 69 | 64 4f 58 6d 92 39 7d 04 + ipv6_opts[0] : 32 : 29 eb 47 b7 0c 2f 54 55 | e3 50 81 32 de a6 + ipv6_opts[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : [1072 : 1079] : 134 : protocol : 8'h2c (IPV^ FRAG) + ipv6_rout[0] : [1080 : 1087] : 135 : hdr_ext_len : 8'h9 + ipv6_rout[0] : [1088 : 1095] : 136 : routing_type : 8'hcf + ipv6_rout[0] : [1096 : 1103] : 137 : seg_left : 8'h46 + ipv6_rout[0] : : 138 : options : (Total Len = 78) + ipv6_rout[0] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_rout[0] : 0 : cf 46 ad e2 e5 15 d2 0c | 0b 1a eb b4 1d 83 2a 71 + ipv6_rout[0] : 16 : 2d 6e 2a 9b 6b 35 6d 3f | 3b c2 c5 2f 10 0c ca c6 + ipv6_rout[0] : 32 : d3 97 94 3c c6 18 5f cc | 55 8c cf 91 bd 25 93 f6 + ipv6_rout[0] : 48 : e3 4b 6f 30 91 75 5b b6 | ab 27 d6 6b 29 2b e7 07 + ipv6_rout[0] : 64 : 04 85 d0 3d b3 9c 7d 6c | 4f 50 6f 8b 42 f5 + ipv6_rout[0] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_frag[0] : [1728 : 1735] : 216 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) + ipv6_frag[0] : [1736 : 1743] : 217 : hdr_ext_len : 8'h0 + ipv6_frag[0] : [1744 : 1756] : 218 : frag_offset : 13'h161c + ipv6_frag[0] : [1757 : 1758] : 219 : frag_rsvd : 2'h0 + ipv6_frag[0] : [1759 : 1759] : 219 : M : 1'h1 + ipv6_frag[0] : [1760 : 1791] : 220 : identification : 32'he1683ed8 + ipv6_opts[1] : [1792 : 1799] : 224 : protocol : 8'h2 (IGMP) + ipv6_opts[1] : [1800 : 1807] : 225 : hdr_ext_len : 8'h1 + ipv6_opts[1] : : 226 : options : (Total Len = 14) + ipv6_opts[1] : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + ipv6_opts[1] : 0 : 5f 9a de be 9c de 97 0a | a3 22 5e 27 c9 f1 + ipv6_opts[1] : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + igmp[0] : [1920 : 1927] : 240 : igmp_type : 8'h22 + igmp[0] : [1928 : 1935] : 241 : max_res_code : 8'h89 + igmp[0] : [1936 : 1951] : 242 : checksum : 16'h0 (GOOD) + igmp[0] : [1952 : 1983] : 244 : group_addr : 32'h8c8403c5 + data[0] : data_len : 4 (data => a8 dd 97 c0 ) toh : pad_len : 0 - toh : [2368 : 2399] : 296 : crc : 32'h6449a05f (GOOD) + toh : [2016 : 2047] : 252 : crc32 : 32'h5c8237b2 (GOOD) pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : 0 : ed b3 c0 6c 36 ec 7f c6 | b1 a5 c5 fe 86 dd 6e 2c - pkt_lib : 16 : 66 63 00 f2 00 d2 95 68 | 37 18 e7 f4 10 01 bd e2 - pkt_lib : 32 : c5 37 d9 61 41 5d e8 fe | 95 21 3a fd b2 91 4f e5 - pkt_lib : 48 : 4b 04 5c 10 2f 55 3c 0e | 5b 36 85 e8 d0 63 64 ed - pkt_lib : 64 : 84 9d 9a dc ee b1 ad c1 | 90 1f 7a 23 f8 2f e5 99 - pkt_lib : 80 : 33 34 46 5e fc 3f 78 eb | 1d e0 4e 2a 05 e0 48 20 - pkt_lib : 96 : 2f 7a 56 40 72 34 f7 ed | be 8b ad 0e 77 5b ea f7 - pkt_lib : 112 : b1 9c 11 64 a0 3c 9a a2 | ac 5f 82 5c f9 4b 45 e1 - pkt_lib : 128 : 58 f4 f7 5c 22 dd a1 22 | e7 b1 6c 9d d2 7a e1 0f - pkt_lib : 144 : 3d 86 be ae ea b4 e0 7d | e0 b5 cb 65 6d 5f 5b 02 - pkt_lib : 160 : ef 9a a9 92 cd 7f 16 3a | 3e 4a 3d 27 1c 28 2b 07 - pkt_lib : 176 : c0 cc 46 ba 87 7b 32 7b | be 06 6e 4c 71 98 1d 2a - pkt_lib : 192 : 90 c4 24 12 de db d1 74 | cd cf 1a e3 ca 23 65 c9 - pkt_lib : 208 : 5e f6 20 35 70 21 cf 44 | 9e 19 43 76 9b c4 4c 9c - pkt_lib : 224 : 43 f2 58 cc 02 93 2a ec | 2c b8 80 ee 68 da 2c 03 - pkt_lib : 240 : 1b 7c 09 8e a3 b7 6d b2 | 55 da 46 4f 9d 5c 90 f2 - pkt_lib : 256 : 77 85 55 85 e8 59 ea dc | ee 59 f1 d5 7e 07 3c 00 - pkt_lib : 272 : 0b 19 0a 0d b4 3e 02 00 | 76 b5 3f e4 c2 c1 11 fa - pkt_lib : 288 : 00 00 6b 31 75 6d a8 7a | 64 49 a0 5f + pkt_lib : 0 : eb 03 a6 f4 3f 61 b6 3d | 7a 1f e4 7a 88 e5 2c 00 + pkt_lib : 16 : 0f a4 e6 29 52 88 1b 86 | 59 2a fc ee be 5b 4a c1 + pkt_lib : 32 : 10 bf 91 f0 ff 3e db 65 | 17 cf 80 d0 b0 4c 14 a9 + pkt_lib : 48 : ae 3d 8e e3 d8 0b 2c e8 | 46 83 37 51 41 68 9f 73 + pkt_lib : 64 : 81 f4 60 d1 f4 f7 fb f5 | be 1d 23 33 25 46 80 62 + pkt_lib : 80 : 38 14 f7 17 f7 58 80 f4 | cb b5 51 20 ac e9 6b 9c + pkt_lib : 96 : 60 2d ff 70 63 53 13 50 | b0 8f 94 50 82 2f e6 04 + pkt_lib : 112 : 3c 31 8d 32 b1 01 62 b8 | 67 77 c8 36 8d 04 29 9e + pkt_lib : 128 : 51 fa 6e 86 c4 52 7a fa | b1 70 3b 05 f1 bc 63 e1 + pkt_lib : 144 : 34 d5 65 d5 4e b7 a6 3c | 76 22 4c 95 90 22 9c 0a + pkt_lib : 160 : 03 f8 77 ec 9d 21 54 bd | 5f f7 98 fd 91 21 71 ec + pkt_lib : 176 : 0b d7 bd 35 fd da 53 d9 | 3f 51 19 ad f0 54 62 ff + pkt_lib : 192 : 3a 59 8d a3 f3 4c 94 cd | 05 8c a1 29 d6 8b 60 ab + pkt_lib : 208 : 1e a6 0c 07 95 37 1a b6 | b0 1d cd 5e 9b 5a c5 49 + pkt_lib : 224 : e8 23 fa 3a f4 f0 1f 5f | 95 fa 65 d7 ec 80 7b 5a + pkt_lib : 240 : ef ac c3 d0 00 4e bd c5 | 87 2b 8f d1 8c ce c3 bf + pkt_lib : 256 : 24 49 bf a2 de 14 4f 11 | e7 05 79 60 a7 26 79 e0 + pkt_lib : 272 : ba 16 pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ - pkt_lib : (Total Len = 300) + pkt_lib : (Total Len = 274) 0 : INFO : TEST : Compare Pkt 17 - cmp_hdr : {eth[0], ipv6[0], ipv6_hopopts[0], ipv6_opts[0], ipv6_rout[0], ipv6_frag[0], ipv6_opts[1], igmp[0], data[0]} - toh : plen : 300 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 274 Exp => 274 + +0 : INFO : TEST : Pack Pkt 18 + cfg_hdr : {fc[0], data[0]} (FC) + toh : plen : 36 toh : chop_plen_to : 0 - eth[0] : [ 0 : 47] : 0 : da : 48'hedb3c06c36ec - eth[0] : [ 48 : 95] : 6 : sa : 48'h7fc6b1a5c5fe - eth[0] : [ 96 : 111] : 12 : etype : 16'h86dd (IPV6) - ipv6[0] : [ 112 : 115] : 14 : version : 4'h6 - ipv6[0] : [ 116 : 123] : 14 : tos : 8'he2 - ipv6[0] : [ 124 : 143] : 15 : flow_label : 20'hc6663 - ipv6[0] : [ 144 : 159] : 18 : payload_len : 16'hf2 - ipv6[0] : [ 160 : 167] : 20 : protocol : 8'h0 (IPV6 HOPOPT) - ipv6[0] : [ 168 : 175] : 21 : ttl : 8'hd2 - ipv6[0] : [ 176 : 303] : 22 : ip6_sa : 128'h95683718e7f41001bde2c537d961415d - ipv6[0] : [ 304 : 431] : 38 : ip6_da : 128'he8fe95213afdb2914fe54b045c102f55 - ipv6_hopopts[0] : [ 432 : 439] : 54 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_hopopts[0] : [ 440 : 447] : 55 : hdr_ext_len : 8'he - ipv6_hopopts[0] : options : Matched :-) Length Rcv => 118 Exp => 118 - ipv6_opts[0] : [1392 : 1399] : 174 : protocol : 8'h2b (IPV6 ROUT) - ipv6_opts[0] : [1400 : 1407] : 175 : hdr_ext_len : 8'h7 - ipv6_opts[0] : options : Matched :-) Length Rcv => 62 Exp => 62 - ipv6_rout[0] : [1904 : 1911] : 238 : protocol : 8'h2c (IPV^ FRAG) - ipv6_rout[0] : [1912 : 1919] : 239 : hdr_ext_len : 8'h3 - ipv6_rout[0] : [1920 : 1927] : 240 : routing_type : 8'h1b - ipv6_rout[0] : [1928 : 1935] : 241 : seg_left : 8'h7c - ipv6_rout[0] : options : Matched :-) Length Rcv => 30 Exp => 30 - ipv6_frag[0] : [2176 : 2183] : 272 : protocol : 8'h3c (IPV6 DESTINATION OPTIONS) - ipv6_frag[0] : [2184 : 2191] : 273 : hdr_ext_len : 8'h0 - ipv6_frag[0] : [2192 : 2204] : 274 : frag_offset : 13'h163 - ipv6_frag[0] : [2205 : 2206] : 275 : frag_rsvd : 2'h0 - ipv6_frag[0] : [2207 : 2207] : 275 : M : 1'h1 - ipv6_frag[0] : [2208 : 2239] : 276 : identification : 32'ha0db43e - ipv6_opts[1] : [2240 : 2247] : 280 : protocol : 8'h2 (IGMP) - ipv6_opts[1] : [2248 : 2255] : 281 : hdr_ext_len : 8'h0 - ipv6_opts[1] : options : Matched :-) Length Rcv => 6 Exp => 6 - igmp[0] : [2304 : 2311] : 288 : igmp_type : 8'h11 - igmp[0] : [2312 : 2319] : 289 : max_res_code : 8'hfa - igmp[0] : [2320 : 2335] : 290 : checksum : 16'h0 (GOOD) - igmp[0] : [2336 : 2351] : 292 : group_addr : 16'h6b31756d - data[0] : data_len : 2 (data => a8 7a) + fc[0] : [ 0 : 7] : 0 : r_ctl : 8'hba + fc[0] : [ 8 : 31] : 1 : d_id : 24'hdb4c8e + fc[0] : [ 32 : 39] : 4 : cs_ctl_pri : 8'he3 + fc[0] : [ 40 : 63] : 5 : s_id : 24'had8920 + fc[0] : [ 64 : 71] : 8 : fc_type : 8'hb3 + fc[0] : [ 72 : 95] : 9 : f_ctl : 24'h7b6b7b + fc[0] : [ 96 : 103] : 12 : seq_id : 8'h6 + fc[0] : [ 104 : 111] : 13 : df_ctl : 8'h5c + fc[0] : [ 112 : 127] : 14 : seq_cnt : 16'h28b5 + fc[0] : [ 128 : 143] : 16 : ox_id : 16'h90db + fc[0] : [ 144 : 159] : 18 : rx_id : 16'hb75f + fc[0] : [ 160 : 191] : 20 : fc_parameter : 32'ha64c749a + data[0] : data_len : 8 (data => 63 02 26 c8 ..) + toh : pad_len : 0 + toh : [ 256 : 287] : 32 : crc32 : 32'h1417f73e (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : ba db 4c 8e e3 ad 89 20 | b3 7b 6b 7b 06 5c 28 b5 + pkt_lib : 16 : 90 db b7 5f a6 4c 74 9a | 63 02 26 c8 65 ee df d0 + pkt_lib : 32 : 14 17 f7 3e + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 36) + +0 : INFO : TEST : Unpack Pkt 18 + cfg_hdr : {fc[0], data[0]} (FC) + toh : plen : 36 + toh : chop_plen_to : 0 + fc[0] : [ 0 : 7] : 0 : r_ctl : 8'hba + fc[0] : [ 8 : 31] : 1 : d_id : 24'hdb4c8e + fc[0] : [ 32 : 39] : 4 : cs_ctl_pri : 8'he3 + fc[0] : [ 40 : 63] : 5 : s_id : 24'had8920 + fc[0] : [ 64 : 71] : 8 : fc_type : 8'hb3 + fc[0] : [ 72 : 95] : 9 : f_ctl : 24'h7b6b7b + fc[0] : [ 96 : 103] : 12 : seq_id : 8'h6 + fc[0] : [ 104 : 111] : 13 : df_ctl : 8'h5c + fc[0] : [ 112 : 127] : 14 : seq_cnt : 16'h28b5 + fc[0] : [ 128 : 143] : 16 : ox_id : 16'h90db + fc[0] : [ 144 : 159] : 18 : rx_id : 16'hb75f + fc[0] : [ 160 : 191] : 20 : fc_parameter : 32'ha64c749a + data[0] : data_len : 8 (data => 63 02 26 c8 ..) + toh : pad_len : 0 + toh : [ 256 : 287] : 32 : crc32 : 32'h1417f73e (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : ba db 4c 8e e3 ad 89 20 | b3 7b 6b 7b 06 5c 28 b5 + pkt_lib : 16 : 90 db b7 5f a6 4c 74 9a | 63 02 26 c8 65 ee df d0 + pkt_lib : 32 : 14 17 f7 3e + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 36) + +0 : INFO : TEST : Copy Pkt 18 + cfg_hdr : {fc[0], data[0]} (FC) + toh : plen : 36 + toh : chop_plen_to : 0 + fc[0] : [ 0 : 7] : 0 : r_ctl : 8'hba + fc[0] : [ 8 : 31] : 1 : d_id : 24'hdb4c8e + fc[0] : [ 32 : 39] : 4 : cs_ctl_pri : 8'he3 + fc[0] : [ 40 : 63] : 5 : s_id : 24'had8920 + fc[0] : [ 64 : 71] : 8 : fc_type : 8'hb3 + fc[0] : [ 72 : 95] : 9 : f_ctl : 24'h7b6b7b + fc[0] : [ 96 : 103] : 12 : seq_id : 8'h6 + fc[0] : [ 104 : 111] : 13 : df_ctl : 8'h5c + fc[0] : [ 112 : 127] : 14 : seq_cnt : 16'h28b5 + fc[0] : [ 128 : 143] : 16 : ox_id : 16'h90db + fc[0] : [ 144 : 159] : 18 : rx_id : 16'hb75f + fc[0] : [ 160 : 191] : 20 : fc_parameter : 32'ha64c749a + data[0] : data_len : 8 (data => 63 02 26 c8 ..) + toh : pad_len : 0 + toh : [ 256 : 287] : 32 : crc32 : 32'h1417f73e (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : ba db 4c 8e e3 ad 89 20 | b3 7b 6b 7b 06 5c 28 b5 + pkt_lib : 16 : 90 db b7 5f a6 4c 74 9a | 63 02 26 c8 65 ee df d0 + pkt_lib : 32 : 14 17 f7 3e + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 36) + +0 : INFO : TEST : Compare Pkt 18 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 36 Exp => 36 + +0 : INFO : TEST : Pack Pkt 19 + cfg_hdr : {dphy[0], data[0]} (MIPI-CSI2-DPHY) + toh : plen : 25 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h2d (UNKNOWN) + dphy[0] : [ 8 : 23] : 1 : wc : 16'h13 (GOOD) + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h2 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'hf (GOOD) + data[0] : data_len : 19 (data => d9 45 95 09 ..) + toh : pad_len : 0 + toh : [ 184 : 199] : 23 : crc16 : 16'h1495 (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 2d 00 13 8f d9 45 95 09 | d1 6d 01 ca a0 39 85 98 + pkt_lib : 16 : 85 51 5a b4 b6 4f 29 14 | 95 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 25) + +0 : INFO : TEST : Unpack Pkt 19 + cfg_hdr : {dphy[0], data[0]} (MIPI-CSI2-DPHY) + toh : plen : 25 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h2d (UNKNOWN) + dphy[0] : [ 8 : 23] : 1 : wc : 16'h13 (GOOD) + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h2 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'hf (GOOD) + data[0] : data_len : 19 (data => d9 45 95 09 ..) + toh : pad_len : 0 + toh : [ 184 : 199] : 23 : crc16 : 16'h1495 (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 2d 00 13 8f d9 45 95 09 | d1 6d 01 ca a0 39 85 98 + pkt_lib : 16 : 85 51 5a b4 b6 4f 29 14 | 95 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 25) + +0 : INFO : TEST : Copy Pkt 19 + cfg_hdr : {dphy[0], data[0]} (MIPI-CSI2-DPHY) + toh : plen : 25 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h2d (UNKNOWN) + dphy[0] : [ 8 : 23] : 1 : wc : 16'h13 (GOOD) + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h2 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'hf (GOOD) + data[0] : data_len : 19 (data => d9 45 95 09 ..) + toh : pad_len : 0 + toh : [ 184 : 199] : 23 : crc16 : 16'h1495 (GOOD) + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 2d 00 13 8f d9 45 95 09 | d1 6d 01 ca a0 39 85 98 + pkt_lib : 16 : 85 51 5a b4 b6 4f 29 14 | 95 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 25) + +0 : INFO : TEST : Compare Pkt 19 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 25 Exp => 25 + +0 : INFO : TEST : Pack Pkt 20 + cfg_hdr : {dphy[0]} (MIPI-CSI2-DPHY) + toh : plen : 4 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h6 (DPHY Short Pkt Header) + dphy[0] : [ 8 : 23] : 1 : sph : 16'hd898 + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h0 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'h2 (GOOD) + toh : pad_len : 0 + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 06 d8 98 02 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 4) + +0 : INFO : TEST : Unpack Pkt 20 + cfg_hdr : {dphy[0]} (MIPI-CSI2-DPHY) + toh : plen : 4 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h6 (DPHY Short Pkt Header) + dphy[0] : [ 8 : 23] : 1 : sph : 16'hd898 + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h0 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'h2 (GOOD) + toh : pad_len : 0 + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 06 d8 98 02 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 4) + +0 : INFO : TEST : Copy Pkt 20 + cfg_hdr : {dphy[0]} (MIPI-CSI2-DPHY) + toh : plen : 4 + toh : chop_plen_to : 0 + dphy[0] : [ 0 : 7] : 0 : di : 8'h6 (DPHY Short Pkt Header) + dphy[0] : [ 8 : 23] : 1 : sph : 16'hd898 + dphy[0] : [ 24 : 25] : 3 : vcx : 2'h0 + dphy[0] : [ 26 : 31] : 3 : ecc : 6'h2 (GOOD) toh : pad_len : 0 - toh : [2368 : 2399] : 296 : crc : 32'h6449a05f (GOOD) -0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 300 Exp => 300 - -$finish called from file "test/pktlib_test.sv", line 121. -$finish at simulation time 0 - V C S S i m u l a t i o n R e p o r t -Time: 0 -CPU Time: 2.220 seconds; Data structure size: 0.0Mb -Fri Jun 21 18:34:10 2013 -CPU time: 2.549 seconds to compile + .006 seconds to elab + .109 seconds to link + 2.299 seconds in simulation + + pkt_lib : 0 1 2 3 4 5 6 7 | 8 9 10 11 12 13 14 15 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : 0 : 06 d8 98 02 + pkt_lib : ~~~~~~~~~~~~~~~~~~~~~~~~|~~~~~~~~~~~~~~~~~~~~~~~~ + pkt_lib : (Total Len = 4) + +0 : INFO : TEST : Compare Pkt 20 +0 : INFO : pkt_lib : Pkt Compares :-) Length Rcv => 4 Exp => 4 + +Simulation complete via $finish(1) at time 0 FS + 1 +./test/pktlib_test.sv:136 $finish (); +xcelium> exit diff --git a/pktlib.vf b/pktlib.vf index 54be8b3..98c8cfa 100644 --- a/pktlib.vf +++ b/pktlib.vf @@ -18,3 +18,4 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND +incdir+hdr_db +incdir+hdr_db/include + diff --git a/pktlib_array_class.sv b/pktlib_array_class.sv index 58b7d49..2133cd6 100644 --- a/pktlib_array_class.sv +++ b/pktlib_array_class.sv @@ -150,5 +150,5 @@ class pktlib_array_class; endcase // } end // } endfunction : fill_array // } - + endclass : pktlib_array_class // } diff --git a/pktlib_crc_chksm_class.sv b/pktlib_crc_chksm_class.sv index 7393119..e3d12ab 100644 --- a/pktlib_crc_chksm_class.sv +++ b/pktlib_crc_chksm_class.sv @@ -649,4 +649,91 @@ endfunction : crc32 // } reflect = v; endfunction : reflect // } + // function to commpute ECC(32,26) -- Need to paramterized + function bit [5:0] ecc_32_26 (bit [25:0] Din, + bit [31:0] corrupt_vector = 32'h0); // { + // Flip Din if the vector bit is set + for (int i1 =0; i1 < 26; i1++) + if (corrupt_vector[i1]) + Din[i1] = ~Din[i1]; + ecc_32_26 = {Din[10]^Din[11]^Din[12]^Din[13]^Din[14]^Din[15]^Din[16]^Din[17]^Din[18]^Din[19]^Din[21]^Din[22]^Din[23]^Din[24]^Din[25], // P5 + Din[04]^Din[05]^Din[06]^Din[07]^Din[08]^Din[09]^Din[16]^Din[17]^Din[18]^Din[19]^Din[20]^Din[22]^Din[23]^Din[24]^Din[25], // P4 + Din[01]^Din[02]^Din[03]^Din[07]^Din[08]^Din[09]^Din[13]^Din[14]^Din[15]^Din[19]^Din[20]^Din[21]^Din[23]^Din[24]^Din[25], // P3 + Din[00]^Din[02]^Din[03]^Din[05]^Din[06]^Din[09]^Din[11]^Din[12]^Din[15]^Din[18]^Din[20]^Din[21]^Din[22]^Din[24]^Din[25], // P2 + Din[00]^Din[01]^Din[03]^Din[04]^Din[06]^Din[08]^Din[10]^Din[12]^Din[14]^Din[17]^Din[20]^Din[21]^Din[22]^Din[23]^Din[25], // P2 + Din[00]^Din[01]^Din[02]^Din[04]^Din[05]^Din[07]^Din[10]^Din[11]^Din[13]^Din[16]^Din[20]^Din[21]^Din[22]^Din[23]^Din[24]};// P0 + // Flip ECC bit if the vector bit is set + for (int i1 =0; i1 < 6; i1++) + if (corrupt_vector[i1+26]) + ecc_32_26[i1] = ~ecc_32_26[i1]; + endfunction : ecc_32_26 // } + + // function to check ECC(32,26) -- Need to paramterized + function bit [31:0] ecc_32_26_check (bit [5:0] ecc_snd, + bit [5:0] ecc_rcv); // { + bit [5:0] ecc_sd [26]; + bit [5:0] ecc_syndrome; + int err_pos [$]; + ecc_32_26_check = 26'd0; + ecc_sd[00] = 6'h07; + ecc_sd[01] = 6'h0B; + ecc_sd[02] = 6'h0D; + ecc_sd[03] = 6'h0E; + ecc_sd[04] = 6'h13; + ecc_sd[05] = 6'h15; + ecc_sd[06] = 6'h16; + ecc_sd[07] = 6'h19; + ecc_sd[08] = 6'h1A; + ecc_sd[09] = 6'h1C; + ecc_sd[10] = 6'h23; + ecc_sd[11] = 6'h25; + ecc_sd[12] = 6'h26; + ecc_sd[13] = 6'h29; + ecc_sd[14] = 6'h2A; + ecc_sd[15] = 6'h2C; + ecc_sd[16] = 6'h31; + ecc_sd[17] = 6'h32; + ecc_sd[18] = 6'h34; + ecc_sd[19] = 6'h38; + ecc_sd[20] = 6'h1F; + ecc_sd[21] = 6'h2F; + ecc_sd[22] = 6'h37; + ecc_sd[23] = 6'h3B; + ecc_sd[24] = 6'h3D; + ecc_sd[25] = 6'h3E; + ecc_syndrome = ecc_snd ^ ecc_rcv; + // 1. If the syndrome is 0, no errors are present - ecc_32_26_check == 26'd0; + if (ecc_syndrome == 6'd0) + ecc_32_26_check = 26'd0; + // 2. If the syndrome has only one bit set, + // then a single bit error has occurred at the parity bit located at syndrome bit position - ecc_32_26_check[26+ecc_syndrom[onehot]] = 1'b1 + else if ($countones(ecc_syndrome) === 1) + begin // { + pos_1_0 (err_pos, ecc_syndrome, 6); + ecc_32_26_check[26 + err_pos[0]] = 1'b1; + end // } + else + begin // { + err_pos = ecc_sd.find_first_index with (item == ecc_syndrome); + // 3. If the syndrome matches one of the ecc_sd value, + // then a single bit error has occurred at that position - ecc_32_26_check[bit_pos] = 1'b1; + if (err_pos.size != 0) + ecc_32_26_check[err_pos[0]] = 1'b1; + // 4. If the syndrome does not fit any of the other outcomes, + // then an uncorrectable error has occurred - ecc_32_26_check = 26'hDEAD + else + ecc_32_26_check = 26'hDEAD; + end // } + endfunction : ecc_32_26_check // } + + // function find positions of number of 1/0 in a vector + function void pos_1_0 (ref int idx_1_0 [$], // output array to strore position + input bit [`VEC_SZ-1:0] bit_vec, // bit vector to check + input int vec_sz = 32, // number of bits in vector + input bit f1_0 = 1'b1); // find for 1 or 0 { + for (int i1 =0; i1 < vec_sz; i1++) + if (bit_vec[i1] === f1_0) + idx_1_0.push_back(i1); + endfunction : pos_1_0 // } + endclass : pktlib_crc_chksm_class // } diff --git a/pktlib_include.svh b/pktlib_include.svh index 6932c9a..f9c2f23 100644 --- a/pktlib_include.svh +++ b/pktlib_include.svh @@ -100,6 +100,14 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND RND } data_types; +// ~~~~~~~~~~ enum defination for packet format ~~~~~~~~~~~~~ + enum + { + IEEE802, + FC, + MIPI_CSI2_DPHY + } pkt_formats; + // ~~~~~~~~~~ enum defination for all the headers ~~~~~~~~~~~~~ enum { @@ -147,10 +155,12 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND VXLAN_HID, // 41 FC_HID, // 42 GRH_HID, // 43 - DATA_HID, // 44 - EOH_HID, // 45 -// XXX_HID, // 46 - TOTAL_HID // 46 + DPHY_HID, // 44 + DSEC_HID, // 45 + DATA_HID, // 46 + EOH_HID, // 47 +// XXX_HID, // 48 + TOTAL_HID // 49 } hdr_id; // ~~~~~~~~~~ typedef all the classes ~~~~~~~~~~ @@ -192,6 +202,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND typedef class grh_hdr_class; typedef class bth_hdr_class; typedef class fc_hdr_class; + typedef class dphy_hdr_class; typedef class data_class; //typedef class xxx_class; typedef class eoh_class; @@ -241,6 +252,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND `include "grh_hdr_class.sv" `include "bth_hdr_class.sv" `include "fc_hdr_class.sv" + `include "dphy_hdr_class.sv" `include "data_class.sv" //`include "xxx_class.sv" `include "eoh_class.sv" @@ -291,6 +303,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND grh_hdr_class grh [`MAX_NUM_INSTS];\ bth_hdr_class bth [`MAX_NUM_INSTS];\ fc_hdr_class fc [`MAX_NUM_INSTS];\ + dphy_hdr_class dphy [`MAX_NUM_INSTS];\ data_class data [`MAX_NUM_INSTS];\ eoh_class eoh @@ -341,6 +354,7 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND grh [i] = new (this, i);\ bth [i] = new (this, i);\ fc [i] = new (this, i);\ + dphy [i] = new (this, i);\ data [i] = new (this, i);\ end\ toh = new (this);\ diff --git a/pktlib_main_class.sv b/pktlib_main_class.sv index 068a19b..b30332d 100644 --- a/pktlib_main_class.sv +++ b/pktlib_main_class.sv @@ -30,6 +30,7 @@ class pktlib_main_class extends pktlib_object_class; // { bit [7:0] pkt []; // pkt after build was done bit pkt_modified = 1'b0; // indicates wether pkt got modified in post_pack string cfg_hdr_list; + int pkt_format = IEEE802; // ~~~~~~~~~~ Contol variables for pkt driver ~~~~~~~~~~ int pid = 0; // Packet Id @@ -41,6 +42,7 @@ class pktlib_main_class extends pktlib_object_class; // { int pkt_end_time = 0; bit [31:0] pkt_crc = 0; bit [15:0] drv_ctrl = NO_ERR; + bit dsc_1 = 1'b0; bit [7:0] dsc_8 = 8'h0; bit [15:0] dsc_16 = 16'h0; bit [31:0] dsc_32 = 32'h0; @@ -63,19 +65,31 @@ class pktlib_main_class extends pktlib_object_class; // { bit push_top = 1'b1, bit push_eoh = 1'b1, bit clr_hdrq = 1'b1); // { + int pkt_format_hid; foreach (hdr[hdr_ls]) hdr_q.push_back (hdr[hdr_ls]); if (push_eoh) hdr_q.push_back (hdr_db[EOH_HID][0]); if (push_top) hdr_q.push_front (hdr_db[TOP_HID][0]); + if (hdr_q[1].hid == PTH_HID) + pkt_format_hid = 2; + else + pkt_format_hid = 1; + case (hdr_q[pkt_format_hid].hid) // { + ETH_HID : pkt_format = IEEE802; + FC_HID : pkt_format = FC; + DPHY_HID : pkt_format = MIPI_CSI2_DPHY; + default : pkt_format = IEEE802; + endcase // } foreach (hdr_q[cfg_ls]) begin // { - hdr_q[cfg_ls].prv_hdr = hdr_q[cfg_ls-1]; - hdr_q[cfg_ls].nxt_hdr = hdr_q[cfg_ls+1]; - hdr_q[cfg_ls].all_hdr = hdr_q; - hdr_q[cfg_ls].psnt = 1'b1; - hdr_q[cfg_ls].cfg_id = cfg_ls; + hdr_q[cfg_ls].prv_hdr = hdr_q[cfg_ls-1]; + hdr_q[cfg_ls].nxt_hdr = hdr_q[cfg_ls+1]; + hdr_q[cfg_ls].all_hdr = hdr_q; + hdr_q[cfg_ls].psnt = 1'b1; + hdr_q[cfg_ls].cfg_id = cfg_ls; + hdr_q[cfg_ls].pkt_format = pkt_format; end // } first_hdr = hdr_q[0]; if (clr_hdrq) @@ -138,7 +152,8 @@ class pktlib_main_class extends pktlib_object_class; // { // This task unpacks packs all the fields of each configured hdr task unpack_hdr (ref bit [7:0] ppkt [], input int mode = DUMB_UNPACK, - input hdr_class hdr [$] = {}); // { + input hdr_class hdr [$] = {}, + input int p_format = IEEE802); // { bit [7:0] copy_pkt []; int index; index = 0; @@ -150,7 +165,15 @@ class pktlib_main_class extends pktlib_object_class; // { begin // { hdr_q = {}; if (hdr.size == 0) - hdr_q.push_back (hdr_db[ETH_HID][0]); + begin // { + pkt_format = p_format; + case (p_format) // { + IEEE802 : hdr_q.push_back (hdr_db[ETH_HID][0]); + FC : hdr_q.push_back (hdr_db[FC_HID][0]); + MIPI_CSI2_DPHY : hdr_q.push_back (hdr_db[DPHY_HID][0]); + default : hdr_q.push_back (hdr_db[ETH_HID][0]); + endcase // } + end // } else hdr_q = hdr; cfg_hdr ({}, 1'b1, 1'b0, 1'b0); @@ -177,6 +200,7 @@ class pktlib_main_class extends pktlib_object_class; // { this.pkt = cpy_frm.pkt; this.pkt_modified = cpy_frm.pkt_modified; this.cfg_hdr_list = cpy_frm.cfg_hdr_list; + this.pkt_format = cpy_frm.pkt_format; this.pid = cpy_frm.pid; this.path = cpy_frm.path; this.pnum = cpy_frm.pnum; @@ -206,19 +230,17 @@ class pktlib_main_class extends pktlib_object_class; // { // This task displays cfg_hdr task display_cfg_hdr (int mode = DISPLAY, int min_hdrq_sz = 2); // { - int i; - $sformat (cfg_hdr_list, "{"); - if (first_hdr.all_hdr.size > min_hdrq_sz) + foreach (first_hdr.all_hdr[ls]) begin // { - $sformat (cfg_hdr_list,"%0s%0s,", cfg_hdr_list, first_hdr.all_hdr[1].hdr_name); - for (i = min_hdrq_sz; i < (first_hdr.all_hdr.size()-min_hdrq_sz) ; i++) - begin // { - $sformat (cfg_hdr_list,"%0s %0s,", cfg_hdr_list,first_hdr.all_hdr[i].hdr_name); - end // } - if (min_hdrq_sz > 1) - $sformat (cfg_hdr_list,"%0s %0s", cfg_hdr_list, first_hdr.all_hdr[i].hdr_name); + if (ls == (first_hdr.all_hdr.size - 1)) + $sformat (cfg_hdr_list, "%0s} (%0s)", cfg_hdr_list, first_hdr.get_pkt_format_name(pkt_format)); + else if (ls == 0) + $sformat (cfg_hdr_list,"{"); + else if (ls < (first_hdr.all_hdr.size- 2)) + $sformat (cfg_hdr_list,"%0s%0s, ", cfg_hdr_list,first_hdr.all_hdr[ls].hdr_name); + else + $sformat (cfg_hdr_list,"%0s%0s", cfg_hdr_list,first_hdr.all_hdr[ls].hdr_name); end // } - $sformat (cfg_hdr_list, "%0s}", cfg_hdr_list); if (mode != NO_DISPLAY) $display (" cfg_hdr : %0s", cfg_hdr_list); endtask : display_cfg_hdr // } @@ -301,6 +323,7 @@ class pktlib_main_class extends pktlib_object_class; // { bit [7:0] p2 [], ref int err, input hdr_class hdr [$] = {}, + input int p_format = IEEE802, input int mode = COMPARE, input string path_name = "", input string hname = "pkt_lib", @@ -308,22 +331,18 @@ class pktlib_main_class extends pktlib_object_class; // { input bit crc_psnt = 1'b1); // { pktlib_class p_cls; int cfg_err; - if (mode != COMPARE); + cfg_err = 0; + hdis = new (path_name); + hdis.compare_array8 (p1, p2, cfg_err, mode, hname,, cmp_type); + if (cfg_err > 0) begin // { - if (mode == COMPARE_HDR) - mode = COMPARE; + err++; p_cls = new (); p_cls.toh.cal_n_add_crc = crc_psnt; - unpack_hdr (p1, SMART_UNPACK, hdr); - p_cls.unpack_hdr (p2, SMART_UNPACK, hdr); + unpack_hdr (p1, SMART_UNPACK, hdr, p_format); + p_cls.unpack_hdr (p2, SMART_UNPACK, hdr, p_format); compare_hdr (p_cls, cfg_err, mode, path_name); end // } - if (mode == COMPARE_HDR) - mode = COMPARE; - hdis = new (path_name); - hdis.compare_array8 (p1, p2, cfg_err, mode, hname,, cmp_type); - if (cfg_err > 0) - err++; $display(""); endtask : compare_pkt // } diff --git a/pktlib_xrun.vf b/pktlib_xrun.vf new file mode 100644 index 0000000..92f080c --- /dev/null +++ b/pktlib_xrun.vf @@ -0,0 +1,30 @@ +/* +Copyright (c) 2011, Sachin Gandhi +All rights reserved. + +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: + + * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. + * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. + * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +*/ + +// ---------------------------------------------------------------------- +// include directories/files +// ---------------------------------------------------------------------- + +-incdir hdr_db +-incdir hdr_db/include +-incdir hdr_db/include/gcm-aes/sv-file +-incdir hdr_db/include/gcm-aes/c-file +hdr_db/include/gcm-aes/c-file/aescrypt.c +hdr_db/include/gcm-aes/c-file/aeskey.c +hdr_db/include/gcm-aes/c-file/aestab.c +hdr_db/include/gcm-aes/c-file/gcm.cpp +hdr_db/include/gcm-aes/c-file/gfvec.cpp +hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp + + + diff --git a/scripts/pktlib_run b/scripts/pktlib_run index a6b7075..2dd317c 100755 --- a/scripts/pktlib_run +++ b/scripts/pktlib_run @@ -4,7 +4,10 @@ test_name=$1;shift; trl=$*; # VCS command line -vcs -sverilog -full64 +warn=all -f pktlib.vf -R test/$test_name.sv +define+NO_PROCESS_AE -l log/$test_name$trl.log $trl +#vcs -sverilog -full64 +warn=all -f pktlib.vf -R test/$test_name.sv -l log/$test_name$trl.log $trl + +#vcs -full64 -sverilog +warn=all -CFLAGS -g -CC -Ihdr_db/include/gcm-aes/c-file -cpp g++ hdr_db/include/gcm-aes/c-file/aescrypt.c hdr_db/include/gcm-aes/c-file/aeskey.c hdr_db/include/gcm-aes/c-file/aestab.c hdr_db/include/gcm-aes/c-file/gcm.cpp hdr_db/include/gcm-aes/c-file/gfvec.cpp hdr_db/include/gcm-aes/c-file/gcm_dpi.cpp -f pktlib.vf +define+DEBUG_PKTLIB -R test/$test_name.sv -l log/$test_name$trl.log $trl + # questa 1-step process # qverilog -64 -sv -permissive -timescale "1ns/1ps" +define+NO_PROCESS_AE $trl -f pktlib.vf test/$test_name.sv -l log/$test_name.questa.log -R -do "run -a; quit -f" -printsimstats @@ -13,3 +16,7 @@ vcs -sverilog -full64 +warn=all -f pktlib.vf -R test/$test_name.sv +define+NO_PR # vlib work # vlog -64 -sv -permissive -timescale "1ns/1ps" +define+NO_PROCESS_AE $trl -f pktlib.vf test/$test_name.sv # vsim -64 my_test -c -do "run -a; quit -f" -printsimstats +# + +# xrun command line +xrun -64bit -v93 -relax -access +rwc -namemap_mixgen -SV -REDUCE_MESSAGES -NOCOPYRIGHT -xceligen on=1809 -LOGFILE log/$test_name$trl.log -FILE pktlib_xrun.vf test/$test_name.sv $trl diff --git a/scripts/xrun_clean b/scripts/xrun_clean new file mode 100755 index 0000000..e11c68c --- /dev/null +++ b/scripts/xrun_clean @@ -0,0 +1,3 @@ +#!/bin/sh +\rm -rf xcelium.d xmsc.log xmsc.log xmvlog.history xmvlog.log xrun.key + diff --git a/test/pktlib_test.sv b/test/pktlib_test.sv index 8cf8ef6..c5d12da 100644 --- a/test/pktlib_test.sv +++ b/test/pktlib_test.sv @@ -23,8 +23,9 @@ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND // // ---------------------------------------------------------------------- -`define NUM_PKTS 17 +`define NUM_PKTS 20 +`include "../hdr_db/include/gcm-aes/sv-file/gcm_dpi.sv" program my_test (); // { // include files @@ -57,15 +58,18 @@ program my_test (); // { 10 : p.cfg_hdr ('{p.eth[0], p.itag[0], p.eth[1], p.ipv4[0], p.tcp[0], p.stt[0], p.eth[2], p.ipv4[1], p.tcp[1], p.data[0]}); 11 : p.cfg_hdr ('{p.eth[0], p.dot1q[0], p.dot1q[1], p.ipv4[0], p.tcp[0], p.stt[0], p.eth[1], p.ipv6[0], p.ipv4[1], p.igmp[0], p.data[0]}); 12 : p.cfg_hdr ('{p.eth[0], p.roce[0], p.grh[0], p.bth[0], p.data[0]}); - 13 : p.cfg_hdr ('{p.eth[0], p.ipv4[0], p.gre[0], p.eth[1], p.itag[0], p.eth[2], p.roce[0], p.grh[0], p.bth[0], p.data[0]}); - 14 : p.cfg_hdr ('{p.eth[0], p.dot1q[0], p.fcoe[0], p.fc[0], p.data[0]}); - 15 : p.cfg_hdr ('{p.eth[0], p.dot1q[0], p.cntag[0], p.cnm[0], p.data[0]}); - 16 : p.cfg_hdr ('{p.eth[0], p.ipv6[0], p.ipv6_hopopt[0], p.ipv6_opts[0], p.ipv6_rout[0], p.ipv6_frag[0], p.ipv6_opts[1], p.igmp[0], p.data[0]}); + 13 : p.cfg_hdr ('{p.eth[0], p.dot1q[0], p.fcoe[0], p.fc[0], p.data[0]}); + 14 : p.cfg_hdr ('{p.eth[0], p.dot1q[0], p.cntag[0], p.cnm[0], p.data[0]}); + 15 : p.cfg_hdr ('{p.eth[0], p.ipv6[0], p.ipv6_hopopt[0], p.ipv6_opts[0], p.ipv6_rout[0], p.ipv6_frag[0], p.ipv6_opts[1], p.igmp[0], p.data[0]}); + 16 : p.cfg_hdr ('{p.eth[0], p.macsec[0], p.ipv6[0], p.ipv6_hopopt[0], p.ipv6_opts[0], p.ipv6_rout[0], p.ipv6_frag[0], p.ipv6_opts[1], p.igmp[0], p.data[0]}); + 17 : p.cfg_hdr ('{p.fc[0], p.data[0]}); + 18 : p.cfg_hdr ('{p.dphy[0], p.data[0]}); + 19 : p.cfg_hdr ('{p.dphy[0]}); endcase // } // set max/min packet length p.toh.max_plen = 300; - p.toh.min_plen = 32; + p.toh.min_plen = 4; // randomize pktlib p.randomize with @@ -78,13 +82,19 @@ program my_test (); // { // display hdr and pkt content $display("%0t : INFO : TEST : Pack Pkt %0d", $time, i+1); + //p.display_hdr_pkt (p_pkt, , , , DISPLAY_FULL); p.display_hdr_pkt (p_pkt); // new pktlib for unpack p = new(); // unpack - p.unpack_hdr (p_pkt, SMART_UNPACK); + if (i == 17) + p.unpack_hdr (p_pkt, SMART_UNPACK,, FC); + else if (i > 17) + p.unpack_hdr (p_pkt, SMART_UNPACK,, MIPI_CSI2_DPHY); + else + p.unpack_hdr (p_pkt, SMART_UNPACK); // display hdr and pkt content $display("%0t : INFO : TEST : Unpack Pkt %0d", $time, i+1); @@ -108,14 +118,19 @@ program my_test (); // { if (i == 3) begin // { u_pkt[13] = $random; - end // + end // } if (i == 5) begin // { u_pkt[22] = $random; - end // + end // } $display("%0t : INFO : TEST : Compare Pkt %0d", $time, i+1); - p.compare_pkt (p_pkt, u_pkt, err); + if (i == 17) + p.compare_pkt (p_pkt, u_pkt, err,, FC); + else if (i > 17) + p.compare_pkt (p_pkt, u_pkt, err,, MIPI_CSI2_DPHY); + else + p.compare_pkt (p_pkt, u_pkt, err); end // } // end simulation $finish ();