From 27ade5f927f9805f24eba60db768fa011834bdba Mon Sep 17 00:00:00 2001 From: Pradyun Narkadamilli Date: Fri, 11 Nov 2022 01:53:31 -0600 Subject: [PATCH] made a converter from NEX to MIF. converted DK. --- HexDriver.sv | 27 + MIF_FILES/DK.mif | 32775 +++++++++++++++++++++++++++++++++++++ NES.qsf | 3 +- control_adapter.sv | 31 + databus.sv | 9 +- greybox_tmp/cbx_args.txt | 10 +- nes.sv | 20 +- prg_rom.qip | 5 + prg_rom.v | 159 + prg_rom_bb.v | 110 + utils/nes-hex.py | 58 + 11 files changed, 33196 insertions(+), 11 deletions(-) create mode 100644 HexDriver.sv create mode 100644 MIF_FILES/DK.mif create mode 100644 control_adapter.sv create mode 100644 prg_rom.qip create mode 100644 prg_rom.v create mode 100644 prg_rom_bb.v create mode 100644 utils/nes-hex.py diff --git a/HexDriver.sv b/HexDriver.sv new file mode 100644 index 0000000..24e42d7 --- /dev/null +++ b/HexDriver.sv @@ -0,0 +1,27 @@ +module HexDriver (input [3:0] In0, + output logic [6:0] Out0); + + always_comb + begin + unique case (In0) + 4'b0000 : Out0 = 7'b1000000; // '0' + 4'b0001 : Out0 = 7'b1111001; // '1' + 4'b0010 : Out0 = 7'b0100100; // '2' + 4'b0011 : Out0 = 7'b0110000; // '3' + 4'b0100 : Out0 = 7'b0011001; // '4' + 4'b0101 : Out0 = 7'b0010010; // '5' + 4'b0110 : Out0 = 7'b0000010; // '6' + 4'b0111 : Out0 = 7'b1111000; // '7' + 4'b1000 : Out0 = 7'b0000000; // '8' + 4'b1001 : Out0 = 7'b0010000; // '9' + 4'b1010 : Out0 = 7'b0001000; // 'A' + 4'b1011 : Out0 = 7'b0000011; // 'b' + 4'b1100 : Out0 = 7'b1000110; // 'C' + 4'b1101 : Out0 = 7'b0100001; // 'd' + 4'b1110 : Out0 = 7'b0000110; // 'E' + 4'b1111 : Out0 = 7'b0001110; // 'F' + default : Out0 = 7'bX; + endcase + end + +endmodule \ No newline at end of file diff --git a/MIF_FILES/DK.mif b/MIF_FILES/DK.mif new file mode 100644 index 0000000..be94c85 --- /dev/null +++ b/MIF_FILES/DK.mif @@ -0,0 +1,32775 @@ +DEPTH=32768; +WIDTH=8; +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; +CONTENT +BEGIN + 0 : 20; + 1 : 70; + 2 : 06; + 3 : 00; + 4 : 20; + 5 : 64; + 6 : 06; + 7 : 00; + 8 : 20; + 9 : 78; + A : 06; + B : 00; + C : 20; + D : b7; + E : 04; + F : 00; + 10 : 20; + 11 : bc; + 12 : 01; + 13 : 00; + 14 : 01; + 15 : 08; + 16 : 02; + 17 : 08; + 18 : 02; + 19 : 00; + 1A : 05; + 1B : 01; + 1C : 00; + 1D : 02; + 1E : 01; + 1F : 01; + 20 : 01; + 21 : 05; + 22 : 01; + 23 : 05; + 24 : 01; + 25 : 02; + 26 : 01; + 27 : 02; + 28 : db; + 29 : 60; + 2A : e2; + 2B : 55; + 2C : 14; + 2D : 20; + 2E : 01; + 2F : f9; + 30 : a0; + 31 : e0; + 32 : 30; + 33 : 10; + 34 : 10; + 35 : 01; + 36 : 50; + 37 : 01; + 38 : 30; + 39 : d0; + 3A : ff; + 3B : ff; + 3C : 3e; + 3D : c6; + 3E : 57; + 3F : c6; + 40 : e1; + 41 : c6; + 42 : 60; + 43 : c7; + 44 : 7d; + 45 : c7; + 46 : e4; + 47 : c6; + 48 : f1; + 49 : c6; + 4A : 53; + 4B : c7; + 4C : 08; + 4D : c7; + 4E : 19; + 4F : c7; + 50 : 1c; + 51 : c7; + 52 : 35; + 53 : c7; + 54 : 4e; + 55 : c7; + 56 : 8c; + 57 : c0; + 58 : cf; + 59 : c0; + 5A : 61; + 5B : c1; + 5C : 60; + 5D : 04; + 5E : c3; + 5F : c0; + 60 : df; + 61 : c0; + 62 : 6e; + 63 : c1; + 64 : c4; + 65 : c2; + 66 : c8; + 67 : c2; + 68 : 86; + 69 : c1; + 6A : b0; + 6B : c1; + 6C : 92; + 6D : c1; + 6E : cf; + 6F : c1; + 70 : d5; + 71 : c1; + 72 : db; + 73 : c1; + 74 : e1; + 75 : c1; + 76 : 9e; + 77 : c1; + 78 : e7; + 79 : c1; + 7A : 0c; + 7B : c6; + 7C : 70; + 7D : c6; + 7E : 89; + 7F : c6; + 80 : 25; + 81 : c6; + 82 : a2; + 83 : c6; + 84 : cc; + 85 : 00; + 86 : 8e; + 87 : c1; + 88 : 96; + 89 : c1; + 8A : a6; + 8B : c6; + 8C : 00; + 8D : d8; + 8E : 00; + 8F : 00; + 90 : 01; + 91 : 00; + 92 : 80; + 93 : d7; + 94 : 04; + 95 : 18; + 96 : 06; + 97 : fe; + 98 : c8; + 99 : bc; + 9A : 04; + 9B : e8; + 9C : 09; + 9D : fe; + 9E : 20; + 9F : 9e; + A0 : 04; + A1 : 18; + A2 : 09; + A3 : fe; + A4 : c8; + A5 : 80; + A6 : 04; + A7 : e8; + A8 : 09; + A9 : fe; + AA : 20; + AB : 62; + AC : 04; + AD : 18; + AE : 09; + AF : fe; + B0 : c8; + B1 : 44; + B2 : 04; + B3 : e8; + B4 : 06; + B5 : fe; + B6 : 80; + B7 : 28; + B8 : 04; + B9 : 00; + BA : 01; + BB : fe; + BC : bc; + BD : 9e; + BE : 80; + BF : 62; + C0 : 44; + C1 : 28; + C2 : ff; + C3 : 00; + C4 : 00; + C5 : 80; + C6 : 00; + C7 : 00; + C8 : 00; + C9 : 18; + CA : 00; + CB : 00; + CC : 00; + CD : 10; + CE : 00; + CF : e0; + D0 : bc; + D1 : 00; + D2 : 10; + D3 : 9e; + D4 : 00; + D5 : e0; + D6 : 80; + D7 : 00; + D8 : 10; + D9 : 62; + DA : 00; + DB : e0; + DC : 44; + DD : 00; + DE : fe; + DF : 00; + E0 : 00; + E1 : 10; + E2 : 03; + E3 : c8; + E4 : bc; + E5 : 08; + E6 : c8; + E7 : 80; + E8 : 04; + E9 : b8; + EA : 74; + EB : 10; + EC : 68; + ED : 58; + EE : 14; + EF : c8; + F0 : 44; + F1 : 04; + F2 : 60; + F3 : cf; + F4 : 0c; + F5 : 70; + F6 : 9b; + F7 : 00; + F8 : 30; + F9 : 9e; + FA : 04; + FB : 50; + FC : 85; + FD : 08; + FE : 80; + FF : 7d; + 100 : 00; + 101 : 30; + 102 : 62; + 103 : 04; + 104 : 58; + 105 : 60; + 106 : 00; + 107 : 90; + 108 : 28; + 109 : 18; + 10A : fe; + 10B : 00; + 10C : 00; + 10D : 08; + 10E : 1d; + 10F : 00; + 110 : 00; + 111 : 08; + 112 : 17; + 113 : 00; + 114 : 00; + 115 : 08; + 116 : 18; + 117 : 00; + 118 : 00; + 119 : 08; + 11A : 09; + 11B : 00; + 11C : 00; + 11D : 08; + 11E : 0b; + 11F : 00; + 120 : 00; + 121 : 08; + 122 : 07; + 123 : 00; + 124 : 00; + 125 : 08; + 126 : 19; + 127 : c8; + 128 : bc; + 129 : 00; + 12A : 70; + 12B : 9b; + 12C : 00; + 12D : 30; + 12E : 9e; + 12F : 00; + 130 : c8; + 131 : 80; + 132 : 00; + 133 : 80; + 134 : 7d; + 135 : 00; + 136 : 30; + 137 : 62; + 138 : 00; + 139 : 58; + 13A : 60; + 13B : 00; + 13C : c8; + 13D : 44; + 13E : 00; + 13F : 90; + 140 : 28; + 141 : 00; + 142 : fe; + 143 : 00; + 144 : 00; + 145 : 08; + 146 : 0d; + 147 : 24; + 148 : 24; + 149 : 54; + 14A : 54; + 14B : 60; + 14C : 60; + 14D : 64; + 14E : 64; + 14F : 60; + 150 : 60; + 151 : 24; + 152 : 24; + 153 : 68; + 154 : 68; + 155 : 68; + 156 : 68; + 157 : 68; + 158 : 68; + 159 : 24; + 15A : 24; + 15B : 24; + 15C : 54; + 15D : 54; + 15E : 54; + 15F : 00; + 160 : 00; + 161 : 60; + 162 : b7; + 163 : 00; + 164 : 50; + 165 : 7b; + 166 : 00; + 167 : b8; + 168 : 5c; + 169 : 00; + 16A : 68; + 16B : 40; + 16C : 00; + 16D : fe; + 16E : 00; + 16F : 00; + 170 : 08; + 171 : 18; + 172 : ca; + 173 : a7; + 174 : 8e; + 175 : 6b; + 176 : 51; + 177 : 5c; + 178 : 2c; + 179 : 4c; + 17A : 2c; + 17B : 64; + 17C : c6; + 17D : aa; + 17E : 8c; + 17F : 6d; + 180 : 4d; + 181 : c4; + 182 : 6c; + 183 : 7c; + 184 : 54; + 185 : c4; + 186 : 08; + 187 : 11; + 188 : 0a; + 189 : 11; + 18A : 08; + 18B : 10; + 18C : 0a; + 18D : 11; + 18E : 08; + 18F : 0f; + 190 : 0a; + 191 : 11; + 192 : 05; + 193 : 01; + 194 : 0c; + 195 : 09; + 196 : 05; + 197 : 05; + 198 : 0a; + 199 : 0a; + 19A : 08; + 19B : 10; + 19C : 08; + 19D : 10; + 19E : 04; + 19F : 04; + 1A0 : 0c; + 1A1 : 0d; + 1A2 : 0c; + 1A3 : 14; + 1A4 : 1c; + 1A5 : 10; + 1A6 : 18; + 1A7 : 20; + 1A8 : 03; + 1A9 : 05; + 1AA : 02; + 1AB : 03; + 1AC : 00; + 1AD : 00; + 1AE : 03; + 1AF : 04; + 1B0 : 00; + 1B1 : 00; + 1B2 : 08; + 1B3 : 08; + 1B4 : 10; + 1B5 : e0; + 1B6 : 10; + 1B7 : e0; + 1B8 : 0c; + 1B9 : e0; + 1BA : 08; + 1BB : e8; + 1BC : 01; + 1BD : 02; + 1BE : 04; + 1BF : 08; + 1C0 : 10; + 1C1 : 20; + 1C2 : 40; + 1C3 : 80; + 1C4 : 13; + 1C5 : 30; + 1C6 : 48; + 1C7 : 60; + 1C8 : 78; + 1C9 : 90; + 1CA : a8; + 1CB : c0; + 1CC : e0; + 1CD : 13; + 1CE : db; + 1CF : 4c; + 1D0 : 6a; + 1D1 : 88; + 1D2 : a6; + 1D3 : c5; + 1D4 : fe; + 1D5 : 53; + 1D6 : 6b; + 1D7 : 8f; + 1D8 : a7; + 1D9 : ca; + 1DA : fe; + 1DB : 52; + 1DC : 6e; + 1DD : 8c; + 1DE : ac; + 1DF : c5; + 1E0 : fe; + 1E1 : 52; + 1E2 : 6c; + 1E3 : 8e; + 1E4 : a8; + 1E5 : ca; + 1E6 : fe; + 1E7 : 00; + 1E8 : 06; + 1E9 : 08; + 1EA : 08; + 1EB : 19; + 1EC : 30; + 1ED : 34; + 1EE : 30; + 1EF : 34; + 1F0 : 30; + 1F1 : 34; + 1F2 : 38; + 1F3 : 3c; + 1F4 : 3c; + 1F5 : 3c; + 1F6 : 02; + 1F7 : 04; + 1F8 : 02; + 1F9 : 04; + 1FA : 07; + 1FB : 05; + 1FC : 07; + 1FD : 09; + 1FE : 03; + 1FF : 00; + 200 : 00; + 201 : 04; + 202 : 08; + 203 : 01; + 204 : 02; + 205 : 03; + 206 : 04; + 207 : 50; + 208 : 60; + 209 : 70; + 20A : 80; + 20B : 90; + 20C : 0e; + 20D : d8; + 20E : 18; + 20F : 0e; + 210 : c8; + 211 : 04; + 212 : 86; + 213 : c8; + 214 : 04; + 215 : a6; + 216 : c0; + 217 : 00; + 218 : be; + 219 : b8; + 21A : 00; + 21B : d6; + 21C : b0; + 21D : 04; + 21E : 4e; + 21F : b0; + 220 : 04; + 221 : 0e; + 222 : a0; + 223 : 04; + 224 : de; + 225 : a0; + 226 : 00; + 227 : c6; + 228 : 98; + 229 : 00; + 22A : ae; + 22B : 90; + 22C : 00; + 22D : 96; + 22E : 88; + 22F : 14; + 230 : c6; + 231 : 78; + 232 : 0c; + 233 : 0e; + 234 : 70; + 235 : 04; + 236 : 46; + 237 : 70; + 238 : 08; + 239 : 8e; + 23A : 68; + 23B : 04; + 23C : ae; + 23D : 60; + 23E : 00; + 23F : c6; + 240 : 58; + 241 : 00; + 242 : de; + 243 : 50; + 244 : 00; + 245 : 66; + 246 : 40; + 247 : 10; + 248 : 86; + 249 : 28; + 24A : 00; + 24B : fe; + 24C : b0; + 24D : 78; + 24E : 60; + 24F : 40; + 250 : 28; + 251 : ff; + 252 : 00; + 253 : 00; + 254 : 14; + 255 : 00; + 256 : 00; + 257 : 00; + 258 : 1c; + 259 : 00; + 25A : 00; + 25B : 00; + 25C : 24; + 25D : 00; + 25E : 00; + 25F : 00; + 260 : 2c; + 261 : 00; + 262 : 00; + 263 : 00; + 264 : 54; + 265 : 00; + 266 : 00; + 267 : 00; + 268 : 12; + 269 : 00; + 26A : 00; + 26B : 00; + 26C : e4; + 26D : 00; + 26E : 18; + 26F : a0; + 270 : 0c; + 271 : 20; + 272 : 70; + 273 : 10; + 274 : 50; + 275 : 70; + 276 : 14; + 277 : 60; + 278 : 70; + 279 : 14; + 27A : 98; + 27B : 68; + 27C : 08; + 27D : c8; + 27E : 78; + 27F : 08; + 280 : e0; + 281 : a0; + 282 : 00; + 283 : e0; + 284 : 50; + 285 : 0c; + 286 : b0; + 287 : 40; + 288 : 08; + 289 : 90; + 28A : 28; + 28B : 04; + 28C : fe; + 28D : 00; + 28E : 00; + 28F : 08; + 290 : 10; + 291 : 00; + 292 : 00; + 293 : 08; + 294 : 18; + 295 : 00; + 296 : 00; + 297 : 08; + 298 : 20; + 299 : 00; + 29A : 00; + 29B : 08; + 29C : 28; + 29D : 00; + 29E : 00; + 29F : 08; + 2A0 : 30; + 2A1 : 00; + 2A2 : 00; + 2A3 : 08; + 2A4 : 40; + 2A5 : 18; + 2A6 : a0; + 2A7 : 00; + 2A8 : 20; + 2A9 : 70; + 2AA : 00; + 2AB : 50; + 2AC : 70; + 2AD : 00; + 2AE : 60; + 2AF : 70; + 2B0 : 00; + 2B1 : 98; + 2B2 : 68; + 2B3 : 00; + 2B4 : c8; + 2B5 : 78; + 2B6 : 00; + 2B7 : e0; + 2B8 : a0; + 2B9 : 00; + 2BA : e0; + 2BB : 50; + 2BC : 00; + 2BD : b0; + 2BE : 40; + 2BF : 00; + 2C0 : 90; + 2C1 : 28; + 2C2 : 00; + 2C3 : fe; + 2C4 : 04; + 2C5 : 01; + 2C6 : 1b; + 2C7 : 0e; + 2C8 : 00; + 2C9 : 01; + 2CA : 12; + 2CB : 01; + 2CC : 30; + 2CD : 38; + 2CE : 40; + 2CF : 48; + 2D0 : 50; + 2D1 : 58; + 2D2 : 00; + 2D3 : 00; + 2D4 : 09; + 2D5 : 15; + 2D6 : 18; + 2D7 : 00; + 2D8 : 4c; + 2D9 : 5f; + 2DA : 03; + 2DB : 5c; + 2DC : 5f; + 2DD : 03; + 2DE : c4; + 2DF : 67; + 2E0 : 03; + 2E1 : 4c; + 2E2 : 9f; + 2E3 : 13; + 2E4 : 5c; + 2E5 : 9f; + 2E6 : 13; + 2E7 : c4; + 2E8 : 87; + 2E9 : 13; + 2EA : dc; + 2EB : 3f; + 2EC : 03; + 2ED : dc; + 2EE : 67; + 2EF : 13; + 2F0 : 06; + 2F1 : d8; + 2F2 : 00; + 2F3 : 06; + 2F4 : b8; + 2F5 : 00; + 2F6 : 16; + 2F7 : 90; + 2F8 : 04; + 2F9 : 1e; + 2FA : 68; + 2FB : 08; + 2FC : 26; + 2FD : 40; + 2FE : 0c; + 2FF : fe; + 300 : b8; + 301 : 90; + 302 : 68; + 303 : 40; + 304 : 28; + 305 : ff; + 306 : 00; + 307 : 00; + 308 : f5; + 309 : 00; + 30A : 00; + 30B : 00; + 30C : d5; + 30D : 00; + 30E : 00; + 30F : 00; + 310 : c5; + 311 : 00; + 312 : 00; + 313 : 00; + 314 : b5; + 315 : 00; + 316 : 10; + 317 : b8; + 318 : 00; + 319 : 78; + 31A : b8; + 31B : 00; + 31C : e8; + 31D : b8; + 31E : 00; + 31F : 18; + 320 : 90; + 321 : 04; + 322 : 60; + 323 : 90; + 324 : 04; + 325 : 98; + 326 : 90; + 327 : 04; + 328 : e0; + 329 : 90; + 32A : 04; + 32B : 20; + 32C : 68; + 32D : 04; + 32E : 80; + 32F : 68; + 330 : 04; + 331 : d8; + 332 : 68; + 333 : 04; + 334 : 28; + 335 : 40; + 336 : 04; + 337 : 48; + 338 : 40; + 339 : 04; + 33A : b0; + 33B : 40; + 33C : 04; + 33D : d0; + 33E : 40; + 33F : 04; + 340 : fe; + 341 : 00; + 342 : 00; + 343 : 08; + 344 : 20; + 345 : 00; + 346 : 00; + 347 : 08; + 348 : 28; + 349 : 10; + 34A : b8; + 34B : 00; + 34C : 78; + 34D : b8; + 34E : 00; + 34F : e8; + 350 : b8; + 351 : 00; + 352 : 18; + 353 : 90; + 354 : 00; + 355 : 60; + 356 : 90; + 357 : 00; + 358 : 98; + 359 : 90; + 35A : 00; + 35B : e0; + 35C : 90; + 35D : 00; + 35E : 20; + 35F : 68; + 360 : 00; + 361 : 80; + 362 : 68; + 363 : 00; + 364 : d8; + 365 : 68; + 366 : 00; + 367 : 28; + 368 : 40; + 369 : 00; + 36A : 48; + 36B : 40; + 36C : 00; + 36D : b0; + 36E : 40; + 36F : 00; + 370 : d0; + 371 : 40; + 372 : 00; + 373 : fe; + 374 : 00; + 375 : 09; + 376 : 1e; + 377 : 33; + 378 : 48; + 379 : 54; + 37A : 0c; + 37B : a7; + 37C : 03; + 37D : 74; + 37E : a7; + 37F : 03; + 380 : e4; + 381 : a7; + 382 : 03; + 383 : 0c; + 384 : c7; + 385 : 13; + 386 : 74; + 387 : c7; + 388 : 13; + 389 : e4; + 38A : c7; + 38B : 13; + 38C : 14; + 38D : 7f; + 38E : 03; + 38F : 5c; + 390 : 7f; + 391 : 03; + 392 : 94; + 393 : 7f; + 394 : 03; + 395 : dc; + 396 : 7f; + 397 : 03; + 398 : 1c; + 399 : 57; + 39A : 03; + 39B : 7c; + 39C : 57; + 39D : 03; + 39E : d4; + 39F : 57; + 3A0 : 03; + 3A1 : 14; + 3A2 : a7; + 3A3 : 13; + 3A4 : 5c; + 3A5 : a7; + 3A6 : 13; + 3A7 : 94; + 3A8 : a7; + 3A9 : 13; + 3AA : dc; + 3AB : a7; + 3AC : 13; + 3AD : 24; + 3AE : 2f; + 3AF : 03; + 3B0 : 44; + 3B1 : 2f; + 3B2 : 03; + 3B3 : ac; + 3B4 : 2f; + 3B5 : 03; + 3B6 : cc; + 3B7 : 2f; + 3B8 : 03; + 3B9 : 1c; + 3BA : 7f; + 3BB : 13; + 3BC : 7c; + 3BD : 7f; + 3BE : 13; + 3BF : d4; + 3C0 : 7f; + 3C1 : 13; + 3C2 : 24; + 3C3 : 57; + 3C4 : 13; + 3C5 : 44; + 3C6 : 57; + 3C7 : 13; + 3C8 : ac; + 3C9 : 57; + 3CA : 13; + 3CB : cc; + 3CC : 57; + 3CD : 13; + 3CE : 08; + 3CF : c7; + 3D0 : 10; + 3D1 : a7; + 3D2 : 18; + 3D3 : 7f; + 3D4 : 20; + 3D5 : 57; + 3D6 : e8; + 3D7 : c7; + 3D8 : e0; + 3D9 : a7; + 3DA : d8; + 3DB : 7f; + 3DC : d0; + 3DD : 57; + 3DE : 34; + 3DF : ac; + 3E0 : 44; + 3E1 : bc; + 3E2 : 05; + 3E3 : 03; + 3E4 : 0d; + 3E5 : 0b; + 3E6 : d4; + 3E7 : 0c; + 3E8 : e4; + 3E9 : 0c; + 3EA : 5d; + 3EB : 4b; + 3EC : cd; + 3ED : c3; + 3EE : 5d; + 3EF : 43; + 3F0 : e5; + 3F1 : c3; + 3F2 : ed; + 3F3 : 03; + 3F4 : 24; + 3F5 : 49; + 3F6 : 77; + 3F7 : 77; + 3F8 : 77; + 3F9 : 77; + 3FA : ff; + 3FB : ff; + 3FC : 0b; + 3FD : 0c; + 3FE : 0d; + 3FF : 15; + 400 : 16; + 401 : 17; + 402 : 18; + 403 : 19; + 404 : 1a; + 405 : 1e; + 406 : 1f; + 407 : ff; + 408 : ff; + 409 : ff; + 40A : 01; + 40B : 01; + 40C : 01; + 40D : 01; + 40E : ff; + 40F : ff; + 410 : 01; + 411 : 01; + 412 : e4; + 413 : e3; + 414 : e2; + 415 : d8; + 416 : d7; + 417 : d6; + 418 : d5; + 419 : d4; + 41A : d3; + 41B : d0; + 41C : cf; + 41D : 48; + 41E : 84; + 41F : c0; + 420 : 50; + 421 : 8d; + 422 : c7; + 423 : 20; + 424 : c0; + 425 : 78; + 426 : 60; + 427 : 28; + 428 : 44; + 429 : 6b; + 42A : 20; + 42B : 33; + 42C : c4; + 42D : 37; + 42E : c4; + 42F : 3b; + 430 : c4; + 431 : 3f; + 432 : c4; + 433 : 00; + 434 : 00; + 435 : 10; + 436 : 08; + 437 : 00; + 438 : 00; + 439 : 10; + 43A : 08; + 43B : 00; + 43C : 00; + 43D : 60; + 43E : 10; + 43F : 00; + 440 : 00; + 441 : 2a; + 442 : 20; + 443 : b0; + 444 : a0; + 445 : 78; + 446 : 68; + 447 : 68; + 448 : 88; + 449 : 88; + 44A : 88; + 44B : 88; + 44C : 88; + 44D : 48; + 44E : 38; + 44F : 28; + 450 : 18; + 451 : 18; + 452 : bb; + 453 : bb; + 454 : 5e; + 455 : 2f; + 456 : 13; + 457 : 88; + 458 : 78; + 459 : 64; + 45A : 56; + 45B : 49; + 45C : 88; + 45D : 88; + 45E : 24; + 45F : 55; + 460 : 55; + 461 : 88; + 462 : 88; + 463 : 49; + 464 : 55; + 465 : 55; + 466 : 40; + 467 : 20; + 468 : 10; + 469 : 08; + 46A : 01; + 46B : 8c; + 46C : c0; + 46D : 0c; + 46E : c2; + 46F : 0c; + 470 : c2; + 471 : f0; + 472 : c2; + 473 : c3; + 474 : c0; + 475 : 0c; + 476 : c2; + 477 : 52; + 478 : c2; + 479 : 06; + 47A : c3; + 47B : e3; + 47C : c0; + 47D : 0c; + 47E : c2; + 47F : 6e; + 480 : c2; + 481 : 16; + 482 : c3; + 483 : 0b; + 484 : c1; + 485 : 0c; + 486 : c2; + 487 : 8d; + 488 : c2; + 489 : 41; + 48A : c3; + 48B : 27; + 48C : c1; + 48D : 0c; + 48E : c2; + 48F : a5; + 490 : c2; + 491 : 49; + 492 : c3; + 493 : bc; + 494 : c0; + 495 : 0c; + 496 : c2; + 497 : 4c; + 498 : c2; + 499 : 00; + 49A : c3; + 49B : 0c; + 49C : c2; + 49D : d2; + 49E : c2; + 49F : 74; + 4A0 : c3; + 4A1 : 0c; + 4A2 : c2; + 4A3 : d8; + 4A4 : c2; + 4A5 : 7a; + 4A6 : c3; + 4A7 : 5b; + 4A8 : f5; + 4A9 : d9; + 4AA : f8; + 4AB : cd; + 4AC : f7; + 4AD : 1c; + 4AE : f7; + 4AF : d9; + 4B0 : f8; + 4B1 : 1b; + 4B2 : fa; + 4B3 : 00; + 4B4 : 00; + 4B5 : 01; + 4B6 : 06; + 4B7 : e8; + 4B8 : 04; + 4B9 : 50; + 4BA : 18; + 4BB : d5; + 4BC : 12; + 4BD : e8; + 4BE : 00; + 4BF : 50; + 4C0 : 20; + 4C1 : db; + 4C2 : 22; + 4C3 : f0; + 4C4 : 00; + 4C5 : 00; + 4C6 : 00; + 4C7 : 03; + 4C8 : 2c; + 4C9 : 30; + 4CA : 04; + 4CB : 20; + 4CC : 7f; + 4CD : f6; + 4CE : 21; + 4CF : d0; + 4D0 : 00; + 4D1 : 20; + 4D2 : 46; + 4D3 : f6; + 4D4 : 21; + 4D5 : d8; + 4D6 : 00; + 4D7 : 00; + 4D8 : 00; + 4D9 : 01; + 4DA : 04; + 4DB : c0; + 4DC : 04; + 4DD : 00; + 4DE : 00; + 4DF : 00; + 4E0 : 04; + 4E1 : 00; + 4E2 : 04; + 4E3 : 30; + 4E4 : c7; + 4E5 : 04; + 4E6 : 22; + 4E7 : 00; + 4E8 : 00; + 4E9 : 00; + 4EA : 00; + 4EB : 02; + 4EC : 08; + 4ED : 10; + 4EE : 04; + 4EF : 00; + 4F0 : 00; + 4F1 : 02; + 4F2 : 02; + 4F3 : e0; + 4F4 : 04; + 4F5 : fe; + 4F6 : 00; + 4F7 : 00; + 4F8 : 01; + 4F9 : 06; + 4FA : e8; + 4FB : 04; + 4FC : 50; + 4FD : 18; + 4FE : d5; + 4FF : 12; + 500 : e8; + 501 : 00; + 502 : 50; + 503 : 20; + 504 : db; + 505 : 22; + 506 : f0; + 507 : 00; + 508 : 00; + 509 : 00; + 50A : 03; + 50B : 0c; + 50C : 30; + 50D : 04; + 50E : 30; + 50F : 78; + 510 : a0; + 511 : 12; + 512 : 30; + 513 : 00; + 514 : 30; + 515 : a8; + 516 : a0; + 517 : 12; + 518 : 38; + 519 : 00; + 51A : 30; + 51B : 49; + 51C : a0; + 51D : 12; + 51E : 40; + 51F : 00; + 520 : 70; + 521 : 70; + 522 : a0; + 523 : 12; + 524 : 48; + 525 : 00; + 526 : 70; + 527 : a0; + 528 : a0; + 529 : 12; + 52A : 50; + 52B : 00; + 52C : 70; + 52D : d7; + 52E : a0; + 52F : 12; + 530 : 58; + 531 : 00; + 532 : 00; + 533 : 00; + 534 : 23; + 535 : 02; + 536 : 40; + 537 : 04; + 538 : 00; + 539 : 00; + 53A : 23; + 53B : 02; + 53C : 58; + 53D : 04; + 53E : 00; + 53F : 00; + 540 : 00; + 541 : 04; + 542 : 00; + 543 : 04; + 544 : 10; + 545 : b7; + 546 : 04; + 547 : 22; + 548 : 00; + 549 : 00; + 54A : 00; + 54B : 00; + 54C : 02; + 54D : 08; + 54E : 10; + 54F : 04; + 550 : 4c; + 551 : 9f; + 552 : 98; + 553 : 22; + 554 : 10; + 555 : 00; + 556 : cc; + 557 : 67; + 558 : 98; + 559 : 22; + 55A : 20; + 55B : 00; + 55C : 00; + 55D : 00; + 55E : 03; + 55F : 0c; + 560 : 60; + 561 : 04; + 562 : 00; + 563 : 00; + 564 : 01; + 565 : 16; + 566 : 90; + 567 : 04; + 568 : fe; + 569 : 00; + 56A : 00; + 56B : 01; + 56C : 06; + 56D : e8; + 56E : 04; + 56F : 50; + 570 : 18; + 571 : d5; + 572 : 12; + 573 : e8; + 574 : 00; + 575 : 50; + 576 : 20; + 577 : db; + 578 : 22; + 579 : f0; + 57A : 00; + 57B : 00; + 57C : 00; + 57D : 03; + 57E : 04; + 57F : d0; + 580 : 04; + 581 : 14; + 582 : 6e; + 583 : f6; + 584 : 21; + 585 : d0; + 586 : 00; + 587 : 7c; + 588 : 46; + 589 : f6; + 58A : 21; + 58B : d8; + 58C : 00; + 58D : 00; + 58E : 00; + 58F : 01; + 590 : 20; + 591 : 50; + 592 : 04; + 593 : 00; + 594 : 00; + 595 : 00; + 596 : 04; + 597 : 00; + 598 : 04; + 599 : 38; + 59A : c7; + 59B : 04; + 59C : 22; + 59D : 00; + 59E : 00; + 59F : 00; + 5A0 : 00; + 5A1 : 02; + 5A2 : 10; + 5A3 : 10; + 5A4 : 04; + 5A5 : fe; + 5A6 : b3; + 5A7 : c4; + 5A8 : f6; + 5A9 : c4; + 5AA : f6; + 5AB : c4; + 5AC : 69; + 5AD : c5; + 5AE : 7f; + 5AF : 7f; + 5B0 : 7f; + 5B1 : 00; + 5B2 : 5f; + 5B3 : 3f; + 5B4 : 00; + 5B5 : 2f; + 5B6 : 7f; + 5B7 : 7f; + 5B8 : 00; + 5B9 : a9; + 5BA : a9; + 5BB : 81; + 5BC : 81; + 5BD : 59; + 5BE : 59; + 5BF : 31; + 5C0 : 31; + 5C1 : 00; + 5C2 : 30; + 5C3 : 4c; + 5C4 : d5; + 5C5 : 00; + 5C6 : 10; + 5C7 : e0; + 5C8 : 00; + 5C9 : 24; + 5CA : 50; + 5CB : c0; + 5CC : 00; + 5CD : 3b; + 5CE : b3; + 5CF : 3b; + 5D0 : b3; + 5D1 : 3b; + 5D2 : b3; + 5D3 : 38; + 5D4 : b3; + 5D5 : 00; + 5D6 : 22; + 5D7 : 22; + 5D8 : 22; + 5D9 : 00; + 5DA : 21; + 5DB : 21; + 5DC : 00; + 5DD : 20; + 5DE : 22; + 5DF : 22; + 5E0 : 00; + 5E1 : 22; + 5E2 : 22; + 5E3 : 22; + 5E4 : 22; + 5E5 : 21; + 5E6 : 21; + 5E7 : 21; + 5E8 : 21; + 5E9 : 06; + 5EA : 0a; + 5EB : 1b; + 5EC : 00; + 5ED : 82; + 5EE : 1c; + 5EF : 00; + 5F0 : c5; + 5F1 : 0a; + 5F2 : 18; + 5F3 : 00; + 5F4 : e8; + 5F5 : f7; + 5F6 : 48; + 5F7 : 57; + 5F8 : a8; + 5F9 : b7; + 5FA : 08; + 5FB : 17; + 5FC : 00; + 5FD : 04; + 5FE : 07; + 5FF : 0b; + 600 : 01; + 601 : 03; + 602 : 05; + 603 : 08; + 604 : d0; + 605 : d1; + 606 : d2; + 607 : d3; + 608 : 84; + 609 : 8d; + 60A : 84; + 60B : 8d; + 60C : 46; + 60D : 76; + 60E : 77; + 60F : 78; + 610 : 79; + 611 : 7a; + 612 : 7b; + 613 : 7c; + 614 : 7d; + 615 : 7e; + 616 : 7f; + 617 : 80; + 618 : 81; + 619 : 82; + 61A : 83; + 61B : 84; + 61C : 85; + 61D : 24; + 61E : 24; + 61F : 86; + 620 : 87; + 621 : 24; + 622 : 24; + 623 : 24; + 624 : 88; + 625 : 46; + 626 : 24; + 627 : 9c; + 628 : 9d; + 629 : 9e; + 62A : 9f; + 62B : a0; + 62C : a1; + 62D : a2; + 62E : a3; + 62F : a4; + 630 : a5; + 631 : a6; + 632 : a7; + 633 : a8; + 634 : a9; + 635 : aa; + 636 : ab; + 637 : ac; + 638 : ad; + 639 : ae; + 63A : 24; + 63B : af; + 63C : b0; + 63D : b1; + 63E : 46; + 63F : 24; + 640 : 24; + 641 : 24; + 642 : 89; + 643 : 24; + 644 : 24; + 645 : 8a; + 646 : 8b; + 647 : 8c; + 648 : 8d; + 649 : 8e; + 64A : 8f; + 64B : 90; + 64C : 91; + 64D : 92; + 64E : 93; + 64F : 94; + 650 : 95; + 651 : 96; + 652 : 97; + 653 : 98; + 654 : 99; + 655 : 9a; + 656 : 9b; + 657 : 46; + 658 : 24; + 659 : b2; + 65A : 68; + 65B : 9e; + 65C : b5; + 65D : b6; + 65E : 6c; + 65F : c7; + 660 : a3; + 661 : a4; + 662 : 69; + 663 : a6; + 664 : a7; + 665 : a8; + 666 : 6b; + 667 : aa; + 668 : c9; + 669 : ca; + 66A : 6d; + 66B : bf; + 66C : 24; + 66D : cd; + 66E : 6a; + 66F : b1; + 670 : 46; + 671 : c2; + 672 : c3; + 673 : 24; + 674 : 9e; + 675 : c4; + 676 : c5; + 677 : c6; + 678 : c7; + 679 : a3; + 67A : b9; + 67B : a5; + 67C : a6; + 67D : a7; + 67E : bb; + 67F : 6b; + 680 : c8; + 681 : c9; + 682 : ca; + 683 : cb; + 684 : cc; + 685 : 24; + 686 : cd; + 687 : ce; + 688 : cf; + 689 : 46; + 68A : 24; + 68B : b2; + 68C : b3; + 68D : b4; + 68E : b5; + 68F : b6; + 690 : b7; + 691 : b8; + 692 : a3; + 693 : b9; + 694 : 69; + 695 : ba; + 696 : a7; + 697 : bb; + 698 : a9; + 699 : aa; + 69A : bc; + 69B : bd; + 69C : be; + 69D : bf; + 69E : c0; + 69F : c1; + 6A0 : 24; + 6A1 : b1; + 6A2 : 13; + 6A3 : 2c; + 6A4 : 16; + 6A5 : 13; + 6A6 : 13; + 6A7 : 16; + 6A8 : 30; + 6A9 : 37; + 6AA : 23; + 6AB : db; + 6AC : 42; + 6AD : a0; + 6AE : 21; + 6AF : ca; + 6B0 : 4c; + 6B1 : 24; + 6B2 : 21; + 6B3 : ea; + 6B4 : 0c; + 6B5 : 24; + 6B6 : 24; + 6B7 : 19; + 6B8 : 15; + 6B9 : 0a; + 6BA : 22; + 6BB : 0e; + 6BC : 1b; + 6BD : 24; + 6BE : 66; + 6BF : 24; + 6C0 : 24; + 6C1 : 00; + 6C2 : 23; + 6C3 : e2; + 6C4 : 04; + 6C5 : 08; + 6C6 : 0a; + 6C7 : 0a; + 6C8 : 02; + 6C9 : 22; + 6CA : 0a; + 6CB : 4c; + 6CC : 24; + 6CD : 22; + 6CE : 2a; + 6CF : 0c; + 6D0 : 24; + 6D1 : 10; + 6D2 : 0a; + 6D3 : 16; + 6D4 : 0e; + 6D5 : 24; + 6D6 : 24; + 6D7 : 18; + 6D8 : 1f; + 6D9 : 0e; + 6DA : 1b; + 6DB : 24; + 6DC : 22; + 6DD : 4a; + 6DE : 4c; + 6DF : 24; + 6E0 : 00; + 6E1 : 12; + 6E2 : 24; + 6E3 : 24; + 6E4 : 20; + 6E5 : 63; + 6E6 : 5b; + 6E7 : 24; + 6E8 : 20; + 6E9 : 94; + 6EA : 4a; + 6EB : 24; + 6EC : 20; + 6ED : b4; + 6EE : 4a; + 6EF : 24; + 6F0 : 00; + 6F1 : 21; + 6F2 : 09; + 6F3 : 4e; + 6F4 : 24; + 6F5 : 21; + 6F6 : a9; + 6F7 : 4e; + 6F8 : 24; + 6F9 : 22; + 6FA : 49; + 6FB : 4e; + 6FC : 24; + 6FD : 22; + 6FE : e9; + 6FF : 4e; + 700 : 24; + 701 : 3f; + 702 : 1d; + 703 : 03; + 704 : 30; + 705 : 36; + 706 : 06; + 707 : 00; + 708 : 20; + 709 : 8d; + 70A : 46; + 70B : 24; + 70C : 20; + 70D : ad; + 70E : 46; + 70F : 24; + 710 : 20; + 711 : cd; + 712 : 46; + 713 : 24; + 714 : 20; + 715 : ed; + 716 : 46; + 717 : 24; + 718 : 00; + 719 : 12; + 71A : aa; + 71B : aa; + 71C : 46; + 71D : 24; + 71E : 24; + 71F : dc; + 720 : dd; + 721 : d4; + 722 : d5; + 723 : de; + 724 : df; + 725 : d6; + 726 : d7; + 727 : e0; + 728 : e1; + 729 : d8; + 72A : d9; + 72B : e2; + 72C : e3; + 72D : da; + 72E : db; + 72F : e4; + 730 : e5; + 731 : 24; + 732 : 24; + 733 : e6; + 734 : e7; + 735 : 46; + 736 : e8; + 737 : e9; + 738 : ea; + 739 : eb; + 73A : ec; + 73B : ed; + 73C : ee; + 73D : ef; + 73E : 24; + 73F : f0; + 740 : f1; + 741 : f2; + 742 : 24; + 743 : f3; + 744 : f4; + 745 : f5; + 746 : f6; + 747 : f7; + 748 : f8; + 749 : f9; + 74A : fa; + 74B : fb; + 74C : fc; + 74D : fd; + 74E : 21; + 74F : 08; + 750 : 50; + 751 : 62; + 752 : 00; + 753 : 23; + 754 : 09; + 755 : 4e; + 756 : 62; + 757 : 23; + 758 : 29; + 759 : 4e; + 75A : 62; + 75B : 23; + 75C : 49; + 75D : 4e; + 75E : 62; + 75F : 00; + 760 : 20; + 761 : c5; + 762 : 42; + 763 : 24; + 764 : 20; + 765 : ca; + 766 : 42; + 767 : 24; + 768 : 20; + 769 : ea; + 76A : 42; + 76B : 24; + 76C : 20; + 76D : e5; + 76E : 42; + 76F : 24; + 770 : 22; + 771 : 0a; + 772 : 42; + 773 : 24; + 774 : 22; + 775 : 2a; + 776 : 42; + 777 : 24; + 778 : 22; + 779 : 18; + 77A : 42; + 77B : 24; + 77C : 00; + 77D : 22; + 77E : 38; + 77F : 42; + 780 : 24; + 781 : 21; + 782 : 29; + 783 : c4; + 784 : 24; + 785 : 21; + 786 : 36; + 787 : c4; + 788 : 24; + 789 : 21; + 78A : d0; + 78B : c4; + 78C : 24; + 78D : 22; + 78E : 6c; + 78F : c4; + 790 : 24; + 791 : 22; + 792 : 73; + 793 : c4; + 794 : 24; + 795 : 23; + 796 : 0f; + 797 : c3; + 798 : 24; + 799 : 00; + 79A : ff; + 79B : 01; + 79C : 01; + 79D : ff; + 79E : 78; + 79F : d8; + 7A0 : a9; + 7A1 : 10; + 7A2 : 8d; + 7A3 : 00; + 7A4 : 20; + 7A5 : a2; + 7A6 : ff; + 7A7 : 9a; + 7A8 : ad; + 7A9 : 02; + 7AA : 20; + 7AB : 29; + 7AC : 80; + 7AD : f0; + 7AE : f9; + 7AF : a0; + 7B0 : 07; + 7B1 : 84; + 7B2 : 01; + 7B3 : a0; + 7B4 : 00; + 7B5 : 84; + 7B6 : 00; + 7B7 : a9; + 7B8 : 00; + 7B9 : 91; + 7BA : 00; + 7BB : 88; + 7BC : d0; + 7BD : fb; + 7BE : c6; + 7BF : 01; + 7C0 : 10; + 7C1 : f7; + 7C2 : 20; + 7C3 : e7; + 7C4 : c7; + 7C5 : a9; + 7C6 : 7f; + 7C7 : 8d; + 7C8 : 11; + 7C9 : 05; + 7CA : a9; + 7CB : 18; + 7CC : 85; + 7CD : 51; + 7CE : a9; + 7CF : 01; + 7D0 : 85; + 7D1 : 4e; + 7D2 : 85; + 7D3 : 55; + 7D4 : a9; + 7D5 : 00; + 7D6 : 85; + 7D7 : 4f; + 7D8 : a5; + 7D9 : 10; + 7DA : 49; + 7DB : 80; + 7DC : 8d; + 7DD : 00; + 7DE : 20; + 7DF : 85; + 7E0 : 10; + 7E1 : 20; + 7E2 : ed; + 7E3 : f4; + 7E4 : 4c; + 7E5 : e1; + 7E6 : c7; + 7E7 : a9; + 7E8 : 10; + 7E9 : 8d; + 7EA : 00; + 7EB : 20; + 7EC : 85; + 7ED : 10; + 7EE : a9; + 7EF : 06; + 7F0 : 8d; + 7F1 : 01; + 7F2 : 20; + 7F3 : 85; + 7F4 : 11; + 7F5 : a9; + 7F6 : 00; + 7F7 : 8d; + 7F8 : 05; + 7F9 : 20; + 7FA : 85; + 7FB : 12; + 7FC : 8d; + 7FD : 05; + 7FE : 20; + 7FF : 85; + 800 : 13; + 801 : 20; + 802 : ae; + 803 : cb; + 804 : 4c; + 805 : b7; + 806 : cb; + 807 : aa; + 808 : bd; + 809 : a7; + 80A : c4; + 80B : 85; + 80C : 00; + 80D : bd; + 80E : a8; + 80F : c4; + 810 : 85; + 811 : 01; + 812 : 4c; + 813 : 28; + 814 : f2; + 815 : aa; + 816 : bd; + 817 : 3c; + 818 : c0; + 819 : 85; + 81A : 02; + 81B : bd; + 81C : 3d; + 81D : c0; + 81E : 85; + 81F : 03; + 820 : 4c; + 821 : d7; + 822 : f2; + 823 : aa; + 824 : bd; + 825 : 3c; + 826 : c0; + 827 : 85; + 828 : 00; + 829 : bd; + 82A : 3d; + 82B : c0; + 82C : 85; + 82D : 01; + 82E : 4c; + 82F : 76; + 830 : cd; + 831 : aa; + 832 : bd; + 833 : 3c; + 834 : c0; + 835 : 85; + 836 : 04; + 837 : bd; + 838 : 3d; + 839 : c0; + 83A : 85; + 83B : 05; + 83C : bd; + 83D : 44; + 83E : c0; + 83F : 85; + 840 : 06; + 841 : bd; + 842 : 45; + 843 : c0; + 844 : 85; + 845 : 07; + 846 : 60; + 847 : aa; + 848 : bd; + 849 : 3c; + 84A : c0; + 84B : 85; + 84C : 02; + 84D : bd; + 84E : 3d; + 84F : c0; + 850 : 85; + 851 : 03; + 852 : 60; + 853 : aa; + 854 : bd; + 855 : 3c; + 856 : c0; + 857 : 85; + 858 : 08; + 859 : bd; + 85A : 3d; + 85B : c0; + 85C : 85; + 85D : 09; + 85E : 60; + 85F : 48; + 860 : a5; + 861 : 10; + 862 : 29; + 863 : 7f; + 864 : 8d; + 865 : 00; + 866 : 20; + 867 : 85; + 868 : 10; + 869 : a9; + 86A : 00; + 86B : 8d; + 86C : 03; + 86D : 20; + 86E : a9; + 86F : 02; + 870 : 8d; + 871 : 14; + 872 : 40; + 873 : a9; + 874 : 31; + 875 : 85; + 876 : 00; + 877 : a9; + 878 : 03; + 879 : 85; + 87A : 01; + 87B : 20; + 87C : 28; + 87D : f2; + 87E : a9; + 87F : 00; + 880 : 8d; + 881 : 30; + 882 : 03; + 883 : 8d; + 884 : 31; + 885 : 03; + 886 : 20; + 887 : 0e; + 888 : f5; + 889 : a5; + 88A : 11; + 88B : 49; + 88C : 18; + 88D : 8d; + 88E : 01; + 88F : 20; + 890 : 20; + 891 : 48; + 892 : fa; + 893 : a5; + 894 : 4e; + 895 : d0; + 896 : 2a; + 897 : a5; + 898 : 4f; + 899 : f0; + 89A : 39; + 89B : a5; + 89C : 9a; + 89D : d0; + 89E : 06; + 89F : 20; + 8A0 : 7c; + 8A1 : ce; + 8A2 : 4c; + 8A3 : d7; + 8A4 : c8; + 8A5 : ad; + 8A6 : 4f; + 8A7 : 04; + 8A8 : c9; + 8A9 : 08; + 8AA : d0; + 8AB : 28; + 8AC : 20; + 8AD : f4; + 8AE : cc; + 8AF : a5; + 8B0 : 43; + 8B1 : d0; + 8B2 : 24; + 8B3 : a9; + 8B4 : 00; + 8B5 : 8d; + 8B6 : 4f; + 8B7 : 04; + 8B8 : 85; + 8B9 : 4f; + 8BA : a9; + 8BB : 79; + 8BC : 85; + 8BD : 43; + 8BE : 4c; + 8BF : d7; + 8C0 : c8; + 8C1 : a5; + 8C2 : 55; + 8C3 : d0; + 8C4 : 06; + 8C5 : 20; + 8C6 : 30; + 8C7 : ca; + 8C8 : 4c; + 8C9 : d7; + 8CA : c8; + 8CB : 20; + 8CC : f3; + 8CD : c8; + 8CE : 20; + 8CF : ac; + 8D0 : f4; + 8D1 : 4c; + 8D2 : d7; + 8D3 : c8; + 8D4 : 20; + 8D5 : c9; + 8D6 : ca; + 8D7 : ad; + 8D8 : 05; + 8D9 : 05; + 8DA : c9; + 8DB : 01; + 8DC : d0; + 8DD : 0a; + 8DE : a5; + 8DF : 51; + 8E0 : 85; + 8E1 : 00; + 8E2 : 20; + 8E3 : 3c; + 8E4 : f2; + 8E5 : ce; + 8E6 : 05; + 8E7 : 05; + 8E8 : a5; + 8E9 : 10; + 8EA : 49; + 8EB : 80; + 8EC : 8d; + 8ED : 00; + 8EE : 20; + 8EF : 85; + 8F0 : 10; + 8F1 : 68; + 8F2 : 40; + 8F3 : ad; + 8F4 : 02; + 8F5 : 01; + 8F6 : d0; + 8F7 : 06; + 8F8 : 8d; + 8F9 : 15; + 8FA : 40; + 8FB : 8d; + 8FC : 00; + 8FD : 01; + 8FE : ad; + 8FF : 18; + 900 : 05; + 901 : d0; + 902 : 11; + 903 : a9; + 904 : 80; + 905 : 85; + 906 : fd; + 907 : a9; + 908 : 04; + 909 : 8d; + 90A : 18; + 90B : 05; + 90C : a9; + 90D : 0f; + 90E : 8d; + 90F : 15; + 910 : 40; + 911 : 8d; + 912 : 00; + 913 : 01; + 914 : ad; + 915 : 10; + 916 : 05; + 917 : d0; + 918 : 27; + 919 : 20; + 91A : 9a; + 91B : d1; + 91C : a9; + 91D : 08; + 91E : 20; + 91F : 07; + 920 : c8; + 921 : ad; + 922 : 11; + 923 : 05; + 924 : 8d; + 925 : 00; + 926 : 02; + 927 : a9; + 928 : a2; + 929 : 8d; + 92A : 01; + 92B : 02; + 92C : a9; + 92D : 00; + 92E : 8d; + 92F : 02; + 930 : 02; + 931 : 85; + 932 : 58; + 933 : a9; + 934 : 38; + 935 : 8d; + 936 : 03; + 937 : 02; + 938 : 8d; + 939 : 10; + 93A : 05; + 93B : a9; + 93C : 20; + 93D : 85; + 93E : 44; + 93F : 60; + 940 : a5; + 941 : 15; + 942 : 29; + 943 : 20; + 944 : d0; + 945 : 17; + 946 : a5; + 947 : 15; + 948 : 29; + 949 : 10; + 94A : d0; + 94B : 3e; + 94C : a9; + 94D : 00; + 94E : 8d; + 94F : 12; + 950 : 05; + 951 : a5; + 952 : 44; + 953 : d0; + 954 : 07; + 955 : a9; + 956 : 01; + 957 : 85; + 958 : 58; + 959 : 4c; + 95A : b1; + 95B : c9; + 95C : 60; + 95D : a9; + 95E : 40; + 95F : 85; + 960 : 44; + 961 : ad; + 962 : 12; + 963 : 05; + 964 : d0; + 965 : 1f; + 966 : a9; + 967 : 40; + 968 : 85; + 969 : 35; + 96A : ad; + 96B : 00; + 96C : 02; + 96D : 18; + 96E : 69; + 96F : 10; + 970 : c9; + 971 : bf; + 972 : d0; + 973 : 02; + 974 : a9; + 975 : 7f; + 976 : 8d; + 977 : 00; + 978 : 02; + 979 : 8d; + 97A : 11; + 97B : 05; + 97C : ee; + 97D : 12; + 97E : 05; + 97F : a9; + 980 : 0a; + 981 : 8d; + 982 : 13; + 983 : 05; + 984 : 60; + 985 : a5; + 986 : 35; + 987 : d0; + 988 : 00; + 989 : 60; + 98A : 8d; + 98B : 14; + 98C : 05; + 98D : a2; + 98E : 0a; + 98F : a9; + 990 : 00; + 991 : 95; + 992 : 24; + 993 : ca; + 994 : d0; + 995 : fb; + 996 : ad; + 997 : 11; + 998 : 05; + 999 : 4a; + 99A : 4a; + 99B : 4a; + 99C : 4a; + 99D : 38; + 99E : e9; + 99F : 07; + 9A0 : 85; + 9A1 : 50; + 9A2 : c9; + 9A3 : 02; + 9A4 : 30; + 9A5 : 07; + 9A6 : a9; + 9A7 : 1c; + 9A8 : 85; + 9A9 : 51; + 9AA : 4c; + 9AB : b1; + 9AC : c9; + 9AD : a9; + 9AE : 18; + 9AF : 85; + 9B0 : 51; + 9B1 : a5; + 9B2 : 50; + 9B3 : 29; + 9B4 : 01; + 9B5 : 0a; + 9B6 : aa; + 9B7 : bd; + 9B8 : 07; + 9B9 : 05; + 9BA : 85; + 9BB : 21; + 9BC : bd; + 9BD : 08; + 9BE : 05; + 9BF : 85; + 9C0 : 22; + 9C1 : a9; + 9C2 : 0f; + 9C3 : 85; + 9C4 : 18; + 9C5 : a9; + 9C6 : 13; + 9C7 : 85; + 9C8 : 19; + 9C9 : a9; + 9CA : 00; + 9CB : 85; + 9CC : 4e; + 9CD : 8d; + 9CE : 06; + 9CF : 04; + 9D0 : 8d; + 9D1 : 07; + 9D2 : 04; + 9D3 : 85; + 9D4 : 4f; + 9D5 : 8d; + 9D6 : 10; + 9D7 : 05; + 9D8 : 8d; + 9D9 : 0b; + 9DA : 05; + 9DB : 8d; + 9DC : 12; + 9DD : 05; + 9DE : a9; + 9DF : 01; + 9E0 : 85; + 9E1 : 53; + 9E2 : 8d; + 9E3 : 00; + 9E4 : 04; + 9E5 : 8d; + 9E6 : 01; + 9E7 : 04; + 9E8 : a9; + 9E9 : 00; + 9EA : 85; + 9EB : 54; + 9EC : 8d; + 9ED : 02; + 9EE : 04; + 9EF : 8d; + 9F0 : 03; + 9F1 : 04; + 9F2 : a9; + 9F3 : 00; + 9F4 : 85; + 9F5 : 52; + 9F6 : 8d; + 9F7 : 08; + 9F8 : 04; + 9F9 : 8d; + 9FA : 09; + 9FB : 04; + 9FC : 85; + 9FD : fc; + 9FE : a9; + 9FF : 03; + A00 : a6; + A01 : 58; + A02 : f0; + A03 : 02; + A04 : a9; + A05 : 01; + A06 : 85; + A07 : 55; + A08 : 8d; + A09 : 04; + A0A : 04; + A0B : 8d; + A0C : 05; + A0D : 04; + A0E : 8d; + A0F : 0b; + A10 : 04; + A11 : a5; + A12 : 58; + A13 : d0; + A14 : 11; + A15 : a9; + A16 : 97; + A17 : 85; + A18 : 43; + A19 : a9; + A1A : 01; + A1B : 85; + A1C : fd; + A1D : a9; + A1E : 0f; + A1F : 8d; + A20 : 15; + A21 : 40; + A22 : 8d; + A23 : 00; + A24 : 01; + A25 : 60; + A26 : ce; + A27 : 18; + A28 : 05; + A29 : a9; + A2A : 75; + A2B : 85; + A2C : 43; + A2D : 4c; + A2E : ae; + A2F : cb; + A30 : 20; + A31 : ac; + A32 : f4; + A33 : a5; + A34 : 58; + A35 : d0; + A36 : 13; + A37 : a5; + A38 : 43; + A39 : c9; + A3A : 75; + A3B : f0; + A3C : 1d; + A3D : c9; + A3E : 74; + A3F : f0; + A40 : 1e; + A41 : c9; + A42 : 73; + A43 : f0; + A44 : 1f; + A45 : c9; + A46 : 5f; + A47 : f0; + A48 : 30; + A49 : 60; + A4A : 85; + A4B : 55; + A4C : a9; + A4D : 00; + A4E : 85; + A4F : 58; + A50 : 8d; + A51 : 10; + A52 : 05; + A53 : 20; + A54 : b7; + A55 : cb; + A56 : 20; + A57 : ae; + A58 : cb; + A59 : 60; + A5A : c6; + A5B : 43; + A5C : 4c; + A5D : ae; + A5E : cb; + A5F : c6; + A60 : 43; + A61 : 4c; + A62 : ca; + A63 : cb; + A64 : c6; + A65 : 43; + A66 : a5; + A67 : 50; + A68 : 29; + A69 : 01; + A6A : 0a; + A6B : aa; + A6C : a5; + A6D : 21; + A6E : 9d; + A6F : 07; + A70 : 05; + A71 : a5; + A72 : 22; + A73 : 9d; + A74 : 08; + A75 : 05; + A76 : 4c; + A77 : f5; + A78 : cb; + A79 : a6; + A7A : 52; + A7B : a9; + A7C : 01; + A7D : 9d; + A7E : 06; + A7F : 04; + A80 : 85; + A81 : 4e; + A82 : a5; + A83 : 51; + A84 : c9; + A85 : 1c; + A86 : d0; + A87 : 0c; + A88 : a5; + A89 : 52; + A8A : 49; + A8B : 01; + A8C : aa; + A8D : bd; + A8E : 06; + A8F : 04; + A90 : 85; + A91 : 4e; + A92 : f0; + A93 : 05; + A94 : 85; + A95 : 55; + A96 : 4c; + A97 : 53; + A98 : ca; + A99 : a9; + A9A : 85; + A9B : 85; + A9C : 43; + A9D : 8d; + A9E : 0b; + A9F : 04; + AA0 : a0; + AA1 : 00; + AA2 : 84; + AA3 : 4f; + AA4 : 86; + AA5 : 52; + AA6 : 4c; + AA7 : a9; + AA8 : ca; + AA9 : a0; + AAA : 00; + AAB : bd; + AAC : 00; + AAD : 04; + AAE : 99; + AAF : 53; + AB0 : 00; + AB1 : e8; + AB2 : e8; + AB3 : c8; + AB4 : c0; + AB5 : 03; + AB6 : d0; + AB7 : f3; + AB8 : 60; + AB9 : a0; + ABA : 00; + ABB : b9; + ABC : 53; + ABD : 00; + ABE : 9d; + ABF : 00; + AC0 : 04; + AC1 : e8; + AC2 : e8; + AC3 : c8; + AC4 : c0; + AC5 : 03; + AC6 : d0; + AC7 : f3; + AC8 : 60; + AC9 : 20; + ACA : ac; + ACB : f4; + ACC : a5; + ACD : 53; + ACE : c9; + ACF : 01; + AD0 : f0; + AD1 : 06; + AD2 : a5; + AD3 : 43; + AD4 : c9; + AD5 : 84; + AD6 : f0; + AD7 : 2a; + AD8 : a5; + AD9 : 43; + ADA : c9; + ADB : 72; + ADC : b0; + ADD : 3a; + ADE : c9; + ADF : 6d; + AE0 : f0; + AE1 : 05; + AE2 : c9; + AE3 : 62; + AE4 : f0; + AE5 : 14; + AE6 : 60; + AE7 : ad; + AE8 : 0b; + AE9 : 04; + AEA : f0; + AEB : 0a; + AEC : a9; + AED : 00; + AEE : 8d; + AEF : 0b; + AF0 : 04; + AF1 : c6; + AF2 : 55; + AF3 : 20; + AF4 : bd; + AF5 : cb; + AF6 : 20; + AF7 : 34; + AF8 : cc; + AF9 : 60; + AFA : a9; + AFB : 01; + AFC : 85; + AFD : 4f; + AFE : 20; + AFF : 47; + B00 : cc; + B01 : 60; + B02 : a6; + B03 : 52; + B04 : a5; + B05 : 53; + B06 : dd; + B07 : 00; + B08 : 04; + B09 : f0; + B0A : 0a; + B0B : c9; + B0C : 01; + B0D : f0; + B0E : 06; + B0F : 20; + B10 : 24; + B11 : cc; + B12 : 20; + B13 : 04; + B14 : cc; + B15 : c6; + B16 : 43; + B17 : 60; + B18 : 4c; + B19 : 1b; + B1A : cb; + B1B : c9; + B1C : 7a; + B1D : f0; + B1E : 11; + B1F : c9; + B20 : 75; + B21 : f0; + B22 : 16; + B23 : c9; + B24 : 74; + B25 : f0; + B26 : 0f; + B27 : c9; + B28 : 73; + B29 : f0; + B2A : 2d; + B2B : c9; + B2C : 72; + B2D : f0; + B2E : 18; + B2F : 60; + B30 : 20; + B31 : 53; + B32 : ca; + B33 : 20; + B34 : ca; + B35 : cb; + B36 : c6; + B37 : 43; + B38 : 60; + B39 : 20; + B3A : b7; + B3B : cb; + B3C : c6; + B3D : 43; + B3E : a5; + B3F : 58; + B40 : d0; + B41 : 04; + B42 : a9; + B43 : 08; + B44 : 85; + B45 : fd; + B46 : 60; + B47 : c6; + B48 : 43; + B49 : a6; + B4A : 53; + B4B : ca; + B4C : bd; + B4D : 08; + B4E : c6; + B4F : 85; + B50 : 00; + B51 : a9; + B52 : 20; + B53 : 85; + B54 : 01; + B55 : 4c; + B56 : a6; + B57 : eb; + B58 : 20; + B59 : 9a; + B5A : d1; + B5B : a6; + B5C : 53; + B5D : ca; + B5E : 8a; + B5F : 0a; + B60 : 20; + B61 : 07; + B62 : c8; + B63 : a9; + B64 : 0a; + B65 : 20; + B66 : 07; + B67 : c8; + B68 : a5; + B69 : 51; + B6A : c9; + B6B : 1c; + B6C : f0; + B6D : 0d; + B6E : a9; + B6F : 76; + B70 : 85; + B71 : 00; + B72 : a9; + B73 : 20; + B74 : 85; + B75 : 01; + B76 : a9; + B77 : 04; + B78 : 20; + B79 : 15; + B7A : c8; + B7B : a9; + B7C : 01; + B7D : 8d; + B7E : 05; + B7F : 05; + B80 : 20; + B81 : 32; + B82 : d0; + B83 : 20; + B84 : bd; + B85 : cb; + B86 : a9; + B87 : bc; + B88 : 85; + B89 : 00; + B8A : a4; + B8B : 54; + B8C : c8; + B8D : 20; + B8E : c2; + B8F : f4; + B90 : a9; + B91 : 00; + B92 : 85; + B93 : 2c; + B94 : a9; + B95 : 80; + B96 : 88; + B97 : c0; + B98 : 04; + B99 : 10; + B9A : 03; + B9B : b9; + B9C : 07; + B9D : c2; + B9E : 85; + B9F : 2e; + BA0 : a9; + BA1 : 0d; + BA2 : 85; + BA3 : 45; + BA4 : a9; + BA5 : 02; + BA6 : 85; + BA7 : 00; + BA8 : 20; + BA9 : 3c; + BAA : f2; + BAB : c6; + BAC : 43; + BAD : 60; + BAE : a9; + BAF : 00; + BB0 : 85; + BB1 : 04; + BB2 : a9; + BB3 : ff; + BB4 : 4c; + BB5 : 92; + BB6 : f0; + BB7 : 20; + BB8 : 9a; + BB9 : d1; + BBA : 4c; + BBB : b4; + BBC : f1; + BBD : a9; + BBE : b5; + BBF : 85; + BC0 : 00; + BC1 : a9; + BC2 : 20; + BC3 : 85; + BC4 : 01; + BC5 : a4; + BC6 : 55; + BC7 : 4c; + BC8 : c2; + BC9 : f4; + BCA : a5; + BCB : 58; + BCC : d0; + BCD : 26; + BCE : a5; + BCF : 51; + BD0 : c9; + BD1 : 1c; + BD2 : d0; + BD3 : 20; + BD4 : a6; + BD5 : 52; + BD6 : a5; + BD7 : 53; + BD8 : dd; + BD9 : 00; + BDA : 04; + BDB : d0; + BDC : 17; + BDD : a0; + BDE : 00; + BDF : b9; + BE0 : aa; + BE1 : c6; + BE2 : 99; + BE3 : 31; + BE4 : 03; + BE5 : f0; + BE6 : 04; + BE7 : c8; + BE8 : 4c; + BE9 : df; + BEA : cb; + BEB : a5; + BEC : 52; + BED : f0; + BEE : 05; + BEF : a9; + BF0 : 67; + BF1 : 8d; + BF2 : 45; + BF3 : 03; + BF4 : 60; + BF5 : a0; + BF6 : 00; + BF7 : b9; + BF8 : c2; + BF9 : c6; + BFA : 99; + BFB : 31; + BFC : 03; + BFD : f0; + BFE : 04; + BFF : c8; + C00 : 4c; + C01 : f7; + C02 : cb; + C03 : 60; + C04 : a5; + C05 : 58; + C06 : d0; + C07 : 1b; + C08 : a6; + C09 : 52; + C0A : bd; + C0B : 08; + C0C : 04; + C0D : d0; + C0E : 14; + C0F : 8a; + C10 : a8; + C11 : 18; + C12 : 0a; + C13 : 0a; + C14 : aa; + C15 : b5; + C16 : 25; + C17 : c9; + C18 : 02; + C19 : 90; + C1A : 08; + C1B : 99; + C1C : 08; + C1D : 04; + C1E : e6; + C1F : 55; + C20 : 20; + C21 : bd; + C22 : cb; + C23 : 60; + C24 : a5; + C25 : 2e; + C26 : 85; + C27 : 00; + C28 : a5; + C29 : 52; + C2A : 09; + C2B : 08; + C2C : 85; + C2D : 01; + C2E : 20; + C2F : 42; + C30 : f3; + C31 : 4c; + C32 : 32; + C33 : d0; + C34 : a9; + C35 : 01; + C36 : 8d; + C37 : 05; + C38 : 05; + C39 : 20; + C3A : 32; + C3B : d0; + C3C : a9; + C3D : 00; + C3E : 8d; + C3F : 0b; + C40 : 05; + C41 : 20; + C42 : c1; + C43 : cc; + C44 : 4c; + C45 : f2; + C46 : d7; + C47 : a9; + C48 : 00; + C49 : aa; + C4A : 95; + C4B : 59; + C4C : 9d; + C4D : 0d; + C4E : 04; + C4F : e8; + C50 : e0; + C51 : 89; + C52 : d0; + C53 : f6; + C54 : a9; + C55 : 01; + C56 : 85; + C57 : 59; + C58 : 85; + C59 : 96; + C5A : 8d; + C5B : 3e; + C5C : 04; + C5D : 8d; + C5E : 51; + C5F : 04; + C60 : 8d; + C61 : 52; + C62 : 04; + C63 : 85; + C64 : 9f; + C65 : 8d; + C66 : 03; + C67 : 05; + C68 : a9; + C69 : 04; + C6A : 85; + C6B : 97; + C6C : a9; + C6D : 58; + C6E : 8d; + C6F : 3d; + C70 : 04; + C71 : a9; + C72 : 20; + C73 : 85; + C74 : a2; + C75 : a9; + C76 : 80; + C77 : 85; + C78 : 18; + C79 : a9; + C7A : 0a; + C7B : 85; + C7C : 34; + C7D : a6; + C7E : 52; + C7F : 20; + C80 : b9; + C81 : ca; + C82 : a9; + C83 : bb; + C84 : 85; + C85 : 39; + C86 : a9; + C87 : 27; + C88 : 85; + C89 : 44; + C8A : a5; + C8B : 53; + C8C : c9; + C8D : 01; + C8E : f0; + C8F : 09; + C90 : c9; + C91 : 03; + C92 : f0; + C93 : 12; + C94 : a9; + C95 : 10; + C96 : 85; + C97 : fc; + C98 : 60; + C99 : a9; + C9A : 38; + C9B : 85; + C9C : 36; + C9D : a9; + C9E : 40; + C9F : 85; + CA0 : 43; + CA1 : a9; + CA2 : 02; + CA3 : 85; + CA4 : fc; + CA5 : 60; + CA6 : a9; + CA7 : 20; + CA8 : 85; + CA9 : 36; + CAA : a9; + CAB : 50; + CAC : 8d; + CAD : 3f; + CAE : 04; + CAF : 8d; + CB0 : 41; + CB1 : 04; + CB2 : 8d; + CB3 : 43; + CB4 : 04; + CB5 : a9; + CB6 : 03; + CB7 : 8d; + CB8 : 40; + CB9 : 04; + CBA : 8d; + CBB : 42; + CBC : 04; + CBD : 8d; + CBE : 44; + CBF : 04; + CC0 : 60; + CC1 : a5; + CC2 : 53; + CC3 : 38; + CC4 : e9; + CC5 : 01; + CC6 : 0a; + CC7 : aa; + CC8 : bd; + CC9 : a6; + CCA : c5; + CCB : 85; + CCC : 09; + CCD : bd; + CCE : a7; + CCF : c5; + CD0 : 85; + CD1 : 0a; + CD2 : a2; + CD3 : 00; + CD4 : a0; + CD5 : 00; + CD6 : b1; + CD7 : 09; + CD8 : c9; + CD9 : fe; + CDA : f0; + CDB : 17; + CDC : 95; + CDD : 00; + CDE : c8; + CDF : e8; + CE0 : e0; + CE1 : 05; + CE2 : d0; + CE3 : f2; + CE4 : 84; + CE5 : 86; + CE6 : b1; + CE7 : 09; + CE8 : 20; + CE9 : 96; + CEA : f0; + CEB : a4; + CEC : 86; + CED : c8; + CEE : a2; + CEF : 00; + CF0 : 4c; + CF1 : d6; + CF2 : cc; + CF3 : 60; + CF4 : ad; + CF5 : 50; + CF6 : 04; + CF7 : d0; + CF8 : 0e; + CF9 : a9; + CFA : 01; + CFB : 8d; + CFC : 50; + CFD : 04; + CFE : a9; + CFF : 0a; + D00 : 85; + D01 : 34; + D02 : a9; + D03 : 10; + D04 : 85; + D05 : fd; + D06 : 60; + D07 : a5; + D08 : 43; + D09 : c9; + D0A : 58; + D0B : 90; + D0C : 06; + D0D : 20; + D0E : ac; + D0F : f4; + D10 : 4c; + D11 : 22; + D12 : cd; + D13 : 20; + D14 : 24; + D15 : cc; + D16 : 20; + D17 : 04; + D18 : cc; + D19 : a9; + D1A : 00; + D1B : 85; + D1C : 43; + D1D : 85; + D1E : 9a; + D1F : 4c; + D20 : 53; + D21 : ca; + D22 : a5; + D23 : 43; + D24 : c9; + D25 : 9f; + D26 : f0; + D27 : 1d; + D28 : c9; + D29 : 9e; + D2A : f0; + D2B : 1e; + D2C : c9; + D2D : 9d; + D2E : f0; + D2F : 1f; + D30 : c9; + D31 : 9c; + D32 : f0; + D33 : 24; + D34 : c9; + D35 : 9b; + D36 : f0; + D37 : 29; + D38 : c9; + D39 : 90; + D3A : b0; + D3B : 2a; + D3C : c9; + D3D : 86; + D3E : b0; + D3F : 29; + D40 : c9; + D41 : 70; + D42 : b0; + D43 : 28; + D44 : 60; + D45 : c6; + D46 : 43; + D47 : 4c; + D48 : 6f; + D49 : cd; + D4A : c6; + D4B : 43; + D4C : 4c; + D4D : 7f; + D4E : cd; + D4F : a0; + D50 : 1c; + D51 : c6; + D52 : 43; + D53 : a9; + D54 : 06; + D55 : 4c; + D56 : 23; + D57 : c8; + D58 : a0; + D59 : 1c; + D5A : c6; + D5B : 43; + D5C : a9; + D5D : 08; + D5E : 4c; + D5F : 23; + D60 : c8; + D61 : c6; + D62 : 43; + D63 : 4c; + D64 : 89; + D65 : cd; + D66 : 4c; + D67 : 9d; + D68 : cd; + D69 : 4c; + D6A : b1; + D6B : cd; + D6C : 4c; + D6D : 24; + D6E : ce; + D6F : a0; + D70 : 0c; + D71 : a9; + D72 : 0a; + D73 : 4c; + D74 : 23; + D75 : c8; + D76 : b1; + D77 : 00; + D78 : 99; + D79 : 31; + D7A : 03; + D7B : 88; + D7C : 10; + D7D : f8; + D7E : 60; + D7F : 20; + D80 : ae; + D81 : cb; + D82 : a0; + D83 : 16; + D84 : a9; + D85 : 0c; + D86 : 4c; + D87 : 23; + D88 : c8; + D89 : a0; + D8A : 0c; + D8B : a9; + D8C : 0e; + D8D : 20; + D8E : 23; + D8F : c8; + D90 : a9; + D91 : 03; + D92 : 85; + D93 : 02; + D94 : a9; + D95 : 18; + D96 : 85; + D97 : 03; + D98 : a9; + D99 : 50; + D9A : 4c; + D9B : 8c; + D9C : f0; + D9D : a9; + D9E : 8d; + D9F : 85; + DA0 : 00; + DA1 : a9; + DA2 : 20; + DA3 : 85; + DA4 : 01; + DA5 : a5; + DA6 : 43; + DA7 : 29; + DA8 : 01; + DA9 : f0; + DAA : 03; + DAB : 4c; + DAC : 89; + DAD : eb; + DAE : 4c; + DAF : 92; + DB0 : eb; + DB1 : c9; + DB2 : 8f; + DB3 : d0; + DB4 : 22; + DB5 : c6; + DB6 : 43; + DB7 : a0; + DB8 : 10; + DB9 : a9; + DBA : 10; + DBB : 20; + DBC : 23; + DBD : c8; + DBE : a9; + DBF : 01; + DC0 : 85; + DC1 : fe; + DC2 : a9; + DC3 : 68; + DC4 : 85; + DC5 : 00; + DC6 : a9; + DC7 : 3e; + DC8 : 85; + DC9 : 01; + DCA : a9; + DCB : 40; + DCC : 85; + DCD : 02; + DCE : a9; + DCF : 46; + DD0 : 85; + DD1 : 03; + DD2 : a9; + DD3 : 50; + DD4 : 4c; + DD5 : 80; + DD6 : f0; + DD7 : ad; + DD8 : 50; + DD9 : 02; + DDA : c9; + DDB : a0; + DDC : f0; + DDD : 11; + DDE : c9; + DDF : ff; + DE0 : f0; + DE1 : 11; + DE2 : 18; + DE3 : 69; + DE4 : 02; + DE5 : 85; + DE6 : 01; + DE7 : ad; + DE8 : 53; + DE9 : 02; + DEA : 85; + DEB : 00; + DEC : 4c; + DED : ca; + DEE : cd; + DEF : a9; + DF0 : 80; + DF1 : 85; + DF2 : fe; + DF3 : a9; + DF4 : 18; + DF5 : 85; + DF6 : 03; + DF7 : a9; + DF8 : 50; + DF9 : 20; + DFA : 8c; + DFB : f0; + DFC : a9; + DFD : eb; + DFE : 85; + DFF : 00; + E00 : a9; + E01 : 23; + E02 : 85; + E03 : 01; + E04 : a9; + E05 : 12; + E06 : 20; + E07 : 15; + E08 : c8; + E09 : a9; + E0A : 01; + E0B : 4c; + E0C : 0e; + E0D : ce; + E0E : 08; + E0F : a9; + E10 : 8d; + E11 : 85; + E12 : 00; + E13 : a9; + E14 : 22; + E15 : 85; + E16 : 01; + E17 : 28; + E18 : d0; + E19 : 05; + E1A : a9; + E1B : 16; + E1C : 4c; + E1D : 15; + E1E : c8; + E1F : a9; + E20 : 14; + E21 : 4c; + E22 : 15; + E23 : c8; + E24 : c9; + E25 : 85; + E26 : f0; + E27 : 07; + E28 : a5; + E29 : 43; + E2A : 29; + E2B : 01; + E2C : 4c; + E2D : 0e; + E2E : ce; + E2F : a9; + E30 : 04; + E31 : 85; + E32 : fd; + E33 : a0; + E34 : 04; + E35 : a9; + E36 : 18; + E37 : 20; + E38 : 23; + E39 : c8; + E3A : a9; + E3B : 78; + E3C : 85; + E3D : 00; + E3E : a9; + E3F : 20; + E40 : 85; + E41 : 01; + E42 : a9; + E43 : c8; + E44 : 85; + E45 : 02; + E46 : a9; + E47 : 22; + E48 : 85; + E49 : 03; + E4A : a9; + E4B : b0; + E4C : 20; + E4D : 80; + E4E : f0; + E4F : c6; + E50 : 43; + E51 : a9; + E52 : a0; + E53 : 85; + E54 : 00; + E55 : a9; + E56 : 30; + E57 : 85; + E58 : 01; + E59 : a9; + E5A : 04; + E5B : 20; + E5C : d4; + E5D : ea; + E5E : a9; + E5F : 00; + E60 : 20; + E61 : 86; + E62 : f0; + E63 : a9; + E64 : 28; + E65 : 8d; + E66 : e8; + E67 : 02; + E68 : 8d; + E69 : ec; + E6A : 02; + E6B : a9; + E6C : 30; + E6D : 8d; + E6E : f0; + E6F : 02; + E70 : 8d; + E71 : f8; + E72 : 02; + E73 : a9; + E74 : 38; + E75 : 8d; + E76 : f4; + E77 : 02; + E78 : 8d; + E79 : fc; + E7A : 02; + E7B : 60; + E7C : a5; + E7D : 58; + E7E : f0; + E7F : 14; + E80 : ad; + E81 : 02; + E82 : 01; + E83 : d0; + E84 : 06; + E85 : 8d; + E86 : 15; + E87 : 40; + E88 : 8d; + E89 : 00; + E8A : 01; + E8B : a5; + E8C : 15; + E8D : 29; + E8E : 20; + E8F : f0; + E90 : 03; + E91 : 4c; + E92 : 2b; + E93 : cf; + E94 : ad; + E95 : 16; + E96 : 05; + E97 : d0; + E98 : 15; + E99 : ad; + E9A : 17; + E9B : 05; + E9C : f0; + E9D : 04; + E9E : ce; + E9F : 17; + EA0 : 05; + EA1 : 60; + EA2 : 20; + EA3 : 04; + EA4 : cc; + EA5 : 20; + EA6 : a8; + EA7 : cf; + EA8 : a5; + EA9 : 9a; + EAA : c9; + EAB : 01; + EAC : d0; + EAD : 03; + EAE : 4c; + EAF : 1c; + EB0 : cf; + EB1 : a5; + EB2 : bf; + EB3 : f0; + EB4 : 03; + EB5 : 4c; + EB6 : 13; + EB7 : cf; + EB8 : a5; + EB9 : 96; + EBA : c9; + EBB : ff; + EBC : d0; + EBD : 03; + EBE : 4c; + EBF : 19; + EC0 : cf; + EC1 : c9; + EC2 : 08; + EC3 : f0; + EC4 : 11; + EC5 : c9; + EC6 : 04; + EC7 : f0; + EC8 : 0d; + EC9 : a5; + ECA : 58; + ECB : f0; + ECC : 06; + ECD : 20; + ECE : da; + ECF : eb; + ED0 : 4c; + ED1 : d6; + ED2 : ce; + ED3 : 20; + ED4 : 75; + ED5 : d1; + ED6 : 20; + ED7 : 06; + ED8 : eb; + ED9 : 20; + EDA : b6; + EDB : eb; + EDC : 20; + EDD : 41; + EDE : d0; + EDF : 20; + EE0 : a4; + EE1 : d1; + EE2 : 20; + EE3 : 5f; + EE4 : ea; + EE5 : 20; + EE6 : e5; + EE7 : e1; + EE8 : 20; + EE9 : 79; + EEA : ee; + EEB : a5; + EEC : 53; + EED : c9; + EEE : 03; + EEF : f0; + EF0 : 10; + EF1 : c9; + EF2 : 04; + EF3 : f0; + EF4 : 18; + EF5 : 20; + EF6 : 16; + EF7 : da; + EF8 : 20; + EF9 : 9a; + EFA : e1; + EFB : 20; + EFC : 29; + EFD : ec; + EFE : 4c; + EFF : 1c; + F00 : cf; + F01 : 20; + F02 : 34; + F03 : e8; + F04 : 20; + F05 : 81; + F06 : e9; + F07 : 20; + F08 : 29; + F09 : ec; + F0A : 4c; + F0B : 1c; + F0C : cf; + F0D : 20; + F0E : 29; + F0F : ec; + F10 : 4c; + F11 : 1c; + F12 : cf; + F13 : 20; + F14 : 0c; + F15 : ee; + F16 : 4c; + F17 : 1c; + F18 : cf; + F19 : 20; + F1A : c0; + F1B : d0; + F1C : 20; + F1D : 42; + F1E : cf; + F1F : ad; + F20 : 16; + F21 : 05; + F22 : d0; + F23 : 06; + F24 : 20; + F25 : 4c; + F26 : d0; + F27 : 20; + F28 : ac; + F29 : f4; + F2A : 60; + F2B : a9; + F2C : 01; + F2D : 85; + F2E : 4e; + F2F : 8d; + F30 : 12; + F31 : 05; + F32 : 85; + F33 : 55; + F34 : a9; + F35 : 20; + F36 : 85; + F37 : 44; + F38 : a9; + F39 : 00; + F3A : 85; + F3B : 58; + F3C : 8d; + F3D : 10; + F3E : 05; + F3F : 4c; + F40 : 53; + F41 : ca; + F42 : a5; + F43 : 15; + F44 : 29; + F45 : 10; + F46 : f0; + F47 : 47; + F48 : a5; + F49 : 58; + F4A : f0; + F4B : 09; + F4C : a9; + F4D : 00; + F4E : 85; + F4F : 58; + F50 : a5; + F51 : 15; + F52 : 4c; + F53 : 8a; + F54 : c9; + F55 : a5; + F56 : 15; + F57 : cd; + F58 : 14; + F59 : 05; + F5A : f0; + F5B : 36; + F5C : 8d; + F5D : 14; + F5E : 05; + F5F : ad; + F60 : 16; + F61 : 05; + F62 : f0; + F63 : 16; + F64 : ad; + F65 : 17; + F66 : 05; + F67 : d0; + F68 : 10; + F69 : 8d; + F6A : 16; + F6B : 05; + F6C : a5; + F6D : 0f; + F6E : 85; + F6F : fc; + F70 : a5; + F71 : 11; + F72 : 29; + F73 : ef; + F74 : 85; + F75 : 11; + F76 : 4c; + F77 : 87; + F78 : cf; + F79 : 60; + F7A : a9; + F7B : 01; + F7C : 8d; + F7D : 16; + F7E : 05; + F7F : a5; + F80 : fc; + F81 : 85; + F82 : 0f; + F83 : a9; + F84 : 00; + F85 : 85; + F86 : fc; + F87 : a9; + F88 : 40; + F89 : 8d; + F8A : 17; + F8B : 05; + F8C : 85; + F8D : fd; + F8E : 60; + F8F : 8d; + F90 : 14; + F91 : 05; + F92 : ad; + F93 : 17; + F94 : 05; + F95 : f0; + F96 : 04; + F97 : ce; + F98 : 17; + F99 : 05; + F9A : 60; + F9B : ad; + F9C : 16; + F9D : 05; + F9E : d0; + F9F : 01; + FA0 : 60; + FA1 : a5; + FA2 : 11; + FA3 : 09; + FA4 : 10; + FA5 : 85; + FA6 : 11; + FA7 : 60; + FA8 : a2; + FA9 : 00; + FAA : a0; + FAB : 00; + FAC : b5; + FAD : 41; + FAE : d0; + FAF : 08; + FB0 : a9; + FB1 : ff; + FB2 : 99; + FB3 : c0; + FB4 : 02; + FB5 : 99; + FB6 : c4; + FB7 : 02; + FB8 : e8; + FB9 : c8; + FBA : c8; + FBB : c8; + FBC : c8; + FBD : c8; + FBE : c8; + FBF : c8; + FC0 : c8; + FC1 : e0; + FC2 : 02; + FC3 : 30; + FC4 : e7; + FC5 : 60; + FC6 : a0; + FC7 : 00; + FC8 : 84; + FC9 : 0f; + FCA : 20; + FCB : 08; + FCC : d0; + FCD : b9; + FCE : c0; + FCF : 02; + FD0 : c9; + FD1 : ff; + FD2 : d0; + FD3 : 25; + FD4 : a5; + FD5 : 05; + FD6 : 99; + FD7 : c3; + FD8 : 02; + FD9 : 18; + FDA : 69; + FDB : 08; + FDC : 99; + FDD : c7; + FDE : 02; + FDF : a5; + FE0 : 06; + FE1 : 99; + FE2 : c0; + FE3 : 02; + FE4 : 99; + FE5 : c4; + FE6 : 02; + FE7 : bd; + FE8 : 04; + FE9 : c6; + FEA : 99; + FEB : c1; + FEC : 02; + FED : a9; + FEE : d4; + FEF : 99; + FF0 : c5; + FF1 : 02; + FF2 : a6; + FF3 : 0f; + FF4 : a9; + FF5 : 03; + FF6 : 95; + FF7 : 41; + FF8 : 60; + FF9 : c8; + FFA : c8; + FFB : c8; + FFC : c8; + FFD : c8; + FFE : c8; + FFF : c8; +1000 : c8; +1001 : e6; +1002 : 0f; +1003 : c0; +1004 : 10; +1005 : 30; +1006 : c6; +1007 : 60; +1008 : 8a; +1009 : 48; +100A : 98; +100B : 48; +100C : a5; +100D : 58; +100E : d0; +100F : 1a; +1010 : a5; +1011 : 52; +1012 : 09; +1013 : 18; +1014 : 85; +1015 : 01; +1016 : bd; +1017 : 00; +1018 : c6; +1019 : 85; +101A : 00; +101B : a5; +101C : 05; +101D : 48; +101E : a5; +101F : 06; +1020 : 48; +1021 : 20; +1022 : 42; +1023 : f3; +1024 : 68; +1025 : 85; +1026 : 06; +1027 : 68; +1028 : 85; +1029 : 05; +102A : 20; +102B : 32; +102C : d0; +102D : 68; +102E : a8; +102F : 68; +1030 : aa; +1031 : 60; +1032 : ad; +1033 : 05; +1034 : 05; +1035 : 09; +1036 : 01; +1037 : 8d; +1038 : 05; +1039 : 05; +103A : a9; +103B : f9; +103C : 85; +103D : 00; +103E : 4c; +103F : 35; +1040 : f4; +1041 : a5; +1042 : 2e; +1043 : c9; +1044 : 10; +1045 : 10; +1046 : 04; +1047 : a9; +1048 : 20; +1049 : 85; +104A : fc; +104B : 60; +104C : a5; +104D : 9a; +104E : d0; +104F : 42; +1050 : a6; +1051 : 53; +1052 : e0; +1053 : 04; +1054 : f0; +1055 : 0d; +1056 : a5; +1057 : 5a; +1058 : f0; +1059 : 65; +105A : ca; +105B : bd; +105C : fa; +105D : c1; +105E : c5; +105F : 59; +1060 : f0; +1061 : 12; +1062 : 60; +1063 : a2; +1064 : 00; +1065 : b5; +1066 : c1; +1067 : f0; +1068 : 56; +1069 : e8; +106A : 8e; +106B : 4f; +106C : 04; +106D : e0; +106E : 08; +106F : d0; +1070 : f4; +1071 : 4c; +1072 : 86; +1073 : d0; +1074 : 20; +1075 : e1; +1076 : ea; +1077 : a9; +1078 : 04; +1079 : 20; +107A : d4; +107B : ea; +107C : 20; +107D : cd; +107E : ea; +107F : 20; +1080 : 88; +1081 : f0; +1082 : a9; +1083 : 02; +1084 : 85; +1085 : fd; +1086 : a9; +1087 : 00; +1088 : 85; +1089 : fc; +108A : a9; +108B : 01; +108C : 85; +108D : 9a; +108E : a9; +108F : 00; +1090 : 85; +1091 : 3a; +1092 : a5; +1093 : 3a; +1094 : d0; +1095 : 29; +1096 : e6; +1097 : 53; +1098 : a5; +1099 : 53; +109A : c9; +109B : 02; +109C : f0; +109D : 07; +109E : c9; +109F : 05; +10A0 : b0; +10A1 : 08; +10A2 : 4c; +10A3 : b5; +10A4 : d0; +10A5 : e6; +10A6 : 53; +10A7 : 4c; +10A8 : b5; +10A9 : d0; +10AA : a9; +10AB : 01; +10AC : 85; +10AD : 53; +10AE : e6; +10AF : 54; +10B0 : a9; +10B1 : a0; +10B2 : 85; +10B3 : 43; +10B4 : 60; +10B5 : a9; +10B6 : 8d; +10B7 : 85; +10B8 : 43; +10B9 : a9; +10BA : 00; +10BB : 85; +10BC : 4f; +10BD : 85; +10BE : 9a; +10BF : 60; +10C0 : a9; +10C1 : 00; +10C2 : 85; +10C3 : fc; +10C4 : a9; +10C5 : 10; +10C6 : 20; +10C7 : e6; +10C8 : d9; +10C9 : f0; +10CA : 6d; +10CB : a5; +10CC : 98; +10CD : c9; +10CE : ff; +10CF : f0; +10D0 : 5f; +10D1 : a5; +10D2 : 98; +10D3 : d0; +10D4 : 0f; +10D5 : a5; +10D6 : 58; +10D7 : d0; +10D8 : 04; +10D9 : a9; +10DA : 80; +10DB : 85; +10DC : fe; +10DD : a9; +10DE : 40; +10DF : 85; +10E0 : 3a; +10E1 : e6; +10E2 : 98; +10E3 : 60; +10E4 : a5; +10E5 : 3a; +10E6 : f0; +10E7 : 10; +10E8 : c9; +10E9 : 0e; +10EA : 90; +10EB : 4c; +10EC : a5; +10ED : 58; +10EE : d0; +10EF : 04; +10F0 : a9; +10F1 : 01; +10F2 : 85; +10F3 : ff; +10F4 : a9; +10F5 : 00; +10F6 : 85; +10F7 : 3a; +10F8 : ad; +10F9 : 01; +10FA : 02; +10FB : c9; +10FC : 6c; +10FD : b0; +10FE : 02; +10FF : a9; +1100 : 6c; +1101 : 18; +1102 : 69; +1103 : 04; +1104 : c9; +1105 : 7c; +1106 : 90; +1107 : 17; +1108 : e6; +1109 : 98; +110A : a5; +110B : 98; +110C : c9; +110D : 05; +110E : f0; +110F : 05; +1110 : a9; +1111 : 6c; +1112 : 4c; +1113 : 1f; +1114 : d1; +1115 : a5; +1116 : 58; +1117 : f0; +1118 : 04; +1119 : a9; +111A : 7d; +111B : 85; +111C : 3a; +111D : a9; +111E : 7c; +111F : 85; +1120 : 02; +1121 : 20; +1122 : e1; +1123 : ea; +1124 : 20; +1125 : cd; +1126 : ea; +1127 : 20; +1128 : 82; +1129 : f0; +112A : a5; +112B : 98; +112C : c9; +112D : 05; +112E : d0; +112F : 08; +1130 : a9; +1131 : ff; +1132 : 85; +1133 : 98; +1134 : a5; +1135 : 3a; +1136 : f0; +1137 : 01; +1138 : 60; +1139 : a6; +113A : 52; +113B : 20; +113C : b9; +113D : ca; +113E : a5; +113F : 55; +1140 : d0; +1141 : 09; +1142 : a9; +1143 : 01; +1144 : 85; +1145 : 4e; +1146 : a9; +1147 : 87; +1148 : 85; +1149 : 43; +114A : 60; +114B : a5; +114C : 51; +114D : c9; +114E : 1c; +114F : d0; +1150 : 18; +1151 : a5; +1152 : 52; +1153 : 49; +1154 : 01; +1155 : aa; +1156 : 86; +1157 : 52; +1158 : bd; +1159 : 06; +115A : 04; +115B : f0; +115C : 09; +115D : 8a; +115E : 49; +115F : 01; +1160 : aa; +1161 : 86; +1162 : 52; +1163 : 4c; +1164 : 69; +1165 : d1; +1166 : 20; +1167 : a9; +1168 : ca; +1169 : a9; +116A : 87; +116B : 85; +116C : 43; +116D : 8d; +116E : 0b; +116F : 04; +1170 : a9; +1171 : 00; +1172 : 85; +1173 : 4f; +1174 : 60; +1175 : a5; +1176 : 52; +1177 : 0a; +1178 : aa; +1179 : b5; +117A : 15; +117B : 29; +117C : 0f; +117D : 85; +117E : 56; +117F : f0; +1180 : 08; +1181 : 4a; +1182 : 4a; +1183 : d0; +1184 : 04; +1185 : a5; +1186 : 56; +1187 : 85; +1188 : 57; +1189 : a5; +118A : 96; +118B : c9; +118C : 01; +118D : d0; +118E : 0a; +118F : b5; +1190 : 15; +1191 : 29; +1192 : 80; +1193 : f0; +1194 : 04; +1195 : a9; +1196 : 04; +1197 : 85; +1198 : 96; +1199 : 60; +119A : a5; +119B : 11; +119C : 29; +119D : e7; +119E : 8d; +119F : 01; +11A0 : 20; +11A1 : 85; +11A2 : 11; +11A3 : 60; +11A4 : a5; +11A5 : 96; +11A6 : c9; +11A7 : 01; +11A8 : f0; +11A9 : 11; +11AA : c9; +11AB : 02; +11AC : f0; +11AD : 15; +11AE : c9; +11AF : 04; +11B0 : f0; +11B1 : 14; +11B2 : c9; +11B3 : 08; +11B4 : f0; +11B5 : 13; +11B6 : c9; +11B7 : 0a; +11B8 : f0; +11B9 : 12; +11BA : 60; +11BB : 20; +11BC : cf; +11BD : d1; +11BE : a5; +11BF : 96; +11C0 : 4c; +11C1 : aa; +11C2 : d1; +11C3 : 4c; +11C4 : 7e; +11C5 : d3; +11C6 : 4c; +11C7 : 47; +11C8 : d5; +11C9 : 4c; +11CA : 97; +11CB : d6; +11CC : 4c; +11CD : c6; +11CE : d6; +11CF : a5; +11D0 : 56; +11D1 : c9; +11D2 : 01; +11D3 : f0; +11D4 : 10; +11D5 : c9; +11D6 : 02; +11D7 : f0; +11D8 : 0c; +11D9 : c9; +11DA : 04; +11DB : f0; +11DC : 05; +11DD : c9; +11DE : 08; +11DF : f0; +11E0 : 01; +11E1 : 60; +11E2 : 4c; +11E3 : 8b; +11E4 : d2; +11E5 : a9; +11E6 : db; +11E7 : 85; +11E8 : 0a; +11E9 : a9; +11EA : 36; +11EB : 20; +11EC : e8; +11ED : d9; +11EE : d0; +11EF : 03; +11F0 : 4c; +11F1 : 75; +11F2 : d2; +11F3 : 20; +11F4 : 90; +11F5 : d9; +11F6 : f0; +11F7 : 01; +11F8 : 60; +11F9 : a5; +11FA : 56; +11FB : c9; +11FC : 02; +11FD : f0; +11FE : 06; +11FF : ee; +1200 : 03; +1201 : 02; +1202 : 4c; +1203 : 08; +1204 : d2; +1205 : ce; +1206 : 03; +1207 : 02; +1208 : 20; +1209 : cb; +120A : d2; +120B : 85; +120C : 5a; +120D : ad; +120E : 00; +120F : 02; +1210 : 20; +1211 : 16; +1212 : e0; +1213 : 85; +1214 : 59; +1215 : 20; +1216 : eb; +1217 : d8; +1218 : f0; +1219 : 19; +121A : a6; +121B : 53; +121C : e0; +121D : 01; +121E : d0; +121F : 07; +1220 : 18; +1221 : 6d; +1222 : 00; +1223 : 02; +1224 : 8d; +1225 : 00; +1226 : 02; +1227 : 20; +1228 : 6a; +1229 : d3; +122A : c9; +122B : 00; +122C : f0; +122D : 05; +122E : a9; +122F : 08; +1230 : 85; +1231 : 96; +1232 : 60; +1233 : a5; +1234 : 9b; +1235 : d0; +1236 : 07; +1237 : a9; +1238 : 01; +1239 : 85; +123A : 9b; +123B : 4c; +123C : 75; +123D : d2; +123E : a9; +123F : 08; +1240 : 85; +1241 : ff; +1242 : a9; +1243 : 00; +1244 : 85; +1245 : 9b; +1246 : a5; +1247 : 97; +1248 : f0; +1249 : 18; +124A : c9; +124B : 08; +124C : f0; +124D : 1f; +124E : a9; +124F : 04; +1250 : 85; +1251 : 97; +1252 : a5; +1253 : 85; +1254 : f0; +1255 : 05; +1256 : a9; +1257 : 00; +1258 : 4c; +1259 : 5d; +125A : d2; +125B : a9; +125C : 08; +125D : 85; +125E : 97; +125F : 4c; +1260 : 75; +1261 : d2; +1262 : a9; +1263 : 04; +1264 : 85; +1265 : 97; +1266 : a9; +1267 : 00; +1268 : 85; +1269 : 85; +126A : 4c; +126B : 75; +126C : d2; +126D : a9; +126E : 04; +126F : 85; +1270 : 97; +1271 : a9; +1272 : 01; +1273 : 85; +1274 : 85; +1275 : 20; +1276 : e1; +1277 : ea; +1278 : a5; +1279 : 97; +127A : 85; +127B : 02; +127C : 20; +127D : cd; +127E : ea; +127F : a5; +1280 : 56; +1281 : c9; +1282 : 02; +1283 : f0; +1284 : 03; +1285 : 4c; +1286 : 82; +1287 : f0; +1288 : 4c; +1289 : 88; +128A : f0; +128B : 20; +128C : e1; +128D : ea; +128E : a9; +128F : 86; +1290 : 85; +1291 : 02; +1292 : a9; +1293 : c1; +1294 : 85; +1295 : 03; +1296 : 20; +1297 : eb; +1298 : ef; +1299 : a5; +129A : 53; +129B : 38; +129C : e9; +129D : 01; +129E : 0a; +129F : aa; +12A0 : bd; +12A1 : 7b; +12A2 : c4; +12A3 : 85; +12A4 : 04; +12A5 : bd; +12A6 : 7c; +12A7 : c4; +12A8 : 85; +12A9 : 05; +12AA : bd; +12AB : 83; +12AC : c4; +12AD : 85; +12AE : 06; +12AF : bd; +12B0 : 84; +12B1 : c4; +12B2 : 85; +12B3 : 07; +12B4 : 20; +12B5 : ad; +12B6 : d8; +12B7 : f0; +12B8 : 11; +12B9 : a5; +12BA : 00; +12BB : 38; +12BC : e9; +12BD : 04; +12BE : 85; +12BF : a1; +12C0 : a9; +12C1 : 02; +12C2 : 85; +12C3 : 96; +12C4 : a9; +12C5 : 00; +12C6 : 85; +12C7 : 5b; +12C8 : 85; +12C9 : 5c; +12CA : 60; +12CB : 20; +12CC : e1; +12CD : ea; +12CE : a5; +12CF : 96; +12D0 : c9; +12D1 : 04; +12D2 : f0; +12D3 : 09; +12D4 : c9; +12D5 : 08; +12D6 : f0; +12D7 : 05; +12D8 : a9; +12D9 : 2c; +12DA : 4c; +12DB : df; +12DC : d2; +12DD : a9; +12DE : 4a; +12DF : 20; +12E0 : e8; +12E1 : ef; +12E2 : a5; +12E3 : 53; +12E4 : c9; +12E5 : 01; +12E6 : f0; +12E7 : 08; +12E8 : 38; +12E9 : e9; +12EA : 01; +12EB : 0a; +12EC : aa; +12ED : 4c; +12EE : fd; +12EF : d2; +12F0 : a9; +12F1 : 1a; +12F2 : 20; +12F3 : 31; +12F4 : c8; +12F5 : 20; +12F6 : 1a; +12F7 : d9; +12F8 : 85; +12F9 : 0c; +12FA : 4c; +12FB : 23; +12FC : d3; +12FD : bd; +12FE : 6b; +12FF : c4; +1300 : 85; +1301 : 04; +1302 : bd; +1303 : 6c; +1304 : c4; +1305 : 85; +1306 : 05; +1307 : bd; +1308 : 73; +1309 : c4; +130A : 85; +130B : 06; +130C : bd; +130D : 74; +130E : c4; +130F : 85; +1310 : 07; +1311 : 20; +1312 : ad; +1313 : d8; +1314 : 85; +1315 : 0c; +1316 : d0; +1317 : 0b; +1318 : a5; +1319 : 53; +131A : c9; +131B : 03; +131C : d0; +131D : 05; +131E : 20; +131F : 26; +1320 : d3; +1321 : 85; +1322 : 0c; +1323 : a5; +1324 : 0c; +1325 : 60; +1326 : a9; +1327 : 2a; +1328 : 20; +1329 : 47; +132A : c8; +132B : a9; +132C : 00; +132D : 85; +132E : d2; +132F : a5; +1330 : d2; +1331 : c9; +1332 : 06; +1333 : f0; +1334 : 30; +1335 : aa; +1336 : bc; +1337 : cc; +1338 : c2; +1339 : b9; +133A : 00; +133B : 02; +133C : c9; +133D : ff; +133E : f0; +133F : 0e; +1340 : 85; +1341 : 01; +1342 : b9; +1343 : 03; +1344 : 02; +1345 : 85; +1346 : 00; +1347 : 20; +1348 : ef; +1349 : ef; +134A : c9; +134B : 01; +134C : f0; +134D : 05; +134E : e6; +134F : d2; +1350 : 4c; +1351 : 2f; +1352 : d3; +1353 : a5; +1354 : d2; +1355 : c9; +1356 : 03; +1357 : b0; +1358 : 05; +1359 : a9; +135A : 01; +135B : 4c; +135C : 60; +135D : d3; +135E : a9; +135F : 02; +1360 : 85; +1361 : da; +1362 : a9; +1363 : 01; +1364 : 60; +1365 : a9; +1366 : 00; +1367 : 85; +1368 : da; +1369 : 60; +136A : a5; +136B : 53; +136C : c9; +136D : 01; +136E : f0; +136F : 03; +1370 : 4c; +1371 : 7b; +1372 : d3; +1373 : a9; +1374 : 1c; +1375 : 20; +1376 : 31; +1377 : c8; +1378 : 4c; +1379 : ad; +137A : d8; +137B : a9; +137C : 01; +137D : 60; +137E : a5; +137F : 56; +1380 : c9; +1381 : 08; +1382 : f0; +1383 : 0a; +1384 : c9; +1385 : 04; +1386 : f0; +1387 : 03; +1388 : 4c; +1389 : cf; +138A : d4; +138B : 4c; +138C : 32; +138D : d4; +138E : a5; +138F : 5a; +1390 : f0; +1391 : 0a; +1392 : 20; +1393 : e1; +1394 : ea; +1395 : c6; +1396 : 01; +1397 : 20; +1398 : 0a; +1399 : d5; +139A : d0; +139B : 31; +139C : a9; +139D : 24; +139E : 85; +139F : 0a; +13A0 : a9; +13A1 : 49; +13A2 : 20; +13A3 : e8; +13A4 : d9; +13A5 : d0; +13A6 : 08; +13A7 : ad; +13A8 : 00; +13A9 : 02; +13AA : 85; +13AB : 01; +13AC : 4c; +13AD : cf; +13AE : d4; +13AF : 20; +13B0 : 0a; +13B1 : d5; +13B2 : f0; +13B3 : 33; +13B4 : c9; +13B5 : 02; +13B6 : d0; +13B7 : 03; +13B8 : 4c; +13B9 : cf; +13BA : d4; +13BB : a5; +13BC : 5b; +13BD : f0; +13BE : 11; +13BF : 18; +13C0 : 69; +13C1 : 01; +13C2 : c9; +13C3 : 10; +13C4 : f0; +13C5 : 0c; +13C6 : 90; +13C7 : 0a; +13C8 : a9; +13C9 : 10; +13CA : 4c; +13CB : d2; +13CC : d3; +13CD : 4c; +13CE : cf; +13CF : d4; +13D0 : a9; +13D1 : 01; +13D2 : 85; +13D3 : 5b; +13D4 : aa; +13D5 : ca; +13D6 : bd; +13D7 : 47; +13D8 : c1; +13D9 : 85; +13DA : 02; +13DB : a9; +13DC : 00; +13DD : 85; +13DE : 5a; +13DF : 85; +13E0 : 5c; +13E1 : 20; +13E2 : ee; +13E3 : d4; +13E4 : 4c; +13E5 : 0d; +13E6 : d4; +13E7 : a5; +13E8 : 5c; +13E9 : f0; +13EA : 0e; +13EB : 18; +13EC : 69; +13ED : 01; +13EE : c9; +13EF : 06; +13F0 : f0; +13F1 : 09; +13F2 : 90; +13F3 : 07; +13F4 : a9; +13F5 : 01; +13F6 : 4c; +13F7 : fb; +13F8 : d3; +13F9 : a9; +13FA : 02; +13FB : 85; +13FC : 5c; +13FD : aa; +13FE : ca; +13FF : bd; +1400 : 59; +1401 : c1; +1402 : 85; +1403 : 02; +1404 : a9; +1405 : 00; +1406 : 85; +1407 : 5a; +1408 : 85; +1409 : 5b; +140A : 20; +140B : ee; +140C : d4; +140D : a5; +140E : a1; +140F : 85; +1410 : 00; +1411 : 8d; +1412 : 03; +1413 : 02; +1414 : 20; +1415 : d1; +1416 : ea; +1417 : a9; +1418 : 00; +1419 : 85; +141A : 04; +141B : a5; +141C : 02; +141D : c9; +141E : 54; +141F : f0; +1420 : 05; +1421 : a9; +1422 : 00; +1423 : 4c; +1424 : 2c; +1425 : d4; +1426 : a9; +1427 : 24; +1428 : 85; +1429 : 02; +142A : a9; +142B : 01; +142C : 20; +142D : 96; +142E : f0; +142F : 4c; +1430 : cf; +1431 : d4; +1432 : a5; +1433 : 5a; +1434 : f0; +1435 : 0f; +1436 : 20; +1437 : e1; +1438 : ea; +1439 : e6; +143A : 01; +143B : 20; +143C : 0a; +143D : d5; +143E : c9; +143F : 01; +1440 : f0; +1441 : 03; +1442 : 4c; +1443 : cf; +1444 : d4; +1445 : a9; +1446 : 24; +1447 : 85; +1448 : 0a; +1449 : a9; +144A : 49; +144B : 85; +144C : 0b; +144D : 20; +144E : e6; +144F : d9; +1450 : d0; +1451 : 08; +1452 : ad; +1453 : 00; +1454 : 02; +1455 : 85; +1456 : 01; +1457 : 4c; +1458 : cf; +1459 : d4; +145A : 20; +145B : 0a; +145C : d5; +145D : f0; +145E : 2c; +145F : c9; +1460 : 02; +1461 : f0; +1462 : 28; +1463 : a5; +1464 : 5b; +1465 : f0; +1466 : 0a; +1467 : 38; +1468 : e9; +1469 : 01; +146A : c9; +146B : 01; +146C : 90; +146D : 08; +146E : 4c; +146F : 78; +1470 : d4; +1471 : a9; +1472 : 0d; +1473 : 4c; +1474 : 78; +1475 : d4; +1476 : a9; +1477 : 01; +1478 : 85; +1479 : 5b; +147A : aa; +147B : ca; +147C : bd; +147D : 47; +147E : c1; +147F : 85; +1480 : 02; +1481 : a9; +1482 : 03; +1483 : 85; +1484 : 5c; +1485 : 20; +1486 : f9; +1487 : d4; +1488 : 4c; +1489 : b1; +148A : d4; +148B : a5; +148C : 5c; +148D : f0; +148E : 0e; +148F : 18; +1490 : 69; +1491 : 01; +1492 : c9; +1493 : 06; +1494 : f0; +1495 : 09; +1496 : 90; +1497 : 07; +1498 : a9; +1499 : 01; +149A : 4c; +149B : 9f; +149C : d4; +149D : a9; +149E : 01; +149F : 85; +14A0 : 5c; +14A1 : 38; +14A2 : e9; +14A3 : 01; +14A4 : aa; +14A5 : bd; +14A6 : 59; +14A7 : c1; +14A8 : 85; +14A9 : 02; +14AA : a9; +14AB : 00; +14AC : 85; +14AD : 5b; +14AE : 20; +14AF : f9; +14B0 : d4; +14B1 : a5; +14B2 : a1; +14B3 : 8d; +14B4 : 03; +14B5 : 02; +14B6 : 85; +14B7 : 00; +14B8 : 20; +14B9 : cd; +14BA : ea; +14BB : a5; +14BC : 02; +14BD : c9; +14BE : 54; +14BF : f0; +14C0 : 05; +14C1 : a9; +14C2 : 00; +14C3 : 4c; +14C4 : cc; +14C5 : d4; +14C6 : a9; +14C7 : 24; +14C8 : 85; +14C9 : 02; +14CA : a9; +14CB : 01; +14CC : 20; +14CD : 96; +14CE : f0; +14CF : 20; +14D0 : cb; +14D1 : d2; +14D2 : 85; +14D3 : 5a; +14D4 : f0; +14D5 : 17; +14D6 : ad; +14D7 : 00; +14D8 : 02; +14D9 : 18; +14DA : 69; +14DB : 08; +14DC : 20; +14DD : 16; +14DE : e0; +14DF : 85; +14E0 : 59; +14E1 : a9; +14E2 : 01; +14E3 : 85; +14E4 : 96; +14E5 : a9; +14E6 : 00; +14E7 : 85; +14E8 : 5c; +14E9 : 85; +14EA : 5b; +14EB : 85; +14EC : 85; +14ED : 60; +14EE : ad; +14EF : 00; +14F0 : 02; +14F1 : 38; +14F2 : e9; +14F3 : 01; +14F4 : 85; +14F5 : 01; +14F6 : 4c; +14F7 : 01; +14F8 : d5; +14F9 : ad; +14FA : 00; +14FB : 02; +14FC : 18; +14FD : 69; +14FE : 01; +14FF : 85; +1500 : 01; +1501 : 29; +1502 : 06; +1503 : d0; +1504 : 04; +1505 : a9; +1506 : 08; +1507 : 85; +1508 : ff; +1509 : 60; +150A : 20; +150B : e1; +150C : ea; +150D : a9; +150E : 2c; +150F : 20; +1510 : e8; +1511 : ef; +1512 : a5; +1513 : 53; +1514 : 38; +1515 : e9; +1516 : 01; +1517 : 0a; +1518 : aa; +1519 : bd; +151A : 8b; +151B : c4; +151C : 85; +151D : 04; +151E : bd; +151F : 8c; +1520 : c4; +1521 : 85; +1522 : 05; +1523 : a9; +1524 : 43; +1525 : 85; +1526 : 06; +1527 : a9; +1528 : c1; +1529 : 85; +152A : 07; +152B : 20; +152C : ad; +152D : d8; +152E : 85; +152F : 08; +1530 : a5; +1531 : 53; +1532 : c9; +1533 : 01; +1534 : d0; +1535 : 0e; +1536 : a9; +1537 : 1e; +1538 : 20; +1539 : 31; +153A : c8; +153B : 20; +153C : ad; +153D : d8; +153E : f0; +153F : 04; +1540 : a9; +1541 : 02; +1542 : 85; +1543 : 08; +1544 : a5; +1545 : 08; +1546 : 60; +1547 : a9; +1548 : ff; +1549 : 20; +154A : e6; +154B : d9; +154C : c9; +154D : 00; +154E : d0; +154F : 01; +1550 : 60; +1551 : a5; +1552 : 94; +1553 : c9; +1554 : f0; +1555 : 90; +1556 : 03; +1557 : 4c; +1558 : 0d; +1559 : d6; +155A : 20; +155B : 90; +155C : d9; +155D : f0; +155E : 11; +155F : a5; +1560 : 56; +1561 : c9; +1562 : 01; +1563 : d0; +1564 : 05; +1565 : a9; +1566 : 02; +1567 : 4c; +1568 : 6c; +1569 : d5; +156A : a9; +156B : 01; +156C : 85; +156D : 56; +156E : 85; +156F : 57; +1570 : ad; +1571 : 00; +1572 : 02; +1573 : 85; +1574 : 01; +1575 : a9; +1576 : 00; +1577 : 20; +1578 : 72; +1579 : ef; +157A : a5; +157B : 01; +157C : 8d; +157D : 00; +157E : 02; +157F : a5; +1580 : 56; +1581 : c9; +1582 : 01; +1583 : f0; +1584 : 07; +1585 : c9; +1586 : 02; +1587 : f0; +1588 : 18; +1589 : 4c; +158A : b3; +158B : d5; +158C : a5; +158D : 9e; +158E : f0; +158F : 0a; +1590 : ee; +1591 : 03; +1592 : 02; +1593 : a9; +1594 : 00; +1595 : 85; +1596 : 9e; +1597 : 4c; +1598 : b3; +1599 : d5; +159A : a9; +159B : 01; +159C : 85; +159D : 9e; +159E : 4c; +159F : b3; +15A0 : d5; +15A1 : a5; +15A2 : 9e; +15A3 : f0; +15A4 : 0a; +15A5 : ce; +15A6 : 03; +15A7 : 02; +15A8 : a9; +15A9 : 00; +15AA : 85; +15AB : 9e; +15AC : 4c; +15AD : b3; +15AE : d5; +15AF : a9; +15B0 : 01; +15B1 : 85; +15B2 : 9e; +15B3 : ad; +15B4 : 03; +15B5 : 02; +15B6 : 85; +15B7 : 00; +15B8 : 20; +15B9 : 00; +15BA : d8; +15BB : a5; +15BC : 94; +15BD : f0; +15BE : 23; +15BF : a5; +15C0 : 01; +15C1 : 38; +15C2 : e9; +15C3 : 10; +15C4 : c5; +15C5 : 95; +15C6 : 90; +15C7 : 04; +15C8 : a9; +15C9 : ff; +15CA : 85; +15CB : 95; +15CC : 20; +15CD : cb; +15CE : d2; +15CF : 85; +15D0 : 5a; +15D1 : f0; +15D2 : 1e; +15D3 : a5; +15D4 : 4b; +15D5 : 38; +15D6 : e9; +15D7 : 11; +15D8 : 8d; +15D9 : 00; +15DA : 02; +15DB : a9; +15DC : 01; +15DD : 85; +15DE : 5a; +15DF : 4c; +15E0 : f6; +15E1 : d5; +15E2 : a9; +15E3 : 04; +15E4 : 85; +15E5 : ff; +15E6 : a9; +15E7 : 01; +15E8 : 85; +15E9 : 94; +15EA : a5; +15EB : 01; +15EC : 85; +15ED : 95; +15EE : 4c; +15EF : f1; +15F0 : d5; +15F1 : a9; +15F2 : 28; +15F3 : 4c; +15F4 : 70; +15F5 : f0; +15F6 : 20; +15F7 : e1; +15F8 : ea; +15F9 : a9; +15FA : 2c; +15FB : 85; +15FC : 02; +15FD : 20; +15FE : cd; +15FF : ea; +1600 : a5; +1601 : 57; +1602 : 29; +1603 : 03; +1604 : 4a; +1605 : 20; +1606 : 96; +1607 : f0; +1608 : a9; +1609 : f0; +160A : 85; +160B : 94; +160C : 60; +160D : e6; +160E : 94; +160F : a5; +1610 : 94; +1611 : c9; +1612 : f4; +1613 : d0; +1614 : 3a; +1615 : a5; +1616 : 95; +1617 : c9; +1618 : ff; +1619 : f0; +161A : 27; +161B : a9; +161C : 04; +161D : 20; +161E : 70; +161F : f0; +1620 : a9; +1621 : 00; +1622 : 8d; +1623 : 2c; +1624 : 04; +1625 : 85; +1626 : 94; +1627 : 85; +1628 : 95; +1629 : a9; +162A : 01; +162B : 85; +162C : 96; +162D : a5; +162E : a0; +162F : f0; +1630 : 1e; +1631 : a9; +1632 : 01; +1633 : 85; +1634 : 9f; +1635 : a9; +1636 : 4b; +1637 : 85; +1638 : 3f; +1639 : a9; +163A : 0a; +163B : 85; +163C : 96; +163D : a9; +163E : 40; +163F : 85; +1640 : fc; +1641 : 60; +1642 : a9; +1643 : 00; +1644 : 8d; +1645 : 2c; +1646 : 04; +1647 : 85; +1648 : 94; +1649 : 85; +164A : 95; +164B : a9; +164C : ff; +164D : 85; +164E : 96; +164F : 60; +1650 : a9; +1651 : fe; +1652 : 8d; +1653 : 72; +1654 : 04; +1655 : 8d; +1656 : 73; +1657 : 04; +1658 : a2; +1659 : 00; +165A : a0; +165B : 60; +165C : b9; +165D : 00; +165E : 02; +165F : c9; +1660 : ff; +1661 : f0; +1662 : 0f; +1663 : 9d; +1664 : 61; +1665 : 04; +1666 : b9; +1667 : 03; +1668 : 02; +1669 : 38; +166A : e9; +166B : 08; +166C : 9d; +166D : 60; +166E : 04; +166F : 4c; +1670 : 7a; +1671 : d6; +1672 : a9; +1673 : 00; +1674 : 9d; +1675 : 61; +1676 : 04; +1677 : 9d; +1678 : 60; +1679 : 04; +167A : 98; +167B : 18; +167C : 69; +167D : 08; +167E : a8; +167F : e8; +1680 : e8; +1681 : e8; +1682 : c0; +1683 : 90; +1684 : d0; +1685 : d6; +1686 : a9; +1687 : 20; +1688 : 20; +1689 : 31; +168A : c8; +168B : 20; +168C : ad; +168D : d8; +168E : f0; +168F : 06; +1690 : a9; +1691 : 08; +1692 : 85; +1693 : 96; +1694 : a9; +1695 : 01; +1696 : 60; +1697 : a9; +1698 : ff; +1699 : 20; +169A : e6; +169B : d9; +169C : f0; +169D : 27; +169E : 20; +169F : e1; +16A0 : ea; +16A1 : e6; +16A2 : 01; +16A3 : e6; +16A4 : 01; +16A5 : a5; +16A6 : 57; +16A7 : c9; +16A8 : 02; +16A9 : f0; +16AA : 06; +16AB : ad; +16AC : 01; +16AD : 02; +16AE : 4c; +16AF : b7; +16B0 : d6; +16B1 : ad; +16B2 : 01; +16B3 : 02; +16B4 : 38; +16B5 : e9; +16B6 : 02; +16B7 : 85; +16B8 : 02; +16B9 : 20; +16BA : 75; +16BB : f0; +16BC : 20; +16BD : cb; +16BE : d2; +16BF : f0; +16C0 : 04; +16C1 : a9; +16C2 : ff; +16C3 : 85; +16C4 : 96; +16C5 : 60; +16C6 : a5; +16C7 : 3f; +16C8 : d0; +16C9 : 03; +16CA : 4c; +16CB : bf; +16CC : d7; +16CD : a9; +16CE : db; +16CF : 85; +16D0 : 0a; +16D1 : a9; +16D2 : 36; +16D3 : 20; +16D4 : e8; +16D5 : d9; +16D6 : d0; +16D7 : 01; +16D8 : 60; +16D9 : 20; +16DA : 90; +16DB : d9; +16DC : d0; +16DD : 0a; +16DE : a5; +16DF : 56; +16E0 : c9; +16E1 : 01; +16E2 : f0; +16E3 : 26; +16E4 : c9; +16E5 : 02; +16E6 : f0; +16E7 : 28; +16E8 : a5; +16E9 : a2; +16EA : 0a; +16EB : 85; +16EC : a2; +16ED : f0; +16EE : 03; +16EF : 4c; +16F0 : 53; +16F1 : d7; +16F2 : a9; +16F3 : 20; +16F4 : 85; +16F5 : a2; +16F6 : a5; +16F7 : 9f; +16F8 : f0; +16F9 : 04; +16FA : c9; +16FB : 04; +16FC : 90; +16FD : 05; +16FE : a9; +16FF : 02; +1700 : 4c; +1701 : 05; +1702 : d7; +1703 : a9; +1704 : 05; +1705 : 85; +1706 : 9f; +1707 : 4c; +1708 : 53; +1709 : d7; +170A : ee; +170B : 03; +170C : 02; +170D : 4c; +170E : 13; +170F : d7; +1710 : ce; +1711 : 03; +1712 : 02; +1713 : 20; +1714 : cb; +1715 : d2; +1716 : 85; +1717 : 5a; +1718 : ad; +1719 : 00; +171A : 02; +171B : 20; +171C : 16; +171D : e0; +171E : 85; +171F : 59; +1720 : 20; +1721 : eb; +1722 : d8; +1723 : f0; +1724 : 19; +1725 : a6; +1726 : 53; +1727 : e0; +1728 : 01; +1729 : d0; +172A : 07; +172B : 18; +172C : 6d; +172D : 00; +172E : 02; +172F : 8d; +1730 : 00; +1731 : 02; +1732 : 20; +1733 : 6a; +1734 : d3; +1735 : f0; +1736 : 07; +1737 : a9; +1738 : 08; +1739 : 85; +173A : 96; +173B : 4c; +173C : bf; +173D : d7; +173E : a9; +173F : 08; +1740 : 85; +1741 : ff; +1742 : a5; +1743 : 9f; +1744 : f0; +1745 : 09; +1746 : c9; +1747 : 06; +1748 : b0; +1749 : 05; +174A : e6; +174B : 9f; +174C : 4c; +174D : 53; +174E : d7; +174F : a9; +1750 : 01; +1751 : 85; +1752 : 9f; +1753 : a6; +1754 : 9f; +1755 : ca; +1756 : bd; +1757 : a2; +1758 : c1; +1759 : 20; +175A : 70; +175B : f0; +175C : a5; +175D : 9f; +175E : 4a; +175F : 4a; +1760 : f0; +1761 : 05; +1762 : a9; +1763 : 00; +1764 : 4c; +1765 : 69; +1766 : d7; +1767 : a9; +1768 : 01; +1769 : f0; +176A : 1b; +176B : a9; +176C : 04; +176D : 18; +176E : 6d; +176F : 03; +1770 : 02; +1771 : 85; +1772 : 00; +1773 : ad; +1774 : 00; +1775 : 02; +1776 : 38; +1777 : e9; +1778 : 0e; +1779 : 85; +177A : 01; +177B : a9; +177C : 21; +177D : 85; +177E : 03; +177F : a9; +1780 : f6; +1781 : 85; +1782 : 02; +1783 : 4c; +1784 : ad; +1785 : d7; +1786 : a5; +1787 : 57; +1788 : c9; +1789 : 01; +178A : d0; +178B : 09; +178C : a9; +178D : 0e; +178E : 18; +178F : 6d; +1790 : 03; +1791 : 02; +1792 : 4c; +1793 : 9b; +1794 : d7; +1795 : ad; +1796 : 03; +1797 : 02; +1798 : 38; +1799 : e9; +179A : 0e; +179B : 85; +179C : 00; +179D : a9; +179E : 06; +179F : 18; +17A0 : 6d; +17A1 : 00; +17A2 : 02; +17A3 : 85; +17A4 : 01; +17A5 : a9; +17A6 : 12; +17A7 : 85; +17A8 : 03; +17A9 : a9; +17AA : fa; +17AB : 85; +17AC : 02; +17AD : a5; +17AE : a0; +17AF : c9; +17B0 : 01; +17B1 : f0; +17B2 : 05; +17B3 : a9; +17B4 : d8; +17B5 : 4c; +17B6 : ba; +17B7 : d7; +17B8 : a9; +17B9 : d0; +17BA : 85; +17BB : 04; +17BC : 4c; +17BD : 78; +17BE : f0; +17BF : a9; +17C0 : 12; +17C1 : 85; +17C2 : 03; +17C3 : a5; +17C4 : a0; +17C5 : c9; +17C6 : 01; +17C7 : f0; +17C8 : 0a; +17C9 : a9; +17CA : 00; +17CB : 8d; +17CC : 52; +17CD : 04; +17CE : a9; +17CF : d8; +17D0 : 4c; +17D1 : da; +17D2 : d7; +17D3 : a9; +17D4 : 00; +17D5 : 8d; +17D6 : 51; +17D7 : 04; +17D8 : a9; +17D9 : d0; +17DA : 85; +17DB : 04; +17DC : 20; +17DD : 94; +17DE : f0; +17DF : 20; +17E0 : f2; +17E1 : d7; +17E2 : a9; +17E3 : 01; +17E4 : 85; +17E5 : 96; +17E6 : a9; +17E7 : 00; +17E8 : 85; +17E9 : a0; +17EA : 85; +17EB : 9f; +17EC : ad; +17ED : 19; +17EE : 05; +17EF : 85; +17F0 : fc; +17F1 : 60; +17F2 : a9; +17F3 : 19; +17F4 : 85; +17F5 : 00; +17F6 : a9; +17F7 : 3f; +17F8 : 85; +17F9 : 01; +17FA : a9; +17FB : 4e; +17FC : 20; +17FD : 15; +17FE : c8; +17FF : 60; +1800 : a5; +1801 : a0; +1802 : f0; +1803 : 01; +1804 : 60; +1805 : a4; +1806 : 53; +1807 : c0; +1808 : 03; +1809 : d0; +180A : 03; +180B : 4c; +180C : a8; +180D : d8; +180E : ad; +180F : 03; +1810 : 02; +1811 : c0; +1812 : 01; +1813 : f0; +1814 : 09; +1815 : c9; +1816 : 88; +1817 : f0; +1818 : 0e; +1819 : 90; +181A : 0c; +181B : 4c; +181C : a8; +181D : d8; +181E : c9; +181F : 28; +1820 : f0; +1821 : 05; +1822 : 90; +1823 : 03; +1824 : 4c; +1825 : a8; +1826 : d8; +1827 : ad; +1828 : 00; +1829 : 02; +182A : 18; +182B : 69; +182C : 08; +182D : 20; +182E : 16; +182F : e0; +1830 : 85; +1831 : 59; +1832 : a5; +1833 : 53; +1834 : 38; +1835 : e9; +1836 : 01; +1837 : 0a; +1838 : aa; +1839 : a5; +183A : 59; +183B : dd; +183C : a8; +183D : c1; +183E : f0; +183F : 09; +1840 : e8; +1841 : dd; +1842 : a8; +1843 : c1; +1844 : f0; +1845 : 03; +1846 : 4c; +1847 : a8; +1848 : d8; +1849 : 8a; +184A : 29; +184B : 01; +184C : f0; +184D : 19; +184E : ad; +184F : 52; +1850 : 04; +1851 : d0; +1852 : 03; +1853 : 4c; +1854 : a8; +1855 : d8; +1856 : a9; +1857 : 02; +1858 : 85; +1859 : a0; +185A : ad; +185B : d8; +185C : 02; +185D : 85; +185E : 01; +185F : ad; +1860 : db; +1861 : 02; +1862 : 85; +1863 : 00; +1864 : 4c; +1865 : 7d; +1866 : d8; +1867 : ad; +1868 : 51; +1869 : 04; +186A : d0; +186B : 03; +186C : 4c; +186D : a8; +186E : d8; +186F : a9; +1870 : 01; +1871 : 85; +1872 : a0; +1873 : ad; +1874 : d0; +1875 : 02; +1876 : 85; +1877 : 01; +1878 : ad; +1879 : d3; +187A : 02; +187B : 85; +187C : 00; +187D : a9; +187E : 2e; +187F : 20; +1880 : e8; +1881 : ef; +1882 : 20; +1883 : e1; +1884 : ea; +1885 : a9; +1886 : 30; +1887 : 20; +1888 : 47; +1889 : c8; +188A : 20; +188B : ef; +188C : ef; +188D : f0; +188E : 19; +188F : a5; +1890 : fc; +1891 : 8d; +1892 : 19; +1893 : 05; +1894 : a5; +1895 : 53; +1896 : c9; +1897 : 04; +1898 : d0; +1899 : 0d; +189A : a9; +189B : 19; +189C : 85; +189D : 00; +189E : a9; +189F : 3f; +18A0 : 85; +18A1 : 01; +18A2 : a9; +18A3 : 46; +18A4 : 20; +18A5 : 15; +18A6 : c8; +18A7 : 60; +18A8 : a9; +18A9 : 00; +18AA : 85; +18AB : a0; +18AC : 60; +18AD : a9; +18AE : f3; +18AF : 85; +18B0 : 0b; +18B1 : a9; +18B2 : 00; +18B3 : 85; +18B4 : 86; +18B5 : a0; +18B6 : 00; +18B7 : b1; +18B8 : 04; +18B9 : 85; +18BA : 00; +18BB : c8; +18BC : b1; +18BD : 04; +18BE : 85; +18BF : 01; +18C0 : c8; +18C1 : b1; +18C2 : 04; +18C3 : 18; +18C4 : 65; +18C5 : 06; +18C6 : 85; +18C7 : 02; +18C8 : a5; +18C9 : 07; +18CA : 69; +18CB : 00; +18CC : 85; +18CD : 03; +18CE : 84; +18CF : 86; +18D0 : 20; +18D1 : f3; +18D2 : ef; +18D3 : d0; +18D4 : 0c; +18D5 : a4; +18D6 : 86; +18D7 : c8; +18D8 : b1; +18D9 : 04; +18DA : c9; +18DB : fe; +18DC : f0; +18DD : 08; +18DE : 4c; +18DF : b9; +18E0 : d8; +18E1 : a9; +18E2 : 01; +18E3 : 4c; +18E4 : e8; +18E5 : d8; +18E6 : a9; +18E7 : 00; +18E8 : 85; +18E9 : 0c; +18EA : 60; +18EB : a5; +18EC : 5a; +18ED : d0; +18EE : 28; +18EF : a5; +18F0 : 59; +18F1 : f0; +18F2 : 24; +18F3 : 29; +18F4 : 01; +18F5 : d0; +18F6 : 0d; +18F7 : a5; +18F8 : 56; +18F9 : c9; +18FA : 01; +18FB : f0; +18FC : 17; +18FD : c9; +18FE : 02; +18FF : f0; +1900 : 10; +1901 : 4c; +1902 : 17; +1903 : d9; +1904 : a5; +1905 : 56; +1906 : c9; +1907 : 01; +1908 : f0; +1909 : 07; +190A : c9; +190B : 02; +190C : f0; +190D : 06; +190E : 4c; +190F : 17; +1910 : d9; +1911 : a9; +1912 : ff; +1913 : 60; +1914 : a9; +1915 : 01; +1916 : 60; +1917 : a9; +1918 : 00; +1919 : 60; +191A : ad; +191B : 00; +191C : 02; +191D : 18; +191E : 69; +191F : 08; +1920 : 20; +1921 : 16; +1922 : e0; +1923 : 85; +1924 : 59; +1925 : c9; +1926 : 01; +1927 : f0; +1928 : 0f; +1929 : a2; +192A : 02; +192B : a9; +192C : 0c; +192D : e4; +192E : 59; +192F : f0; +1930 : 0a; +1931 : 18; +1932 : 69; +1933 : 06; +1934 : e8; +1935 : 4c; +1936 : 2d; +1937 : d9; +1938 : 38; +1939 : e9; +193A : 01; +193B : aa; +193C : a9; +193D : 00; +193E : 85; +193F : 86; +1940 : bd; +1941 : 8c; +1942 : c0; +1943 : 85; +1944 : 00; +1945 : e8; +1946 : bd; +1947 : 8c; +1948 : c0; +1949 : 85; +194A : 01; +194B : e8; +194C : bd; +194D : 8c; +194E : c0; +194F : 18; +1950 : 65; +1951 : 06; +1952 : 85; +1953 : 02; +1954 : a5; +1955 : 07; +1956 : 85; +1957 : 03; +1958 : e8; +1959 : bd; +195A : 8c; +195B : c0; +195C : 85; +195D : 08; +195E : e8; +195F : bd; +1960 : 8c; +1961 : c0; +1962 : 85; +1963 : 09; +1964 : 20; +1965 : ef; +1966 : ef; +1967 : d0; +1968 : 22; +1969 : a5; +196A : 00; +196B : 18; +196C : 65; +196D : 08; +196E : 85; +196F : 00; +1970 : c6; +1971 : 01; +1972 : e6; +1973 : 86; +1974 : a5; +1975 : 09; +1976 : c5; +1977 : 86; +1978 : d0; +1979 : ea; +197A : e8; +197B : bd; +197C : 8c; +197D : c0; +197E : c9; +197F : fe; +1980 : f0; +1981 : 04; +1982 : e8; +1983 : 4c; +1984 : 3c; +1985 : d9; +1986 : a9; +1987 : 00; +1988 : 4c; +1989 : 8d; +198A : d9; +198B : a9; +198C : 01; +198D : 85; +198E : 5a; +198F : 60; +1990 : a5; +1991 : 56; +1992 : c9; +1993 : 01; +1994 : f0; +1995 : 07; +1996 : c9; +1997 : 02; +1998 : f0; +1999 : 15; +199A : 4c; +199B : e3; +199C : d9; +199D : a5; +199E : 53; +199F : 0a; +19A0 : aa; +19A1 : ca; +19A2 : bd; +19A3 : b4; +19A4 : c1; +19A5 : cd; +19A6 : 03; +19A7 : 02; +19A8 : f0; +19A9 : 36; +19AA : 90; +19AB : 34; +19AC : 4c; +19AD : e3; +19AE : d9; +19AF : a5; +19B0 : 53; +19B1 : 0a; +19B2 : aa; +19B3 : ca; +19B4 : ca; +19B5 : bd; +19B6 : b4; +19B7 : c1; +19B8 : cd; +19B9 : 03; +19BA : 02; +19BB : b0; +19BC : 23; +19BD : a5; +19BE : 53; +19BF : c9; +19C0 : 04; +19C1 : f0; +19C2 : 20; +19C3 : a6; +19C4 : 59; +19C5 : c9; +19C6 : 03; +19C7 : f0; +19C8 : 07; +19C9 : e0; +19CA : 06; +19CB : d0; +19CC : 16; +19CD : 4c; +19CE : d4; +19CF : d9; +19D0 : e0; +19D1 : 05; +19D2 : d0; +19D3 : 0f; +19D4 : ad; +19D5 : 03; +19D6 : 02; +19D7 : c9; +19D8 : 68; +19D9 : f0; +19DA : 05; +19DB : 90; +19DC : 03; +19DD : 4c; +19DE : e3; +19DF : d9; +19E0 : a9; +19E1 : 01; +19E2 : 60; +19E3 : a9; +19E4 : 00; +19E5 : 60; +19E6 : 85; +19E7 : 0a; +19E8 : 85; +19E9 : 0b; +19EA : e6; +19EB : 88; +19EC : a5; +19ED : 88; +19EE : c9; +19EF : 0f; +19F0 : b0; +19F1 : 03; +19F2 : 4c; +19F3 : f9; +19F4 : d9; +19F5 : a9; +19F6 : 00; +19F7 : 85; +19F8 : 88; +19F9 : c9; +19FA : 08; +19FB : b0; +19FC : 09; +19FD : aa; +19FE : bd; +19FF : bc; +1A00 : c1; +1A01 : 25; +1A02 : 0a; +1A03 : 4c; +1A04 : 0f; +1A05 : da; +1A06 : 38; +1A07 : e9; +1A08 : 08; +1A09 : aa; +1A0A : bd; +1A0B : bc; +1A0C : c1; +1A0D : 25; +1A0E : 0b; +1A0F : f0; +1A10 : 02; +1A11 : a9; +1A12 : 01; +1A13 : 85; +1A14 : be; +1A15 : 60; +1A16 : 20; +1A17 : 66; +1A18 : e1; +1A19 : a9; +1A1A : 00; +1A1B : 85; +1A1C : 5d; +1A1D : 20; +1A1E : d5; +1A1F : ef; +1A20 : bd; +1A21 : 00; +1A22 : 02; +1A23 : c9; +1A24 : ff; +1A25 : d0; +1A26 : 16; +1A27 : a5; +1A28 : 36; +1A29 : d0; +1A2A : 15; +1A2B : a9; +1A2C : 80; +1A2D : a6; +1A2E : 5d; +1A2F : 95; +1A30 : 5e; +1A31 : a9; +1A32 : 10; +1A33 : 85; +1A34 : 37; +1A35 : 20; +1A36 : f7; +1A37 : ea; +1A38 : bd; +1A39 : 43; +1A3A : c4; +1A3B : 85; +1A3C : 36; +1A3D : 20; +1A3E : 4c; +1A3F : da; +1A40 : a5; +1A41 : 5d; +1A42 : 18; +1A43 : 69; +1A44 : 01; +1A45 : 85; +1A46 : 5d; +1A47 : c9; +1A48 : 09; +1A49 : d0; +1A4A : d2; +1A4B : 60; +1A4C : a6; +1A4D : 5d; +1A4E : b5; +1A4F : 5e; +1A50 : c9; +1A51 : 80; +1A52 : f0; +1A53 : 29; +1A54 : c9; +1A55 : 81; +1A56 : f0; +1A57 : 28; +1A58 : c9; +1A59 : 01; +1A5A : f0; +1A5B : 27; +1A5C : c9; +1A5D : 02; +1A5E : f0; +1A5F : 26; +1A60 : c9; +1A61 : c0; +1A62 : f0; +1A63 : 25; +1A64 : c9; +1A65 : c1; +1A66 : f0; +1A67 : 21; +1A68 : c9; +1A69 : c2; +1A6A : f0; +1A6B : 1d; +1A6C : c9; +1A6D : 08; +1A6E : f0; +1A6F : 1f; +1A70 : c9; +1A71 : 10; +1A72 : f0; +1A73 : 1e; +1A74 : c9; +1A75 : 20; +1A76 : f0; +1A77 : 1d; +1A78 : c9; +1A79 : 40; +1A7A : f0; +1A7B : 1c; +1A7C : 60; +1A7D : 4c; +1A7E : 9c; +1A7F : da; +1A80 : 4c; +1A81 : 00; +1A82 : db; +1A83 : 4c; +1A84 : 2c; +1A85 : db; +1A86 : 4c; +1A87 : 30; +1A88 : dc; +1A89 : bd; +1A8A : 21; +1A8B : 04; +1A8C : 4c; +1A8D : 8b; +1A8E : dd; +1A8F : 4c; +1A90 : 69; +1A91 : dc; +1A92 : 4c; +1A93 : d0; +1A94 : dc; +1A95 : 4c; +1A96 : 32; +1A97 : dd; +1A98 : 20; +1A99 : 07; +1A9A : df; +1A9B : 60; +1A9C : 20; +1A9D : d5; +1A9E : ef; +1A9F : a9; +1AA0 : 30; +1AA1 : 85; +1AA2 : 00; +1AA3 : 85; +1AA4 : 01; +1AA5 : a9; +1AA6 : 90; +1AA7 : 85; +1AA8 : 02; +1AA9 : 86; +1AAA : 04; +1AAB : 20; +1AAC : db; +1AAD : ea; +1AAE : a5; +1AAF : 37; +1AB0 : d0; +1AB1 : 4d; +1AB2 : a9; +1AB3 : 81; +1AB4 : a6; +1AB5 : 5d; +1AB6 : 95; +1AB7 : 5e; +1AB8 : a9; +1AB9 : 00; +1ABA : 95; +1ABB : 8a; +1ABC : a5; +1ABD : ad; +1ABE : f0; +1ABF : 03; +1AC0 : 4c; +1AC1 : d5; +1AC2 : da; +1AC3 : a5; +1AC4 : 5d; +1AC5 : d0; +1AC6 : 38; +1AC7 : a9; +1AC8 : c0; +1AC9 : a6; +1ACA : 5d; +1ACB : 95; +1ACC : 5e; +1ACD : a9; +1ACE : 01; +1ACF : 9d; +1AD0 : 21; +1AD1 : 04; +1AD2 : 4c; +1AD3 : f7; +1AD4 : da; +1AD5 : a5; +1AD6 : 43; +1AD7 : d0; +1AD8 : 26; +1AD9 : a5; +1ADA : 5d; +1ADB : d0; +1ADC : 22; +1ADD : a9; +1ADE : c0; +1ADF : a6; +1AE0 : 5d; +1AE1 : 95; +1AE2 : 5e; +1AE3 : bd; +1AE4 : 21; +1AE5 : 04; +1AE6 : c9; +1AE7 : 01; +1AE8 : d0; +1AE9 : 08; +1AEA : a9; +1AEB : 03; +1AEC : 9d; +1AED : 21; +1AEE : 04; +1AEF : 4c; +1AF0 : f7; +1AF1 : da; +1AF2 : a9; +1AF3 : 01; +1AF4 : 9d; +1AF5 : 21; +1AF6 : 04; +1AF7 : 20; +1AF8 : f7; +1AF9 : ea; +1AFA : bd; +1AFB : 4d; +1AFC : c4; +1AFD : 85; +1AFE : 43; +1AFF : 60; +1B00 : a9; +1B01 : 55; +1B02 : 20; +1B03 : e4; +1B04 : df; +1B05 : d0; +1B06 : 1a; +1B07 : 20; +1B08 : d5; +1B09 : ef; +1B0A : a9; +1B0B : 4d; +1B0C : 85; +1B0D : 00; +1B0E : a9; +1B0F : 32; +1B10 : 85; +1B11 : 01; +1B12 : a9; +1B13 : 84; +1B14 : 85; +1B15 : 02; +1B16 : 86; +1B17 : 04; +1B18 : 20; +1B19 : db; +1B1A : ea; +1B1B : ee; +1B1C : 15; +1B1D : 05; +1B1E : 4c; +1B1F : 2b; +1B20 : db; +1B21 : a6; +1B22 : 5d; +1B23 : a9; +1B24 : 01; +1B25 : 95; +1B26 : 5e; +1B27 : a9; +1B28 : 84; +1B29 : 95; +1B2A : 72; +1B2B : 60; +1B2C : a9; +1B2D : ff; +1B2E : 20; +1B2F : e4; +1B30 : df; +1B31 : d0; +1B32 : 01; +1B33 : 60; +1B34 : 20; +1B35 : d5; +1B36 : ef; +1B37 : 48; +1B38 : 20; +1B39 : ec; +1B3A : ea; +1B3B : a5; +1B3C : 01; +1B3D : 20; +1B3E : 16; +1B3F : e0; +1B40 : a4; +1B41 : 5d; +1B42 : 99; +1B43 : 68; +1B44 : 00; +1B45 : 29; +1B46 : 01; +1B47 : d0; +1B48 : 05; +1B49 : e6; +1B4A : 00; +1B4B : 4c; +1B4C : 50; +1B4D : db; +1B4E : c6; +1B4F : 00; +1B50 : a5; +1B51 : 00; +1B52 : 20; +1B53 : 5a; +1B54 : e0; +1B55 : 85; +1B56 : 7d; +1B57 : 20; +1B58 : 48; +1B59 : e0; +1B5A : 18; +1B5B : 65; +1B5C : 01; +1B5D : 85; +1B5E : 01; +1B5F : 20; +1B60 : ee; +1B61 : db; +1B62 : a6; +1B63 : 5d; +1B64 : b5; +1B65 : 72; +1B66 : 20; +1B67 : d4; +1B68 : ea; +1B69 : 68; +1B6A : aa; +1B6B : 20; +1B6C : 80; +1B6D : f0; +1B6E : a5; +1B6F : 00; +1B70 : 20; +1B71 : ae; +1B72 : e0; +1B73 : f0; +1B74 : 37; +1B75 : 20; +1B76 : f7; +1B77 : ea; +1B78 : bd; +1B79 : 48; +1B7A : c4; +1B7B : 25; +1B7C : 19; +1B7D : d0; +1B7E : 2d; +1B7F : a6; +1B80 : 5d; +1B81 : b5; +1B82 : 68; +1B83 : aa; +1B84 : ca; +1B85 : b5; +1B86 : 7e; +1B87 : c9; +1B88 : 04; +1B89 : b0; +1B8A : 21; +1B8B : a5; +1B8C : 96; +1B8D : c9; +1B8E : 02; +1B8F : d0; +1B90 : 12; +1B91 : a6; +1B92 : 04; +1B93 : bd; +1B94 : 00; +1B95 : 02; +1B96 : cd; +1B97 : 00; +1B98 : 02; +1B99 : b0; +1B9A : 08; +1B9B : 18; +1B9C : 69; +1B9D : 0f; +1B9E : cd; +1B9F : 00; +1BA0 : 02; +1BA1 : b0; +1BA2 : 09; +1BA3 : a9; +1BA4 : 02; +1BA5 : a6; +1BA6 : 5d; +1BA7 : 95; +1BA8 : 5e; +1BA9 : d6; +1BAA : 68; +1BAB : 60; +1BAC : a5; +1BAD : 00; +1BAE : 20; +1BAF : 90; +1BB0 : e0; +1BB1 : f0; +1BB2 : 03; +1BB3 : 4c; +1BB4 : e7; +1BB5 : db; +1BB6 : 20; +1BB7 : 40; +1BB8 : df; +1BB9 : a6; +1BBA : 5d; +1BBB : b5; +1BBC : 68; +1BBD : c9; +1BBE : 01; +1BBF : d0; +1BC0 : 2c; +1BC1 : 20; +1BC2 : c3; +1BC3 : df; +1BC4 : a5; +1BC5 : 00; +1BC6 : c9; +1BC7 : 20; +1BC8 : f0; +1BC9 : 03; +1BCA : 90; +1BCB : 01; +1BCC : 60; +1BCD : a9; +1BCE : 03; +1BCF : 85; +1BD0 : 02; +1BD1 : a9; +1BD2 : 04; +1BD3 : 85; +1BD4 : 03; +1BD5 : 20; +1BD6 : 8e; +1BD7 : f0; +1BD8 : a9; +1BD9 : 01; +1BDA : 85; +1BDB : ad; +1BDC : a9; +1BDD : 00; +1BDE : a6; +1BDF : 5d; +1BE0 : 95; +1BE1 : 68; +1BE2 : a9; +1BE3 : 80; +1BE4 : 85; +1BE5 : fe; +1BE6 : 60; +1BE7 : a6; +1BE8 : 5d; +1BE9 : a9; +1BEA : 08; +1BEB : 95; +1BEC : 5e; +1BED : 60; +1BEE : a6; +1BEF : 5d; +1BF0 : fe; +1BF1 : 0d; +1BF2 : 04; +1BF3 : bd; +1BF4 : 0d; +1BF5 : 04; +1BF6 : c9; +1BF7 : 06; +1BF8 : b0; +1BF9 : 01; +1BFA : 60; +1BFB : a9; +1BFC : 00; +1BFD : 9d; +1BFE : 0d; +1BFF : 04; +1C00 : b5; +1C01 : 68; +1C02 : 29; +1C03 : 01; +1C04 : f0; +1C05 : 15; +1C06 : b5; +1C07 : 72; +1C08 : 18; +1C09 : 69; +1C0A : 04; +1C0B : c9; +1C0C : 80; +1C0D : 90; +1C0E : 07; +1C0F : c9; +1C10 : 90; +1C11 : b0; +1C12 : 03; +1C13 : 4c; +1C14 : 2d; +1C15 : dc; +1C16 : a9; +1C17 : 80; +1C18 : 4c; +1C19 : 2d; +1C1A : dc; +1C1B : b5; +1C1C : 72; +1C1D : 38; +1C1E : e9; +1C1F : 04; +1C20 : c9; +1C21 : 80; +1C22 : 90; +1C23 : 07; +1C24 : c9; +1C25 : 90; +1C26 : b0; +1C27 : 03; +1C28 : 4c; +1C29 : 2d; +1C2A : dc; +1C2B : a9; +1C2C : 8c; +1C2D : 95; +1C2E : 72; +1C2F : 60; +1C30 : a9; +1C31 : 55; +1C32 : 20; +1C33 : e4; +1C34 : df; +1C35 : f0; +1C36 : 31; +1C37 : 20; +1C38 : d5; +1C39 : ef; +1C3A : 86; +1C3B : 04; +1C3C : 20; +1C3D : ec; +1C3E : ea; +1C3F : e6; +1C40 : 01; +1C41 : a4; +1C42 : 5d; +1C43 : b9; +1C44 : 72; +1C45 : 00; +1C46 : c9; +1C47 : 90; +1C48 : d0; +1C49 : 05; +1C4A : a9; +1C4B : 94; +1C4C : 4c; +1C4D : 51; +1C4E : dc; +1C4F : a9; +1C50 : 90; +1C51 : 85; +1C52 : 02; +1C53 : a6; +1C54 : 5d; +1C55 : 95; +1C56 : 72; +1C57 : 20; +1C58 : db; +1C59 : ea; +1C5A : a5; +1C5B : 01; +1C5C : a6; +1C5D : 5d; +1C5E : d5; +1C5F : a3; +1C60 : d0; +1C61 : 06; +1C62 : a6; +1C63 : 5d; +1C64 : a9; +1C65 : 01; +1C66 : 95; +1C67 : 5e; +1C68 : 60; +1C69 : a9; +1C6A : ff; +1C6B : 20; +1C6C : e4; +1C6D : df; +1C6E : d0; +1C6F : 01; +1C70 : 60; +1C71 : 20; +1C72 : d5; +1C73 : ef; +1C74 : 86; +1C75 : 04; +1C76 : 20; +1C77 : ec; +1C78 : ea; +1C79 : e6; +1C7A : 01; +1C7B : a5; +1C7C : 01; +1C7D : 29; +1C7E : 01; +1C7F : f0; +1C80 : 0f; +1C81 : a6; +1C82 : 5d; +1C83 : b5; +1C84 : 68; +1C85 : 29; +1C86 : 01; +1C87 : f0; +1C88 : 05; +1C89 : c6; +1C8A : 00; +1C8B : 4c; +1C8C : 90; +1C8D : dc; +1C8E : e6; +1C8F : 00; +1C90 : 20; +1C91 : ee; +1C92 : db; +1C93 : a6; +1C94 : 5d; +1C95 : b5; +1C96 : 72; +1C97 : 85; +1C98 : 02; +1C99 : 20; +1C9A : db; +1C9B : ea; +1C9C : a9; +1C9D : 32; +1C9E : 20; +1C9F : 53; +1CA0 : c8; +1CA1 : a5; +1CA2 : 01; +1CA3 : 20; +1CA4 : 12; +1CA5 : e1; +1CA6 : f0; +1CA7 : 27; +1CA8 : a6; +1CA9 : 5d; +1CAA : a9; +1CAB : 10; +1CAC : 95; +1CAD : 5e; +1CAE : 20; +1CAF : 30; +1CB0 : e1; +1CB1 : f0; +1CB2 : 09; +1CB3 : a5; +1CB4 : 19; +1CB5 : 29; +1CB6 : 01; +1CB7 : f0; +1CB8 : 03; +1CB9 : 4c; +1CBA : c9; +1CBB : dc; +1CBC : a6; +1CBD : 5d; +1CBE : b5; +1CBF : 68; +1CC0 : aa; +1CC1 : ca; +1CC2 : b5; +1CC3 : 7e; +1CC4 : c9; +1CC5 : 04; +1CC6 : b0; +1CC7 : 01; +1CC8 : 60; +1CC9 : a6; +1CCA : 5d; +1CCB : a9; +1CCC : 20; +1CCD : 95; +1CCE : 5e; +1CCF : 60; +1CD0 : a9; +1CD1 : 77; +1CD2 : 20; +1CD3 : e4; +1CD4 : df; +1CD5 : d0; +1CD6 : 01; +1CD7 : 60; +1CD8 : 20; +1CD9 : d5; +1CDA : ef; +1CDB : 86; +1CDC : 04; +1CDD : 20; +1CDE : ec; +1CDF : ea; +1CE0 : a5; +1CE1 : 01; +1CE2 : 20; +1CE3 : 16; +1CE4 : e0; +1CE5 : a6; +1CE6 : 5d; +1CE7 : 95; +1CE8 : 68; +1CE9 : 29; +1CEA : 01; +1CEB : d0; +1CEC : 13; +1CED : e6; +1CEE : 00; +1CEF : a5; +1CF0 : 00; +1CF1 : a2; +1CF2 : 00; +1CF3 : dd; +1CF4 : fc; +1CF5 : c3; +1CF6 : f0; +1CF7 : 1b; +1CF8 : e8; +1CF9 : e0; +1CFA : 0b; +1CFB : f0; +1CFC : 28; +1CFD : 4c; +1CFE : f3; +1CFF : dc; +1D00 : c6; +1D01 : 00; +1D02 : a5; +1D03 : 00; +1D04 : a2; +1D05 : 00; +1D06 : dd; +1D07 : 12; +1D08 : c4; +1D09 : f0; +1D0A : 08; +1D0B : e8; +1D0C : e0; +1D0D : 0b; +1D0E : f0; +1D0F : 15; +1D10 : 4c; +1D11 : 06; +1D12 : dd; +1D13 : a5; +1D14 : 01; +1D15 : 18; +1D16 : 7d; +1D17 : 07; +1D18 : c4; +1D19 : 85; +1D1A : 01; +1D1B : e0; +1D1C : 0a; +1D1D : d0; +1D1E : 06; +1D1F : a6; +1D20 : 5d; +1D21 : a9; +1D22 : 01; +1D23 : 95; +1D24 : 5e; +1D25 : 20; +1D26 : ee; +1D27 : db; +1D28 : a6; +1D29 : 5d; +1D2A : b5; +1D2B : 72; +1D2C : 85; +1D2D : 02; +1D2E : 20; +1D2F : db; +1D30 : ea; +1D31 : 60; +1D32 : a9; +1D33 : 55; +1D34 : 20; +1D35 : e4; +1D36 : df; +1D37 : d0; +1D38 : 01; +1D39 : 60; +1D3A : 20; +1D3B : d5; +1D3C : ef; +1D3D : 86; +1D3E : 04; +1D3F : 20; +1D40 : ec; +1D41 : ea; +1D42 : a5; +1D43 : 01; +1D44 : 20; +1D45 : 16; +1D46 : e0; +1D47 : a6; +1D48 : 5d; +1D49 : 95; +1D4A : 68; +1D4B : 29; +1D4C : 01; +1D4D : d0; +1D4E : 11; +1D4F : c6; +1D50 : 00; +1D51 : a5; +1D52 : 01; +1D53 : c9; +1D54 : 14; +1D55 : d0; +1D56 : 02; +1D57 : c6; +1D58 : 01; +1D59 : a5; +1D5A : 00; +1D5B : d0; +1D5C : 16; +1D5D : 4c; +1D5E : 7f; +1D5F : dd; +1D60 : e6; +1D61 : 00; +1D62 : a5; +1D63 : 01; +1D64 : c9; +1D65 : ec; +1D66 : d0; +1D67 : 02; +1D68 : c6; +1D69 : 01; +1D6A : a5; +1D6B : 00; +1D6C : c9; +1D6D : f4; +1D6E : d0; +1D6F : 03; +1D70 : 4c; +1D71 : 7f; +1D72 : dd; +1D73 : 20; +1D74 : ee; +1D75 : db; +1D76 : a6; +1D77 : 5d; +1D78 : b5; +1D79 : 72; +1D7A : 85; +1D7B : 02; +1D7C : 4c; +1D7D : db; +1D7E : ea; +1D7F : a9; +1D80 : 22; +1D81 : 20; +1D82 : 92; +1D83 : f0; +1D84 : a9; +1D85 : 00; +1D86 : a6; +1D87 : 5d; +1D88 : 95; +1D89 : 68; +1D8A : 60; +1D8B : 85; +1D8C : 07; +1D8D : a6; +1D8E : 5d; +1D8F : b5; +1D90 : 5e; +1D91 : c9; +1D92 : c2; +1D93 : d0; +1D94 : 03; +1D95 : 4c; +1D96 : 82; +1D97 : de; +1D98 : c9; +1D99 : c1; +1D9A : f0; +1D9B : 3b; +1D9C : a5; +1D9D : 07; +1D9E : c9; +1D9F : 02; +1DA0 : f0; +1DA1 : 09; +1DA2 : c9; +1DA3 : 03; +1DA4 : f0; +1DA5 : 0a; +1DA6 : a9; +1DA7 : 34; +1DA8 : 4c; +1DA9 : b2; +1DAA : dd; +1DAB : a9; +1DAC : 36; +1DAD : 4c; +1DAE : b2; +1DAF : dd; +1DB0 : a9; +1DB1 : 38; +1DB2 : 20; +1DB3 : 53; +1DB4 : c8; +1DB5 : 20; +1DB6 : d5; +1DB7 : ef; +1DB8 : 86; +1DB9 : 04; +1DBA : bd; +1DBB : 00; +1DBC : 02; +1DBD : 20; +1DBE : 12; +1DBF : e1; +1DC0 : a4; +1DC1 : 0a; +1DC2 : c0; +1DC3 : 04; +1DC4 : d0; +1DC5 : 03; +1DC6 : 4c; +1DC7 : 73; +1DC8 : de; +1DC9 : c9; +1DCA : 00; +1DCB : f0; +1DCC : 0a; +1DCD : a6; +1DCE : 5d; +1DCF : a9; +1DD0 : 01; +1DD1 : 95; +1DD2 : 8a; +1DD3 : a9; +1DD4 : c1; +1DD5 : 95; +1DD6 : 5e; +1DD7 : 20; +1DD8 : d5; +1DD9 : ef; +1DDA : 86; +1DDB : 04; +1DDC : a6; +1DDD : 5d; +1DDE : b5; +1DDF : 5e; +1DE0 : c9; +1DE1 : c1; +1DE2 : d0; +1DE3 : 2f; +1DE4 : a9; +1DE5 : 20; +1DE6 : 20; +1DE7 : e4; +1DE8 : df; +1DE9 : d0; +1DEA : 0a; +1DEB : a6; +1DEC : 04; +1DED : bd; +1DEE : 00; +1DEF : 02; +1DF0 : 85; +1DF1 : 01; +1DF2 : 4c; +1DF3 : 27; +1DF4 : de; +1DF5 : a6; +1DF6 : 5d; +1DF7 : a9; +1DF8 : c0; +1DF9 : 95; +1DFA : 5e; +1DFB : a5; +1DFC : 07; +1DFD : c9; +1DFE : 03; +1DFF : d0; +1E00 : 0f; +1E01 : bd; +1E02 : 17; +1E03 : 04; +1E04 : f0; +1E05 : 05; +1E06 : a9; +1E07 : 00; +1E08 : 4c; +1E09 : 0d; +1E0A : de; +1E0B : a9; +1E0C : 01; +1E0D : 9d; +1E0E : 17; +1E0F : 04; +1E10 : 4c; +1E11 : 1a; +1E12 : de; +1E13 : a9; +1E14 : ff; +1E15 : 20; +1E16 : e4; +1E17 : df; +1E18 : f0; +1E19 : 6b; +1E1A : a6; +1E1B : 04; +1E1C : a9; +1E1D : 01; +1E1E : 18; +1E1F : 7d; +1E20 : 00; +1E21 : 02; +1E22 : 85; +1E23 : 01; +1E24 : 20; +1E25 : 86; +1E26 : de; +1E27 : e8; +1E28 : e8; +1E29 : e8; +1E2A : a5; +1E2B : 07; +1E2C : c9; +1E2D : 02; +1E2E : d0; +1E2F : 06; +1E30 : fe; +1E31 : 00; +1E32 : 02; +1E33 : 4c; +1E34 : 56; +1E35 : de; +1E36 : c9; +1E37 : 03; +1E38 : d0; +1E39 : 1c; +1E3A : a5; +1E3B : 01; +1E3C : 29; +1E3D : 01; +1E3E : f0; +1E3F : 16; +1E40 : a4; +1E41 : 5d; +1E42 : b9; +1E43 : 17; +1E44 : 04; +1E45 : d0; +1E46 : 09; +1E47 : fe; +1E48 : 00; +1E49 : 02; +1E4A : fe; +1E4B : 00; +1E4C : 02; +1E4D : 4c; +1E4E : 56; +1E4F : de; +1E50 : de; +1E51 : 00; +1E52 : 02; +1E53 : de; +1E54 : 00; +1E55 : 02; +1E56 : bd; +1E57 : 00; +1E58 : 02; +1E59 : 85; +1E5A : 00; +1E5B : a6; +1E5C : 5d; +1E5D : b5; +1E5E : 72; +1E5F : c9; +1E60 : 90; +1E61 : d0; +1E62 : 05; +1E63 : a9; +1E64 : 94; +1E65 : 4c; +1E66 : 6a; +1E67 : de; +1E68 : a9; +1E69 : 90; +1E6A : 85; +1E6B : 02; +1E6C : a6; +1E6D : 5d; +1E6E : 95; +1E6F : 72; +1E70 : 4c; +1E71 : db; +1E72 : ea; +1E73 : a9; +1E74 : c2; +1E75 : a6; +1E76 : 5d; +1E77 : 95; +1E78 : 5e; +1E79 : a6; +1E7A : 04; +1E7B : bd; +1E7C : 03; +1E7D : 02; +1E7E : 8d; +1E7F : 2b; +1E80 : 04; +1E81 : 60; +1E82 : 20; +1E83 : a5; +1E84 : de; +1E85 : 60; +1E86 : a5; +1E87 : 07; +1E88 : c9; +1E89 : 01; +1E8A : d0; +1E8B : 18; +1E8C : a0; +1E8D : 00; +1E8E : a5; +1E8F : 01; +1E90 : d9; +1E91 : 1d; +1E92 : c4; +1E93 : 90; +1E94 : 0a; +1E95 : d9; +1E96 : 20; +1E97 : c4; +1E98 : b0; +1E99 : 05; +1E9A : e6; +1E9B : 01; +1E9C : 4c; +1E9D : a4; +1E9E : de; +1E9F : c8; +1EA0 : c0; +1EA1 : 03; +1EA2 : d0; +1EA3 : ec; +1EA4 : 60; +1EA5 : 20; +1EA6 : d5; +1EA7 : ef; +1EA8 : 86; +1EA9 : 04; +1EAA : 20; +1EAB : ec; +1EAC : ea; +1EAD : c6; +1EAE : 00; +1EAF : ad; +1EB0 : 2b; +1EB1 : 04; +1EB2 : 38; +1EB3 : e9; +1EB4 : 01; +1EB5 : c5; +1EB6 : 00; +1EB7 : f0; +1EB8 : 2f; +1EB9 : 38; +1EBA : e9; +1EBB : 01; +1EBC : c5; +1EBD : 00; +1EBE : f0; +1EBF : 28; +1EC0 : 38; +1EC1 : e9; +1EC2 : 01; +1EC3 : c5; +1EC4 : 00; +1EC5 : f0; +1EC6 : 2b; +1EC7 : 38; +1EC8 : e9; +1EC9 : 08; +1ECA : c5; +1ECB : 00; +1ECC : f0; +1ECD : 1f; +1ECE : 38; +1ECF : e9; +1ED0 : 01; +1ED1 : c5; +1ED2 : 00; +1ED3 : f0; +1ED4 : 18; +1ED5 : 38; +1ED6 : e9; +1ED7 : 01; +1ED8 : c5; +1ED9 : 00; +1EDA : d0; +1EDB : 1f; +1EDC : a9; +1EDD : 01; +1EDE : a6; +1EDF : 5d; +1EE0 : 95; +1EE1 : 5e; +1EE2 : a9; +1EE3 : 00; +1EE4 : 9d; +1EE5 : 17; +1EE6 : 04; +1EE7 : 60; +1EE8 : c6; +1EE9 : 01; +1EEA : 4c; +1EEB : fb; +1EEC : de; +1EED : e6; +1EEE : 01; +1EEF : 4c; +1EF0 : fb; +1EF1 : de; +1EF2 : a6; +1EF3 : 5d; +1EF4 : bd; +1EF5 : 21; +1EF6 : 04; +1EF7 : c9; +1EF8 : 01; +1EF9 : f0; +1EFA : e1; +1EFB : a9; +1EFC : 84; +1EFD : a6; +1EFE : 5d; +1EFF : 95; +1F00 : 72; +1F01 : 85; +1F02 : 02; +1F03 : 20; +1F04 : db; +1F05 : ea; +1F06 : 60; +1F07 : a9; +1F08 : 55; +1F09 : 20; +1F0A : e4; +1F0B : df; +1F0C : d0; +1F0D : 01; +1F0E : 60; +1F0F : 20; +1F10 : d5; +1F11 : ef; +1F12 : 86; +1F13 : 04; +1F14 : 20; +1F15 : ec; +1F16 : ea; +1F17 : e6; +1F18 : 01; +1F19 : bd; +1F1A : 01; +1F1B : 02; +1F1C : c9; +1F1D : 90; +1F1E : f0; +1F1F : 05; +1F20 : a9; +1F21 : 90; +1F22 : 4c; +1F23 : 27; +1F24 : df; +1F25 : a9; +1F26 : 94; +1F27 : 85; +1F28 : 02; +1F29 : 20; +1F2A : db; +1F2B : ea; +1F2C : a5; +1F2D : c0; +1F2E : c5; +1F2F : 01; +1F30 : f0; +1F31 : 03; +1F32 : 90; +1F33 : 01; +1F34 : 60; +1F35 : a6; +1F36 : 5d; +1F37 : a9; +1F38 : 01; +1F39 : 95; +1F3A : 5e; +1F3B : a9; +1F3C : 00; +1F3D : 85; +1F3E : c0; +1F3F : 60; +1F40 : a5; +1F41 : c0; +1F42 : f0; +1F43 : 01; +1F44 : 60; +1F45 : a5; +1F46 : 96; +1F47 : c9; +1F48 : 0a; +1F49 : f0; +1F4A : 01; +1F4B : 60; +1F4C : a5; +1F4D : 59; +1F4E : c9; +1F4F : 03; +1F50 : f0; +1F51 : 03; +1F52 : 4c; +1F53 : 72; +1F54 : df; +1F55 : a2; +1F56 : 03; +1F57 : b5; +1F58 : 7e; +1F59 : c9; +1F5A : 05; +1F5B : b0; +1F5C : 01; +1F5D : 60; +1F5E : a2; +1F5F : 00; +1F60 : b5; +1F61 : 5e; +1F62 : c9; +1F63 : 01; +1F64 : d0; +1F65 : 06; +1F66 : b5; +1F67 : 68; +1F68 : c9; +1F69 : 03; +1F6A : f0; +1F6B : 23; +1F6C : e8; +1F6D : e0; +1F6E : 0a; +1F6F : d0; +1F70 : ef; +1F71 : 60; +1F72 : a2; +1F73 : 05; +1F74 : b5; +1F75 : 7e; +1F76 : c9; +1F77 : 05; +1F78 : b0; +1F79 : 01; +1F7A : 60; +1F7B : a2; +1F7C : 00; +1F7D : b5; +1F7E : 5e; +1F7F : c9; +1F80 : 01; +1F81 : d0; +1F82 : 06; +1F83 : b5; +1F84 : 68; +1F85 : c9; +1F86 : 05; +1F87 : f0; +1F88 : 06; +1F89 : e8; +1F8A : c9; +1F8B : 0a; +1F8C : d0; +1F8D : ef; +1F8E : 60; +1F8F : a9; +1F90 : 40; +1F91 : 95; +1F92 : 5e; +1F93 : d6; +1F94 : 68; +1F95 : 8a; +1F96 : 18; +1F97 : 69; +1F98 : 03; +1F99 : 0a; +1F9A : 0a; +1F9B : 0a; +1F9C : 0a; +1F9D : a8; +1F9E : b9; +1F9F : 00; +1FA0 : 02; +1FA1 : 85; +1FA2 : 01; +1FA3 : b9; +1FA4 : 03; +1FA5 : 02; +1FA6 : 85; +1FA7 : 00; +1FA8 : ad; +1FA9 : eb; +1FAA : c1; +1FAB : a0; +1FAC : 00; +1FAD : c5; +1FAE : 00; +1FAF : b0; +1FB0 : 07; +1FB1 : 18; +1FB2 : 69; +1FB3 : 18; +1FB4 : c8; +1FB5 : 4c; +1FB6 : ad; +1FB7 : df; +1FB8 : 98; +1FB9 : 0a; +1FBA : 18; +1FBB : 69; +1FBC : 15; +1FBD : 18; +1FBE : 65; +1FBF : 01; +1FC0 : 85; +1FC1 : c0; +1FC2 : 60; +1FC3 : a6; +1FC4 : 5d; +1FC5 : b5; +1FC6 : 68; +1FC7 : c9; +1FC8 : 01; +1FC9 : d0; +1FCA : 18; +1FCB : 20; +1FCC : d5; +1FCD : ef; +1FCE : bd; +1FCF : 03; +1FD0 : 02; +1FD1 : c9; +1FD2 : 30; +1FD3 : b0; +1FD4 : 0e; +1FD5 : a9; +1FD6 : 23; +1FD7 : 9d; +1FD8 : 02; +1FD9 : 02; +1FDA : 9d; +1FDB : 06; +1FDC : 02; +1FDD : 9d; +1FDE : 0a; +1FDF : 02; +1FE0 : 9d; +1FE1 : 0e; +1FE2 : 02; +1FE3 : 60; +1FE4 : 85; +1FE5 : 0a; +1FE6 : 85; +1FE7 : 0b; +1FE8 : a6; +1FE9 : 5d; +1FEA : f6; +1FEB : 8a; +1FEC : b5; +1FED : 8a; +1FEE : 30; +1FEF : 07; +1FF0 : c9; +1FF1 : 10; +1FF2 : b0; +1FF3 : 03; +1FF4 : 4c; +1FF5 : fb; +1FF6 : df; +1FF7 : a9; +1FF8 : 00; +1FF9 : 95; +1FFA : 8a; +1FFB : c9; +1FFC : 08; +1FFD : b0; +1FFE : 09; +1FFF : aa; +2000 : bd; +2001 : bc; +2002 : c1; +2003 : 25; +2004 : 0a; +2005 : 4c; +2006 : 11; +2007 : e0; +2008 : 38; +2009 : e9; +200A : 08; +200B : aa; +200C : bd; +200D : bc; +200E : c1; +200F : 25; +2010 : 0b; +2011 : f0; +2012 : 02; +2013 : a9; +2014 : 01; +2015 : 60; +2016 : 85; +2017 : 0a; +2018 : a5; +2019 : 53; +201A : 38; +201B : e9; +201C : 01; +201D : 0a; +201E : aa; +201F : bd; +2020 : 93; +2021 : c4; +2022 : 85; +2023 : 08; +2024 : bd; +2025 : 94; +2026 : c4; +2027 : 85; +2028 : 09; +2029 : a0; +202A : 00; +202B : a9; +202C : 01; +202D : 85; +202E : 0b; +202F : b1; +2030 : 08; +2031 : c9; +2032 : ff; +2033 : f0; +2034 : 0c; +2035 : c5; +2036 : 0a; +2037 : f0; +2038 : 0c; +2039 : 90; +203A : 0a; +203B : e6; +203C : 0b; +203D : c8; +203E : 4c; +203F : 2f; +2040 : e0; +2041 : a9; +2042 : 07; +2043 : 85; +2044 : 0b; +2045 : a5; +2046 : 0b; +2047 : 60; +2048 : a6; +2049 : 5d; +204A : b5; +204B : 5e; +204C : c9; +204D : 01; +204E : d0; +204F : 07; +2050 : a5; +2051 : 7d; +2052 : d0; +2053 : 03; +2054 : a9; +2055 : 01; +2056 : 60; +2057 : a9; +2058 : 00; +2059 : 60; +205A : 85; +205B : 0c; +205C : a6; +205D : 5d; +205E : b5; +205F : 68; +2060 : c9; +2061 : 01; +2062 : f0; +2063 : 15; +2064 : c9; +2065 : 06; +2066 : f0; +2067 : 11; +2068 : a2; +2069 : 00; +206A : bd; +206B : c4; +206C : c1; +206D : c5; +206E : 0c; +206F : f0; +2070 : 19; +2071 : e8; +2072 : e0; +2073 : 09; +2074 : f0; +2075 : 17; +2076 : 4c; +2077 : 6a; +2078 : e0; +2079 : a2; +207A : 04; +207B : bd; +207C : c4; +207D : c1; +207E : c5; +207F : 0c; +2080 : f0; +2081 : 08; +2082 : e8; +2083 : e0; +2084 : 09; +2085 : f0; +2086 : 06; +2087 : 4c; +2088 : 7b; +2089 : e0; +208A : a9; +208B : 00; +208C : 60; +208D : a9; +208E : 01; +208F : 60; +2090 : 85; +2091 : 0c; +2092 : a6; +2093 : 5d; +2094 : b5; +2095 : 68; +2096 : 29; +2097 : 01; +2098 : f0; +2099 : 05; +209A : a2; +209B : 00; +209C : 4c; +209D : a1; +209E : e0; +209F : a2; +20A0 : 01; +20A1 : bd; +20A2 : cd; +20A3 : c1; +20A4 : c5; +20A5 : 0c; +20A6 : f0; +20A7 : 03; +20A8 : a9; +20A9 : 00; +20AA : 60; +20AB : a9; +20AC : 01; +20AD : 60; +20AE : 85; +20AF : 0c; +20B0 : a6; +20B1 : 5d; +20B2 : b5; +20B3 : 68; +20B4 : c9; +20B5 : 02; +20B6 : f0; +20B7 : 13; +20B8 : c9; +20B9 : 03; +20BA : f0; +20BB : 0f; +20BC : c9; +20BD : 04; +20BE : f0; +20BF : 11; +20C0 : c9; +20C1 : 05; +20C2 : f0; +20C3 : 19; +20C4 : c9; +20C5 : 06; +20C6 : f0; +20C7 : 21; +20C8 : 4c; +20C9 : ec; +20CA : e0; +20CB : 20; +20CC : f1; +20CD : e0; +20CE : 4c; +20CF : ec; +20D0 : e0; +20D1 : 20; +20D2 : f1; +20D3 : e0; +20D4 : a0; +20D5 : 89; +20D6 : c9; +20D7 : c4; +20D8 : f0; +20D9 : 2f; +20DA : 4c; +20DB : ec; +20DC : e0; +20DD : 20; +20DE : f1; +20DF : e0; +20E0 : a0; +20E1 : 71; +20E2 : c9; +20E3 : b4; +20E4 : f0; +20E5 : 23; +20E6 : 4c; +20E7 : ec; +20E8 : e0; +20E9 : 20; +20EA : f1; +20EB : e0; +20EC : a9; +20ED : 00; +20EE : 4c; +20EF : 0f; +20F0 : e1; +20F1 : aa; +20F2 : ca; +20F3 : ca; +20F4 : a5; +20F5 : 0c; +20F6 : bc; +20F7 : 72; +20F8 : c1; +20F9 : dd; +20FA : 77; +20FB : c1; +20FC : f0; +20FD : 09; +20FE : bc; +20FF : 7c; +2100 : c1; +2101 : dd; +2102 : 81; +2103 : c1; +2104 : f0; +2105 : 01; +2106 : 60; +2107 : 68; +2108 : 68; +2109 : a6; +210A : 5d; +210B : 94; +210C : a3; +210D : a9; +210E : 01; +210F : 85; +2110 : 0c; +2111 : 60; +2112 : 85; +2113 : 0b; +2114 : a0; +2115 : 00; +2116 : b1; +2117 : 08; +2118 : c9; +2119 : fe; +211A : f0; +211B : 0d; +211C : c5; +211D : 0b; +211E : f0; +211F : 04; +2120 : c8; +2121 : 4c; +2122 : 16; +2123 : e1; +2124 : a9; +2125 : 01; +2126 : 4c; +2127 : 2b; +2128 : e1; +2129 : a9; +212A : 00; +212B : 85; +212C : 0c; +212D : 84; +212E : 0a; +212F : 60; +2130 : a6; +2131 : 5d; +2132 : b5; +2133 : 68; +2134 : 38; +2135 : e5; +2136 : 59; +2137 : f0; +2138 : 05; +2139 : 30; +213A : 03; +213B : 4c; +213C : 41; +213D : e1; +213E : a9; +213F : 01; +2140 : 60; +2141 : a9; +2142 : 00; +2143 : 60; +2144 : a2; +2145 : 00; +2146 : a0; +2147 : 20; +2148 : b9; +2149 : 00; +214A : 02; +214B : c9; +214C : ff; +214D : f0; +214E : 08; +214F : 20; +2150 : 16; +2151 : e0; +2152 : 95; +2153 : 68; +2154 : 4c; +2155 : 5b; +2156 : e1; +2157 : a9; +2158 : 00; +2159 : 95; +215A : 68; +215B : 98; +215C : 18; +215D : 69; +215E : 10; +215F : a8; +2160 : e8; +2161 : e0; +2162 : 0a; +2163 : d0; +2164 : e3; +2165 : 60; +2166 : a9; +2167 : 00; +2168 : a0; +2169 : 06; +216A : 99; +216B : 7e; +216C : 00; +216D : 88; +216E : 10; +216F : fa; +2170 : a0; +2171 : 00; +2172 : b9; +2173 : 68; +2174 : 00; +2175 : f0; +2176 : 08; +2177 : aa; +2178 : b5; +2179 : 7e; +217A : 18; +217B : 69; +217C : 01; +217D : 95; +217E : 7e; +217F : c0; +2180 : 09; +2181 : f0; +2182 : 04; +2183 : c8; +2184 : 4c; +2185 : 72; +2186 : e1; +2187 : a6; +2188 : 59; +2189 : e0; +218A : 07; +218B : f0; +218C : 0c; +218D : f6; +218E : 7e; +218F : a5; +2190 : 96; +2191 : c9; +2192 : 0a; +2193 : d0; +2194 : 04; +2195 : a6; +2196 : 59; +2197 : f6; +2198 : 7e; +2199 : 60; +219A : a5; +219B : ad; +219C : d0; +219D : 01; +219E : 60; +219F : c9; +21A0 : 01; +21A1 : d0; +21A2 : 1c; +21A3 : a9; +21A4 : 20; +21A5 : 85; +21A6 : 00; +21A7 : a9; +21A8 : c0; +21A9 : 85; +21AA : 01; +21AB : a9; +21AC : fc; +21AD : 85; +21AE : 02; +21AF : a9; +21B0 : 12; +21B1 : 85; +21B2 : 03; +21B3 : a9; +21B4 : e0; +21B5 : 20; +21B6 : 80; +21B7 : f0; +21B8 : a9; +21B9 : 02; +21BA : 85; +21BB : ad; +21BC : 4c; +21BD : e0; +21BE : e1; +21BF : a5; +21C0 : 38; +21C1 : d0; +21C2 : 21; +21C3 : a9; +21C4 : 03; +21C5 : 85; +21C6 : ad; +21C7 : a2; +21C8 : e1; +21C9 : bd; +21CA : 00; +21CB : 02; +21CC : c9; +21CD : fc; +21CE : f0; +21CF : 05; +21D0 : a9; +21D1 : fc; +21D2 : 4c; +21D3 : d7; +21D4 : e1; +21D5 : a9; +21D6 : fe; +21D7 : 9d; +21D8 : 00; +21D9 : 02; +21DA : 18; +21DB : 69; +21DC : 01; +21DD : 9d; +21DE : 04; +21DF : 02; +21E0 : a9; +21E1 : 10; +21E2 : 85; +21E3 : 38; +21E4 : 60; +21E5 : a9; +21E6 : 00; +21E7 : 85; +21E8 : ae; +21E9 : 20; +21EA : dd; +21EB : ef; +21EC : bd; +21ED : 00; +21EE : 02; +21EF : c9; +21F0 : ff; +21F1 : d0; +21F2 : 32; +21F3 : a5; +21F4 : 53; +21F5 : c9; +21F6 : 01; +21F7 : f0; +21F8 : 07; +21F9 : c9; +21FA : 04; +21FB : f0; +21FC : 16; +21FD : 4c; +21FE : 25; +21FF : e2; +2200 : a5; +2201 : 40; +2202 : d0; +2203 : 24; +2204 : a5; +2205 : ad; +2206 : f0; +2207 : 20; +2208 : c9; +2209 : 02; +220A : d0; +220B : 1c; +220C : a9; +220D : 19; +220E : 85; +220F : 40; +2210 : 4c; +2211 : 1f; +2212 : e2; +2213 : a5; +2214 : 40; +2215 : d0; +2216 : 11; +2217 : 20; +2218 : f7; +2219 : ea; +221A : bd; +221B : 66; +221C : c4; +221D : 85; +221E : 40; +221F : a9; +2220 : 06; +2221 : a6; +2222 : ae; +2223 : 95; +2224 : af; +2225 : 20; +2226 : 50; +2227 : e2; +2228 : a6; +2229 : 53; +222A : ca; +222B : e6; +222C : ae; +222D : a5; +222E : ae; +222F : dd; +2230 : f6; +2231 : c1; +2232 : f0; +2233 : 03; +2234 : 4c; +2235 : e9; +2236 : e1; +2237 : a5; +2238 : 53; +2239 : c9; +223A : 03; +223B : f0; +223C : 12; +223D : a5; +223E : 3b; +223F : d0; +2240 : 0e; +2241 : a9; +2242 : 00; +2243 : 85; +2244 : d2; +2245 : 85; +2246 : d3; +2247 : 85; +2248 : d4; +2249 : 85; +224A : d5; +224B : a9; +224C : bc; +224D : 85; +224E : 3b; +224F : 60; +2250 : a6; +2251 : ae; +2252 : b5; +2253 : af; +2254 : 29; +2255 : 0f; +2256 : f0; +2257 : 3a; +2258 : c9; +2259 : 06; +225A : f0; +225B : 33; +225C : c9; +225D : 08; +225E : f0; +225F : 2f; +2260 : c9; +2261 : 01; +2262 : f0; +2263 : 31; +2264 : c9; +2265 : 02; +2266 : f0; +2267 : 32; +2268 : c9; +2269 : 03; +226A : f0; +226B : 35; +226C : a5; +226D : 53; +226E : c9; +226F : 03; +2270 : f0; +2271 : 06; +2272 : 20; +2273 : b6; +2274 : e2; +2275 : 4c; +2276 : 80; +2277 : e2; +2278 : b5; +2279 : 19; +227A : 29; +227B : 03; +227C : a6; +227D : ae; +227E : 95; +227F : af; +2280 : b5; +2281 : af; +2282 : c9; +2283 : 01; +2284 : f0; +2285 : 04; +2286 : c9; +2287 : 02; +2288 : d0; +2289 : 02; +228A : 95; +228B : b3; +228C : 4c; +228D : 54; +228E : e2; +228F : 4c; +2290 : 38; +2291 : e5; +2292 : 4c; +2293 : f9; +2294 : e2; +2295 : a9; +2296 : 00; +2297 : 4c; +2298 : 9c; +2299 : e2; +229A : a9; +229B : 01; +229C : 85; +229D : 99; +229E : 4c; +229F : 68; +22A0 : e3; +22A1 : a5; +22A2 : 53; +22A3 : c9; +22A4 : 01; +22A5 : d0; +22A6 : 0c; +22A7 : 20; +22A8 : 26; +22A9 : e6; +22AA : a6; +22AB : ae; +22AC : b5; +22AD : af; +22AE : d0; +22AF : 03; +22B0 : 4c; +22B1 : 92; +22B2 : e2; +22B3 : 4c; +22B4 : 1b; +22B5 : e4; +22B6 : a6; +22B7 : ae; +22B8 : b5; +22B9 : d2; +22BA : d0; +22BB : 21; +22BC : a9; +22BD : 01; +22BE : 95; +22BF : d2; +22C0 : a5; +22C1 : ae; +22C2 : 18; +22C3 : 69; +22C4 : 01; +22C5 : 0a; +22C6 : 0a; +22C7 : 0a; +22C8 : 0a; +22C9 : a8; +22CA : b9; +22CB : 03; +22CC : 02; +22CD : cd; +22CE : 03; +22CF : 02; +22D0 : b0; +22D1 : 07; +22D2 : a9; +22D3 : 01; +22D4 : 95; +22D5 : ec; +22D6 : 4c; +22D7 : dd; +22D8 : e2; +22D9 : a9; +22DA : 02; +22DB : 95; +22DC : ec; +22DD : b5; +22DE : 19; +22DF : 29; +22E0 : 07; +22E1 : 95; +22E2 : af; +22E3 : a8; +22E4 : c9; +22E5 : 04; +22E6 : b0; +22E7 : 03; +22E8 : 4c; +22E9 : f6; +22EA : e2; +22EB : b4; +22EC : ec; +22ED : c9; +22EE : 07; +22EF : b0; +22F0 : 03; +22F1 : 4c; +22F2 : f6; +22F3 : e2; +22F4 : a0; +22F5 : 03; +22F6 : 94; +22F7 : af; +22F8 : 60; +22F9 : a9; +22FA : 55; +22FB : 85; +22FC : 0a; +22FD : 85; +22FE : 0b; +22FF : 20; +2300 : 06; +2301 : e8; +2302 : d0; +2303 : 01; +2304 : 60; +2305 : 20; +2306 : dd; +2307 : ef; +2308 : 86; +2309 : 04; +230A : 20; +230B : ec; +230C : ea; +230D : a6; +230E : ae; +230F : b5; +2310 : af; +2311 : c9; +2312 : 20; +2313 : d0; +2314 : 05; +2315 : a9; +2316 : ff; +2317 : 95; +2318 : af; +2319 : 60; +231A : c9; +231B : 10; +231C : f0; +231D : 05; +231E : c6; +231F : 01; +2320 : 4c; +2321 : 25; +2322 : e3; +2323 : e6; +2324 : 01; +2325 : a5; +2326 : 04; +2327 : a8; +2328 : c8; +2329 : b9; +232A : 00; +232B : 02; +232C : a6; +232D : 53; +232E : e0; +232F : 04; +2330 : f0; +2331 : 0e; +2332 : c9; +2333 : 9c; +2334 : f0; +2335 : 05; +2336 : a9; +2337 : 9c; +2338 : 4c; +2339 : 4b; +233A : e3; +233B : a9; +233C : 98; +233D : 4c; +233E : 4b; +233F : e3; +2340 : c9; +2341 : ac; +2342 : f0; +2343 : 05; +2344 : a9; +2345 : ac; +2346 : 4c; +2347 : 4b; +2348 : e3; +2349 : a9; +234A : a8; +234B : 20; +234C : d4; +234D : ea; +234E : a6; +234F : ae; +2350 : b5; +2351 : b3; +2352 : 4a; +2353 : 20; +2354 : 96; +2355 : f0; +2356 : a6; +2357 : ae; +2358 : b5; +2359 : af; +235A : c9; +235B : 10; +235C : f0; +235D : 05; +235E : a9; +235F : 10; +2360 : 4c; +2361 : 65; +2362 : e3; +2363 : a9; +2364 : 20; +2365 : 95; +2366 : af; +2367 : 60; +2368 : a9; +2369 : 55; +236A : 85; +236B : 0a; +236C : 85; +236D : 0b; +236E : 20; +236F : 06; +2370 : e8; +2371 : d0; +2372 : 01; +2373 : 60; +2374 : 20; +2375 : dd; +2376 : ef; +2377 : 86; +2378 : 04; +2379 : 20; +237A : ec; +237B : ea; +237C : a5; +237D : 99; +237E : d0; +237F : 05; +2380 : e6; +2381 : 00; +2382 : 4c; +2383 : 87; +2384 : e3; +2385 : c6; +2386 : 00; +2387 : a5; +2388 : 00; +2389 : 29; +238A : 0f; +238B : c9; +238C : 04; +238D : f0; +238E : 07; +238F : c9; +2390 : 0c; +2391 : f0; +2392 : 03; +2393 : 4c; +2394 : 9b; +2395 : e3; +2396 : e6; +2397 : 01; +2398 : 4c; +2399 : af; +239A : e3; +239B : a6; +239C : 99; +239D : dd; +239E : e2; +239F : c3; +23A0 : f0; +23A1 : 08; +23A2 : dd; +23A3 : e4; +23A4 : c3; +23A5 : f0; +23A6 : 03; +23A7 : 4c; +23A8 : af; +23A9 : e3; +23AA : c6; +23AB : 01; +23AC : 4c; +23AD : c0; +23AE : e3; +23AF : c9; +23B0 : 04; +23B1 : f0; +23B2 : 07; +23B3 : c9; +23B4 : 0c; +23B5 : f0; +23B6 : 03; +23B7 : 4c; +23B8 : c0; +23B9 : e3; +23BA : a6; +23BB : ae; +23BC : a9; +23BD : ff; +23BE : 95; +23BF : af; +23C0 : a4; +23C1 : 99; +23C2 : 20; +23C3 : a5; +23C4 : e6; +23C5 : d0; +23C6 : 07; +23C7 : a9; +23C8 : 00; +23C9 : a6; +23CA : ae; +23CB : 95; +23CC : af; +23CD : 60; +23CE : a5; +23CF : 99; +23D0 : f0; +23D1 : 1b; +23D2 : a5; +23D3 : 00; +23D4 : c9; +23D5 : 0c; +23D6 : f0; +23D7 : 05; +23D8 : 90; +23D9 : 0c; +23DA : 4c; +23DB : ed; +23DC : e3; +23DD : a9; +23DE : 00; +23DF : a6; +23E0 : ae; +23E1 : 95; +23E2 : af; +23E3 : 4c; +23E4 : ed; +23E5 : e3; +23E6 : a9; +23E7 : 00; +23E8 : a6; +23E9 : ae; +23EA : 95; +23EB : af; +23EC : 60; +23ED : a5; +23EE : 04; +23EF : a8; +23F0 : c8; +23F1 : b9; +23F2 : 00; +23F3 : 02; +23F4 : a6; +23F5 : 53; +23F6 : e0; +23F7 : 04; +23F8 : f0; +23F9 : 0e; +23FA : c9; +23FB : 9c; +23FC : b0; +23FD : 05; +23FE : a9; +23FF : 9c; +2400 : 4c; +2401 : 13; +2402 : e4; +2403 : a9; +2404 : 98; +2405 : 4c; +2406 : 13; +2407 : e4; +2408 : c9; +2409 : ac; +240A : b0; +240B : 05; +240C : a9; +240D : ac; +240E : 4c; +240F : 13; +2410 : e4; +2411 : a9; +2412 : a8; +2413 : 20; +2414 : d4; +2415 : ea; +2416 : a5; +2417 : 99; +2418 : 4c; +2419 : 96; +241A : f0; +241B : a6; +241C : ae; +241D : b5; +241E : af; +241F : 4a; +2420 : 4a; +2421 : 4a; +2422 : aa; +2423 : a5; +2424 : 53; +2425 : c9; +2426 : 04; +2427 : f0; +2428 : 0d; +2429 : bd; +242A : f4; +242B : c3; +242C : 85; +242D : 0a; +242E : bd; +242F : f5; +2430 : c3; +2431 : 85; +2432 : 0b; +2433 : 4c; +2434 : 4b; +2435 : e4; +2436 : a5; +2437 : 50; +2438 : 29; +2439 : 01; +243A : 18; +243B : 65; +243C : 54; +243D : c9; +243E : 03; +243F : 90; +2440 : e8; +2441 : bd; +2442 : f8; +2443 : c3; +2444 : 85; +2445 : 0a; +2446 : bd; +2447 : f9; +2448 : c3; +2449 : 85; +244A : 0b; +244B : 20; +244C : 06; +244D : e8; +244E : d0; +244F : 01; +2450 : 60; +2451 : 20; +2452 : dd; +2453 : ef; +2454 : 86; +2455 : 04; +2456 : 20; +2457 : ec; +2458 : ea; +2459 : a6; +245A : ae; +245B : b5; +245C : e8; +245D : f0; +245E : 0e; +245F : c9; +2460 : 03; +2461 : f0; +2462 : 03; +2463 : 4c; +2464 : 6d; +2465 : e4; +2466 : a9; +2467 : 00; +2468 : 95; +2469 : e8; +246A : 4c; +246B : 7a; +246C : e4; +246D : a5; +246E : 01; +246F : 29; +2470 : 03; +2471 : d0; +2472 : 07; +2473 : a9; +2474 : 01; +2475 : f6; +2476 : e8; +2477 : 4c; +2478 : 0c; +2479 : e5; +247A : a5; +247B : 53; +247C : c9; +247D : 01; +247E : f0; +247F : 35; +2480 : 20; +2481 : a3; +2482 : e7; +2483 : c9; +2484 : 03; +2485 : f0; +2486 : 07; +2487 : c9; +2488 : 13; +2489 : f0; +248A : 10; +248B : 4c; +248C : 0c; +248D : e5; +248E : c6; +248F : 01; +2490 : a5; +2491 : 01; +2492 : a6; +2493 : ae; +2494 : d5; +2495 : db; +2496 : f0; +2497 : 10; +2498 : 4c; +2499 : 0c; +249A : e5; +249B : e6; +249C : 01; +249D : a5; +249E : 01; +249F : a6; +24A0 : ae; +24A1 : d5; +24A2 : db; +24A3 : f0; +24A4 : 03; +24A5 : 4c; +24A6 : 0c; +24A7 : e5; +24A8 : a9; +24A9 : 01; +24AA : a6; +24AB : ae; +24AC : 95; +24AD : af; +24AE : a9; +24AF : 00; +24B0 : 95; +24B1 : db; +24B2 : 4c; +24B3 : 0c; +24B4 : e5; +24B5 : a6; +24B6 : ae; +24B7 : b5; +24B8 : af; +24B9 : c9; +24BA : 13; +24BB : f0; +24BC : 03; +24BD : 4c; +24BE : d6; +24BF : e4; +24C0 : e6; +24C1 : 01; +24C2 : a5; +24C3 : ae; +24C4 : 0a; +24C5 : aa; +24C6 : e8; +24C7 : b5; +24C8 : b9; +24C9 : c5; +24CA : 01; +24CB : d0; +24CC : 06; +24CD : a9; +24CE : 01; +24CF : a6; +24D0 : ae; +24D1 : 95; +24D2 : af; +24D3 : 4c; +24D4 : 0c; +24D5 : e5; +24D6 : c6; +24D7 : 01; +24D8 : a6; +24D9 : ae; +24DA : e0; +24DB : 00; +24DC : d0; +24DD : 1b; +24DE : a6; +24DF : ae; +24E0 : b5; +24E1 : e0; +24E2 : c9; +24E3 : 02; +24E4 : f0; +24E5 : 13; +24E6 : a5; +24E7 : ae; +24E8 : 0a; +24E9 : aa; +24EA : b5; +24EB : b9; +24EC : c5; +24ED : 01; +24EE : d0; +24EF : 1c; +24F0 : a9; +24F1 : 02; +24F2 : a6; +24F3 : ae; +24F4 : 95; +24F5 : af; +24F6 : 4c; +24F7 : 0c; +24F8 : e5; +24F9 : a5; +24FA : ae; +24FB : 0a; +24FC : aa; +24FD : b5; +24FE : b9; +24FF : 18; +2500 : 69; +2501 : 0d; +2502 : c5; +2503 : 01; +2504 : d0; +2505 : 06; +2506 : a9; +2507 : 13; +2508 : a6; +2509 : ae; +250A : 95; +250B : af; +250C : a5; +250D : 04; +250E : a8; +250F : c8; +2510 : b9; +2511 : 00; +2512 : 02; +2513 : a6; +2514 : 53; +2515 : e0; +2516 : 04; +2517 : f0; +2518 : 0e; +2519 : c9; +251A : 9c; +251B : b0; +251C : 05; +251D : a9; +251E : 9c; +251F : 4c; +2520 : 32; +2521 : e5; +2522 : a9; +2523 : 98; +2524 : 4c; +2525 : 32; +2526 : e5; +2527 : c9; +2528 : ac; +2529 : b0; +252A : 05; +252B : a9; +252C : ac; +252D : 4c; +252E : 32; +252F : e5; +2530 : a9; +2531 : a8; +2532 : 20; +2533 : d4; +2534 : ea; +2535 : 4c; +2536 : 88; +2537 : f0; +2538 : a6; +2539 : ae; +253A : b5; +253B : af; +253C : c9; +253D : 06; +253E : f0; +253F : 08; +2540 : c9; +2541 : 08; +2542 : f0; +2543 : 01; +2544 : 60; +2545 : 4c; +2546 : 9f; +2547 : e5; +2548 : a5; +2549 : 53; +254A : c9; +254B : 01; +254C : f0; +254D : 05; +254E : c9; +254F : 04; +2550 : f0; +2551 : 12; +2552 : 60; +2553 : a9; +2554 : 20; +2555 : 85; +2556 : 00; +2557 : a9; +2558 : b8; +2559 : 85; +255A : 01; +255B : a6; +255C : ae; +255D : a9; +255E : 08; +255F : 95; +2560 : af; +2561 : 4c; +2562 : 92; +2563 : e5; +2564 : ad; +2565 : 03; +2566 : 02; +2567 : c9; +2568 : 78; +2569 : 90; +256A : 05; +256B : a0; +256C : 00; +256D : 4c; +256E : 72; +256F : e5; +2570 : a0; +2571 : 08; +2572 : 84; +2573 : 0c; +2574 : a5; +2575 : 19; +2576 : 29; +2577 : 03; +2578 : 0a; +2579 : 18; +257A : 65; +257B : 0c; +257C : aa; +257D : bd; +257E : ce; +257F : c3; +2580 : 85; +2581 : 00; +2582 : bd; +2583 : cf; +2584 : c3; +2585 : 85; +2586 : 01; +2587 : a6; +2588 : ae; +2589 : a9; +258A : 00; +258B : 95; +258C : af; +258D : a9; +258E : a8; +258F : 4c; +2590 : 94; +2591 : e5; +2592 : a9; +2593 : 98; +2594 : 20; +2595 : d4; +2596 : ea; +2597 : 20; +2598 : dd; +2599 : ef; +259A : 85; +259B : 04; +259C : 4c; +259D : 82; +259E : f0; +259F : 20; +25A0 : dd; +25A1 : ef; +25A2 : 86; +25A3 : 04; +25A4 : 20; +25A5 : ec; +25A6 : ea; +25A7 : bd; +25A8 : 01; +25A9 : 02; +25AA : 20; +25AB : d4; +25AC : ea; +25AD : a5; +25AE : 53; +25AF : c9; +25B0 : 01; +25B1 : f0; +25B2 : 01; +25B3 : 60; +25B4 : e6; +25B5 : 00; +25B6 : a5; +25B7 : 00; +25B8 : c9; +25B9 : 2c; +25BA : f0; +25BB : 02; +25BC : 90; +25BD : 27; +25BE : e6; +25BF : 01; +25C0 : a5; +25C1 : 01; +25C2 : c9; +25C3 : c5; +25C4 : d0; +25C5 : 1f; +25C6 : a9; +25C7 : 00; +25C8 : a6; +25C9 : ae; +25CA : 95; +25CB : af; +25CC : c6; +25CD : 00; +25CE : a5; +25CF : 00; +25D0 : c9; +25D1 : 68; +25D2 : b0; +25D3 : 05; +25D4 : e6; +25D5 : 01; +25D6 : 4c; +25D7 : db; +25D8 : e5; +25D9 : c6; +25DA : 01; +25DB : c9; +25DC : 60; +25DD : d0; +25DE : 06; +25DF : a6; +25E0 : ae; +25E1 : a9; +25E2 : 00; +25E3 : 95; +25E4 : af; +25E5 : 4c; +25E6 : 82; +25E7 : f0; +25E8 : 85; +25E9 : 0c; +25EA : a6; +25EB : ae; +25EC : b5; +25ED : e0; +25EE : c9; +25EF : 01; +25F0 : f0; +25F1 : 1d; +25F2 : c9; +25F3 : 06; +25F4 : f0; +25F5 : 19; +25F6 : a2; +25F7 : 00; +25F8 : a9; +25F9 : 18; +25FA : c5; +25FB : 0c; +25FC : f0; +25FD : 0b; +25FE : e8; +25FF : e0; +2600 : 09; +2601 : f0; +2602 : 09; +2603 : bd; +2604 : c4; +2605 : c1; +2606 : 4c; +2607 : fa; +2608 : e5; +2609 : a9; +260A : 00; +260B : 60; +260C : a9; +260D : 01; +260E : 60; +260F : a2; +2610 : 04; +2611 : bd; +2612 : c4; +2613 : c1; +2614 : c5; +2615 : 0c; +2616 : f0; +2617 : 08; +2618 : e8; +2619 : e0; +261A : 09; +261B : f0; +261C : 06; +261D : 4c; +261E : 11; +261F : e6; +2620 : a9; +2621 : 00; +2622 : 60; +2623 : a9; +2624 : 01; +2625 : 60; +2626 : a6; +2627 : ae; +2628 : b5; +2629 : af; +262A : c9; +262B : 13; +262C : d0; +262D : 01; +262E : 60; +262F : 20; +2630 : dd; +2631 : ef; +2632 : 20; +2633 : ec; +2634 : ea; +2635 : a6; +2636 : ae; +2637 : b5; +2638 : e0; +2639 : c9; +263A : 01; +263B : f0; +263C : 03; +263D : 4c; +263E : 6d; +263F : e6; +2640 : a5; +2641 : 00; +2642 : c9; +2643 : 5c; +2644 : f0; +2645 : 07; +2646 : c9; +2647 : c4; +2648 : f0; +2649 : 13; +264A : 4c; +264B : 9e; +264C : e6; +264D : a5; +264E : ae; +264F : 0a; +2650 : aa; +2651 : a9; +2652 : a6; +2653 : 95; +2654 : b9; +2655 : e8; +2656 : a9; +2657 : c7; +2658 : 95; +2659 : b9; +265A : 4c; +265B : 97; +265C : e6; +265D : a5; +265E : ae; +265F : 0a; +2660 : aa; +2661 : a9; +2662 : ab; +2663 : 95; +2664 : b9; +2665 : e8; +2666 : a9; +2667 : c3; +2668 : 95; +2669 : b9; +266A : 4c; +266B : 97; +266C : e6; +266D : a5; +266E : 00; +266F : c9; +2670 : 2c; +2671 : f0; +2672 : 07; +2673 : c9; +2674 : 6c; +2675 : f0; +2676 : 13; +2677 : 4c; +2678 : 9e; +2679 : e6; +267A : a5; +267B : ae; +267C : 0a; +267D : aa; +267E : a9; +267F : 8d; +2680 : 95; +2681 : b9; +2682 : e8; +2683 : a9; +2684 : a4; +2685 : 95; +2686 : b9; +2687 : 4c; +2688 : 97; +2689 : e6; +268A : a5; +268B : ae; +268C : 0a; +268D : aa; +268E : a9; +268F : 8a; +2690 : 95; +2691 : b9; +2692 : e8; +2693 : a9; +2694 : a7; +2695 : 95; +2696 : b9; +2697 : a9; +2698 : 03; +2699 : a6; +269A : ae; +269B : 95; +269C : af; +269D : 60; +269E : a9; +269F : 00; +26A0 : a6; +26A1 : ae; +26A2 : 95; +26A3 : af; +26A4 : 60; +26A5 : a5; +26A6 : 01; +26A7 : 18; +26A8 : 69; +26A9 : 0b; +26AA : 20; +26AB : 16; +26AC : e0; +26AD : a4; +26AE : 99; +26AF : a6; +26B0 : ae; +26B1 : 95; +26B2 : e0; +26B3 : a5; +26B4 : 53; +26B5 : c9; +26B6 : 01; +26B7 : d0; +26B8 : 03; +26B9 : 4c; +26BA : c6; +26BB : e6; +26BC : c9; +26BD : 03; +26BE : d0; +26BF : 03; +26C0 : 4c; +26C1 : 02; +26C2 : e7; +26C3 : 4c; +26C4 : 3c; +26C5 : e7; +26C6 : a5; +26C7 : 00; +26C8 : 20; +26C9 : e8; +26CA : e5; +26CB : d0; +26CC : 16; +26CD : a6; +26CE : ae; +26CF : b5; +26D0 : e0; +26D1 : 29; +26D2 : 01; +26D3 : f0; +26D4 : 06; +26D5 : b9; +26D6 : 9a; +26D7 : c7; +26D8 : 4c; +26D9 : de; +26DA : e6; +26DB : b9; +26DC : 9c; +26DD : c7; +26DE : 18; +26DF : 65; +26E0 : 01; +26E1 : 85; +26E2 : 01; +26E3 : a6; +26E4 : ae; +26E5 : b5; +26E6 : e0; +26E7 : c9; +26E8 : 01; +26E9 : f0; +26EA : 08; +26EB : a5; +26EC : 00; +26ED : d9; +26EE : e6; +26EF : c3; +26F0 : f0; +26F1 : 09; +26F2 : 60; +26F3 : a5; +26F4 : 00; +26F5 : d9; +26F6 : e8; +26F7 : c3; +26F8 : f0; +26F9 : 01; +26FA : 60; +26FB : a9; +26FC : 00; +26FD : a6; +26FE : ae; +26FF : 95; +2700 : af; +2701 : 60; +2702 : a6; +2703 : ae; +2704 : b5; +2705 : e0; +2706 : c9; +2707 : 02; +2708 : d0; +2709 : 0f; +270A : a5; +270B : 00; +270C : d9; +270D : ea; +270E : c3; +270F : f0; +2710 : 24; +2711 : d9; +2712 : ec; +2713 : c3; +2714 : f0; +2715 : 1f; +2716 : 4c; +2717 : 2d; +2718 : e7; +2719 : c0; +271A : 01; +271B : d0; +271C : 04; +271D : c9; +271E : 04; +271F : f0; +2720 : 0d; +2721 : a5; +2722 : 00; +2723 : d9; +2724 : ee; +2725 : c3; +2726 : f0; +2727 : 0d; +2728 : d9; +2729 : f0; +272A : c3; +272B : f0; +272C : 08; +272D : 60; +272E : a5; +272F : 00; +2730 : c9; +2731 : db; +2732 : f0; +2733 : 01; +2734 : 60; +2735 : a6; +2736 : ae; +2737 : a9; +2738 : 00; +2739 : 95; +273A : af; +273B : 60; +273C : a6; +273D : ae; +273E : b5; +273F : e0; +2740 : a8; +2741 : 88; +2742 : a6; +2743 : 99; +2744 : bd; +2745 : f2; +2746 : c3; +2747 : c0; +2748 : 00; +2749 : f0; +274A : 11; +274B : e0; +274C : 00; +274D : f0; +274E : 06; +274F : 18; +2750 : 69; +2751 : 08; +2752 : 4c; +2753 : 58; +2754 : e7; +2755 : 38; +2756 : e9; +2757 : 08; +2758 : 88; +2759 : 4c; +275A : 47; +275B : e7; +275C : c5; +275D : 00; +275E : f0; +275F : 09; +2760 : a5; +2761 : 99; +2762 : 0a; +2763 : 20; +2764 : 70; +2765 : e7; +2766 : f0; +2767 : 01; +2768 : 60; +2769 : a6; +276A : ae; +276B : a9; +276C : 00; +276D : 95; +276E : af; +276F : 60; +2770 : 85; +2771 : 09; +2772 : 20; +2773 : dd; +2774 : ef; +2775 : bd; +2776 : 03; +2777 : 02; +2778 : 85; +2779 : 0a; +277A : a6; +277B : ae; +277C : b5; +277D : e0; +277E : 38; +277F : e9; +2780 : 02; +2781 : 0a; +2782 : aa; +2783 : b5; +2784 : c1; +2785 : f0; +2786 : 09; +2787 : a4; +2788 : 09; +2789 : b9; +278A : de; +278B : c3; +278C : c5; +278D : 0a; +278E : f0; +278F : 0d; +2790 : b5; +2791 : c2; +2792 : f0; +2793 : 0c; +2794 : a4; +2795 : 09; +2796 : b9; +2797 : df; +2798 : c3; +2799 : c5; +279A : 0a; +279B : d0; +279C : 03; +279D : a9; +279E : 00; +279F : 60; +27A0 : a9; +27A1 : 01; +27A2 : 60; +27A3 : a6; +27A4 : ae; +27A5 : b5; +27A6 : db; +27A7 : f0; +27A8 : 05; +27A9 : a6; +27AA : ae; +27AB : b5; +27AC : af; +27AD : 60; +27AE : a5; +27AF : 53; +27B0 : 38; +27B1 : e9; +27B2 : 02; +27B3 : 0a; +27B4 : a8; +27B5 : b9; +27B6 : 9b; +27B7 : c4; +27B8 : 85; +27B9 : 07; +27BA : b9; +27BB : 9c; +27BC : c4; +27BD : 85; +27BE : 08; +27BF : a6; +27C0 : ae; +27C1 : b4; +27C2 : e0; +27C3 : f0; +27C4 : 2d; +27C5 : 88; +27C6 : b1; +27C7 : 07; +27C8 : 85; +27C9 : 09; +27CA : c8; +27CB : b1; +27CC : 07; +27CD : 85; +27CE : 0a; +27CF : a5; +27D0 : 53; +27D1 : 38; +27D2 : e9; +27D3 : 02; +27D4 : 0a; +27D5 : a8; +27D6 : b9; +27D7 : a1; +27D8 : c4; +27D9 : 85; +27DA : 07; +27DB : b9; +27DC : a2; +27DD : c4; +27DE : 85; +27DF : 08; +27E0 : a4; +27E1 : 09; +27E2 : c4; +27E3 : 0a; +27E4 : f0; +27E5 : 0c; +27E6 : b1; +27E7 : 07; +27E8 : c5; +27E9 : 00; +27EA : f0; +27EB : 0d; +27EC : c8; +27ED : c8; +27EE : c8; +27EF : 4c; +27F0 : e2; +27F1 : e7; +27F2 : a9; +27F3 : 00; +27F4 : a6; +27F5 : ae; +27F6 : 95; +27F7 : af; +27F8 : 60; +27F9 : c8; +27FA : b1; +27FB : 07; +27FC : a6; +27FD : ae; +27FE : 95; +27FF : db; +2800 : c8; +2801 : b1; +2802 : 07; +2803 : 95; +2804 : af; +2805 : 60; +2806 : a6; +2807 : ae; +2808 : f6; +2809 : e4; +280A : b5; +280B : e4; +280C : 30; +280D : 07; +280E : c9; +280F : 10; +2810 : b0; +2811 : 03; +2812 : 4c; +2813 : 19; +2814 : e8; +2815 : a9; +2816 : 00; +2817 : 95; +2818 : e4; +2819 : c9; +281A : 08; +281B : b0; +281C : 09; +281D : aa; +281E : bd; +281F : bc; +2820 : c1; +2821 : 25; +2822 : 0a; +2823 : 4c; +2824 : 2f; +2825 : e8; +2826 : 38; +2827 : e9; +2828 : 08; +2829 : aa; +282A : bd; +282B : bc; +282C : c1; +282D : 25; +282E : 0b; +282F : f0; +2830 : 02; +2831 : a9; +2832 : 01; +2833 : 60; +2834 : 20; +2835 : f7; +2836 : ea; +2837 : bd; +2838 : 5c; +2839 : c4; +283A : 85; +283B : 0a; +283C : bd; +283D : 61; +283E : c4; +283F : 85; +2840 : 0b; +2841 : a9; +2842 : 00; +2843 : 85; +2844 : 5d; +2845 : 20; +2846 : e8; +2847 : df; +2848 : d0; +2849 : 01; +284A : 60; +284B : a9; +284C : 00; +284D : 85; +284E : d2; +284F : a5; +2850 : d2; +2851 : c9; +2852 : 03; +2853 : b0; +2854 : 54; +2855 : aa; +2856 : d0; +2857 : 12; +2858 : a5; +2859 : da; +285A : c9; +285B : 01; +285C : d0; +285D : 0c; +285E : ce; +285F : 00; +2860 : 02; +2861 : ce; +2862 : 04; +2863 : 02; +2864 : ce; +2865 : 08; +2866 : 02; +2867 : ce; +2868 : 0c; +2869 : 02; +286A : bc; +286B : cc; +286C : c2; +286D : b9; +286E : 00; +286F : 02; +2870 : c9; +2871 : ff; +2872 : f0; +2873 : 30; +2874 : 98; +2875 : aa; +2876 : de; +2877 : 00; +2878 : 02; +2879 : de; +287A : 04; +287B : 02; +287C : bd; +287D : 00; +287E : 02; +287F : c9; +2880 : 50; +2881 : d0; +2882 : 06; +2883 : 20; +2884 : 68; +2885 : e9; +2886 : 4c; +2887 : 90; +2888 : e8; +2889 : c9; +288A : c8; +288B : d0; +288C : 03; +288D : 20; +288E : 71; +288F : e9; +2890 : b9; +2891 : 00; +2892 : 02; +2893 : c9; +2894 : 70; +2895 : d0; +2896 : 04; +2897 : a9; +2898 : 01; +2899 : 85; +289A : d8; +289B : b9; +289C : 00; +289D : 02; +289E : c9; +289F : 48; +28A0 : f0; +28A1 : 5f; +28A2 : 90; +28A3 : 5d; +28A4 : e6; +28A5 : d2; +28A6 : 4c; +28A7 : 4f; +28A8 : e8; +28A9 : c9; +28AA : 06; +28AB : f0; +28AC : 61; +28AD : aa; +28AE : c9; +28AF : 03; +28B0 : d0; +28B1 : 12; +28B2 : a5; +28B3 : da; +28B4 : c9; +28B5 : 02; +28B6 : d0; +28B7 : 0c; +28B8 : ee; +28B9 : 00; +28BA : 02; +28BB : ee; +28BC : 04; +28BD : 02; +28BE : ee; +28BF : 08; +28C0 : 02; +28C1 : ee; +28C2 : 0c; +28C3 : 02; +28C4 : bc; +28C5 : cc; +28C6 : c2; +28C7 : b9; +28C8 : 00; +28C9 : 02; +28CA : c9; +28CB : ff; +28CC : f0; +28CD : 2e; +28CE : 98; +28CF : aa; +28D0 : fe; +28D1 : 00; +28D2 : 02; +28D3 : fe; +28D4 : 04; +28D5 : 02; +28D6 : bd; +28D7 : 00; +28D8 : 02; +28D9 : c9; +28DA : 50; +28DB : d0; +28DC : 06; +28DD : 20; +28DE : 71; +28DF : e9; +28E0 : 4c; +28E1 : ea; +28E2 : e8; +28E3 : c9; +28E4 : c8; +28E5 : d0; +28E6 : 03; +28E7 : 20; +28E8 : 68; +28E9 : e9; +28EA : b9; +28EB : 00; +28EC : 02; +28ED : c9; +28EE : a8; +28EF : d0; +28F0 : 07; +28F1 : a9; +28F2 : 01; +28F3 : 85; +28F4 : d9; +28F5 : b9; +28F6 : 00; +28F7 : 02; +28F8 : c9; +28F9 : d0; +28FA : b0; +28FB : 05; +28FC : e6; +28FD : d2; +28FE : 4c; +28FF : 4f; +2900 : e8; +2901 : a9; +2902 : ff; +2903 : 99; +2904 : 00; +2905 : 02; +2906 : 99; +2907 : 04; +2908 : 02; +2909 : e6; +290A : d2; +290B : 4c; +290C : 4f; +290D : e8; +290E : a5; +290F : d8; +2910 : c9; +2911 : 01; +2912 : d0; +2913 : 27; +2914 : a9; +2915 : 00; +2916 : 85; +2917 : d2; +2918 : a5; +2919 : d2; +291A : c9; +291B : 03; +291C : f0; +291D : 49; +291E : aa; +291F : bc; +2920 : cc; +2921 : c2; +2922 : b9; +2923 : 00; +2924 : 02; +2925 : c9; +2926 : ff; +2927 : f0; +2928 : 05; +2929 : e6; +292A : d2; +292B : 4c; +292C : 18; +292D : e9; +292E : a9; +292F : d0; +2930 : 20; +2931 : 7a; +2932 : e9; +2933 : 20; +2934 : 68; +2935 : e9; +2936 : a9; +2937 : 00; +2938 : 85; +2939 : d8; +293A : 60; +293B : a5; +293C : d9; +293D : c9; +293E : 01; +293F : d0; +2940 : 26; +2941 : a9; +2942 : 03; +2943 : 85; +2944 : d2; +2945 : a5; +2946 : d2; +2947 : c9; +2948 : 06; +2949 : f0; +294A : 1c; +294B : aa; +294C : bc; +294D : cc; +294E : c2; +294F : b9; +2950 : 00; +2951 : 02; +2952 : c9; +2953 : ff; +2954 : f0; +2955 : 05; +2956 : e6; +2957 : d2; +2958 : 4c; +2959 : 45; +295A : e9; +295B : a9; +295C : 48; +295D : 20; +295E : 7a; +295F : e9; +2960 : 20; +2961 : 68; +2962 : e9; +2963 : a9; +2964 : 00; +2965 : 85; +2966 : d9; +2967 : 60; +2968 : a9; +2969 : 23; +296A : 99; +296B : 02; +296C : 02; +296D : 99; +296E : 06; +296F : 02; +2970 : 60; +2971 : a9; +2972 : 03; +2973 : 99; +2974 : 02; +2975 : 02; +2976 : 99; +2977 : 06; +2978 : 02; +2979 : 60; +297A : 99; +297B : 00; +297C : 02; +297D : 99; +297E : 04; +297F : 02; +2980 : 60; +2981 : a9; +2982 : 00; +2983 : 8d; +2984 : 45; +2985 : 04; +2986 : ad; +2987 : 45; +2988 : 04; +2989 : 20; +298A : d7; +298B : ef; +298C : 8a; +298D : 18; +298E : 69; +298F : 30; +2990 : aa; +2991 : 86; +2992 : 04; +2993 : 20; +2994 : ec; +2995 : ea; +2996 : c9; +2997 : ff; +2998 : f0; +2999 : 56; +299A : ae; +299B : 45; +299C : 04; +299D : bd; +299E : 46; +299F : 04; +29A0 : 18; +29A1 : 69; +29A2 : b0; +29A3 : c5; +29A4 : 00; +29A5 : 90; +29A6 : 0d; +29A7 : a5; +29A8 : 01; +29A9 : c9; +29AA : 26; +29AB : b0; +29AC : 11; +29AD : a9; +29AE : c0; +29AF : 85; +29B0 : 02; +29B1 : 4c; +29B2 : da; +29B3 : e9; +29B4 : 20; +29B5 : 01; +29B6 : ea; +29B7 : c9; +29B8 : ff; +29B9 : f0; +29BA : 38; +29BB : 4c; +29BC : ea; +29BD : e9; +29BE : a9; +29BF : c4; +29C0 : 85; +29C1 : 02; +29C2 : a5; +29C3 : 01; +29C4 : c9; +29C5 : 2e; +29C6 : 90; +29C7 : 12; +29C8 : a9; +29C9 : 02; +29CA : 85; +29CB : fe; +29CC : a9; +29CD : 2e; +29CE : 85; +29CF : 01; +29D0 : ad; +29D1 : 45; +29D2 : 04; +29D3 : 0a; +29D4 : aa; +29D5 : a9; +29D6 : 00; +29D7 : 9d; +29D8 : 2e; +29D9 : 04; +29DA : a5; +29DB : 00; +29DC : 18; +29DD : 69; +29DE : 02; +29DF : 85; +29E0 : 00; +29E1 : ad; +29E2 : 45; +29E3 : 04; +29E4 : 18; +29E5 : 69; +29E6 : 01; +29E7 : 20; +29E8 : 72; +29E9 : ef; +29EA : 20; +29EB : db; +29EC : ea; +29ED : 4c; +29EE : f3; +29EF : e9; +29F0 : 20; +29F1 : 34; +29F2 : ea; +29F3 : ee; +29F4 : 45; +29F5 : 04; +29F6 : ad; +29F7 : 45; +29F8 : 04; +29F9 : c9; +29FA : 03; +29FB : f0; +29FC : 03; +29FD : 4c; +29FE : 86; +29FF : e9; +2A00 : 60; +2A01 : a5; +2A02 : 01; +2A03 : e6; +2A04 : 01; +2A05 : e6; +2A06 : 01; +2A07 : e6; +2A08 : 01; +2A09 : c9; +2A0A : 26; +2A0B : d0; +2A0C : 04; +2A0D : a2; +2A0E : 01; +2A0F : 86; +2A10 : fe; +2A11 : c9; +2A12 : 50; +2A13 : 90; +2A14 : 15; +2A15 : c9; +2A16 : 90; +2A17 : 90; +2A18 : 16; +2A19 : c9; +2A1A : c0; +2A1B : 90; +2A1C : 0d; +2A1D : c9; +2A1E : d8; +2A1F : 90; +2A20 : 0e; +2A21 : 20; +2A22 : d1; +2A23 : ea; +2A24 : 20; +2A25 : 94; +2A26 : f0; +2A27 : a9; +2A28 : ff; +2A29 : 60; +2A2A : a9; +2A2B : c4; +2A2C : 85; +2A2D : 02; +2A2E : 60; +2A2F : a9; +2A30 : c0; +2A31 : 85; +2A32 : 02; +2A33 : 60; +2A34 : a5; +2A35 : 36; +2A36 : d0; +2A37 : 26; +2A38 : a5; +2A39 : 19; +2A3A : 29; +2A3B : 03; +2A3C : aa; +2A3D : bd; +2A3E : ff; +2A3F : c1; +2A40 : 18; +2A41 : 69; +2A42 : 10; +2A43 : ae; +2A44 : 45; +2A45 : 04; +2A46 : 9d; +2A47 : 46; +2A48 : 04; +2A49 : 85; +2A4A : 00; +2A4B : a9; +2A4C : 30; +2A4D : 85; +2A4E : 01; +2A4F : a9; +2A50 : c4; +2A51 : 85; +2A52 : 02; +2A53 : 20; +2A54 : db; +2A55 : ea; +2A56 : 20; +2A57 : f7; +2A58 : ea; +2A59 : bd; +2A5A : 57; +2A5B : c4; +2A5C : 85; +2A5D : 36; +2A5E : 60; +2A5F : a5; +2A60 : 39; +2A61 : f0; +2A62 : 01; +2A63 : 60; +2A64 : a9; +2A65 : 08; +2A66 : 85; +2A67 : 0a; +2A68 : a9; +2A69 : 00; +2A6A : 85; +2A6B : 0b; +2A6C : 20; +2A6D : a1; +2A6E : ea; +2A6F : d0; +2A70 : 01; +2A71 : 60; +2A72 : a9; +2A73 : 50; +2A74 : 85; +2A75 : 00; +2A76 : a9; +2A77 : 20; +2A78 : 85; +2A79 : 01; +2A7A : ad; +2A7B : f1; +2A7C : 02; +2A7D : c9; +2A7E : db; +2A7F : f0; +2A80 : 07; +2A81 : e6; +2A82 : b7; +2A83 : a9; +2A84 : db; +2A85 : 4c; +2A86 : 8a; +2A87 : ea; +2A88 : a9; +2A89 : d7; +2A8A : 20; +2A8B : d4; +2A8C : ea; +2A8D : a9; +2A8E : f0; +2A8F : 20; +2A90 : 80; +2A91 : f0; +2A92 : a5; +2A93 : b7; +2A94 : c9; +2A95 : 04; +2A96 : d0; +2A97 : 08; +2A98 : a9; +2A99 : 00; +2A9A : 85; +2A9B : b7; +2A9C : a9; +2A9D : bb; +2A9E : 85; +2A9F : 39; +2AA0 : 60; +2AA1 : e6; +2AA2 : b8; +2AA3 : a5; +2AA4 : b8; +2AA5 : 30; +2AA6 : 07; +2AA7 : c9; +2AA8 : 10; +2AA9 : b0; +2AAA : 03; +2AAB : 4c; +2AAC : b2; +2AAD : ea; +2AAE : a9; +2AAF : 00; +2AB0 : 85; +2AB1 : b8; +2AB2 : c9; +2AB3 : 08; +2AB4 : b0; +2AB5 : 09; +2AB6 : aa; +2AB7 : bd; +2AB8 : bc; +2AB9 : c1; +2ABA : 25; +2ABB : 0a; +2ABC : 4c; +2ABD : c8; +2ABE : ea; +2ABF : 38; +2AC0 : e9; +2AC1 : 08; +2AC2 : aa; +2AC3 : bd; +2AC4 : bc; +2AC5 : c1; +2AC6 : 25; +2AC7 : 0b; +2AC8 : f0; +2AC9 : 02; +2ACA : a9; +2ACB : 01; +2ACC : 60; +2ACD : a9; +2ACE : 00; +2ACF : 85; +2AD0 : 04; +2AD1 : 4c; +2AD2 : d6; +2AD3 : ea; +2AD4 : 85; +2AD5 : 02; +2AD6 : a9; +2AD7 : 22; +2AD8 : 85; +2AD9 : 03; +2ADA : 60; +2ADB : 20; +2ADC : d1; +2ADD : ea; +2ADE : 4c; +2ADF : 82; +2AE0 : f0; +2AE1 : ad; +2AE2 : 03; +2AE3 : 02; +2AE4 : 85; +2AE5 : 00; +2AE6 : ad; +2AE7 : 00; +2AE8 : 02; +2AE9 : 85; +2AEA : 01; +2AEB : 60; +2AEC : bd; +2AED : 03; +2AEE : 02; +2AEF : 85; +2AF0 : 00; +2AF1 : bd; +2AF2 : 00; +2AF3 : 02; +2AF4 : 85; +2AF5 : 01; +2AF6 : 60; +2AF7 : a5; +2AF8 : 50; +2AF9 : 29; +2AFA : 01; +2AFB : 18; +2AFC : 65; +2AFD : 54; +2AFE : aa; +2AFF : e0; +2B00 : 04; +2B01 : 90; +2B02 : 02; +2B03 : a2; +2B04 : 04; +2B05 : 60; +2B06 : ad; +2B07 : 03; +2B08 : 05; +2B09 : d0; +2B0A : 01; +2B0B : 60; +2B0C : ad; +2B0D : 05; +2B0E : 05; +2B0F : 29; +2B10 : 0f; +2B11 : 8d; +2B12 : 05; +2B13 : 05; +2B14 : a5; +2B15 : 53; +2B16 : aa; +2B17 : a8; +2B18 : ca; +2B19 : bd; +2B1A : 08; +2B1B : c6; +2B1C : 85; +2B1D : 00; +2B1E : a9; +2B1F : 20; +2B20 : 85; +2B21 : 01; +2B22 : 98; +2B23 : c9; +2B24 : 02; +2B25 : 30; +2B26 : 2d; +2B27 : a5; +2B28 : 44; +2B29 : f0; +2B2A : 24; +2B2B : c9; +2B2C : 13; +2B2D : d0; +2B2E : 03; +2B2F : 4c; +2B30 : 85; +2B31 : eb; +2B32 : c9; +2B33 : 0f; +2B34 : d0; +2B35 : 03; +2B36 : 4c; +2B37 : 8e; +2B38 : eb; +2B39 : c9; +2B3A : 0b; +2B3B : d0; +2B3C : 03; +2B3D : 4c; +2B3E : 85; +2B3F : eb; +2B40 : c9; +2B41 : 08; +2B42 : d0; +2B43 : 03; +2B44 : 4c; +2B45 : 8e; +2B46 : eb; +2B47 : c9; +2B48 : 04; +2B49 : d0; +2B4A : 03; +2B4B : 20; +2B4C : a6; +2B4D : eb; +2B4E : 60; +2B4F : a9; +2B50 : 25; +2B51 : 85; +2B52 : 44; +2B53 : 60; +2B54 : a5; +2B55 : 36; +2B56 : c9; +2B57 : 18; +2B58 : f0; +2B59 : 1a; +2B5A : c9; +2B5B : 00; +2B5C : f0; +2B5D : 1d; +2B5E : ad; +2B5F : 15; +2B60 : 05; +2B61 : f0; +2B62 : 0c; +2B63 : 20; +2B64 : a1; +2B65 : eb; +2B66 : a9; +2B67 : 00; +2B68 : 8d; +2B69 : 15; +2B6A : 05; +2B6B : a9; +2B6C : 1a; +2B6D : 85; +2B6E : 44; +2B6F : a5; +2B70 : 44; +2B71 : 4c; +2B72 : 2b; +2B73 : eb; +2B74 : a9; +2B75 : 30; +2B76 : 85; +2B77 : 44; +2B78 : 4c; +2B79 : 9c; +2B7A : eb; +2B7B : a9; +2B7C : 1a; +2B7D : 85; +2B7E : 44; +2B7F : 20; +2B80 : 97; +2B81 : eb; +2B82 : 4c; +2B83 : 2b; +2B84 : eb; +2B85 : a9; +2B86 : 80; +2B87 : 85; +2B88 : fe; +2B89 : a9; +2B8A : 40; +2B8B : 4c; +2B8C : a8; +2B8D : eb; +2B8E : a9; +2B8F : 80; +2B90 : 85; +2B91 : fe; +2B92 : a9; +2B93 : 42; +2B94 : 4c; +2B95 : a8; +2B96 : eb; +2B97 : a9; +2B98 : 44; +2B99 : 4c; +2B9A : a8; +2B9B : eb; +2B9C : a9; +2B9D : 3e; +2B9E : 4c; +2B9F : a8; +2BA0 : eb; +2BA1 : a9; +2BA2 : 00; +2BA3 : 4c; +2BA4 : a8; +2BA5 : eb; +2BA6 : a9; +2BA7 : 02; +2BA8 : 20; +2BA9 : 15; +2BAA : c8; +2BAB : c6; +2BAC : 44; +2BAD : ad; +2BAE : 05; +2BAF : 05; +2BB0 : 09; +2BB1 : 10; +2BB2 : 8d; +2BB3 : 05; +2BB4 : 05; +2BB5 : 60; +2BB6 : a5; +2BB7 : 45; +2BB8 : f0; +2BB9 : 01; +2BBA : 60; +2BBB : a5; +2BBC : 2e; +2BBD : d0; +2BBE : 05; +2BBF : a9; +2BC0 : ff; +2BC1 : 85; +2BC2 : 96; +2BC3 : 60; +2BC4 : a9; +2BC5 : 0b; +2BC6 : 85; +2BC7 : 45; +2BC8 : a9; +2BC9 : 01; +2BCA : 85; +2BCB : 00; +2BCC : a9; +2BCD : 0a; +2BCE : 85; +2BCF : 01; +2BD0 : 20; +2BD1 : 3e; +2BD2 : f3; +2BD3 : a9; +2BD4 : 02; +2BD5 : 85; +2BD6 : 00; +2BD7 : 4c; +2BD8 : 3c; +2BD9 : f2; +2BDA : ad; +2BDB : 0b; +2BDC : 05; +2BDD : d0; +2BDE : 0e; +2BDF : a9; +2BE0 : 01; +2BE1 : 8d; +2BE2 : 0b; +2BE3 : 05; +2BE4 : a9; +2BE5 : 00; +2BE6 : 8d; +2BE7 : 0e; +2BE8 : 05; +2BE9 : 8d; +2BEA : 0c; +2BEB : 05; +2BEC : 60; +2BED : ad; +2BEE : 0c; +2BEF : 05; +2BF0 : f0; +2BF1 : 24; +2BF2 : ad; +2BF3 : 0d; +2BF4 : 05; +2BF5 : c9; +2BF6 : 05; +2BF7 : d0; +2BF8 : 11; +2BF9 : a5; +2BFA : 96; +2BFB : c9; +2BFC : 0a; +2BFD : d0; +2BFE : 04; +2BFF : a9; +2C00 : 00; +2C01 : f0; +2C02 : 07; +2C03 : a9; +2C04 : 04; +2C05 : 85; +2C06 : 96; +2C07 : 4c; +2C08 : 12; +2C09 : ec; +2C0A : 85; +2C0B : 56; +2C0C : 29; +2C0D : 03; +2C0E : f0; +2C0F : 02; +2C10 : 85; +2C11 : 57; +2C12 : ce; +2C13 : 0c; +2C14 : 05; +2C15 : 60; +2C16 : ae; +2C17 : 0e; +2C18 : 05; +2C19 : bd; +2C1A : 28; +2C1B : c0; +2C1C : 8d; +2C1D : 0c; +2C1E : 05; +2C1F : bd; +2C20 : 14; +2C21 : c0; +2C22 : 8d; +2C23 : 0d; +2C24 : 05; +2C25 : ee; +2C26 : 0e; +2C27 : 05; +2C28 : 60; +2C29 : 20; +2C2A : e1; +2C2B : ea; +2C2C : a9; +2C2D : 4c; +2C2E : 20; +2C2F : e8; +2C30 : ef; +2C31 : a5; +2C32 : 53; +2C33 : c9; +2C34 : 03; +2C35 : f0; +2C36 : 04; +2C37 : c9; +2C38 : 01; +2C39 : d0; +2C3A : 03; +2C3B : 20; +2C3C : 44; +2C3D : ec; +2C3E : 20; +2C3F : 8a; +2C40 : ed; +2C41 : 4c; +2C42 : c5; +2C43 : ed; +2C44 : a9; +2C45 : 00; +2C46 : 85; +2C47 : 5d; +2C48 : a9; +2C49 : 3a; +2C4A : 20; +2C4B : 47; +2C4C : c8; +2C4D : 20; +2C4E : d5; +2C4F : ef; +2C50 : a5; +2C51 : 53; +2C52 : c9; +2C53 : 01; +2C54 : f0; +2C55 : 05; +2C56 : 8a; +2C57 : 18; +2C58 : 69; +2C59 : 30; +2C5A : aa; +2C5B : 20; +2C5C : ec; +2C5D : ea; +2C5E : 20; +2C5F : ef; +2C60 : ef; +2C61 : d0; +2C62 : 44; +2C63 : a5; +2C64 : 96; +2C65 : c9; +2C66 : 04; +2C67 : d0; +2C68 : 2e; +2C69 : a5; +2C6A : 56; +2C6B : 29; +2C6C : 03; +2C6D : d0; +2C6E : 07; +2C6F : a5; +2C70 : 9c; +2C71 : f0; +2C72 : 0d; +2C73 : 4c; +2C74 : 97; +2C75 : ec; +2C76 : a5; +2C77 : 9c; +2C78 : c9; +2C79 : 03; +2C7A : b0; +2C7B : 1b; +2C7C : a5; +2C7D : 9e; +2C7E : d0; +2C7F : 17; +2C80 : a5; +2C81 : 9d; +2C82 : c9; +2C83 : 18; +2C84 : b0; +2C85 : 11; +2C86 : a5; +2C87 : 00; +2C88 : 85; +2C89 : 05; +2C8A : a5; +2C8B : 01; +2C8C : 85; +2C8D : 06; +2C8E : a2; +2C8F : 00; +2C90 : 20; +2C91 : c6; +2C92 : cf; +2C93 : a9; +2C94 : 20; +2C95 : 85; +2C96 : fd; +2C97 : e6; +2C98 : 5d; +2C99 : a5; +2C9A : 53; +2C9B : 4a; +2C9C : aa; +2C9D : a5; +2C9E : 5d; +2C9F : dd; +2CA0 : fd; +2CA1 : c1; +2CA2 : f0; +2CA3 : 0b; +2CA4 : 4c; +2CA5 : 48; +2CA6 : ec; +2CA7 : 20; +2CA8 : 51; +2CA9 : ef; +2CAA : a9; +2CAB : ff; +2CAC : 85; +2CAD : 96; +2CAE : 60; +2CAF : a5; +2CB0 : 53; +2CB1 : c9; +2CB2 : 03; +2CB3 : f0; +2CB4 : 09; +2CB5 : a5; +2CB6 : 96; +2CB7 : c9; +2CB8 : 0a; +2CB9 : d0; +2CBA : 03; +2CBB : 4c; +2CBC : bf; +2CBD : ec; +2CBE : 60; +2CBF : a5; +2CC0 : a0; +2CC1 : d0; +2CC2 : 03; +2CC3 : 4c; +2CC4 : 87; +2CC5 : ed; +2CC6 : a5; +2CC7 : 9f; +2CC8 : 4a; +2CC9 : 4a; +2CCA : f0; +2CCB : 05; +2CCC : a9; +2CCD : 00; +2CCE : 4c; +2CCF : d3; +2CD0 : ec; +2CD1 : a9; +2CD2 : 01; +2CD3 : f0; +2CD4 : 13; +2CD5 : a9; +2CD6 : 04; +2CD7 : 18; +2CD8 : 6d; +2CD9 : 03; +2CDA : 02; +2CDB : 85; +2CDC : 00; +2CDD : ad; +2CDE : 00; +2CDF : 02; +2CE0 : 38; +2CE1 : e9; +2CE2 : 10; +2CE3 : 85; +2CE4 : 01; +2CE5 : 4c; +2CE6 : 07; +2CE7 : ed; +2CE8 : a5; +2CE9 : 57; +2CEA : c9; +2CEB : 01; +2CEC : f0; +2CED : 09; +2CEE : ad; +2CEF : 03; +2CF0 : 02; +2CF1 : 38; +2CF2 : e9; +2CF3 : 10; +2CF4 : 4c; +2CF5 : fd; +2CF6 : ec; +2CF7 : ad; +2CF8 : 03; +2CF9 : 02; +2CFA : 18; +2CFB : 69; +2CFC : 10; +2CFD : 85; +2CFE : 00; +2CFF : ad; +2D00 : 00; +2D01 : 02; +2D02 : 18; +2D03 : 69; +2D04 : 06; +2D05 : 85; +2D06 : 01; +2D07 : a9; +2D08 : 3c; +2D09 : 20; +2D0A : e8; +2D0B : ef; +2D0C : a5; +2D0D : 53; +2D0E : c9; +2D0F : 01; +2D10 : d0; +2D11 : 22; +2D12 : a9; +2D13 : 00; +2D14 : 85; +2D15 : 5d; +2D16 : 20; +2D17 : d5; +2D18 : ef; +2D19 : 20; +2D1A : ec; +2D1B : ea; +2D1C : a9; +2D1D : 3a; +2D1E : 20; +2D1F : 47; +2D20 : c8; +2D21 : 20; +2D22 : ef; +2D23 : ef; +2D24 : d0; +2D25 : 31; +2D26 : a5; +2D27 : 5d; +2D28 : 18; +2D29 : 69; +2D2A : 01; +2D2B : 85; +2D2C : 5d; +2D2D : c9; +2D2E : 09; +2D2F : f0; +2D30 : 54; +2D31 : 4c; +2D32 : 16; +2D33 : ed; +2D34 : a9; +2D35 : 00; +2D36 : 85; +2D37 : ae; +2D38 : 20; +2D39 : dd; +2D3A : ef; +2D3B : 20; +2D3C : ec; +2D3D : ea; +2D3E : a9; +2D3F : 3a; +2D40 : 20; +2D41 : 47; +2D42 : c8; +2D43 : 20; +2D44 : ef; +2D45 : ef; +2D46 : d0; +2D47 : 0f; +2D48 : e6; +2D49 : ae; +2D4A : a5; +2D4B : ae; +2D4C : a6; +2D4D : 53; +2D4E : ca; +2D4F : dd; +2D50 : f6; +2D51 : c1; +2D52 : f0; +2D53 : 31; +2D54 : 4c; +2D55 : 38; +2D56 : ed; +2D57 : a9; +2D58 : 02; +2D59 : 85; +2D5A : ff; +2D5B : a5; +2D5C : 00; +2D5D : 85; +2D5E : 05; +2D5F : a5; +2D60 : 01; +2D61 : 85; +2D62 : 06; +2D63 : a5; +2D64 : 53; +2D65 : c9; +2D66 : 01; +2D67 : d0; +2D68 : 0b; +2D69 : a9; +2D6A : 00; +2D6B : a6; +2D6C : 5d; +2D6D : 95; +2D6E : 68; +2D6F : a9; +2D70 : 01; +2D71 : 4c; +2D72 : 87; +2D73 : ed; +2D74 : a9; +2D75 : 10; +2D76 : 85; +2D77 : 40; +2D78 : a9; +2D79 : 00; +2D7A : a6; +2D7B : ae; +2D7C : 95; +2D7D : e0; +2D7E : 95; +2D7F : db; +2D80 : a9; +2D81 : 01; +2D82 : 4c; +2D83 : 87; +2D84 : ed; +2D85 : a9; +2D86 : 00; +2D87 : 85; +2D88 : bf; +2D89 : 60; +2D8A : a9; +2D8B : 00; +2D8C : 85; +2D8D : ae; +2D8E : a9; +2D8F : 3a; +2D90 : 20; +2D91 : 47; +2D92 : c8; +2D93 : 20; +2D94 : dd; +2D95 : ef; +2D96 : 20; +2D97 : ec; +2D98 : ea; +2D99 : 20; +2D9A : ef; +2D9B : ef; +2D9C : d0; +2D9D : 0f; +2D9E : e6; +2D9F : ae; +2DA0 : a5; +2DA1 : ae; +2DA2 : a6; +2DA3 : 53; +2DA4 : ca; +2DA5 : dd; +2DA6 : f6; +2DA7 : c1; +2DA8 : f0; +2DA9 : 0b; +2DAA : 4c; +2DAB : 93; +2DAC : ed; +2DAD : 20; +2DAE : 51; +2DAF : ef; +2DB0 : a9; +2DB1 : ff; +2DB2 : 85; +2DB3 : 96; +2DB4 : 60; +2DB5 : a5; +2DB6 : 96; +2DB7 : c9; +2DB8 : 0a; +2DB9 : d0; +2DBA : 09; +2DBB : a5; +2DBC : 53; +2DBD : c9; +2DBE : 01; +2DBF : f0; +2DC0 : 03; +2DC1 : 20; +2DC2 : bf; +2DC3 : ec; +2DC4 : 60; +2DC5 : a5; +2DC6 : 53; +2DC7 : c9; +2DC8 : 03; +2DC9 : d0; +2DCA : 07; +2DCB : a4; +2DCC : 96; +2DCD : c0; +2DCE : 01; +2DCF : f0; +2DD0 : 01; +2DD1 : 60; +2DD2 : 38; +2DD3 : e9; +2DD4 : 01; +2DD5 : 0a; +2DD6 : aa; +2DD7 : bd; +2DD8 : 2b; +2DD9 : c4; +2DDA : 85; +2DDB : 02; +2DDC : bd; +2DDD : 2c; +2DDE : c4; +2DDF : 85; +2DE0 : 03; +2DE1 : bd; +2DE2 : 23; +2DE3 : c4; +2DE4 : 85; +2DE5 : 00; +2DE6 : bd; +2DE7 : 24; +2DE8 : c4; +2DE9 : 85; +2DEA : 01; +2DEB : 20; +2DEC : ef; +2DED : ef; +2DEE : d0; +2DEF : 17; +2DF0 : a5; +2DF1 : 53; +2DF2 : c9; +2DF3 : 03; +2DF4 : d0; +2DF5 : 15; +2DF6 : a5; +2DF7 : 01; +2DF8 : c9; +2DF9 : c9; +2DFA : f0; +2DFB : 0f; +2DFC : a9; +2DFD : 70; +2DFE : 85; +2DFF : 00; +2E00 : a9; +2E01 : c9; +2E02 : 85; +2E03 : 01; +2E04 : 4c; +2E05 : eb; +2E06 : ed; +2E07 : a9; +2E08 : ff; +2E09 : 85; +2E0A : 96; +2E0B : 60; +2E0C : a9; +2E0D : 80; +2E0E : 85; +2E0F : 0a; +2E10 : a9; +2E11 : 80; +2E12 : 85; +2E13 : 0b; +2E14 : 20; +2E15 : e4; +2E16 : df; +2E17 : d0; +2E18 : 01; +2E19 : 60; +2E1A : a5; +2E1B : 53; +2E1C : c9; +2E1D : 01; +2E1E : d0; +2E1F : 06; +2E20 : 20; +2E21 : d5; +2E22 : ef; +2E23 : 4c; +2E24 : 29; +2E25 : ee; +2E26 : 20; +2E27 : dd; +2E28 : ef; +2E29 : 86; +2E2A : 04; +2E2B : 20; +2E2C : ec; +2E2D : ea; +2E2E : a5; +2E2F : bf; +2E30 : c9; +2E31 : 01; +2E32 : d0; +2E33 : 04; +2E34 : a0; +2E35 : 02; +2E36 : 84; +2E37 : ff; +2E38 : c9; +2E39 : 0b; +2E3A : f0; +2E3B : 15; +2E3C : a6; +2E3D : bf; +2E3E : ca; +2E3F : bd; +2E40 : ec; +2E41 : c1; +2E42 : 85; +2E43 : 02; +2E44 : 20; +2E45 : db; +2E46 : ea; +2E47 : a6; +2E48 : 04; +2E49 : a9; +2E4A : 02; +2E4B : 20; +2E4C : 6c; +2E4D : ee; +2E4E : e6; +2E4F : bf; +2E50 : 60; +2E51 : a5; +2E52 : 53; +2E53 : c9; +2E54 : 01; +2E55 : d0; +2E56 : 05; +2E57 : a9; +2E58 : 03; +2E59 : 20; +2E5A : 6c; +2E5B : ee; +2E5C : 20; +2E5D : d1; +2E5E : ea; +2E5F : 20; +2E60 : 94; +2E61 : f0; +2E62 : a2; +2E63 : 02; +2E64 : 20; +2E65 : c6; +2E66 : cf; +2E67 : a9; +2E68 : 00; +2E69 : 85; +2E6A : bf; +2E6B : 60; +2E6C : 9d; +2E6D : 02; +2E6E : 02; +2E6F : 9d; +2E70 : 06; +2E71 : 02; +2E72 : 9d; +2E73 : 0a; +2E74 : 02; +2E75 : 9d; +2E76 : 0e; +2E77 : 02; +2E78 : 60; +2E79 : a4; +2E7A : 53; +2E7B : c0; +2E7C : 01; +2E7D : d0; +2E7E : 01; +2E7F : 60; +2E80 : a5; +2E81 : be; +2E82 : f0; +2E83 : 54; +2E84 : c0; +2E85 : 04; +2E86 : d0; +2E87 : 68; +2E88 : a0; +2E89 : 00; +2E8A : ae; +2E8B : ff; +2E8C : c5; +2E8D : bd; +2E8E : c2; +2E8F : c5; +2E90 : cd; +2E91 : 03; +2E92 : 02; +2E93 : d0; +2E94 : 52; +2E95 : bd; +2E96 : ae; +2E97 : c5; +2E98 : cd; +2E99 : 00; +2E9A : 02; +2E9B : 90; +2E9C : 4a; +2E9D : 38; +2E9E : e9; +2E9F : 11; +2EA0 : cd; +2EA1 : 00; +2EA2 : 02; +2EA3 : b0; +2EA4 : 42; +2EA5 : b9; +2EA6 : c1; +2EA7 : 00; +2EA8 : c9; +2EA9 : 00; +2EAA : d0; +2EAB : 2d; +2EAC : a5; +2EAD : 96; +2EAE : c9; +2EAF : 08; +2EB0 : f0; +2EB1 : 26; +2EB2 : c9; +2EB3 : ff; +2EB4 : f0; +2EB5 : 22; +2EB6 : a9; +2EB7 : 11; +2EB8 : 85; +2EB9 : cc; +2EBA : a9; +2EBB : 01; +2EBC : 99; +2EBD : c1; +2EBE : 00; +2EBF : 20; +2EC0 : 38; +2EC1 : ef; +2EC2 : ad; +2EC3 : 00; +2EC4 : 02; +2EC5 : 18; +2EC6 : 69; +2EC7 : 10; +2EC8 : 85; +2EC9 : 06; +2ECA : ad; +2ECB : 03; +2ECC : 02; +2ECD : 85; +2ECE : 05; +2ECF : a2; +2ED0 : 00; +2ED1 : 20; +2ED2 : c6; +2ED3 : cf; +2ED4 : a9; +2ED5 : 20; +2ED6 : 85; +2ED7 : fd; +2ED8 : 60; +2ED9 : a5; +2EDA : 96; +2EDB : c9; +2EDC : 04; +2EDD : f0; +2EDE : 07; +2EDF : 20; +2EE0 : 51; +2EE1 : ef; +2EE2 : a9; +2EE3 : 08; +2EE4 : 85; +2EE5 : 96; +2EE6 : 60; +2EE7 : c0; +2EE8 : 07; +2EE9 : f0; +2EEA : 05; +2EEB : e8; +2EEC : c8; +2EED : 4c; +2EEE : 8d; +2EEF : ee; +2EF0 : a4; +2EF1 : 53; +2EF2 : be; +2EF3 : fa; +2EF4 : c5; +2EF5 : a0; +2EF6 : 00; +2EF7 : bd; +2EF8 : ae; +2EF9 : c5; +2EFA : cd; +2EFB : 00; +2EFC : 02; +2EFD : d0; +2EFE : 30; +2EFF : bd; +2F00 : c2; +2F01 : c5; +2F02 : cd; +2F03 : 03; +2F04 : 02; +2F05 : d0; +2F06 : 28; +2F07 : b9; +2F08 : c9; +2F09 : 00; +2F0A : d0; +2F0B : 23; +2F0C : a9; +2F0D : 22; +2F0E : 85; +2F0F : cc; +2F10 : a9; +2F11 : 01; +2F12 : 99; +2F13 : c9; +2F14 : 00; +2F15 : 20; +2F16 : 38; +2F17 : ef; +2F18 : ad; +2F19 : 00; +2F1A : 02; +2F1B : 38; +2F1C : e9; +2F1D : 08; +2F1E : 85; +2F1F : 06; +2F20 : ad; +2F21 : 03; +2F22 : 02; +2F23 : 85; +2F24 : 05; +2F25 : a2; +2F26 : 03; +2F27 : 20; +2F28 : c6; +2F29 : cf; +2F2A : a9; +2F2B : 20; +2F2C : 85; +2F2D : fd; +2F2E : 60; +2F2F : c0; +2F30 : 02; +2F31 : f0; +2F32 : fb; +2F33 : e8; +2F34 : c8; +2F35 : 4c; +2F36 : f7; +2F37 : ee; +2F38 : a9; +2F39 : 24; +2F3A : 85; +2F3B : cd; +2F3C : 85; +2F3D : ce; +2F3E : 85; +2F3F : cf; +2F40 : 85; +2F41 : d0; +2F42 : bd; +2F43 : d6; +2F44 : c5; +2F45 : 85; +2F46 : 01; +2F47 : bd; +2F48 : e9; +2F49 : c5; +2F4A : 85; +2F4B : 00; +2F4C : a9; +2F4D : 48; +2F4E : 4c; +2F4F : 15; +2F50 : c8; +2F51 : a5; +2F52 : 96; +2F53 : c9; +2F54 : 0a; +2F55 : d0; +2F56 : 1a; +2F57 : a5; +2F58 : a0; +2F59 : f0; +2F5A : 16; +2F5B : 38; +2F5C : e9; +2F5D : 01; +2F5E : aa; +2F5F : a9; +2F60 : 00; +2F61 : 9d; +2F62 : 51; +2F63 : 04; +2F64 : 8a; +2F65 : 0a; +2F66 : 0a; +2F67 : 0a; +2F68 : aa; +2F69 : a9; +2F6A : ff; +2F6B : 9d; +2F6C : d0; +2F6D : 02; +2F6E : 9d; +2F6F : d4; +2F70 : 02; +2F71 : 60; +2F72 : 86; +2F73 : 0f; +2F74 : 0a; +2F75 : aa; +2F76 : bd; +2F77 : 2c; +2F78 : 04; +2F79 : d0; +2F7A : 19; +2F7B : 9d; +2F7C : 36; +2F7D : 04; +2F7E : e0; +2F7F : 00; +2F80 : d0; +2F81 : 05; +2F82 : a9; +2F83 : 08; +2F84 : 4c; +2F85 : 89; +2F86 : ef; +2F87 : a9; +2F88 : 80; +2F89 : 9d; +2F8A : 35; +2F8B : 04; +2F8C : a9; +2F8D : f0; +2F8E : 9d; +2F8F : 2d; +2F90 : 04; +2F91 : 4c; +2F92 : ad; +2F93 : ef; +2F94 : bd; +2F95 : 35; +2F96 : 04; +2F97 : e0; +2F98 : 00; +2F99 : d0; +2F9A : 05; +2F9B : 69; +2F9C : 10; +2F9D : 4c; +2F9E : a2; +2F9F : ef; +2FA0 : 69; +2FA1 : 30; +2FA2 : 9d; +2FA3 : 35; +2FA4 : 04; +2FA5 : bd; +2FA6 : 36; +2FA7 : 04; +2FA8 : 69; +2FA9 : 00; +2FAA : 9d; +2FAB : 36; +2FAC : 04; +2FAD : bd; +2FAE : 2d; +2FAF : 04; +2FB0 : 38; +2FB1 : fd; +2FB2 : 3d; +2FB3 : 04; +2FB4 : 9d; +2FB5 : 2d; +2FB6 : 04; +2FB7 : a5; +2FB8 : 01; +2FB9 : fd; +2FBA : 3e; +2FBB : 04; +2FBC : 85; +2FBD : 01; +2FBE : 18; +2FBF : bd; +2FC0 : 2d; +2FC1 : 04; +2FC2 : 7d; +2FC3 : 35; +2FC4 : 04; +2FC5 : 9d; +2FC6 : 2d; +2FC7 : 04; +2FC8 : a5; +2FC9 : 01; +2FCA : 7d; +2FCB : 36; +2FCC : 04; +2FCD : 85; +2FCE : 01; +2FCF : fe; +2FD0 : 2c; +2FD1 : 04; +2FD2 : a6; +2FD3 : 0f; +2FD4 : 60; +2FD5 : a5; +2FD6 : 5d; +2FD7 : 18; +2FD8 : 69; +2FD9 : 03; +2FDA : 4c; +2FDB : e2; +2FDC : ef; +2FDD : a5; +2FDE : ae; +2FDF : 18; +2FE0 : 69; +2FE1 : 01; +2FE2 : 0a; +2FE3 : 0a; +2FE4 : 0a; +2FE5 : 0a; +2FE6 : aa; +2FE7 : 60; +2FE8 : 20; +2FE9 : 47; +2FEA : c8; +2FEB : a9; +2FEC : 00; +2FED : f0; +2FEE : 06; +2FEF : a9; +2FF0 : 01; +2FF1 : d0; +2FF2 : 02; +2FF3 : a9; +2FF4 : 02; +2FF5 : 85; +2FF6 : 0c; +2FF7 : 8a; +2FF8 : 48; +2FF9 : 98; +2FFA : 48; +2FFB : a0; +2FFC : 00; +2FFD : a5; +2FFE : 0c; +2FFF : d0; +3000 : 17; +3001 : 20; +3002 : 63; +3003 : f0; +3004 : 85; +3005 : 46; +3006 : 20; +3007 : 69; +3008 : f0; +3009 : 85; +300A : 47; +300B : 20; +300C : 62; +300D : f0; +300E : 85; +300F : 48; +3010 : 20; +3011 : 69; +3012 : f0; +3013 : 85; +3014 : 49; +3015 : 4c; +3016 : 59; +3017 : f0; +3018 : 20; +3019 : 63; +301A : f0; +301B : 85; +301C : 4a; +301D : 20; +301E : 69; +301F : f0; +3020 : 85; +3021 : 4b; +3022 : 20; +3023 : 62; +3024 : f0; +3025 : 85; +3026 : 4c; +3027 : 20; +3028 : 69; +3029 : f0; +302A : 85; +302B : 4d; +302C : a5; +302D : 4a; +302E : 38; +302F : e5; +3030 : 46; +3031 : 85; +3032 : 9c; +3033 : a5; +3034 : 4b; +3035 : 38; +3036 : e5; +3037 : 47; +3038 : 85; +3039 : 9d; +303A : a5; +303B : 49; +303C : c5; +303D : 4b; +303E : 90; +303F : 17; +3040 : a5; +3041 : 4d; +3042 : c5; +3043 : 47; +3044 : 90; +3045 : 11; +3046 : a5; +3047 : 4c; +3048 : c5; +3049 : 46; +304A : 90; +304B : 0b; +304C : a5; +304D : 48; +304E : c5; +304F : 4a; +3050 : 90; +3051 : 05; +3052 : a9; +3053 : 01; +3054 : 4c; +3055 : 59; +3056 : f0; +3057 : a9; +3058 : 00; +3059 : 85; +305A : 0c; +305B : 68; +305C : a8; +305D : 68; +305E : aa; +305F : a5; +3060 : 0c; +3061 : 60; +3062 : c8; +3063 : b1; +3064 : 02; +3065 : 18; +3066 : 65; +3067 : 00; +3068 : 60; +3069 : c8; +306A : b1; +306B : 02; +306C : 18; +306D : 65; +306E : 01; +306F : 60; +3070 : 85; +3071 : 02; +3072 : 20; +3073 : e1; +3074 : ea; +3075 : 20; +3076 : cd; +3077 : ea; +3078 : a5; +3079 : 57; +307A : 29; +307B : 03; +307C : 4a; +307D : 4c; +307E : 96; +307F : f0; +3080 : 85; +3081 : 04; +3082 : a9; +3083 : 00; +3084 : f0; +3085 : 10; +3086 : 85; +3087 : 04; +3088 : a9; +3089 : 01; +308A : d0; +308B : 0a; +308C : 85; +308D : 04; +308E : a9; +308F : 04; +3090 : d0; +3091 : 04; +3092 : 85; +3093 : 03; +3094 : a9; +3095 : 0f; +3096 : 48; +3097 : 85; +3098 : 0f; +3099 : 8a; +309A : 48; +309B : 98; +309C : 48; +309D : a5; +309E : 00; +309F : 48; +30A0 : a5; +30A1 : 05; +30A2 : 48; +30A3 : a5; +30A4 : 06; +30A5 : 48; +30A6 : a5; +30A7 : 07; +30A8 : 48; +30A9 : a5; +30AA : 08; +30AB : 48; +30AC : a5; +30AD : 09; +30AE : 48; +30AF : a9; +30B0 : 02; +30B1 : 85; +30B2 : 05; +30B3 : a5; +30B4 : 0f; +30B5 : c9; +30B6 : 04; +30B7 : f0; +30B8 : 36; +30B9 : a9; +30BA : 0f; +30BB : 25; +30BC : 03; +30BD : 85; +30BE : 07; +30BF : a5; +30C0 : 03; +30C1 : 4a; +30C2 : 4a; +30C3 : 4a; +30C4 : 4a; +30C5 : 85; +30C6 : 06; +30C7 : aa; +30C8 : a9; +30C9 : 00; +30CA : 18; +30CB : 65; +30CC : 07; +30CD : ca; +30CE : d0; +30CF : fb; +30D0 : 85; +30D1 : 08; +30D2 : a5; +30D3 : 0f; +30D4 : d0; +30D5 : 06; +30D6 : 20; +30D7 : 1e; +30D8 : f1; +30D9 : 4c; +30DA : e9; +30DB : f0; +30DC : c9; +30DD : 01; +30DE : f0; +30DF : 06; +30E0 : 20; +30E1 : 95; +30E2 : f1; +30E3 : 4c; +30E4 : f2; +30E5 : f0; +30E6 : 20; +30E7 : 61; +30E8 : f1; +30E9 : 20; +30EA : 39; +30EB : f1; +30EC : 4c; +30ED : f2; +30EE : f0; +30EF : 20; +30F0 : 0a; +30F1 : f1; +30F2 : 68; +30F3 : 85; +30F4 : 09; +30F5 : 68; +30F6 : 85; +30F7 : 08; +30F8 : 68; +30F9 : 85; +30FA : 07; +30FB : 68; +30FC : 85; +30FD : 06; +30FE : 68; +30FF : 85; +3100 : 05; +3101 : 68; +3102 : 85; +3103 : 00; +3104 : 68; +3105 : a8; +3106 : 68; +3107 : aa; +3108 : 68; +3109 : 60; +310A : a6; +310B : 03; +310C : a0; +310D : 00; +310E : a9; +310F : ff; +3110 : 91; +3111 : 04; +3112 : c8; +3113 : c8; +3114 : a5; +3115 : 02; +3116 : 91; +3117 : 04; +3118 : c8; +3119 : c8; +311A : ca; +311B : d0; +311C : f1; +311D : 60; +311E : a5; +311F : 02; +3120 : a6; +3121 : 08; +3122 : a0; +3123 : 01; +3124 : 91; +3125 : 04; +3126 : 18; +3127 : 69; +3128 : 01; +3129 : c8; +312A : 48; +312B : b1; +312C : 04; +312D : 29; +312E : 3f; +312F : 91; +3130 : 04; +3131 : 68; +3132 : c8; +3133 : c8; +3134 : c8; +3135 : ca; +3136 : d0; +3137 : ec; +3138 : 60; +3139 : a0; +313A : 00; +313B : a6; +313C : 06; +313D : a5; +313E : 01; +313F : 85; +3140 : 09; +3141 : a5; +3142 : 09; +3143 : 91; +3144 : 04; +3145 : 18; +3146 : 69; +3147 : 08; +3148 : 85; +3149 : 09; +314A : c8; +314B : c8; +314C : c8; +314D : a5; +314E : 00; +314F : 91; +3150 : 04; +3151 : c8; +3152 : ca; +3153 : d0; +3154 : ec; +3155 : a5; +3156 : 00; +3157 : 18; +3158 : 69; +3159 : 08; +315A : 85; +315B : 00; +315C : c6; +315D : 07; +315E : d0; +315F : db; +3160 : 60; +3161 : a0; +3162 : 01; +3163 : 84; +3164 : 0a; +3165 : a5; +3166 : 08; +3167 : 38; +3168 : e5; +3169 : 06; +316A : a8; +316B : 85; +316C : 0b; +316D : a6; +316E : 06; +316F : 98; +3170 : 48; +3171 : 18; +3172 : 98; +3173 : 65; +3174 : 02; +3175 : a4; +3176 : 0a; +3177 : 91; +3178 : 04; +3179 : c8; +317A : b1; +317B : 04; +317C : 29; +317D : 3f; +317E : 49; +317F : 40; +3180 : 91; +3181 : 04; +3182 : c8; +3183 : c8; +3184 : c8; +3185 : 84; +3186 : 0a; +3187 : 68; +3188 : a8; +3189 : c8; +318A : ca; +318B : d0; +318C : e2; +318D : a5; +318E : 0b; +318F : 38; +3190 : e5; +3191 : 06; +3192 : 10; +3193 : d6; +3194 : 60; +3195 : a0; +3196 : 00; +3197 : a6; +3198 : 06; +3199 : a5; +319A : 01; +319B : 85; +319C : 09; +319D : a9; +319E : ff; +319F : 91; +31A0 : 04; +31A1 : c8; +31A2 : c8; +31A3 : c8; +31A4 : c8; +31A5 : ca; +31A6 : d0; +31A7 : f7; +31A8 : a5; +31A9 : 00; +31AA : 18; +31AB : 69; +31AC : 08; +31AD : 85; +31AE : 00; +31AF : c6; +31B0 : 07; +31B1 : d0; +31B2 : e4; +31B3 : 60; +31B4 : ad; +31B5 : 02; +31B6 : 20; +31B7 : a5; +31B8 : 10; +31B9 : 29; +31BA : fb; +31BB : 8d; +31BC : 00; +31BD : 20; +31BE : a9; +31BF : 20; +31C0 : 8d; +31C1 : 06; +31C2 : 20; +31C3 : a9; +31C4 : 00; +31C5 : 8d; +31C6 : 06; +31C7 : 20; +31C8 : a2; +31C9 : 04; +31CA : a0; +31CB : 00; +31CC : a9; +31CD : 24; +31CE : 8d; +31CF : 07; +31D0 : 20; +31D1 : 88; +31D2 : d0; +31D3 : fa; +31D4 : ca; +31D5 : d0; +31D6 : f7; +31D7 : a9; +31D8 : 23; +31D9 : 8d; +31DA : 06; +31DB : 20; +31DC : a9; +31DD : c0; +31DE : 8d; +31DF : 06; +31E0 : 20; +31E1 : a0; +31E2 : 40; +31E3 : a9; +31E4 : 00; +31E5 : 8d; +31E6 : 07; +31E7 : 20; +31E8 : 88; +31E9 : d0; +31EA : fa; +31EB : 60; +31EC : 8d; +31ED : 06; +31EE : 20; +31EF : c8; +31F0 : b1; +31F1 : 00; +31F2 : 8d; +31F3 : 06; +31F4 : 20; +31F5 : c8; +31F6 : b1; +31F7 : 00; +31F8 : 0a; +31F9 : 48; +31FA : a5; +31FB : 10; +31FC : 09; +31FD : 04; +31FE : b0; +31FF : 02; +3200 : 29; +3201 : fb; +3202 : 8d; +3203 : 00; +3204 : 20; +3205 : 85; +3206 : 10; +3207 : 68; +3208 : 0a; +3209 : 90; +320A : 03; +320B : 09; +320C : 02; +320D : c8; +320E : 4a; +320F : 4a; +3210 : aa; +3211 : b0; +3212 : 01; +3213 : c8; +3214 : b1; +3215 : 00; +3216 : 8d; +3217 : 07; +3218 : 20; +3219 : ca; +321A : d0; +321B : f5; +321C : 38; +321D : 98; +321E : 65; +321F : 00; +3220 : 85; +3221 : 00; +3222 : a9; +3223 : 00; +3224 : 65; +3225 : 01; +3226 : 85; +3227 : 01; +3228 : ae; +3229 : 02; +322A : 20; +322B : a0; +322C : 00; +322D : b1; +322E : 00; +322F : d0; +3230 : bb; +3231 : a5; +3232 : 12; +3233 : 8d; +3234 : 05; +3235 : 20; +3236 : a5; +3237 : 13; +3238 : 8d; +3239 : 05; +323A : 20; +323B : 60; +323C : d8; +323D : a9; +323E : 04; +323F : 46; +3240 : 00; +3241 : 90; +3242 : 05; +3243 : 48; +3244 : 20; +3245 : 4e; +3246 : f2; +3247 : 68; +3248 : 18; +3249 : e9; +324A : 00; +324B : 10; +324C : f2; +324D : 60; +324E : 0a; +324F : 0a; +3250 : a8; +3251 : 85; +3252 : 01; +3253 : ae; +3254 : 30; +3255 : 03; +3256 : b9; +3257 : 00; +3258 : c0; +3259 : 9d; +325A : 31; +325B : 03; +325C : 20; +325D : 2d; +325E : f3; +325F : c8; +3260 : b9; +3261 : 00; +3262 : c0; +3263 : 9d; +3264 : 31; +3265 : 03; +3266 : 20; +3267 : 2d; +3268 : f3; +3269 : c8; +326A : b9; +326B : 00; +326C : c0; +326D : 29; +326E : 87; +326F : 9d; +3270 : 31; +3271 : 03; +3272 : 29; +3273 : 07; +3274 : 85; +3275 : 02; +3276 : 8a; +3277 : 38; +3278 : 65; +3279 : 02; +327A : 20; +327B : 2f; +327C : f3; +327D : aa; +327E : 8e; +327F : 30; +3280 : 03; +3281 : a9; +3282 : 00; +3283 : 9d; +3284 : 31; +3285 : 03; +3286 : c8; +3287 : b9; +3288 : 00; +3289 : c0; +328A : 85; +328B : 03; +328C : ca; +328D : 18; +328E : b9; +328F : 20; +3290 : 00; +3291 : 29; +3292 : 0f; +3293 : f0; +3294 : 01; +3295 : 18; +3296 : 90; +3297 : 02; +3298 : a9; +3299 : 24; +329A : 9d; +329B : 31; +329C : 03; +329D : ca; +329E : c6; +329F : 02; +32A0 : f0; +32A1 : 22; +32A2 : b9; +32A3 : 20; +32A4 : 00; +32A5 : 29; +32A6 : f0; +32A7 : 08; +32A8 : 4a; +32A9 : 4a; +32AA : 4a; +32AB : 4a; +32AC : 28; +32AD : f0; +32AE : 01; +32AF : 18; +32B0 : 90; +32B1 : 02; +32B2 : a9; +32B3 : 24; +32B4 : 9d; +32B5 : 31; +32B6 : 03; +32B7 : a5; +32B8 : 03; +32B9 : 29; +32BA : 01; +32BB : f0; +32BC : 01; +32BD : 38; +32BE : 88; +32BF : ca; +32C0 : c6; +32C1 : 02; +32C2 : d0; +32C3 : ca; +32C4 : a5; +32C5 : 03; +32C6 : 29; +32C7 : 10; +32C8 : f0; +32C9 : 0c; +32CA : e8; +32CB : a4; +32CC : 01; +32CD : 18; +32CE : b9; +32CF : 20; +32D0 : 00; +32D1 : 69; +32D2 : 37; +32D3 : 9d; +32D4 : 31; +32D5 : 03; +32D6 : 60; +32D7 : a0; +32D8 : 00; +32D9 : b1; +32DA : 02; +32DB : 29; +32DC : 0f; +32DD : 85; +32DE : 05; +32DF : b1; +32E0 : 02; +32E1 : 4a; +32E2 : 4a; +32E3 : 4a; +32E4 : 4a; +32E5 : 85; +32E6 : 04; +32E7 : ae; +32E8 : 30; +32E9 : 03; +32EA : a5; +32EB : 01; +32EC : 9d; +32ED : 31; +32EE : 03; +32EF : 20; +32F0 : 2d; +32F1 : f3; +32F2 : a5; +32F3 : 00; +32F4 : 9d; +32F5 : 31; +32F6 : 03; +32F7 : 20; +32F8 : 2d; +32F9 : f3; +32FA : a5; +32FB : 04; +32FC : 85; +32FD : 06; +32FE : 09; +32FF : 80; +3300 : 9d; +3301 : 31; +3302 : 03; +3303 : 20; +3304 : 2d; +3305 : f3; +3306 : c8; +3307 : b1; +3308 : 02; +3309 : 9d; +330A : 31; +330B : 03; +330C : c6; +330D : 06; +330E : d0; +330F : f3; +3310 : 20; +3311 : 2d; +3312 : f3; +3313 : 18; +3314 : a9; +3315 : 01; +3316 : 65; +3317 : 00; +3318 : 85; +3319 : 00; +331A : a9; +331B : 00; +331C : 65; +331D : 01; +331E : 85; +331F : 01; +3320 : 8e; +3321 : 30; +3322 : 03; +3323 : c6; +3324 : 05; +3325 : d0; +3326 : c3; +3327 : a9; +3328 : 00; +3329 : 9d; +332A : 31; +332B : 03; +332C : 60; +332D : e8; +332E : 8a; +332F : c9; +3330 : 3f; +3331 : 90; +3332 : 0a; +3333 : ae; +3334 : 30; +3335 : 03; +3336 : a9; +3337 : 00; +3338 : 9d; +3339 : 31; +333A : 03; +333B : 68; +333C : 68; +333D : 60; +333E : a2; +333F : ff; +3340 : d0; +3341 : 02; +3342 : a2; +3343 : 00; +3344 : 86; +3345 : 04; +3346 : a2; +3347 : 00; +3348 : 86; +3349 : 05; +334A : 86; +334B : 06; +334C : 86; +334D : 07; +334E : a5; +334F : 01; +3350 : 29; +3351 : 08; +3352 : d0; +3353 : 01; +3354 : e8; +3355 : a5; +3356 : 00; +3357 : 95; +3358 : 06; +3359 : a5; +335A : 01; +335B : 4c; +335C : 5e; +335D : f3; +335E : 29; +335F : 07; +3360 : 0a; +3361 : 0a; +3362 : aa; +3363 : a5; +3364 : 04; +3365 : f0; +3366 : 27; +3367 : b5; +3368 : 24; +3369 : f0; +336A : 27; +336B : 18; +336C : b5; +336D : 27; +336E : 85; +336F : 03; +3370 : a5; +3371 : 07; +3372 : 20; +3373 : e3; +3374 : f3; +3375 : 95; +3376 : 27; +3377 : b5; +3378 : 26; +3379 : 85; +337A : 03; +337B : a5; +337C : 06; +337D : 20; +337E : e3; +337F : f3; +3380 : 95; +3381 : 26; +3382 : b5; +3383 : 25; +3384 : 85; +3385 : 03; +3386 : a5; +3387 : 05; +3388 : 20; +3389 : e3; +338A : f3; +338B : 95; +338C : 25; +338D : 60; +338E : b5; +338F : 24; +3390 : f0; +3391 : d9; +3392 : 38; +3393 : b5; +3394 : 27; +3395 : 85; +3396 : 03; +3397 : a5; +3398 : 07; +3399 : 20; +339A : 04; +339B : f4; +339C : 95; +339D : 27; +339E : b5; +339F : 26; +33A0 : 85; +33A1 : 03; +33A2 : a5; +33A3 : 06; +33A4 : 20; +33A5 : 04; +33A6 : f4; +33A7 : 95; +33A8 : 26; +33A9 : b5; +33AA : 25; +33AB : 85; +33AC : 03; +33AD : a5; +33AE : 05; +33AF : 20; +33B0 : 04; +33B1 : f4; +33B2 : 95; +33B3 : 25; +33B4 : b5; +33B5 : 25; +33B6 : d0; +33B7 : 08; +33B8 : b5; +33B9 : 26; +33BA : d0; +33BB : 04; +33BC : b5; +33BD : 27; +33BE : f0; +33BF : 06; +33C0 : b0; +33C1 : 20; +33C2 : b5; +33C3 : 24; +33C4 : 49; +33C5 : ff; +33C6 : 95; +33C7 : 24; +33C8 : 38; +33C9 : a9; +33CA : 00; +33CB : 85; +33CC : 03; +33CD : b5; +33CE : 27; +33CF : 20; +33D0 : 04; +33D1 : f4; +33D2 : 95; +33D3 : 27; +33D4 : b5; +33D5 : 26; +33D6 : 20; +33D7 : 04; +33D8 : f4; +33D9 : 95; +33DA : 26; +33DB : b5; +33DC : 25; +33DD : 20; +33DE : 04; +33DF : f4; +33E0 : 95; +33E1 : 25; +33E2 : 60; +33E3 : 20; +33E4 : 26; +33E5 : f4; +33E6 : 65; +33E7 : 01; +33E8 : c9; +33E9 : 0a; +33EA : 90; +33EB : 02; +33EC : 69; +33ED : 05; +33EE : 18; +33EF : 65; +33F0 : 02; +33F1 : 85; +33F2 : 02; +33F3 : a5; +33F4 : 03; +33F5 : 29; +33F6 : f0; +33F7 : 65; +33F8 : 02; +33F9 : 90; +33FA : 04; +33FB : 69; +33FC : 5f; +33FD : 38; +33FE : 60; +33FF : c9; +3400 : a0; +3401 : b0; +3402 : f8; +3403 : 60; +3404 : 20; +3405 : 26; +3406 : f4; +3407 : e5; +3408 : 01; +3409 : 85; +340A : 01; +340B : b0; +340C : 0a; +340D : 69; +340E : 0a; +340F : 85; +3410 : 01; +3411 : a5; +3412 : 02; +3413 : 69; +3414 : 0f; +3415 : 85; +3416 : 02; +3417 : a5; +3418 : 03; +3419 : 29; +341A : f0; +341B : 38; +341C : e5; +341D : 02; +341E : b0; +341F : 03; +3420 : 69; +3421 : a0; +3422 : 18; +3423 : 05; +3424 : 01; +3425 : 60; +3426 : 48; +3427 : 29; +3428 : 0f; +3429 : 85; +342A : 01; +342B : 68; +342C : 29; +342D : f0; +342E : 85; +342F : 02; +3430 : a5; +3431 : 03; +3432 : 29; +3433 : 0f; +3434 : 60; +3435 : a9; +3436 : 00; +3437 : 85; +3438 : 04; +3439 : 18; +343A : a5; +343B : 00; +343C : 69; +343D : 10; +343E : 29; +343F : f0; +3440 : 4a; +3441 : 4a; +3442 : a8; +3443 : a5; +3444 : 00; +3445 : 29; +3446 : 07; +3447 : 0a; +3448 : 0a; +3449 : aa; +344A : b9; +344B : 20; +344C : 00; +344D : f0; +344E : 51; +344F : b5; +3450 : 24; +3451 : f0; +3452 : 26; +3453 : 38; +3454 : b9; +3455 : 23; +3456 : 00; +3457 : 85; +3458 : 03; +3459 : b5; +345A : 27; +345B : 20; +345C : 04; +345D : f4; +345E : b9; +345F : 22; +3460 : 00; +3461 : 85; +3462 : 03; +3463 : b5; +3464 : 26; +3465 : 20; +3466 : 04; +3467 : f4; +3468 : b9; +3469 : 21; +346A : 00; +346B : 85; +346C : 03; +346D : b5; +346E : 25; +346F : 20; +3470 : 04; +3471 : f4; +3472 : b0; +3473 : 30; +3474 : b9; +3475 : 20; +3476 : 00; +3477 : d0; +3478 : 30; +3479 : a9; +347A : ff; +347B : 85; +347C : 04; +347D : 38; +347E : 98; +347F : d0; +3480 : 1e; +3481 : 90; +3482 : 10; +3483 : b5; +3484 : 24; +3485 : 85; +3486 : 20; +3487 : b5; +3488 : 25; +3489 : 85; +348A : 21; +348B : b5; +348C : 26; +348D : 85; +348E : 22; +348F : b5; +3490 : 27; +3491 : 85; +3492 : 23; +3493 : a5; +3494 : 00; +3495 : 29; +3496 : 08; +3497 : f0; +3498 : 06; +3499 : ca; +349A : ca; +349B : ca; +349C : ca; +349D : 10; +349E : ab; +349F : 60; +34A0 : b5; +34A1 : 24; +34A2 : f0; +34A3 : af; +34A4 : b9; +34A5 : 20; +34A6 : 00; +34A7 : d0; +34A8 : d0; +34A9 : 18; +34AA : 90; +34AB : d2; +34AC : a2; +34AD : 09; +34AE : c6; +34AF : 34; +34B0 : 10; +34B1 : 06; +34B2 : a9; +34B3 : 0a; +34B4 : 85; +34B5 : 34; +34B6 : a2; +34B7 : 10; +34B8 : b5; +34B9 : 35; +34BA : f0; +34BB : 02; +34BC : d6; +34BD : 35; +34BE : ca; +34BF : 10; +34C0 : f7; +34C1 : 60; +34C2 : ae; +34C3 : 30; +34C4 : 03; +34C5 : a5; +34C6 : 01; +34C7 : 9d; +34C8 : 31; +34C9 : 03; +34CA : 20; +34CB : 2d; +34CC : f3; +34CD : a5; +34CE : 00; +34CF : 9d; +34D0 : 31; +34D1 : 03; +34D2 : 20; +34D3 : 2d; +34D4 : f3; +34D5 : a9; +34D6 : 01; +34D7 : 9d; +34D8 : 31; +34D9 : 03; +34DA : 20; +34DB : 2d; +34DC : f3; +34DD : 98; +34DE : 9d; +34DF : 31; +34E0 : 03; +34E1 : 20; +34E2 : 2d; +34E3 : f3; +34E4 : a9; +34E5 : 00; +34E6 : 9d; +34E7 : 31; +34E8 : 03; +34E9 : 8e; +34EA : 30; +34EB : 03; +34EC : 60; +34ED : a5; +34EE : 18; +34EF : 29; +34F0 : 02; +34F1 : 85; +34F2 : 00; +34F3 : a5; +34F4 : 19; +34F5 : 29; +34F6 : 02; +34F7 : 45; +34F8 : 00; +34F9 : 18; +34FA : f0; +34FB : 01; +34FC : 38; +34FD : 66; +34FE : 18; +34FF : 66; +3500 : 19; +3501 : 66; +3502 : 1a; +3503 : 66; +3504 : 1b; +3505 : 66; +3506 : 1c; +3507 : 66; +3508 : 1d; +3509 : 66; +350A : 1e; +350B : 66; +350C : 1f; +350D : 60; +350E : a9; +350F : 01; +3510 : 8d; +3511 : 16; +3512 : 40; +3513 : a2; +3514 : 00; +3515 : a9; +3516 : 00; +3517 : 8d; +3518 : 16; +3519 : 40; +351A : 20; +351B : 22; +351C : f5; +351D : e8; +351E : 20; +351F : 22; +3520 : f5; +3521 : 60; +3522 : a0; +3523 : 08; +3524 : 48; +3525 : bd; +3526 : 16; +3527 : 40; +3528 : 85; +3529 : 00; +352A : 4a; +352B : 05; +352C : 00; +352D : 4a; +352E : 68; +352F : 2a; +3530 : 88; +3531 : d0; +3532 : f1; +3533 : 86; +3534 : 00; +3535 : 06; +3536 : 00; +3537 : a6; +3538 : 00; +3539 : b4; +353A : 14; +353B : 84; +353C : 00; +353D : 95; +353E : 14; +353F : 29; +3540 : ff; +3541 : 10; +3542 : 06; +3543 : 24; +3544 : 00; +3545 : 10; +3546 : 02; +3547 : 29; +3548 : 7f; +3549 : b4; +354A : 15; +354B : 95; +354C : 15; +354D : 98; +354E : 29; +354F : 0f; +3550 : 35; +3551 : 15; +3552 : f0; +3553 : 06; +3554 : 09; +3555 : f0; +3556 : 35; +3557 : 15; +3558 : 95; +3559 : 15; +355A : 60; +355B : 3f; +355C : 00; +355D : 20; +355E : 0f; +355F : 15; +3560 : 2c; +3561 : 12; +3562 : 0f; +3563 : 27; +3564 : 02; +3565 : 17; +3566 : 0f; +3567 : 30; +3568 : 36; +3569 : 06; +356A : 0f; +356B : 30; +356C : 2c; +356D : 24; +356E : 0f; +356F : 02; +3570 : 36; +3571 : 16; +3572 : 0f; +3573 : 30; +3574 : 27; +3575 : 24; +3576 : 0f; +3577 : 16; +3578 : 30; +3579 : 37; +357A : 0f; +357B : 06; +357C : 27; +357D : 02; +357E : 23; +357F : c0; +3580 : 48; +3581 : ff; +3582 : 23; +3583 : c8; +3584 : 03; +3585 : 55; +3586 : aa; +3587 : 22; +3588 : 23; +3589 : cd; +358A : 43; +358B : 0f; +358C : 20; +358D : 2c; +358E : c7; +358F : 3f; +3590 : 20; +3591 : 81; +3592 : 84; +3593 : 50; +3594 : 51; +3595 : 52; +3596 : 53; +3597 : 20; +3598 : 82; +3599 : 84; +359A : 54; +359B : 55; +359C : 56; +359D : 57; +359E : 20; +359F : 83; +35A0 : 84; +35A1 : 58; +35A2 : 59; +35A3 : 5a; +35A4 : 5b; +35A5 : 20; +35A6 : 2a; +35A7 : c7; +35A8 : 3f; +35A9 : 20; +35AA : ad; +35AB : 46; +35AC : 30; +35AD : 20; +35AE : ca; +35AF : 43; +35B0 : 30; +35B1 : 20; +35B2 : d2; +35B3 : c2; +35B4 : 3f; +35B5 : 21; +35B6 : 02; +35B7 : 4e; +35B8 : 30; +35B9 : 21; +35BA : 10; +35BB : 0c; +35BC : 3e; +35BD : 3e; +35BE : 45; +35BF : 3d; +35C0 : 3d; +35C1 : 3d; +35C2 : 3c; +35C3 : 3c; +35C4 : 3c; +35C5 : 3b; +35C6 : 3b; +35C7 : 3b; +35C8 : 21; +35C9 : 2d; +35CA : 0f; +35CB : 3f; +35CC : 24; +35CD : 24; +35CE : 37; +35CF : 37; +35D0 : 37; +35D1 : 36; +35D2 : 36; +35D3 : 36; +35D4 : 35; +35D5 : 35; +35D6 : 35; +35D7 : 49; +35D8 : 34; +35D9 : 34; +35DA : 21; +35DB : 59; +35DC : 01; +35DD : 3f; +35DE : 21; +35DF : 6d; +35E0 : 11; +35E1 : 40; +35E2 : 38; +35E3 : 38; +35E4 : 39; +35E5 : 39; +35E6 : 39; +35E7 : 3a; +35E8 : 3a; +35E9 : 3a; +35EA : 3b; +35EB : 3b; +35EC : 3b; +35ED : 43; +35EE : 3c; +35EF : 3c; +35F0 : 3d; +35F1 : 3d; +35F2 : 21; +35F3 : 84; +35F4 : 1a; +35F5 : 3d; +35F6 : 3d; +35F7 : 3d; +35F8 : 3e; +35F9 : 3e; +35FA : 3e; +35FB : 30; +35FC : 30; +35FD : 30; +35FE : 31; +35FF : 31; +3600 : 31; +3601 : 32; +3602 : 32; +3603 : 32; +3604 : 33; +3605 : 33; +3606 : 33; +3607 : 34; +3608 : 49; +3609 : 34; +360A : 35; +360B : 35; +360C : 35; +360D : 36; +360E : 36; +360F : 21; +3610 : a4; +3611 : 06; +3612 : 36; +3613 : 36; +3614 : 4b; +3615 : 37; +3616 : 37; +3617 : 37; +3618 : 21; +3619 : c6; +361A : 01; +361B : 3f; +361C : 21; +361D : e2; +361E : 17; +361F : 30; +3620 : 30; +3621 : 3e; +3622 : 3e; +3623 : 45; +3624 : 3d; +3625 : 3d; +3626 : 3d; +3627 : 3c; +3628 : 43; +3629 : 3c; +362A : 3b; +362B : 3b; +362C : 3b; +362D : 3a; +362E : 3a; +362F : 3a; +3630 : 39; +3631 : 39; +3632 : 39; +3633 : 38; +3634 : 40; +3635 : 38; +3636 : 21; +3637 : ab; +3638 : c2; +3639 : 3f; +363A : 22; +363B : 04; +363C : 18; +363D : 37; +363E : 37; +363F : 37; +3640 : 36; +3641 : 36; +3642 : 36; +3643 : 4a; +3644 : 35; +3645 : 35; +3646 : 34; +3647 : 34; +3648 : 34; +3649 : 48; +364A : 33; +364B : 33; +364C : 32; +364D : 32; +364E : 32; +364F : 31; +3650 : 31; +3651 : 31; +3652 : 30; +3653 : 30; +3654 : 30; +3655 : 22; +3656 : 30; +3657 : c2; +3658 : 3f; +3659 : 22; +365A : 39; +365B : 01; +365C : 3f; +365D : 22; +365E : 4a; +365F : 01; +3660 : 3f; +3661 : 22; +3662 : 59; +3663 : 05; +3664 : 40; +3665 : 38; +3666 : 38; +3667 : 39; +3668 : 39; +3669 : 22; +366A : 64; +366B : 1a; +366C : 39; +366D : 39; +366E : 39; +366F : 3a; +3670 : 3a; +3671 : 3a; +3672 : 42; +3673 : 3b; +3674 : 3b; +3675 : 3c; +3676 : 3c; +3677 : 3c; +3678 : 44; +3679 : 3d; +367A : 3d; +367B : 3e; +367C : 3e; +367D : 3e; +367E : 30; +367F : 30; +3680 : 30; +3681 : 31; +3682 : 31; +3683 : 31; +3684 : 32; +3685 : 32; +3686 : 22; +3687 : 84; +3688 : 12; +3689 : 32; +368A : 32; +368B : 47; +368C : 33; +368D : 33; +368E : 33; +368F : 34; +3690 : 34; +3691 : 34; +3692 : 35; +3693 : 4a; +3694 : 35; +3695 : 36; +3696 : 36; +3697 : 36; +3698 : 37; +3699 : 37; +369A : 37; +369B : 22; +369C : a6; +369D : 01; +369E : 3f; +369F : 22; +36A0 : ae; +36A1 : c2; +36A2 : 3f; +36A3 : 22; +36A4 : c2; +36A5 : 0b; +36A6 : 3b; +36A7 : 3b; +36A8 : 3a; +36A9 : 3a; +36AA : 41; +36AB : 39; +36AC : 39; +36AD : 39; +36AE : 38; +36AF : 38; +36B0 : 38; +36B1 : 22; +36B2 : e2; +36B3 : 1a; +36B4 : 34; +36B5 : 34; +36B6 : 33; +36B7 : 33; +36B8 : 33; +36B9 : 32; +36BA : 32; +36BB : 32; +36BC : 31; +36BD : 31; +36BE : 46; +36BF : 30; +36C0 : 30; +36C1 : 30; +36C2 : 3e; +36C3 : 3e; +36C4 : 3e; +36C5 : 3d; +36C6 : 3d; +36C7 : 3d; +36C8 : 3c; +36C9 : 3c; +36CA : 3c; +36CB : 3b; +36CC : 3b; +36CD : 3b; +36CE : 23; +36CF : 0c; +36D0 : 10; +36D1 : 3f; +36D2 : 24; +36D3 : 24; +36D4 : 24; +36D5 : 37; +36D6 : 37; +36D7 : 37; +36D8 : 36; +36D9 : 36; +36DA : 36; +36DB : 35; +36DC : 35; +36DD : 35; +36DE : 49; +36DF : 34; +36E0 : 34; +36E1 : 23; +36E2 : 39; +36E3 : 01; +36E4 : 3f; +36E5 : 23; +36E6 : 4c; +36E7 : 13; +36E8 : 3f; +36E9 : 24; +36EA : 24; +36EB : 24; +36EC : 38; +36ED : 38; +36EE : 38; +36EF : 39; +36F0 : 39; +36F1 : 39; +36F2 : 3a; +36F3 : 3a; +36F4 : 3a; +36F5 : 42; +36F6 : 3b; +36F7 : 3b; +36F8 : 3c; +36F9 : 3c; +36FA : 3c; +36FB : 23; +36FC : 61; +36FD : 4f; +36FE : 30; +36FF : 23; +3700 : 70; +3701 : 0f; +3702 : 31; +3703 : 31; +3704 : 31; +3705 : 32; +3706 : 32; +3707 : 32; +3708 : 33; +3709 : 33; +370A : 33; +370B : 34; +370C : 34; +370D : 34; +370E : 35; +370F : 35; +3710 : 35; +3711 : 23; +3712 : 24; +3713 : 82; +3714 : 4c; +3715 : 4d; +3716 : 23; +3717 : 25; +3718 : 82; +3719 : 4e; +371A : 4f; +371B : 00; +371C : 3f; +371D : 00; +371E : 08; +371F : 0f; +3720 : 2c; +3721 : 27; +3722 : 02; +3723 : 0f; +3724 : 30; +3725 : 12; +3726 : 24; +3727 : 3f; +3728 : 1d; +3729 : 03; +372A : 06; +372B : 30; +372C : 12; +372D : 23; +372E : c0; +372F : 48; +3730 : ff; +3731 : 23; +3732 : c9; +3733 : 07; +3734 : 55; +3735 : 00; +3736 : aa; +3737 : aa; +3738 : 0f; +3739 : 0f; +373A : 0f; +373B : 23; +373C : e2; +373D : 05; +373E : 04; +373F : 00; +3740 : 00; +3741 : 00; +3742 : 01; +3743 : 20; +3744 : c5; +3745 : 02; +3746 : 70; +3747 : 72; +3748 : 20; +3749 : e5; +374A : 02; +374B : 71; +374C : 73; +374D : 20; +374E : ca; +374F : 42; +3750 : 62; +3751 : 21; +3752 : 05; +3753 : 56; +3754 : 62; +3755 : 21; +3756 : a4; +3757 : 58; +3758 : 62; +3759 : 22; +375A : 43; +375B : 5a; +375C : 62; +375D : 22; +375E : e2; +375F : 5c; +3760 : 62; +3761 : 23; +3762 : 61; +3763 : 5e; +3764 : 62; +3765 : 21; +3766 : 08; +3767 : 01; +3768 : 63; +3769 : 21; +376A : 17; +376B : 01; +376C : 63; +376D : 21; +376E : a8; +376F : 01; +3770 : 63; +3771 : 21; +3772 : b7; +3773 : 01; +3774 : 63; +3775 : 22; +3776 : 48; +3777 : 01; +3778 : 63; +3779 : 22; +377A : 57; +377B : 01; +377C : 63; +377D : 22; +377E : e8; +377F : 01; +3780 : 63; +3781 : 22; +3782 : f7; +3783 : 01; +3784 : 63; +3785 : 21; +3786 : 25; +3787 : c4; +3788 : 3f; +3789 : 21; +378A : 29; +378B : c4; +378C : 3f; +378D : 21; +378E : 36; +378F : c4; +3790 : 3f; +3791 : 21; +3792 : 3a; +3793 : c4; +3794 : 3f; +3795 : 21; +3796 : c4; +3797 : c4; +3798 : 3f; +3799 : 21; +379A : d0; +379B : c4; +379C : 3f; +379D : 21; +379E : db; +379F : c4; +37A0 : 3f; +37A1 : 22; +37A2 : 63; +37A3 : c4; +37A4 : 3f; +37A5 : 22; +37A6 : 6c; +37A7 : c4; +37A8 : 3f; +37A9 : 22; +37AA : 73; +37AB : c4; +37AC : 3f; +37AD : 22; +37AE : 7c; +37AF : c4; +37B0 : 3f; +37B1 : 23; +37B2 : 02; +37B3 : c3; +37B4 : 3f; +37B5 : 23; +37B6 : 0f; +37B7 : c3; +37B8 : 3f; +37B9 : 23; +37BA : 1d; +37BB : c3; +37BC : 3f; +37BD : 22; +37BE : 0a; +37BF : 82; +37C0 : 6e; +37C1 : 6f; +37C2 : 22; +37C3 : 18; +37C4 : 82; +37C5 : 70; +37C6 : 71; +37C7 : 22; +37C8 : 19; +37C9 : 82; +37CA : 72; +37CB : 73; +37CC : 00; +37CD : 3f; +37CE : 00; +37CF : 08; +37D0 : 0f; +37D1 : 15; +37D2 : 2c; +37D3 : 06; +37D4 : 0f; +37D5 : 30; +37D6 : 27; +37D7 : 16; +37D8 : 3f; +37D9 : 1d; +37DA : 03; +37DB : 12; +37DC : 37; +37DD : 15; +37DE : 23; +37DF : c0; +37E0 : 48; +37E1 : ff; +37E2 : 23; +37E3 : c9; +37E4 : 02; +37E5 : aa; +37E6 : 22; +37E7 : 23; +37E8 : cd; +37E9 : 43; +37EA : 0f; +37EB : 23; +37EC : d1; +37ED : 82; +37EE : 84; +37EF : 48; +37F0 : 23; +37F1 : d7; +37F2 : 05; +37F3 : 03; +37F4 : 0c; +37F5 : 88; +37F6 : 00; +37F7 : 88; +37F8 : 23; +37F9 : e1; +37FA : 03; +37FB : 88; +37FC : 00; +37FD : 88; +37FE : 23; +37FF : e9; +3800 : 03; +3801 : 88; +3802 : 00; +3803 : 88; +3804 : 23; +3805 : d3; +3806 : 82; +3807 : 84; +3808 : 48; +3809 : 20; +380A : 2c; +380B : c7; +380C : 3f; +380D : 20; +380E : 2a; +380F : c7; +3810 : 3f; +3811 : 20; +3812 : ca; +3813 : 43; +3814 : 30; +3815 : 20; +3816 : ad; +3817 : 46; +3818 : 30; +3819 : 20; +381A : d2; +381B : c2; +381C : 3f; +381D : 21; +381E : 02; +381F : 55; +3820 : 30; +3821 : 21; +3822 : 06; +3823 : 02; +3824 : 5e; +3825 : 5f; +3826 : 21; +3827 : 26; +3828 : 02; +3829 : 5c; +382A : 5d; +382B : 21; +382C : 0e; +382D : 02; +382E : 5e; +382F : 5f; +3830 : 21; +3831 : 2e; +3832 : 02; +3833 : 5c; +3834 : 5d; +3835 : 23; +3836 : 61; +3837 : 5e; +3838 : 30; +3839 : 23; +383A : 46; +383B : 02; +383C : 5c; +383D : 5d; +383E : 23; +383F : 66; +3840 : 02; +3841 : 60; +3842 : 61; +3843 : 23; +3844 : 4e; +3845 : 02; +3846 : 5c; +3847 : 5d; +3848 : 23; +3849 : 6e; +384A : 02; +384B : 60; +384C : 61; +384D : 21; +384E : 46; +384F : d0; +3850 : 74; +3851 : 21; +3852 : 47; +3853 : d0; +3854 : 75; +3855 : 21; +3856 : 5c; +3857 : 42; +3858 : 30; +3859 : 21; +385A : 79; +385B : 42; +385C : 30; +385D : 21; +385E : 96; +385F : 42; +3860 : 30; +3861 : 21; +3862 : b2; +3863 : 43; +3864 : 30; +3865 : 21; +3866 : c2; +3867 : 43; +3868 : 30; +3869 : 21; +386A : c9; +386B : 44; +386C : 30; +386D : 21; +386E : f9; +386F : 45; +3870 : 30; +3871 : 22; +3872 : 33; +3873 : 42; +3874 : 30; +3875 : 22; +3876 : 56; +3877 : 42; +3878 : 30; +3879 : 22; +387A : 79; +387B : 42; +387C : 30; +387D : 22; +387E : 9c; +387F : 42; +3880 : 30; +3881 : 22; +3882 : 82; +3883 : 43; +3884 : 30; +3885 : 22; +3886 : ca; +3887 : 43; +3888 : 30; +3889 : 22; +388A : db; +388B : 43; +388C : 30; +388D : 22; +388E : f8; +388F : 42; +3890 : 30; +3891 : 23; +3892 : 15; +3893 : 42; +3894 : 30; +3895 : 23; +3896 : 22; +3897 : 43; +3898 : 30; +3899 : 23; +389A : 31; +389B : 43; +389C : 30; +389D : 21; +389E : 36; +389F : c3; +38A0 : 3f; +38A1 : 21; +38A2 : 7c; +38A3 : c4; +38A4 : 3f; +38A5 : 21; +38A6 : d3; +38A7 : c3; +38A8 : 3f; +38A9 : 21; +38AA : e4; +38AB : c5; +38AC : 3f; +38AD : 21; +38AE : ea; +38AF : c7; +38B0 : 3f; +38B1 : 21; +38B2 : ec; +38B3 : c7; +38B4 : 3f; +38B5 : 22; +38B6 : 19; +38B7 : c3; +38B8 : 3f; +38B9 : 22; +38BA : a3; +38BB : c4; +38BC : 3f; +38BD : 22; +38BE : bc; +38BF : 01; +38C0 : 3f; +38C1 : 21; +38C2 : 82; +38C3 : 82; +38C4 : 70; +38C5 : 71; +38C6 : 21; +38C7 : 83; +38C8 : 82; +38C9 : 72; +38CA : 73; +38CB : 21; +38CC : 1d; +38CD : 82; +38CE : 6e; +38CF : 6f; +38D0 : 21; +38D1 : 4e; +38D2 : d0; +38D3 : 74; +38D4 : 21; +38D5 : 4f; +38D6 : d0; +38D7 : 75; +38D8 : 00; +38D9 : 3f; +38DA : 00; +38DB : 0d; +38DC : 0f; +38DD : 2c; +38DE : 38; +38DF : 12; +38E0 : 0f; +38E1 : 27; +38E2 : 27; +38E3 : 27; +38E4 : 0f; +38E5 : 30; +38E6 : 30; +38E7 : 30; +38E8 : 0f; +38E9 : 3f; +38EA : 11; +38EB : 01; +38EC : 25; +38ED : 23; +38EE : e0; +38EF : 50; +38F0 : 55; +38F1 : 23; +38F2 : f0; +38F3 : 48; +38F4 : aa; +38F5 : 20; +38F6 : 83; +38F7 : c5; +38F8 : 62; +38F9 : 20; +38FA : 84; +38FB : c5; +38FC : 62; +38FD : 20; +38FE : 85; +38FF : 01; +3900 : 62; +3901 : 21; +3902 : 05; +3903 : 01; +3904 : 62; +3905 : 20; +3906 : a6; +3907 : c3; +3908 : 62; +3909 : 20; +390A : 88; +390B : c5; +390C : 62; +390D : 20; +390E : 89; +390F : 01; +3910 : 62; +3911 : 21; +3912 : 09; +3913 : 01; +3914 : 62; +3915 : 20; +3916 : 8a; +3917 : c5; +3918 : 62; +3919 : 20; +391A : 8c; +391B : c5; +391C : 62; +391D : 20; +391E : ad; +391F : c2; +3920 : 62; +3921 : 20; +3922 : ce; +3923 : c2; +3924 : 62; +3925 : 20; +3926 : 8f; +3927 : c5; +3928 : 62; +3929 : 20; +392A : 91; +392B : c5; +392C : 62; +392D : 20; +392E : b2; +392F : c2; +3930 : 62; +3931 : 20; +3932 : b3; +3933 : 01; +3934 : 62; +3935 : 20; +3936 : 94; +3937 : 01; +3938 : 62; +3939 : 20; +393A : f3; +393B : 01; +393C : 62; +393D : 21; +393E : 14; +393F : 01; +3940 : 62; +3941 : 20; +3942 : 96; +3943 : c5; +3944 : 62; +3945 : 20; +3946 : 97; +3947 : 42; +3948 : 62; +3949 : 20; +394A : d7; +394B : 42; +394C : 62; +394D : 21; +394E : 17; +394F : 42; +3950 : 62; +3951 : 20; +3952 : 9a; +3953 : c3; +3954 : 62; +3955 : 20; +3956 : db; +3957 : c3; +3958 : 62; +3959 : 20; +395A : 9c; +395B : c3; +395C : 62; +395D : 21; +395E : 47; +395F : c5; +3960 : 62; +3961 : 21; +3962 : 68; +3963 : c2; +3964 : 62; +3965 : 21; +3966 : 69; +3967 : 01; +3968 : 62; +3969 : 21; +396A : 4a; +396B : 01; +396C : 62; +396D : 21; +396E : a9; +396F : 01; +3970 : 62; +3971 : 21; +3972 : ca; +3973 : 01; +3974 : 62; +3975 : 21; +3976 : 4c; +3977 : c5; +3978 : 62; +3979 : 21; +397A : 4d; +397B : 01; +397C : 62; +397D : 21; +397E : cd; +397F : 01; +3980 : 62; +3981 : 21; +3982 : 4e; +3983 : c5; +3984 : 62; +3985 : 21; +3986 : 50; +3987 : c5; +3988 : 62; +3989 : 21; +398A : 71; +398B : c2; +398C : 62; +398D : 21; +398E : 92; +398F : c2; +3990 : 62; +3991 : 21; +3992 : 53; +3993 : c5; +3994 : 62; +3995 : 21; +3996 : 55; +3997 : c5; +3998 : 62; +3999 : 21; +399A : 56; +399B : 43; +399C : 62; +399D : 21; +399E : d6; +399F : 43; +39A0 : 62; +39A1 : 21; +39A2 : 98; +39A3 : c2; +39A4 : 62; +39A5 : 21; +39A6 : 97; +39A7 : 01; +39A8 : 62; +39A9 : 22; +39AA : 09; +39AB : 0f; +39AC : 01; +39AD : 24; +39AE : 19; +39AF : 15; +39B0 : 0a; +39B1 : 22; +39B2 : 0e; +39B3 : 1b; +39B4 : 24; +39B5 : 10; +39B6 : 0a; +39B7 : 16; +39B8 : 0e; +39B9 : 24; +39BA : 0a; +39BB : 22; +39BC : 49; +39BD : 0f; +39BE : 01; +39BF : 24; +39C0 : 19; +39C1 : 15; +39C2 : 0a; +39C3 : 22; +39C4 : 0e; +39C5 : 1b; +39C6 : 24; +39C7 : 10; +39C8 : 0a; +39C9 : 16; +39CA : 0e; +39CB : 24; +39CC : 0b; +39CD : 22; +39CE : 89; +39CF : 0f; +39D0 : 02; +39D1 : 24; +39D2 : 19; +39D3 : 15; +39D4 : 0a; +39D5 : 22; +39D6 : 0e; +39D7 : 1b; +39D8 : 24; +39D9 : 10; +39DA : 0a; +39DB : 16; +39DC : 0e; +39DD : 24; +39DE : 0a; +39DF : 22; +39E0 : c9; +39E1 : 0f; +39E2 : 02; +39E3 : 24; +39E4 : 19; +39E5 : 15; +39E6 : 0a; +39E7 : 22; +39E8 : 0e; +39E9 : 1b; +39EA : 24; +39EB : 10; +39EC : 0a; +39ED : 16; +39EE : 0e; +39EF : 24; +39F0 : 0b; +39F1 : 23; +39F2 : 05; +39F3 : 16; +39F4 : d3; +39F5 : 01; +39F6 : 09; +39F7 : 08; +39F8 : 01; +39F9 : 24; +39FA : 17; +39FB : 12; +39FC : 17; +39FD : 1d; +39FE : 0e; +39FF : 17; +3A00 : 0d; +3A01 : 18; +3A02 : 24; +3A03 : 0c; +3A04 : 18; +3A05 : 65; +3A06 : 15; +3A07 : 1d; +3A08 : 0d; +3A09 : 64; +3A0A : 23; +3A0B : 4b; +3A0C : 0d; +3A0D : 16; +3A0E : 0a; +3A0F : 0d; +3A10 : 0e; +3A11 : 24; +3A12 : 12; +3A13 : 17; +3A14 : 24; +3A15 : 13; +3A16 : 0a; +3A17 : 19; +3A18 : 0a; +3A19 : 17; +3A1A : 00; +3A1B : 20; +3A1C : 63; +3A1D : 01; +3A1E : ff; +3A1F : 20; +3A20 : 6d; +3A21 : 03; +3A22 : d0; +3A23 : d1; +3A24 : d2; +3A25 : 20; +3A26 : 76; +3A27 : 02; +3A28 : fe; +3A29 : ff; +3A2A : 20; +3A2B : 94; +3A2C : 0a; +3A2D : 25; +3A2E : 16; +3A2F : 2a; +3A30 : 26; +3A31 : 27; +3A32 : 28; +3A33 : 29; +3A34 : 2a; +3A35 : 15; +3A36 : 2d; +3A37 : 20; +3A38 : b4; +3A39 : 0a; +3A3A : 2b; +3A3B : 24; +3A3C : 2c; +3A3D : 24; +3A3E : 24; +3A3F : 24; +3A40 : 24; +3A41 : 2c; +3A42 : 24; +3A43 : 2f; +3A44 : 00; +3A45 : ff; +3A46 : ff; +3A47 : ff; +3A48 : a9; +3A49 : c0; +3A4A : 8d; +3A4B : 17; +3A4C : 40; +3A4D : 20; +3A4E : f2; +3A4F : fb; +3A50 : a2; +3A51 : 00; +3A52 : 86; +3A53 : ff; +3A54 : 86; +3A55 : fe; +3A56 : 86; +3A57 : fd; +3A58 : ad; +3A59 : f0; +3A5A : 06; +3A5B : c9; +3A5C : 90; +3A5D : b0; +3A5E : 05; +3A5F : a2; +3A60 : 00; +3A61 : 8e; +3A62 : f1; +3A63 : 06; +3A64 : c9; +3A65 : d8; +3A66 : 90; +3A67 : 03; +3A68 : ee; +3A69 : f1; +3A6A : 06; +3A6B : a8; +3A6C : 4a; +3A6D : 4a; +3A6E : 4a; +3A6F : 4a; +3A70 : 4a; +3A71 : 4a; +3A72 : 85; +3A73 : 00; +3A74 : 98; +3A75 : ae; +3A76 : f1; +3A77 : 06; +3A78 : d0; +3A79 : 05; +3A7A : 38; +3A7B : 65; +3A7C : 00; +3A7D : d0; +3A7E : 03; +3A7F : 18; +3A80 : e5; +3A81 : 00; +3A82 : 8d; +3A83 : f0; +3A84 : 06; +3A85 : 60; +3A86 : a0; +3A87 : 07; +3A88 : 0a; +3A89 : b0; +3A8A : 03; +3A8B : 88; +3A8C : d0; +3A8D : fa; +3A8E : 60; +3A8F : 85; +3A90 : f1; +3A91 : 84; +3A92 : f2; +3A93 : a0; +3A94 : 7f; +3A95 : 8e; +3A96 : 00; +3A97 : 40; +3A98 : 8c; +3A99 : 01; +3A9A : 40; +3A9B : 60; +3A9C : 20; +3A9D : 95; +3A9E : fa; +3A9F : a2; +3AA0 : 00; +3AA1 : a8; +3AA2 : b9; +3AA3 : 01; +3AA4 : fb; +3AA5 : f0; +3AA6 : 0b; +3AA7 : 9d; +3AA8 : 02; +3AA9 : 40; +3AAA : b9; +3AAB : 00; +3AAC : fb; +3AAD : 09; +3AAE : 08; +3AAF : 9d; +3AB0 : 03; +3AB1 : 40; +3AB2 : 60; +3AB3 : 8c; +3AB4 : 05; +3AB5 : 40; +3AB6 : a2; +3AB7 : 04; +3AB8 : d0; +3AB9 : e7; +3ABA : 8d; +3ABB : 08; +3ABC : 40; +3ABD : 8a; +3ABE : 29; +3ABF : 3e; +3AC0 : a2; +3AC1 : 08; +3AC2 : d0; +3AC3 : dd; +3AC4 : aa; +3AC5 : 6a; +3AC6 : 8a; +3AC7 : 2a; +3AC8 : 2a; +3AC9 : 2a; +3ACA : 29; +3ACB : 07; +3ACC : 18; +3ACD : 6d; +3ACE : 8d; +3ACF : 06; +3AD0 : a8; +3AD1 : b9; +3AD2 : 4c; +3AD3 : fb; +3AD4 : 60; +3AD5 : 98; +3AD6 : 4a; +3AD7 : 4a; +3AD8 : 4a; +3AD9 : 85; +3ADA : 00; +3ADB : 98; +3ADC : 38; +3ADD : e5; +3ADE : 00; +3ADF : 60; +3AE0 : a9; +3AE1 : 90; +3AE2 : 8d; +3AE3 : 00; +3AE4 : 40; +3AE5 : 60; +3AE6 : 8d; +3AE7 : 8d; +3AE8 : 8c; +3AE9 : 8c; +3AEA : 8b; +3AEB : 8c; +3AEC : 83; +3AED : 83; +3AEE : 8f; +3AEF : 8f; +3AF0 : 8f; +3AF1 : 8f; +3AF2 : 8d; +3AF3 : 85; +3AF4 : 84; +3AF5 : 85; +3AF6 : 7f; +3AF7 : 85; +3AF8 : 85; +3AF9 : 85; +3AFA : 7f; +3AFB : 8d; +3AFC : 8d; +3AFD : 8d; +3AFE : 8d; +3AFF : 8d; +3B00 : 07; +3B01 : f0; +3B02 : 00; +3B03 : 00; +3B04 : 00; +3B05 : 69; +3B06 : 00; +3B07 : 53; +3B08 : 00; +3B09 : 46; +3B0A : 00; +3B0B : d4; +3B0C : 00; +3B0D : bd; +3B0E : 00; +3B0F : a8; +3B10 : 00; +3B11 : 9f; +3B12 : 00; +3B13 : 8d; +3B14 : 00; +3B15 : 7e; +3B16 : 01; +3B17 : ab; +3B18 : 01; +3B19 : 7c; +3B1A : 01; +3B1B : 52; +3B1C : 01; +3B1D : 3f; +3B1E : 01; +3B1F : 1c; +3B20 : 00; +3B21 : fd; +3B22 : 00; +3B23 : ee; +3B24 : 00; +3B25 : e1; +3B26 : 03; +3B27 : 57; +3B28 : 02; +3B29 : f9; +3B2A : 02; +3B2B : cf; +3B2C : 02; +3B2D : a6; +3B2E : 02; +3B2F : 80; +3B30 : 02; +3B31 : 3a; +3B32 : 02; +3B33 : 1a; +3B34 : 01; +3B35 : fc; +3B36 : 01; +3B37 : df; +3B38 : 01; +3B39 : c4; +3B3A : 06; +3B3B : ae; +3B3C : 05; +3B3D : 9e; +3B3E : 05; +3B3F : 4d; +3B40 : 05; +3B41 : 01; +3B42 : 04; +3B43 : 75; +3B44 : 04; +3B45 : 35; +3B46 : 03; +3B47 : f8; +3B48 : 03; +3B49 : bf; +3B4A : 03; +3B4B : 89; +3B4C : 05; +3B4D : 0a; +3B4E : 14; +3B4F : 28; +3B50 : 50; +3B51 : 1e; +3B52 : 3c; +3B53 : 0b; +3B54 : 06; +3B55 : 0c; +3B56 : 18; +3B57 : 30; +3B58 : 60; +3B59 : 24; +3B5A : 48; +3B5B : 07; +3B5C : 0d; +3B5D : 1a; +3B5E : 34; +3B5F : 78; +3B60 : 27; +3B61 : 4e; +3B62 : 0a; +3B63 : 08; +3B64 : 05; +3B65 : 0a; +3B66 : 09; +3B67 : 50; +3B68 : 40; +3B69 : 46; +3B6A : 4a; +3B6B : 50; +3B6C : 56; +3B6D : 5c; +3B6E : 64; +3B6F : 6c; +3B70 : 74; +3B71 : 7c; +3B72 : 88; +3B73 : 90; +3B74 : 9a; +3B75 : 85; +3B76 : f0; +3B77 : 85; +3B78 : fb; +3B79 : a0; +3B7A : 08; +3B7B : 4c; +3B7C : 67; +3B7D : fd; +3B7E : 84; +3B7F : f0; +3B80 : a9; +3B81 : 71; +3B82 : a0; +3B83 : 00; +3B84 : a2; +3B85 : 9f; +3B86 : 20; +3B87 : 8f; +3B88 : fa; +3B89 : a6; +3B8A : f2; +3B8B : bc; +3B8C : 67; +3B8D : fb; +3B8E : c6; +3B8F : f1; +3B90 : a5; +3B91 : f1; +3B92 : f0; +3B93 : e1; +3B94 : 29; +3B95 : 07; +3B96 : d0; +3B97 : 08; +3B98 : 98; +3B99 : 4a; +3B9A : 7d; +3B9B : 67; +3B9C : fb; +3B9D : a8; +3B9E : d0; +3B9F : 07; +3BA0 : 29; +3BA1 : 03; +3BA2 : d0; +3BA3 : 0e; +3BA4 : e6; +3BA5 : f2; +3BA6 : 18; +3BA7 : 8c; +3BA8 : 02; +3BA9 : 40; +3BAA : a0; +3BAB : 28; +3BAC : 90; +3BAD : 01; +3BAE : c8; +3BAF : 8c; +3BB0 : 03; +3BB1 : 40; +3BB2 : a9; +3BB3 : 00; +3BB4 : 4c; +3BB5 : 00; +3BB6 : fe; +3BB7 : 84; +3BB8 : f0; +3BB9 : a9; +3BBA : 54; +3BBB : a0; +3BBC : 6a; +3BBD : a2; +3BBE : 9c; +3BBF : 20; +3BC0 : 8f; +3BC1 : fa; +3BC2 : a4; +3BC3 : f2; +3BC4 : a5; +3BC5 : f1; +3BC6 : 29; +3BC7 : 03; +3BC8 : f0; +3BC9 : 0a; +3BCA : c9; +3BCB : 03; +3BCC : d0; +3BCD : 0b; +3BCE : 20; +3BCF : d5; +3BD0 : fa; +3BD1 : 85; +3BD2 : f2; +3BD3 : a8; +3BD4 : 98; +3BD5 : 4a; +3BD6 : 65; +3BD7 : f2; +3BD8 : a8; +3BD9 : 98; +3BDA : 2a; +3BDB : 2a; +3BDC : 2a; +3BDD : 8d; +3BDE : 02; +3BDF : 40; +3BE0 : 2a; +3BE1 : 8d; +3BE2 : 03; +3BE3 : 40; +3BE4 : a5; +3BE5 : f1; +3BE6 : c9; +3BE7 : 18; +3BE8 : b0; +3BE9 : 5a; +3BEA : 4a; +3BEB : 09; +3BEC : 90; +3BED : 8d; +3BEE : 00; +3BEF : 40; +3BF0 : d0; +3BF1 : 52; +3BF2 : a4; +3BF3 : ff; +3BF4 : a5; +3BF5 : f0; +3BF6 : 4a; +3BF7 : b0; +3BF8 : 90; +3BF9 : 46; +3BFA : ff; +3BFB : b0; +3BFC : 81; +3BFD : a6; +3BFE : fa; +3BFF : d0; +3C00 : 4a; +3C01 : 4a; +3C02 : b0; +3C03 : be; +3C04 : 46; +3C05 : ff; +3C06 : b0; +3C07 : af; +3C08 : 4a; +3C09 : b0; +3C0A : 1d; +3C0B : 46; +3C0C : ff; +3C0D : b0; +3C0E : 0a; +3C0F : 4a; +3C10 : b0; +3C11 : 50; +3C12 : 46; +3C13 : ff; +3C14 : b0; +3C15 : 3b; +3C16 : 4c; +3C17 : 90; +3C18 : fc; +3C19 : 84; +3C1A : f0; +3C1B : a9; +3C1C : 22; +3C1D : 85; +3C1E : f1; +3C1F : a0; +3C20 : 0b; +3C21 : 84; +3C22 : f2; +3C23 : a9; +3C24 : 20; +3C25 : 20; +3C26 : 9f; +3C27 : fa; +3C28 : c6; +3C29 : f2; +3C2A : d0; +3C2B : 04; +3C2C : a9; +3C2D : 07; +3C2E : 85; +3C2F : f2; +3C30 : a6; +3C31 : f2; +3C32 : bc; +3C33 : f5; +3C34 : fa; +3C35 : a2; +3C36 : 5a; +3C37 : a5; +3C38 : f1; +3C39 : c9; +3C3A : 14; +3C3B : b0; +3C3C : 04; +3C3D : 4a; +3C3E : 09; +3C3F : 50; +3C40 : aa; +3C41 : 20; +3C42 : 95; +3C43 : fa; +3C44 : c6; +3C45 : f1; +3C46 : d0; +3C47 : ce; +3C48 : 20; +3C49 : e0; +3C4A : fa; +3C4B : a9; +3C4C : 00; +3C4D : 85; +3C4E : f0; +3C4F : f0; +3C50 : c5; +3C51 : 84; +3C52 : f0; +3C53 : a9; +3C54 : 0a; +3C55 : 85; +3C56 : f1; +3C57 : ac; +3C58 : f0; +3C59 : 06; +3C5A : 8c; +3C5B : 02; +3C5C : 40; +3C5D : a9; +3C5E : 88; +3C5F : 8d; +3C60 : 03; +3C61 : 40; +3C62 : a5; +3C63 : 18; +3C64 : 29; +3C65 : 08; +3C66 : 18; +3C67 : 65; +3C68 : f1; +3C69 : 69; +3C6A : fe; +3C6B : aa; +3C6C : bc; +3C6D : e5; +3C6E : fa; +3C6F : a2; +3C70 : 41; +3C71 : d0; +3C72 : ce; +3C73 : a9; +3C74 : 0e; +3C75 : 8d; +3C76 : a5; +3C77 : 06; +3C78 : a0; +3C79 : 85; +3C7A : a9; +3C7B : 46; +3C7C : 20; +3C7D : b3; +3C7E : fa; +3C7F : ce; +3C80 : a5; +3C81 : 06; +3C82 : f0; +3C83 : 19; +3C84 : ad; +3C85 : a5; +3C86 : 06; +3C87 : 09; +3C88 : 90; +3C89 : a8; +3C8A : 88; +3C8B : 8c; +3C8C : 04; +3C8D : 40; +3C8E : d0; +3C8F : 0d; +3C90 : a5; +3C91 : f3; +3C92 : d0; +3C93 : 09; +3C94 : ad; +3C95 : a5; +3C96 : 06; +3C97 : d0; +3C98 : e6; +3C99 : a4; +3C9A : fe; +3C9B : 30; +3C9C : d6; +3C9D : a5; +3C9E : fc; +3C9F : d0; +3CA0 : 6a; +3CA1 : a5; +3CA2 : f9; +3CA3 : d0; +3CA4 : 66; +3CA5 : a4; +3CA6 : fe; +3CA7 : ad; +3CA8 : a1; +3CA9 : 06; +3CAA : 46; +3CAB : fe; +3CAC : b0; +3CAD : 0c; +3CAE : 4a; +3CAF : b0; +3CB0 : 0d; +3CB1 : 4a; +3CB2 : b0; +3CB3 : 3c; +3CB4 : 46; +3CB5 : fe; +3CB6 : b0; +3CB7 : 23; +3CB8 : 90; +3CB9 : 51; +3CBA : a9; +3CBB : 28; +3CBC : d0; +3CBD : 1f; +3CBE : a5; +3CBF : f5; +3CC0 : d0; +3CC1 : 04; +3CC2 : 46; +3CC3 : fe; +3CC4 : b0; +3CC5 : 15; +3CC6 : a5; +3CC7 : f6; +3CC8 : 4a; +3CC9 : 4a; +3CCA : 4a; +3CCB : 4a; +3CCC : 4a; +3CCD : 65; +3CCE : f6; +3CCF : 90; +3CD0 : 2f; +3CD1 : a9; +3CD2 : 00; +3CD3 : 8d; +3CD4 : a1; +3CD5 : 06; +3CD6 : 8d; +3CD7 : 08; +3CD8 : 40; +3CD9 : f0; +3CDA : 30; +3CDB : a9; +3CDC : fe; +3CDD : 8c; +3CDE : a1; +3CDF : 06; +3CE0 : a2; +3CE1 : 0e; +3CE2 : 86; +3CE3 : f5; +3CE4 : a0; +3CE5 : ff; +3CE6 : 8c; +3CE7 : 08; +3CE8 : 40; +3CE9 : a0; +3CEA : 08; +3CEB : 8c; +3CEC : 0b; +3CED : 40; +3CEE : d0; +3CEF : 10; +3CF0 : a9; +3CF1 : fe; +3CF2 : a4; +3CF3 : f5; +3CF4 : f0; +3CF5 : db; +3CF6 : c0; +3CF7 : 07; +3CF8 : f0; +3CF9 : 06; +3CFA : a5; +3CFB : f6; +3CFC : a8; +3CFD : 20; +3CFE : d7; +3CFF : fa; +3D00 : 85; +3D01 : f6; +3D02 : 8d; +3D03 : 0a; +3D04 : 40; +3D05 : a5; +3D06 : f5; +3D07 : f0; +3D08 : 02; +3D09 : c6; +3D0A : f5; +3D0B : a6; +3D0C : fa; +3D0D : d0; +3D0E : 49; +3D0F : a5; +3D10 : fc; +3D11 : d0; +3D12 : 05; +3D13 : 8d; +3D14 : a3; +3D15 : 06; +3D16 : f0; +3D17 : 40; +3D18 : 4d; +3D19 : a3; +3D1A : 06; +3D1B : f0; +3D1C : 18; +3D1D : a5; +3D1E : fc; +3D1F : 8d; +3D20 : a3; +3D21 : 06; +3D22 : 20; +3D23 : 86; +3D24 : fa; +3D25 : b9; +3D26 : cd; +3D27 : ff; +3D28 : 8d; +3D29 : 80; +3D2A : 06; +3D2B : a9; +3D2C : d4; +3D2D : 85; +3D2E : f5; +3D2F : a9; +3D30 : ff; +3D31 : 85; +3D32 : f6; +3D33 : d0; +3D34 : 05; +3D35 : ce; +3D36 : 98; +3D37 : 06; +3D38 : d0; +3D39 : 1e; +3D3A : ac; +3D3B : 80; +3D3C : 06; +3D3D : ee; +3D3E : 80; +3D3F : 06; +3D40 : b1; +3D41 : f5; +3D42 : f0; +3D43 : d9; +3D44 : aa; +3D45 : 6a; +3D46 : 8a; +3D47 : 2a; +3D48 : 2a; +3D49 : 2a; +3D4A : 29; +3D4B : 07; +3D4C : a8; +3D4D : b9; +3D4E : 62; +3D4F : fb; +3D50 : 8d; +3D51 : 98; +3D52 : 06; +3D53 : a9; +3D54 : 10; +3D55 : 20; +3D56 : ba; +3D57 : fa; +3D58 : a5; +3D59 : fd; +3D5A : d0; +3D5B : 06; +3D5C : ad; +3D5D : 02; +3D5E : 01; +3D5F : d0; +3D60 : 3a; +3D61 : 60; +3D62 : 20; +3D63 : 86; +3D64 : fa; +3D65 : 84; +3D66 : fb; +3D67 : b9; +3D68 : 59; +3D69 : fe; +3D6A : a8; +3D6B : b9; +3D6C : 59; +3D6D : fe; +3D6E : 8d; +3D6F : 8d; +3D70 : 06; +3D71 : b9; +3D72 : 5a; +3D73 : fe; +3D74 : 85; +3D75 : f7; +3D76 : b9; +3D77 : 5b; +3D78 : fe; +3D79 : 85; +3D7A : f8; +3D7B : b9; +3D7C : 5c; +3D7D : fe; +3D7E : 85; +3D7F : f9; +3D80 : b9; +3D81 : 5d; +3D82 : fe; +3D83 : 85; +3D84 : fa; +3D85 : a9; +3D86 : 01; +3D87 : 8d; +3D88 : 95; +3D89 : 06; +3D8A : 8d; +3D8B : 96; +3D8C : 06; +3D8D : 8d; +3D8E : 98; +3D8F : 06; +3D90 : 8d; +3D91 : 02; +3D92 : 01; +3D93 : a0; +3D94 : 00; +3D95 : 84; +3D96 : f3; +3D97 : a5; +3D98 : fb; +3D99 : f0; +3D9A : 09; +3D9B : a4; +3D9C : fa; +3D9D : f0; +3D9E : 39; +3D9F : ce; +3DA0 : 96; +3DA1 : 06; +3DA2 : d0; +3DA3 : 34; +3DA4 : e6; +3DA5 : fa; +3DA6 : b1; +3DA7 : f7; +3DA8 : f0; +3DA9 : 3f; +3DAA : 10; +3DAB : 0c; +3DAC : 20; +3DAD : ca; +3DAE : fa; +3DAF : 8d; +3DB0 : 91; +3DB1 : 06; +3DB2 : a4; +3DB3 : fa; +3DB4 : e6; +3DB5 : fa; +3DB6 : b1; +3DB7 : f7; +3DB8 : 20; +3DB9 : 9f; +3DBA : fa; +3DBB : d0; +3DBC : 04; +3DBD : a0; +3DBE : 10; +3DBF : d0; +3DC0 : 0e; +3DC1 : a2; +3DC2 : 9f; +3DC3 : a5; +3DC4 : fb; +3DC5 : f0; +3DC6 : 08; +3DC7 : a2; +3DC8 : 06; +3DC9 : a5; +3DCA : f9; +3DCB : d0; +3DCC : 02; +3DCD : a2; +3DCE : 86; +3DCF : 20; +3DD0 : 93; +3DD1 : fa; +3DD2 : ad; +3DD3 : 91; +3DD4 : 06; +3DD5 : 8d; +3DD6 : 96; +3DD7 : 06; +3DD8 : a5; +3DD9 : fb; +3DDA : f0; +3DDB : 55; +3DDC : ce; +3DDD : 95; +3DDE : 06; +3DDF : d0; +3DE0 : 50; +3DE1 : a4; +3DE2 : f3; +3DE3 : e6; +3DE4 : f3; +3DE5 : b1; +3DE6 : f7; +3DE7 : d0; +3DE8 : 20; +3DE9 : 20; +3DEA : e0; +3DEB : fa; +3DEC : a9; +3DED : 00; +3DEE : 85; +3DEF : fa; +3DF0 : 85; +3DF1 : f3; +3DF2 : 85; +3DF3 : f9; +3DF4 : 8d; +3DF5 : 02; +3DF6 : 01; +3DF7 : a4; +3DF8 : fb; +3DF9 : f0; +3DFA : 05; +3DFB : ac; +3DFC : a1; +3DFD : 06; +3DFE : d0; +3DFF : 03; +3E00 : 8d; +3E01 : 08; +3E02 : 40; +3E03 : a9; +3E04 : 10; +3E05 : 8d; +3E06 : 04; +3E07 : 40; +3E08 : 60; +3E09 : 20; +3E0A : c4; +3E0B : fa; +3E0C : 8d; +3E0D : 95; +3E0E : 06; +3E0F : 8a; +3E10 : 29; +3E11 : 3e; +3E12 : a0; +3E13 : 7f; +3E14 : 20; +3E15 : b3; +3E16 : fa; +3E17 : d0; +3E18 : 04; +3E19 : a2; +3E1A : 10; +3E1B : d0; +3E1C : 11; +3E1D : a2; +3E1E : 89; +3E1F : ad; +3E20 : 95; +3E21 : 06; +3E22 : c9; +3E23 : 18; +3E24 : b0; +3E25 : 08; +3E26 : a2; +3E27 : 86; +3E28 : c9; +3E29 : 10; +3E2A : b0; +3E2B : 02; +3E2C : a2; +3E2D : 84; +3E2E : 8e; +3E2F : 04; +3E30 : 40; +3E31 : a4; +3E32 : f9; +3E33 : f0; +3E34 : 23; +3E35 : ce; +3E36 : 98; +3E37 : 06; +3E38 : d0; +3E39 : 1e; +3E3A : e6; +3E3B : f9; +3E3C : b1; +3E3D : f7; +3E3E : 20; +3E3F : c4; +3E40 : fa; +3E41 : 8d; +3E42 : 98; +3E43 : 06; +3E44 : 18; +3E45 : 69; +3E46 : fe; +3E47 : 0a; +3E48 : 0a; +3E49 : c9; +3E4A : 38; +3E4B : 90; +3E4C : 02; +3E4D : a9; +3E4E : 38; +3E4F : a4; +3E50 : fb; +3E51 : d0; +3E52 : 02; +3E53 : a9; +3E54 : ff; +3E55 : 20; +3E56 : ba; +3E57 : fa; +3E58 : 60; +3E59 : 09; +3E5A : 0e; +3E5B : 13; +3E5C : 18; +3E5D : 1d; +3E5E : 22; +3E5F : 27; +3E60 : 2c; +3E61 : 31; +3E62 : 00; +3E63 : 8f; +3E64 : fe; +3E65 : 1b; +3E66 : 00; +3E67 : 08; +3E68 : b0; +3E69 : fe; +3E6A : 00; +3E6B : 0c; +3E6C : 00; +3E6D : cf; +3E6E : fe; +3E6F : 00; +3E70 : 1a; +3E71 : 08; +3E72 : 05; +3E73 : ff; +3E74 : 00; +3E75 : 0b; +3E76 : 00; +3E77 : ad; +3E78 : ff; +3E79 : 00; +3E7A : 03; +3E7B : 00; +3E7C : be; +3E7D : ff; +3E7E : 00; +3E7F : 00; +3E80 : 00; +3E81 : c4; +3E82 : ff; +3E83 : 00; +3E84 : 00; +3E85 : 0f; +3E86 : 20; +3E87 : ff; +3E88 : 21; +3E89 : 3e; +3E8A : 00; +3E8B : a1; +3E8C : ff; +3E8D : 08; +3E8E : 00; +3E8F : 86; +3E90 : 46; +3E91 : 82; +3E92 : 4a; +3E93 : 83; +3E94 : 26; +3E95 : 46; +3E96 : 80; +3E97 : 34; +3E98 : 32; +3E99 : 34; +3E9A : 32; +3E9B : 34; +3E9C : 32; +3E9D : 34; +3E9E : 32; +3E9F : 34; +3EA0 : 32; +3EA1 : 34; +3EA2 : 32; +3EA3 : 34; +3EA4 : 32; +3EA5 : 34; +3EA6 : 32; +3EA7 : 84; +3EA8 : 34; +3EA9 : 00; +3EAA : a9; +3EAB : ac; +3EAC : ee; +3EAD : e8; +3EAE : 33; +3EAF : 35; +3EB0 : 16; +3EB1 : 16; +3EB2 : 57; +3EB3 : 1e; +3EB4 : 20; +3EB5 : 64; +3EB6 : 9e; +3EB7 : 1e; +3EB8 : 20; +3EB9 : 64; +3EBA : 9e; +3EBB : 00; +3EBC : 80; +3EBD : 30; +3EBE : 30; +3EBF : 85; +3EC0 : 30; +3EC1 : 80; +3EC2 : 1a; +3EC3 : 1c; +3EC4 : 81; +3EC5 : 1e; +3EC6 : 82; +3EC7 : 1a; +3EC8 : 80; +3EC9 : 1a; +3ECA : 1c; +3ECB : 81; +3ECC : 1e; +3ECD : 82; +3ECE : 1a; +3ECF : 5e; +3ED0 : 5e; +3ED1 : 5c; +3ED2 : 5c; +3ED3 : 5a; +3ED4 : 5a; +3ED5 : 58; +3ED6 : 58; +3ED7 : 57; +3ED8 : 16; +3ED9 : 18; +3EDA : 9a; +3EDB : 96; +3EDC : 59; +3EDD : 18; +3EDE : 1a; +3EDF : 9c; +3EE0 : 98; +3EE1 : 5f; +3EE2 : 5e; +3EE3 : 60; +3EE4 : 5e; +3EE5 : 5c; +3EE6 : 5a; +3EE7 : 1f; +3EE8 : 00; +3EE9 : 81; +3EEA : 1a; +3EEB : 1a; +3EEC : 18; +3EED : 18; +3EEE : 16; +3EEF : 16; +3EF0 : 38; +3EF1 : 38; +3EF2 : 82; +3EF3 : 26; +3EF4 : 42; +3EF5 : 26; +3EF6 : 42; +3EF7 : 28; +3EF8 : 46; +3EF9 : 28; +3EFA : 46; +3EFB : 30; +3EFC : 28; +3EFD : 30; +3EFE : 28; +3EFF : 81; +3F00 : 3a; +3F01 : 85; +3F02 : 3c; +3F03 : 84; +3F04 : 3a; +3F05 : 5e; +3F06 : 02; +3F07 : 20; +3F08 : 42; +3F09 : 4a; +3F0A : 42; +3F0B : 60; +3F0C : 5e; +3F0D : 60; +3F0E : 1d; +3F0F : 00; +3F10 : 82; +3F11 : 26; +3F12 : 42; +3F13 : 26; +3F14 : 42; +3F15 : 81; +3F16 : 40; +3F17 : 80; +3F18 : 42; +3F19 : 44; +3F1A : 48; +3F1B : 26; +3F1C : 28; +3F1D : 2c; +3F1E : 83; +3F1F : 2e; +3F20 : 56; +3F21 : 56; +3F22 : e0; +3F23 : 42; +3F24 : 5a; +3F25 : 5e; +3F26 : 5c; +3F27 : 99; +3F28 : 58; +3F29 : 58; +3F2A : e2; +3F2B : 42; +3F2C : 5e; +3F2D : 60; +3F2E : 5e; +3F2F : 9b; +3F30 : 5a; +3F31 : 5a; +3F32 : ca; +3F33 : 42; +3F34 : 60; +3F35 : 62; +3F36 : 4a; +3F37 : 8d; +3F38 : 5c; +3F39 : 5e; +3F3A : e0; +3F3B : 42; +3F3C : 5a; +3F3D : 5c; +3F3E : 5e; +3F3F : 1d; +3F40 : 00; +3F41 : 82; +3F42 : 6f; +3F43 : 6e; +3F44 : ee; +3F45 : 71; +3F46 : 70; +3F47 : f0; +3F48 : 77; +3F49 : 76; +3F4A : f6; +3F4B : 57; +3F4C : 56; +3F4D : d6; +3F4E : a0; +3F4F : 9a; +3F50 : 96; +3F51 : b4; +3F52 : a2; +3F53 : 9c; +3F54 : 98; +3F55 : b6; +3F56 : 5c; +3F57 : 9c; +3F58 : 96; +3F59 : 57; +3F5A : 5c; +3F5B : 96; +3F5C : 74; +3F5D : 2f; +3F5E : 85; +3F5F : 02; +3F60 : 81; +3F61 : 2e; +3F62 : 34; +3F63 : 2e; +3F64 : 83; +3F65 : 34; +3F66 : 81; +3F67 : 48; +3F68 : 28; +3F69 : 30; +3F6A : 28; +3F6B : 30; +3F6C : 28; +3F6D : 85; +3F6E : 30; +3F6F : 81; +3F70 : 30; +3F71 : 36; +3F72 : 30; +3F73 : 83; +3F74 : 36; +3F75 : 81; +3F76 : 26; +3F77 : 2c; +3F78 : 30; +3F79 : 2c; +3F7A : 30; +3F7B : 2c; +3F7C : 16; +3F7D : 16; +3F7E : 1a; +3F7F : 16; +3F80 : 34; +3F81 : 16; +3F82 : 1a; +3F83 : 16; +3F84 : 34; +3F85 : 16; +3F86 : 1c; +3F87 : 18; +3F88 : 36; +3F89 : 18; +3F8A : 1c; +3F8B : 18; +3F8C : 36; +3F8D : 18; +3F8E : 16; +3F8F : 2e; +3F90 : 80; +3F91 : 16; +3F92 : 36; +3F93 : 34; +3F94 : 36; +3F95 : 83; +3F96 : 16; +3F97 : 81; +3F98 : 02; +3F99 : 2e; +3F9A : 80; +3F9B : 16; +3F9C : 36; +3F9D : 34; +3F9E : 30; +3F9F : 86; +3FA0 : 2e; +3FA1 : 81; +3FA2 : 1a; +3FA3 : 82; +3FA4 : 1e; +3FA5 : 30; +3FA6 : 83; +3FA7 : 16; +3FA8 : 00; +3FA9 : 42; +3FAA : 96; +3FAB : b0; +3FAC : e6; +3FAD : 03; +3FAE : 83; +3FAF : 00; +3FB0 : 87; +3FB1 : 42; +3FB2 : 3e; +3FB3 : 42; +3FB4 : 3e; +3FB5 : 42; +3FB6 : 3e; +3FB7 : 42; +3FB8 : 3e; +3FB9 : 42; +3FBA : 3e; +3FBB : 42; +3FBC : 82; +3FBD : 3e; +3FBE : 0a; +3FBF : 0c; +3FC0 : 0e; +3FC1 : 54; +3FC2 : 90; +3FC3 : 00; +3FC4 : 04; +3FC5 : 12; +3FC6 : 04; +3FC7 : 12; +3FC8 : 04; +3FC9 : 12; +3FCA : 04; +3FCB : 92; +3FCC : 00; +3FCD : 00; +3FCE : 00; +3FCF : 00; +3FD0 : 00; +3FD1 : 09; +3FD2 : 0e; +3FD3 : 12; +3FD4 : 16; +3FD5 : 02; +3FD6 : 02; +3FD7 : 1a; +3FD8 : 02; +3FD9 : 1e; +3FDA : 20; +3FDB : 1e; +3FDC : 00; +3FDD : 5a; +3FDE : 42; +3FDF : 56; +3FE0 : 56; +3FE1 : 00; +3FE2 : 09; +3FE3 : 07; +3FE4 : 05; +3FE5 : 00; +3FE6 : ca; +3FE7 : 8a; +3FE8 : 8a; +3FE9 : ca; +3FEA : ca; +3FEB : ce; +3FEC : ca; +3FED : ce; +3FEE : ca; +3FEF : ce; +3FF0 : 8e; +3FF1 : 8e; +3FF2 : ce; +3FF3 : ce; +3FF4 : d2; +3FF5 : ce; +3FF6 : d2; +3FF7 : ce; +3FF8 : 00; +3FF9 : ff; +3FFA : 5f; +3FFB : c8; +3FFC : 9e; +3FFD : c7; +3FFE : f0; +3FFF : ff; +4000 : 20; +4001 : 70; +4002 : 06; +4003 : 00; +4004 : 20; +4005 : 64; +4006 : 06; +4007 : 00; +4008 : 20; +4009 : 78; +400A : 06; +400B : 00; +400C : 20; +400D : b7; +400E : 04; +400F : 00; +4010 : 20; +4011 : bc; +4012 : 01; +4013 : 00; +4014 : 01; +4015 : 08; +4016 : 02; +4017 : 08; +4018 : 02; +4019 : 00; +401A : 05; +401B : 01; +401C : 00; +401D : 02; +401E : 01; +401F : 01; +4020 : 01; +4021 : 05; +4022 : 01; +4023 : 05; +4024 : 01; +4025 : 02; +4026 : 01; +4027 : 02; +4028 : db; +4029 : 60; +402A : e2; +402B : 55; +402C : 14; +402D : 20; +402E : 01; +402F : f9; +4030 : a0; +4031 : e0; +4032 : 30; +4033 : 10; +4034 : 10; +4035 : 01; +4036 : 50; +4037 : 01; +4038 : 30; +4039 : d0; +403A : ff; +403B : ff; +403C : 3e; +403D : c6; +403E : 57; +403F : c6; +4040 : e1; +4041 : c6; +4042 : 60; +4043 : c7; +4044 : 7d; +4045 : c7; +4046 : e4; +4047 : c6; +4048 : f1; +4049 : c6; +404A : 53; +404B : c7; +404C : 08; +404D : c7; +404E : 19; +404F : c7; +4050 : 1c; +4051 : c7; +4052 : 35; +4053 : c7; +4054 : 4e; +4055 : c7; +4056 : 8c; +4057 : c0; +4058 : cf; +4059 : c0; +405A : 61; +405B : c1; +405C : 60; +405D : 04; +405E : c3; +405F : c0; +4060 : df; +4061 : c0; +4062 : 6e; +4063 : c1; +4064 : c4; +4065 : c2; +4066 : c8; +4067 : c2; +4068 : 86; +4069 : c1; +406A : b0; +406B : c1; +406C : 92; +406D : c1; +406E : cf; +406F : c1; +4070 : d5; +4071 : c1; +4072 : db; +4073 : c1; +4074 : e1; +4075 : c1; +4076 : 9e; +4077 : c1; +4078 : e7; +4079 : c1; +407A : 0c; +407B : c6; +407C : 70; +407D : c6; +407E : 89; +407F : c6; +4080 : 25; +4081 : c6; +4082 : a2; +4083 : c6; +4084 : cc; +4085 : 00; +4086 : 8e; +4087 : c1; +4088 : 96; +4089 : c1; +408A : a6; +408B : c6; +408C : 00; +408D : d8; +408E : 00; +408F : 00; +4090 : 01; +4091 : 00; +4092 : 80; +4093 : d7; +4094 : 04; +4095 : 18; +4096 : 06; +4097 : fe; +4098 : c8; +4099 : bc; +409A : 04; +409B : e8; +409C : 09; +409D : fe; +409E : 20; +409F : 9e; +40A0 : 04; +40A1 : 18; +40A2 : 09; +40A3 : fe; +40A4 : c8; +40A5 : 80; +40A6 : 04; +40A7 : e8; +40A8 : 09; +40A9 : fe; +40AA : 20; +40AB : 62; +40AC : 04; +40AD : 18; +40AE : 09; +40AF : fe; +40B0 : c8; +40B1 : 44; +40B2 : 04; +40B3 : e8; +40B4 : 06; +40B5 : fe; +40B6 : 80; +40B7 : 28; +40B8 : 04; +40B9 : 00; +40BA : 01; +40BB : fe; +40BC : bc; +40BD : 9e; +40BE : 80; +40BF : 62; +40C0 : 44; +40C1 : 28; +40C2 : ff; +40C3 : 00; +40C4 : 00; +40C5 : 80; +40C6 : 00; +40C7 : 00; +40C8 : 00; +40C9 : 18; +40CA : 00; +40CB : 00; +40CC : 00; +40CD : 10; +40CE : 00; +40CF : e0; +40D0 : bc; +40D1 : 00; +40D2 : 10; +40D3 : 9e; +40D4 : 00; +40D5 : e0; +40D6 : 80; +40D7 : 00; +40D8 : 10; +40D9 : 62; +40DA : 00; +40DB : e0; +40DC : 44; +40DD : 00; +40DE : fe; +40DF : 00; +40E0 : 00; +40E1 : 10; +40E2 : 03; +40E3 : c8; +40E4 : bc; +40E5 : 08; +40E6 : c8; +40E7 : 80; +40E8 : 04; +40E9 : b8; +40EA : 74; +40EB : 10; +40EC : 68; +40ED : 58; +40EE : 14; +40EF : c8; +40F0 : 44; +40F1 : 04; +40F2 : 60; +40F3 : cf; +40F4 : 0c; +40F5 : 70; +40F6 : 9b; +40F7 : 00; +40F8 : 30; +40F9 : 9e; +40FA : 04; +40FB : 50; +40FC : 85; +40FD : 08; +40FE : 80; +40FF : 7d; +4100 : 00; +4101 : 30; +4102 : 62; +4103 : 04; +4104 : 58; +4105 : 60; +4106 : 00; +4107 : 90; +4108 : 28; +4109 : 18; +410A : fe; +410B : 00; +410C : 00; +410D : 08; +410E : 1d; +410F : 00; +4110 : 00; +4111 : 08; +4112 : 17; +4113 : 00; +4114 : 00; +4115 : 08; +4116 : 18; +4117 : 00; +4118 : 00; +4119 : 08; +411A : 09; +411B : 00; +411C : 00; +411D : 08; +411E : 0b; +411F : 00; +4120 : 00; +4121 : 08; +4122 : 07; +4123 : 00; +4124 : 00; +4125 : 08; +4126 : 19; +4127 : c8; +4128 : bc; +4129 : 00; +412A : 70; +412B : 9b; +412C : 00; +412D : 30; +412E : 9e; +412F : 00; +4130 : c8; +4131 : 80; +4132 : 00; +4133 : 80; +4134 : 7d; +4135 : 00; +4136 : 30; +4137 : 62; +4138 : 00; +4139 : 58; +413A : 60; +413B : 00; +413C : c8; +413D : 44; +413E : 00; +413F : 90; +4140 : 28; +4141 : 00; +4142 : fe; +4143 : 00; +4144 : 00; +4145 : 08; +4146 : 0d; +4147 : 24; +4148 : 24; +4149 : 54; +414A : 54; +414B : 60; +414C : 60; +414D : 64; +414E : 64; +414F : 60; +4150 : 60; +4151 : 24; +4152 : 24; +4153 : 68; +4154 : 68; +4155 : 68; +4156 : 68; +4157 : 68; +4158 : 68; +4159 : 24; +415A : 24; +415B : 24; +415C : 54; +415D : 54; +415E : 54; +415F : 00; +4160 : 00; +4161 : 60; +4162 : b7; +4163 : 00; +4164 : 50; +4165 : 7b; +4166 : 00; +4167 : b8; +4168 : 5c; +4169 : 00; +416A : 68; +416B : 40; +416C : 00; +416D : fe; +416E : 00; +416F : 00; +4170 : 08; +4171 : 18; +4172 : ca; +4173 : a7; +4174 : 8e; +4175 : 6b; +4176 : 51; +4177 : 5c; +4178 : 2c; +4179 : 4c; +417A : 2c; +417B : 64; +417C : c6; +417D : aa; +417E : 8c; +417F : 6d; +4180 : 4d; +4181 : c4; +4182 : 6c; +4183 : 7c; +4184 : 54; +4185 : c4; +4186 : 08; +4187 : 11; +4188 : 0a; +4189 : 11; +418A : 08; +418B : 10; +418C : 0a; +418D : 11; +418E : 08; +418F : 0f; +4190 : 0a; +4191 : 11; +4192 : 05; +4193 : 01; +4194 : 0c; +4195 : 09; +4196 : 05; +4197 : 05; +4198 : 0a; +4199 : 0a; +419A : 08; +419B : 10; +419C : 08; +419D : 10; +419E : 04; +419F : 04; +41A0 : 0c; +41A1 : 0d; +41A2 : 0c; +41A3 : 14; +41A4 : 1c; +41A5 : 10; +41A6 : 18; +41A7 : 20; +41A8 : 03; +41A9 : 05; +41AA : 02; +41AB : 03; +41AC : 00; +41AD : 00; +41AE : 03; +41AF : 04; +41B0 : 00; +41B1 : 00; +41B2 : 08; +41B3 : 08; +41B4 : 10; +41B5 : e0; +41B6 : 10; +41B7 : e0; +41B8 : 0c; +41B9 : e0; +41BA : 08; +41BB : e8; +41BC : 01; +41BD : 02; +41BE : 04; +41BF : 08; +41C0 : 10; +41C1 : 20; +41C2 : 40; +41C3 : 80; +41C4 : 13; +41C5 : 30; +41C6 : 48; +41C7 : 60; +41C8 : 78; +41C9 : 90; +41CA : a8; +41CB : c0; +41CC : e0; +41CD : 13; +41CE : db; +41CF : 4c; +41D0 : 6a; +41D1 : 88; +41D2 : a6; +41D3 : c5; +41D4 : fe; +41D5 : 53; +41D6 : 6b; +41D7 : 8f; +41D8 : a7; +41D9 : ca; +41DA : fe; +41DB : 52; +41DC : 6e; +41DD : 8c; +41DE : ac; +41DF : c5; +41E0 : fe; +41E1 : 52; +41E2 : 6c; +41E3 : 8e; +41E4 : a8; +41E5 : ca; +41E6 : fe; +41E7 : 00; +41E8 : 06; +41E9 : 08; +41EA : 08; +41EB : 19; +41EC : 30; +41ED : 34; +41EE : 30; +41EF : 34; +41F0 : 30; +41F1 : 34; +41F2 : 38; +41F3 : 3c; +41F4 : 3c; +41F5 : 3c; +41F6 : 02; +41F7 : 04; +41F8 : 02; +41F9 : 04; +41FA : 07; +41FB : 05; +41FC : 07; +41FD : 09; +41FE : 03; +41FF : 00; +4200 : 00; +4201 : 04; +4202 : 08; +4203 : 01; +4204 : 02; +4205 : 03; +4206 : 04; +4207 : 50; +4208 : 60; +4209 : 70; +420A : 80; +420B : 90; +420C : 0e; +420D : d8; +420E : 18; +420F : 0e; +4210 : c8; +4211 : 04; +4212 : 86; +4213 : c8; +4214 : 04; +4215 : a6; +4216 : c0; +4217 : 00; +4218 : be; +4219 : b8; +421A : 00; +421B : d6; +421C : b0; +421D : 04; +421E : 4e; +421F : b0; +4220 : 04; +4221 : 0e; +4222 : a0; +4223 : 04; +4224 : de; +4225 : a0; +4226 : 00; +4227 : c6; +4228 : 98; +4229 : 00; +422A : ae; +422B : 90; +422C : 00; +422D : 96; +422E : 88; +422F : 14; +4230 : c6; +4231 : 78; +4232 : 0c; +4233 : 0e; +4234 : 70; +4235 : 04; +4236 : 46; +4237 : 70; +4238 : 08; +4239 : 8e; +423A : 68; +423B : 04; +423C : ae; +423D : 60; +423E : 00; +423F : c6; +4240 : 58; +4241 : 00; +4242 : de; +4243 : 50; +4244 : 00; +4245 : 66; +4246 : 40; +4247 : 10; +4248 : 86; +4249 : 28; +424A : 00; +424B : fe; +424C : b0; +424D : 78; +424E : 60; +424F : 40; +4250 : 28; +4251 : ff; +4252 : 00; +4253 : 00; +4254 : 14; +4255 : 00; +4256 : 00; +4257 : 00; +4258 : 1c; +4259 : 00; +425A : 00; +425B : 00; +425C : 24; +425D : 00; +425E : 00; +425F : 00; +4260 : 2c; +4261 : 00; +4262 : 00; +4263 : 00; +4264 : 54; +4265 : 00; +4266 : 00; +4267 : 00; +4268 : 12; +4269 : 00; +426A : 00; +426B : 00; +426C : e4; +426D : 00; +426E : 18; +426F : a0; +4270 : 0c; +4271 : 20; +4272 : 70; +4273 : 10; +4274 : 50; +4275 : 70; +4276 : 14; +4277 : 60; +4278 : 70; +4279 : 14; +427A : 98; +427B : 68; +427C : 08; +427D : c8; +427E : 78; +427F : 08; +4280 : e0; +4281 : a0; +4282 : 00; +4283 : e0; +4284 : 50; +4285 : 0c; +4286 : b0; +4287 : 40; +4288 : 08; +4289 : 90; +428A : 28; +428B : 04; +428C : fe; +428D : 00; +428E : 00; +428F : 08; +4290 : 10; +4291 : 00; +4292 : 00; +4293 : 08; +4294 : 18; +4295 : 00; +4296 : 00; +4297 : 08; +4298 : 20; +4299 : 00; +429A : 00; +429B : 08; +429C : 28; +429D : 00; +429E : 00; +429F : 08; +42A0 : 30; +42A1 : 00; +42A2 : 00; +42A3 : 08; +42A4 : 40; +42A5 : 18; +42A6 : a0; +42A7 : 00; +42A8 : 20; +42A9 : 70; +42AA : 00; +42AB : 50; +42AC : 70; +42AD : 00; +42AE : 60; +42AF : 70; +42B0 : 00; +42B1 : 98; +42B2 : 68; +42B3 : 00; +42B4 : c8; +42B5 : 78; +42B6 : 00; +42B7 : e0; +42B8 : a0; +42B9 : 00; +42BA : e0; +42BB : 50; +42BC : 00; +42BD : b0; +42BE : 40; +42BF : 00; +42C0 : 90; +42C1 : 28; +42C2 : 00; +42C3 : fe; +42C4 : 04; +42C5 : 01; +42C6 : 1b; +42C7 : 0e; +42C8 : 00; +42C9 : 01; +42CA : 12; +42CB : 01; +42CC : 30; +42CD : 38; +42CE : 40; +42CF : 48; +42D0 : 50; +42D1 : 58; +42D2 : 00; +42D3 : 00; +42D4 : 09; +42D5 : 15; +42D6 : 18; +42D7 : 00; +42D8 : 4c; +42D9 : 5f; +42DA : 03; +42DB : 5c; +42DC : 5f; +42DD : 03; +42DE : c4; +42DF : 67; +42E0 : 03; +42E1 : 4c; +42E2 : 9f; +42E3 : 13; +42E4 : 5c; +42E5 : 9f; +42E6 : 13; +42E7 : c4; +42E8 : 87; +42E9 : 13; +42EA : dc; +42EB : 3f; +42EC : 03; +42ED : dc; +42EE : 67; +42EF : 13; +42F0 : 06; +42F1 : d8; +42F2 : 00; +42F3 : 06; +42F4 : b8; +42F5 : 00; +42F6 : 16; +42F7 : 90; +42F8 : 04; +42F9 : 1e; +42FA : 68; +42FB : 08; +42FC : 26; +42FD : 40; +42FE : 0c; +42FF : fe; +4300 : b8; +4301 : 90; +4302 : 68; +4303 : 40; +4304 : 28; +4305 : ff; +4306 : 00; +4307 : 00; +4308 : f5; +4309 : 00; +430A : 00; +430B : 00; +430C : d5; +430D : 00; +430E : 00; +430F : 00; +4310 : c5; +4311 : 00; +4312 : 00; +4313 : 00; +4314 : b5; +4315 : 00; +4316 : 10; +4317 : b8; +4318 : 00; +4319 : 78; +431A : b8; +431B : 00; +431C : e8; +431D : b8; +431E : 00; +431F : 18; +4320 : 90; +4321 : 04; +4322 : 60; +4323 : 90; +4324 : 04; +4325 : 98; +4326 : 90; +4327 : 04; +4328 : e0; +4329 : 90; +432A : 04; +432B : 20; +432C : 68; +432D : 04; +432E : 80; +432F : 68; +4330 : 04; +4331 : d8; +4332 : 68; +4333 : 04; +4334 : 28; +4335 : 40; +4336 : 04; +4337 : 48; +4338 : 40; +4339 : 04; +433A : b0; +433B : 40; +433C : 04; +433D : d0; +433E : 40; +433F : 04; +4340 : fe; +4341 : 00; +4342 : 00; +4343 : 08; +4344 : 20; +4345 : 00; +4346 : 00; +4347 : 08; +4348 : 28; +4349 : 10; +434A : b8; +434B : 00; +434C : 78; +434D : b8; +434E : 00; +434F : e8; +4350 : b8; +4351 : 00; +4352 : 18; +4353 : 90; +4354 : 00; +4355 : 60; +4356 : 90; +4357 : 00; +4358 : 98; +4359 : 90; +435A : 00; +435B : e0; +435C : 90; +435D : 00; +435E : 20; +435F : 68; +4360 : 00; +4361 : 80; +4362 : 68; +4363 : 00; +4364 : d8; +4365 : 68; +4366 : 00; +4367 : 28; +4368 : 40; +4369 : 00; +436A : 48; +436B : 40; +436C : 00; +436D : b0; +436E : 40; +436F : 00; +4370 : d0; +4371 : 40; +4372 : 00; +4373 : fe; +4374 : 00; +4375 : 09; +4376 : 1e; +4377 : 33; +4378 : 48; +4379 : 54; +437A : 0c; +437B : a7; +437C : 03; +437D : 74; +437E : a7; +437F : 03; +4380 : e4; +4381 : a7; +4382 : 03; +4383 : 0c; +4384 : c7; +4385 : 13; +4386 : 74; +4387 : c7; +4388 : 13; +4389 : e4; +438A : c7; +438B : 13; +438C : 14; +438D : 7f; +438E : 03; +438F : 5c; +4390 : 7f; +4391 : 03; +4392 : 94; +4393 : 7f; +4394 : 03; +4395 : dc; +4396 : 7f; +4397 : 03; +4398 : 1c; +4399 : 57; +439A : 03; +439B : 7c; +439C : 57; +439D : 03; +439E : d4; +439F : 57; +43A0 : 03; +43A1 : 14; +43A2 : a7; +43A3 : 13; +43A4 : 5c; +43A5 : a7; +43A6 : 13; +43A7 : 94; +43A8 : a7; +43A9 : 13; +43AA : dc; +43AB : a7; +43AC : 13; +43AD : 24; +43AE : 2f; +43AF : 03; +43B0 : 44; +43B1 : 2f; +43B2 : 03; +43B3 : ac; +43B4 : 2f; +43B5 : 03; +43B6 : cc; +43B7 : 2f; +43B8 : 03; +43B9 : 1c; +43BA : 7f; +43BB : 13; +43BC : 7c; +43BD : 7f; +43BE : 13; +43BF : d4; +43C0 : 7f; +43C1 : 13; +43C2 : 24; +43C3 : 57; +43C4 : 13; +43C5 : 44; +43C6 : 57; +43C7 : 13; +43C8 : ac; +43C9 : 57; +43CA : 13; +43CB : cc; +43CC : 57; +43CD : 13; +43CE : 08; +43CF : c7; +43D0 : 10; +43D1 : a7; +43D2 : 18; +43D3 : 7f; +43D4 : 20; +43D5 : 57; +43D6 : e8; +43D7 : c7; +43D8 : e0; +43D9 : a7; +43DA : d8; +43DB : 7f; +43DC : d0; +43DD : 57; +43DE : 34; +43DF : ac; +43E0 : 44; +43E1 : bc; +43E2 : 05; +43E3 : 03; +43E4 : 0d; +43E5 : 0b; +43E6 : d4; +43E7 : 0c; +43E8 : e4; +43E9 : 0c; +43EA : 5d; +43EB : 4b; +43EC : cd; +43ED : c3; +43EE : 5d; +43EF : 43; +43F0 : e5; +43F1 : c3; +43F2 : ed; +43F3 : 03; +43F4 : 24; +43F5 : 49; +43F6 : 77; +43F7 : 77; +43F8 : 77; +43F9 : 77; +43FA : ff; +43FB : ff; +43FC : 0b; +43FD : 0c; +43FE : 0d; +43FF : 15; +4400 : 16; +4401 : 17; +4402 : 18; +4403 : 19; +4404 : 1a; +4405 : 1e; +4406 : 1f; +4407 : ff; +4408 : ff; +4409 : ff; +440A : 01; +440B : 01; +440C : 01; +440D : 01; +440E : ff; +440F : ff; +4410 : 01; +4411 : 01; +4412 : e4; +4413 : e3; +4414 : e2; +4415 : d8; +4416 : d7; +4417 : d6; +4418 : d5; +4419 : d4; +441A : d3; +441B : d0; +441C : cf; +441D : 48; +441E : 84; +441F : c0; +4420 : 50; +4421 : 8d; +4422 : c7; +4423 : 20; +4424 : c0; +4425 : 78; +4426 : 60; +4427 : 28; +4428 : 44; +4429 : 6b; +442A : 20; +442B : 33; +442C : c4; +442D : 37; +442E : c4; +442F : 3b; +4430 : c4; +4431 : 3f; +4432 : c4; +4433 : 00; +4434 : 00; +4435 : 10; +4436 : 08; +4437 : 00; +4438 : 00; +4439 : 10; +443A : 08; +443B : 00; +443C : 00; +443D : 60; +443E : 10; +443F : 00; +4440 : 00; +4441 : 2a; +4442 : 20; +4443 : b0; +4444 : a0; +4445 : 78; +4446 : 68; +4447 : 68; +4448 : 88; +4449 : 88; +444A : 88; +444B : 88; +444C : 88; +444D : 48; +444E : 38; +444F : 28; +4450 : 18; +4451 : 18; +4452 : bb; +4453 : bb; +4454 : 5e; +4455 : 2f; +4456 : 13; +4457 : 88; +4458 : 78; +4459 : 64; +445A : 56; +445B : 49; +445C : 88; +445D : 88; +445E : 24; +445F : 55; +4460 : 55; +4461 : 88; +4462 : 88; +4463 : 49; +4464 : 55; +4465 : 55; +4466 : 40; +4467 : 20; +4468 : 10; +4469 : 08; +446A : 01; +446B : 8c; +446C : c0; +446D : 0c; +446E : c2; +446F : 0c; +4470 : c2; +4471 : f0; +4472 : c2; +4473 : c3; +4474 : c0; +4475 : 0c; +4476 : c2; +4477 : 52; +4478 : c2; +4479 : 06; +447A : c3; +447B : e3; +447C : c0; +447D : 0c; +447E : c2; +447F : 6e; +4480 : c2; +4481 : 16; +4482 : c3; +4483 : 0b; +4484 : c1; +4485 : 0c; +4486 : c2; +4487 : 8d; +4488 : c2; +4489 : 41; +448A : c3; +448B : 27; +448C : c1; +448D : 0c; +448E : c2; +448F : a5; +4490 : c2; +4491 : 49; +4492 : c3; +4493 : bc; +4494 : c0; +4495 : 0c; +4496 : c2; +4497 : 4c; +4498 : c2; +4499 : 00; +449A : c3; +449B : 0c; +449C : c2; +449D : d2; +449E : c2; +449F : 74; +44A0 : c3; +44A1 : 0c; +44A2 : c2; +44A3 : d8; +44A4 : c2; +44A5 : 7a; +44A6 : c3; +44A7 : 5b; +44A8 : f5; +44A9 : d9; +44AA : f8; +44AB : cd; +44AC : f7; +44AD : 1c; +44AE : f7; +44AF : d9; +44B0 : f8; +44B1 : 1b; +44B2 : fa; +44B3 : 00; +44B4 : 00; +44B5 : 01; +44B6 : 06; +44B7 : e8; +44B8 : 04; +44B9 : 50; +44BA : 18; +44BB : d5; +44BC : 12; +44BD : e8; +44BE : 00; +44BF : 50; +44C0 : 20; +44C1 : db; +44C2 : 22; +44C3 : f0; +44C4 : 00; +44C5 : 00; +44C6 : 00; +44C7 : 03; +44C8 : 2c; +44C9 : 30; +44CA : 04; +44CB : 20; +44CC : 7f; +44CD : f6; +44CE : 21; +44CF : d0; +44D0 : 00; +44D1 : 20; +44D2 : 46; +44D3 : f6; +44D4 : 21; +44D5 : d8; +44D6 : 00; +44D7 : 00; +44D8 : 00; +44D9 : 01; +44DA : 04; +44DB : c0; +44DC : 04; +44DD : 00; +44DE : 00; +44DF : 00; +44E0 : 04; +44E1 : 00; +44E2 : 04; +44E3 : 30; +44E4 : c7; +44E5 : 04; +44E6 : 22; +44E7 : 00; +44E8 : 00; +44E9 : 00; +44EA : 00; +44EB : 02; +44EC : 08; +44ED : 10; +44EE : 04; +44EF : 00; +44F0 : 00; +44F1 : 02; +44F2 : 02; +44F3 : e0; +44F4 : 04; +44F5 : fe; +44F6 : 00; +44F7 : 00; +44F8 : 01; +44F9 : 06; +44FA : e8; +44FB : 04; +44FC : 50; +44FD : 18; +44FE : d5; +44FF : 12; +4500 : e8; +4501 : 00; +4502 : 50; +4503 : 20; +4504 : db; +4505 : 22; +4506 : f0; +4507 : 00; +4508 : 00; +4509 : 00; +450A : 03; +450B : 0c; +450C : 30; +450D : 04; +450E : 30; +450F : 78; +4510 : a0; +4511 : 12; +4512 : 30; +4513 : 00; +4514 : 30; +4515 : a8; +4516 : a0; +4517 : 12; +4518 : 38; +4519 : 00; +451A : 30; +451B : 49; +451C : a0; +451D : 12; +451E : 40; +451F : 00; +4520 : 70; +4521 : 70; +4522 : a0; +4523 : 12; +4524 : 48; +4525 : 00; +4526 : 70; +4527 : a0; +4528 : a0; +4529 : 12; +452A : 50; +452B : 00; +452C : 70; +452D : d7; +452E : a0; +452F : 12; +4530 : 58; +4531 : 00; +4532 : 00; +4533 : 00; +4534 : 23; +4535 : 02; +4536 : 40; +4537 : 04; +4538 : 00; +4539 : 00; +453A : 23; +453B : 02; +453C : 58; +453D : 04; +453E : 00; +453F : 00; +4540 : 00; +4541 : 04; +4542 : 00; +4543 : 04; +4544 : 10; +4545 : b7; +4546 : 04; +4547 : 22; +4548 : 00; +4549 : 00; +454A : 00; +454B : 00; +454C : 02; +454D : 08; +454E : 10; +454F : 04; +4550 : 4c; +4551 : 9f; +4552 : 98; +4553 : 22; +4554 : 10; +4555 : 00; +4556 : cc; +4557 : 67; +4558 : 98; +4559 : 22; +455A : 20; +455B : 00; +455C : 00; +455D : 00; +455E : 03; +455F : 0c; +4560 : 60; +4561 : 04; +4562 : 00; +4563 : 00; +4564 : 01; +4565 : 16; +4566 : 90; +4567 : 04; +4568 : fe; +4569 : 00; +456A : 00; +456B : 01; +456C : 06; +456D : e8; +456E : 04; +456F : 50; +4570 : 18; +4571 : d5; +4572 : 12; +4573 : e8; +4574 : 00; +4575 : 50; +4576 : 20; +4577 : db; +4578 : 22; +4579 : f0; +457A : 00; +457B : 00; +457C : 00; +457D : 03; +457E : 04; +457F : d0; +4580 : 04; +4581 : 14; +4582 : 6e; +4583 : f6; +4584 : 21; +4585 : d0; +4586 : 00; +4587 : 7c; +4588 : 46; +4589 : f6; +458A : 21; +458B : d8; +458C : 00; +458D : 00; +458E : 00; +458F : 01; +4590 : 20; +4591 : 50; +4592 : 04; +4593 : 00; +4594 : 00; +4595 : 00; +4596 : 04; +4597 : 00; +4598 : 04; +4599 : 38; +459A : c7; +459B : 04; +459C : 22; +459D : 00; +459E : 00; +459F : 00; +45A0 : 00; +45A1 : 02; +45A2 : 10; +45A3 : 10; +45A4 : 04; +45A5 : fe; +45A6 : b3; +45A7 : c4; +45A8 : f6; +45A9 : c4; +45AA : f6; +45AB : c4; +45AC : 69; +45AD : c5; +45AE : 7f; +45AF : 7f; +45B0 : 7f; +45B1 : 00; +45B2 : 5f; +45B3 : 3f; +45B4 : 00; +45B5 : 2f; +45B6 : 7f; +45B7 : 7f; +45B8 : 00; +45B9 : a9; +45BA : a9; +45BB : 81; +45BC : 81; +45BD : 59; +45BE : 59; +45BF : 31; +45C0 : 31; +45C1 : 00; +45C2 : 30; +45C3 : 4c; +45C4 : d5; +45C5 : 00; +45C6 : 10; +45C7 : e0; +45C8 : 00; +45C9 : 24; +45CA : 50; +45CB : c0; +45CC : 00; +45CD : 3b; +45CE : b3; +45CF : 3b; +45D0 : b3; +45D1 : 3b; +45D2 : b3; +45D3 : 38; +45D4 : b3; +45D5 : 00; +45D6 : 22; +45D7 : 22; +45D8 : 22; +45D9 : 00; +45DA : 21; +45DB : 21; +45DC : 00; +45DD : 20; +45DE : 22; +45DF : 22; +45E0 : 00; +45E1 : 22; +45E2 : 22; +45E3 : 22; +45E4 : 22; +45E5 : 21; +45E6 : 21; +45E7 : 21; +45E8 : 21; +45E9 : 06; +45EA : 0a; +45EB : 1b; +45EC : 00; +45ED : 82; +45EE : 1c; +45EF : 00; +45F0 : c5; +45F1 : 0a; +45F2 : 18; +45F3 : 00; +45F4 : e8; +45F5 : f7; +45F6 : 48; +45F7 : 57; +45F8 : a8; +45F9 : b7; +45FA : 08; +45FB : 17; +45FC : 00; +45FD : 04; +45FE : 07; +45FF : 0b; +4600 : 01; +4601 : 03; +4602 : 05; +4603 : 08; +4604 : d0; +4605 : d1; +4606 : d2; +4607 : d3; +4608 : 84; +4609 : 8d; +460A : 84; +460B : 8d; +460C : 46; +460D : 76; +460E : 77; +460F : 78; +4610 : 79; +4611 : 7a; +4612 : 7b; +4613 : 7c; +4614 : 7d; +4615 : 7e; +4616 : 7f; +4617 : 80; +4618 : 81; +4619 : 82; +461A : 83; +461B : 84; +461C : 85; +461D : 24; +461E : 24; +461F : 86; +4620 : 87; +4621 : 24; +4622 : 24; +4623 : 24; +4624 : 88; +4625 : 46; +4626 : 24; +4627 : 9c; +4628 : 9d; +4629 : 9e; +462A : 9f; +462B : a0; +462C : a1; +462D : a2; +462E : a3; +462F : a4; +4630 : a5; +4631 : a6; +4632 : a7; +4633 : a8; +4634 : a9; +4635 : aa; +4636 : ab; +4637 : ac; +4638 : ad; +4639 : ae; +463A : 24; +463B : af; +463C : b0; +463D : b1; +463E : 46; +463F : 24; +4640 : 24; +4641 : 24; +4642 : 89; +4643 : 24; +4644 : 24; +4645 : 8a; +4646 : 8b; +4647 : 8c; +4648 : 8d; +4649 : 8e; +464A : 8f; +464B : 90; +464C : 91; +464D : 92; +464E : 93; +464F : 94; +4650 : 95; +4651 : 96; +4652 : 97; +4653 : 98; +4654 : 99; +4655 : 9a; +4656 : 9b; +4657 : 46; +4658 : 24; +4659 : b2; +465A : 68; +465B : 9e; +465C : b5; +465D : b6; +465E : 6c; +465F : c7; +4660 : a3; +4661 : a4; +4662 : 69; +4663 : a6; +4664 : a7; +4665 : a8; +4666 : 6b; +4667 : aa; +4668 : c9; +4669 : ca; +466A : 6d; +466B : bf; +466C : 24; +466D : cd; +466E : 6a; +466F : b1; +4670 : 46; +4671 : c2; +4672 : c3; +4673 : 24; +4674 : 9e; +4675 : c4; +4676 : c5; +4677 : c6; +4678 : c7; +4679 : a3; +467A : b9; +467B : a5; +467C : a6; +467D : a7; +467E : bb; +467F : 6b; +4680 : c8; +4681 : c9; +4682 : ca; +4683 : cb; +4684 : cc; +4685 : 24; +4686 : cd; +4687 : ce; +4688 : cf; +4689 : 46; +468A : 24; +468B : b2; +468C : b3; +468D : b4; +468E : b5; +468F : b6; +4690 : b7; +4691 : b8; +4692 : a3; +4693 : b9; +4694 : 69; +4695 : ba; +4696 : a7; +4697 : bb; +4698 : a9; +4699 : aa; +469A : bc; +469B : bd; +469C : be; +469D : bf; +469E : c0; +469F : c1; +46A0 : 24; +46A1 : b1; +46A2 : 13; +46A3 : 2c; +46A4 : 16; +46A5 : 13; +46A6 : 13; +46A7 : 16; +46A8 : 30; +46A9 : 37; +46AA : 23; +46AB : db; +46AC : 42; +46AD : a0; +46AE : 21; +46AF : ca; +46B0 : 4c; +46B1 : 24; +46B2 : 21; +46B3 : ea; +46B4 : 0c; +46B5 : 24; +46B6 : 24; +46B7 : 19; +46B8 : 15; +46B9 : 0a; +46BA : 22; +46BB : 0e; +46BC : 1b; +46BD : 24; +46BE : 66; +46BF : 24; +46C0 : 24; +46C1 : 00; +46C2 : 23; +46C3 : e2; +46C4 : 04; +46C5 : 08; +46C6 : 0a; +46C7 : 0a; +46C8 : 02; +46C9 : 22; +46CA : 0a; +46CB : 4c; +46CC : 24; +46CD : 22; +46CE : 2a; +46CF : 0c; +46D0 : 24; +46D1 : 10; +46D2 : 0a; +46D3 : 16; +46D4 : 0e; +46D5 : 24; +46D6 : 24; +46D7 : 18; +46D8 : 1f; +46D9 : 0e; +46DA : 1b; +46DB : 24; +46DC : 22; +46DD : 4a; +46DE : 4c; +46DF : 24; +46E0 : 00; +46E1 : 12; +46E2 : 24; +46E3 : 24; +46E4 : 20; +46E5 : 63; +46E6 : 5b; +46E7 : 24; +46E8 : 20; +46E9 : 94; +46EA : 4a; +46EB : 24; +46EC : 20; +46ED : b4; +46EE : 4a; +46EF : 24; +46F0 : 00; +46F1 : 21; +46F2 : 09; +46F3 : 4e; +46F4 : 24; +46F5 : 21; +46F6 : a9; +46F7 : 4e; +46F8 : 24; +46F9 : 22; +46FA : 49; +46FB : 4e; +46FC : 24; +46FD : 22; +46FE : e9; +46FF : 4e; +4700 : 24; +4701 : 3f; +4702 : 1d; +4703 : 03; +4704 : 30; +4705 : 36; +4706 : 06; +4707 : 00; +4708 : 20; +4709 : 8d; +470A : 46; +470B : 24; +470C : 20; +470D : ad; +470E : 46; +470F : 24; +4710 : 20; +4711 : cd; +4712 : 46; +4713 : 24; +4714 : 20; +4715 : ed; +4716 : 46; +4717 : 24; +4718 : 00; +4719 : 12; +471A : aa; +471B : aa; +471C : 46; +471D : 24; +471E : 24; +471F : dc; +4720 : dd; +4721 : d4; +4722 : d5; +4723 : de; +4724 : df; +4725 : d6; +4726 : d7; +4727 : e0; +4728 : e1; +4729 : d8; +472A : d9; +472B : e2; +472C : e3; +472D : da; +472E : db; +472F : e4; +4730 : e5; +4731 : 24; +4732 : 24; +4733 : e6; +4734 : e7; +4735 : 46; +4736 : e8; +4737 : e9; +4738 : ea; +4739 : eb; +473A : ec; +473B : ed; +473C : ee; +473D : ef; +473E : 24; +473F : f0; +4740 : f1; +4741 : f2; +4742 : 24; +4743 : f3; +4744 : f4; +4745 : f5; +4746 : f6; +4747 : f7; +4748 : f8; +4749 : f9; +474A : fa; +474B : fb; +474C : fc; +474D : fd; +474E : 21; +474F : 08; +4750 : 50; +4751 : 62; +4752 : 00; +4753 : 23; +4754 : 09; +4755 : 4e; +4756 : 62; +4757 : 23; +4758 : 29; +4759 : 4e; +475A : 62; +475B : 23; +475C : 49; +475D : 4e; +475E : 62; +475F : 00; +4760 : 20; +4761 : c5; +4762 : 42; +4763 : 24; +4764 : 20; +4765 : ca; +4766 : 42; +4767 : 24; +4768 : 20; +4769 : ea; +476A : 42; +476B : 24; +476C : 20; +476D : e5; +476E : 42; +476F : 24; +4770 : 22; +4771 : 0a; +4772 : 42; +4773 : 24; +4774 : 22; +4775 : 2a; +4776 : 42; +4777 : 24; +4778 : 22; +4779 : 18; +477A : 42; +477B : 24; +477C : 00; +477D : 22; +477E : 38; +477F : 42; +4780 : 24; +4781 : 21; +4782 : 29; +4783 : c4; +4784 : 24; +4785 : 21; +4786 : 36; +4787 : c4; +4788 : 24; +4789 : 21; +478A : d0; +478B : c4; +478C : 24; +478D : 22; +478E : 6c; +478F : c4; +4790 : 24; +4791 : 22; +4792 : 73; +4793 : c4; +4794 : 24; +4795 : 23; +4796 : 0f; +4797 : c3; +4798 : 24; +4799 : 00; +479A : ff; +479B : 01; +479C : 01; +479D : ff; +479E : 78; +479F : d8; +47A0 : a9; +47A1 : 10; +47A2 : 8d; +47A3 : 00; +47A4 : 20; +47A5 : a2; +47A6 : ff; +47A7 : 9a; +47A8 : ad; +47A9 : 02; +47AA : 20; +47AB : 29; +47AC : 80; +47AD : f0; +47AE : f9; +47AF : a0; +47B0 : 07; +47B1 : 84; +47B2 : 01; +47B3 : a0; +47B4 : 00; +47B5 : 84; +47B6 : 00; +47B7 : a9; +47B8 : 00; +47B9 : 91; +47BA : 00; +47BB : 88; +47BC : d0; +47BD : fb; +47BE : c6; +47BF : 01; +47C0 : 10; +47C1 : f7; +47C2 : 20; +47C3 : e7; +47C4 : c7; +47C5 : a9; +47C6 : 7f; +47C7 : 8d; +47C8 : 11; +47C9 : 05; +47CA : a9; +47CB : 18; +47CC : 85; +47CD : 51; +47CE : a9; +47CF : 01; +47D0 : 85; +47D1 : 4e; +47D2 : 85; +47D3 : 55; +47D4 : a9; +47D5 : 00; +47D6 : 85; +47D7 : 4f; +47D8 : a5; +47D9 : 10; +47DA : 49; +47DB : 80; +47DC : 8d; +47DD : 00; +47DE : 20; +47DF : 85; +47E0 : 10; +47E1 : 20; +47E2 : ed; +47E3 : f4; +47E4 : 4c; +47E5 : e1; +47E6 : c7; +47E7 : a9; +47E8 : 10; +47E9 : 8d; +47EA : 00; +47EB : 20; +47EC : 85; +47ED : 10; +47EE : a9; +47EF : 06; +47F0 : 8d; +47F1 : 01; +47F2 : 20; +47F3 : 85; +47F4 : 11; +47F5 : a9; +47F6 : 00; +47F7 : 8d; +47F8 : 05; +47F9 : 20; +47FA : 85; +47FB : 12; +47FC : 8d; +47FD : 05; +47FE : 20; +47FF : 85; +4800 : 13; +4801 : 20; +4802 : ae; +4803 : cb; +4804 : 4c; +4805 : b7; +4806 : cb; +4807 : aa; +4808 : bd; +4809 : a7; +480A : c4; +480B : 85; +480C : 00; +480D : bd; +480E : a8; +480F : c4; +4810 : 85; +4811 : 01; +4812 : 4c; +4813 : 28; +4814 : f2; +4815 : aa; +4816 : bd; +4817 : 3c; +4818 : c0; +4819 : 85; +481A : 02; +481B : bd; +481C : 3d; +481D : c0; +481E : 85; +481F : 03; +4820 : 4c; +4821 : d7; +4822 : f2; +4823 : aa; +4824 : bd; +4825 : 3c; +4826 : c0; +4827 : 85; +4828 : 00; +4829 : bd; +482A : 3d; +482B : c0; +482C : 85; +482D : 01; +482E : 4c; +482F : 76; +4830 : cd; +4831 : aa; +4832 : bd; +4833 : 3c; +4834 : c0; +4835 : 85; +4836 : 04; +4837 : bd; +4838 : 3d; +4839 : c0; +483A : 85; +483B : 05; +483C : bd; +483D : 44; +483E : c0; +483F : 85; +4840 : 06; +4841 : bd; +4842 : 45; +4843 : c0; +4844 : 85; +4845 : 07; +4846 : 60; +4847 : aa; +4848 : bd; +4849 : 3c; +484A : c0; +484B : 85; +484C : 02; +484D : bd; +484E : 3d; +484F : c0; +4850 : 85; +4851 : 03; +4852 : 60; +4853 : aa; +4854 : bd; +4855 : 3c; +4856 : c0; +4857 : 85; +4858 : 08; +4859 : bd; +485A : 3d; +485B : c0; +485C : 85; +485D : 09; +485E : 60; +485F : 48; +4860 : a5; +4861 : 10; +4862 : 29; +4863 : 7f; +4864 : 8d; +4865 : 00; +4866 : 20; +4867 : 85; +4868 : 10; +4869 : a9; +486A : 00; +486B : 8d; +486C : 03; +486D : 20; +486E : a9; +486F : 02; +4870 : 8d; +4871 : 14; +4872 : 40; +4873 : a9; +4874 : 31; +4875 : 85; +4876 : 00; +4877 : a9; +4878 : 03; +4879 : 85; +487A : 01; +487B : 20; +487C : 28; +487D : f2; +487E : a9; +487F : 00; +4880 : 8d; +4881 : 30; +4882 : 03; +4883 : 8d; +4884 : 31; +4885 : 03; +4886 : 20; +4887 : 0e; +4888 : f5; +4889 : a5; +488A : 11; +488B : 49; +488C : 18; +488D : 8d; +488E : 01; +488F : 20; +4890 : 20; +4891 : 48; +4892 : fa; +4893 : a5; +4894 : 4e; +4895 : d0; +4896 : 2a; +4897 : a5; +4898 : 4f; +4899 : f0; +489A : 39; +489B : a5; +489C : 9a; +489D : d0; +489E : 06; +489F : 20; +48A0 : 7c; +48A1 : ce; +48A2 : 4c; +48A3 : d7; +48A4 : c8; +48A5 : ad; +48A6 : 4f; +48A7 : 04; +48A8 : c9; +48A9 : 08; +48AA : d0; +48AB : 28; +48AC : 20; +48AD : f4; +48AE : cc; +48AF : a5; +48B0 : 43; +48B1 : d0; +48B2 : 24; +48B3 : a9; +48B4 : 00; +48B5 : 8d; +48B6 : 4f; +48B7 : 04; +48B8 : 85; +48B9 : 4f; +48BA : a9; +48BB : 79; +48BC : 85; +48BD : 43; +48BE : 4c; +48BF : d7; +48C0 : c8; +48C1 : a5; +48C2 : 55; +48C3 : d0; +48C4 : 06; +48C5 : 20; +48C6 : 30; +48C7 : ca; +48C8 : 4c; +48C9 : d7; +48CA : c8; +48CB : 20; +48CC : f3; +48CD : c8; +48CE : 20; +48CF : ac; +48D0 : f4; +48D1 : 4c; +48D2 : d7; +48D3 : c8; +48D4 : 20; +48D5 : c9; +48D6 : ca; +48D7 : ad; +48D8 : 05; +48D9 : 05; +48DA : c9; +48DB : 01; +48DC : d0; +48DD : 0a; +48DE : a5; +48DF : 51; +48E0 : 85; +48E1 : 00; +48E2 : 20; +48E3 : 3c; +48E4 : f2; +48E5 : ce; +48E6 : 05; +48E7 : 05; +48E8 : a5; +48E9 : 10; +48EA : 49; +48EB : 80; +48EC : 8d; +48ED : 00; +48EE : 20; +48EF : 85; +48F0 : 10; +48F1 : 68; +48F2 : 40; +48F3 : ad; +48F4 : 02; +48F5 : 01; +48F6 : d0; +48F7 : 06; +48F8 : 8d; +48F9 : 15; +48FA : 40; +48FB : 8d; +48FC : 00; +48FD : 01; +48FE : ad; +48FF : 18; +4900 : 05; +4901 : d0; +4902 : 11; +4903 : a9; +4904 : 80; +4905 : 85; +4906 : fd; +4907 : a9; +4908 : 04; +4909 : 8d; +490A : 18; +490B : 05; +490C : a9; +490D : 0f; +490E : 8d; +490F : 15; +4910 : 40; +4911 : 8d; +4912 : 00; +4913 : 01; +4914 : ad; +4915 : 10; +4916 : 05; +4917 : d0; +4918 : 27; +4919 : 20; +491A : 9a; +491B : d1; +491C : a9; +491D : 08; +491E : 20; +491F : 07; +4920 : c8; +4921 : ad; +4922 : 11; +4923 : 05; +4924 : 8d; +4925 : 00; +4926 : 02; +4927 : a9; +4928 : a2; +4929 : 8d; +492A : 01; +492B : 02; +492C : a9; +492D : 00; +492E : 8d; +492F : 02; +4930 : 02; +4931 : 85; +4932 : 58; +4933 : a9; +4934 : 38; +4935 : 8d; +4936 : 03; +4937 : 02; +4938 : 8d; +4939 : 10; +493A : 05; +493B : a9; +493C : 20; +493D : 85; +493E : 44; +493F : 60; +4940 : a5; +4941 : 15; +4942 : 29; +4943 : 20; +4944 : d0; +4945 : 17; +4946 : a5; +4947 : 15; +4948 : 29; +4949 : 10; +494A : d0; +494B : 3e; +494C : a9; +494D : 00; +494E : 8d; +494F : 12; +4950 : 05; +4951 : a5; +4952 : 44; +4953 : d0; +4954 : 07; +4955 : a9; +4956 : 01; +4957 : 85; +4958 : 58; +4959 : 4c; +495A : b1; +495B : c9; +495C : 60; +495D : a9; +495E : 40; +495F : 85; +4960 : 44; +4961 : ad; +4962 : 12; +4963 : 05; +4964 : d0; +4965 : 1f; +4966 : a9; +4967 : 40; +4968 : 85; +4969 : 35; +496A : ad; +496B : 00; +496C : 02; +496D : 18; +496E : 69; +496F : 10; +4970 : c9; +4971 : bf; +4972 : d0; +4973 : 02; +4974 : a9; +4975 : 7f; +4976 : 8d; +4977 : 00; +4978 : 02; +4979 : 8d; +497A : 11; +497B : 05; +497C : ee; +497D : 12; +497E : 05; +497F : a9; +4980 : 0a; +4981 : 8d; +4982 : 13; +4983 : 05; +4984 : 60; +4985 : a5; +4986 : 35; +4987 : d0; +4988 : 00; +4989 : 60; +498A : 8d; +498B : 14; +498C : 05; +498D : a2; +498E : 0a; +498F : a9; +4990 : 00; +4991 : 95; +4992 : 24; +4993 : ca; +4994 : d0; +4995 : fb; +4996 : ad; +4997 : 11; +4998 : 05; +4999 : 4a; +499A : 4a; +499B : 4a; +499C : 4a; +499D : 38; +499E : e9; +499F : 07; +49A0 : 85; +49A1 : 50; +49A2 : c9; +49A3 : 02; +49A4 : 30; +49A5 : 07; +49A6 : a9; +49A7 : 1c; +49A8 : 85; +49A9 : 51; +49AA : 4c; +49AB : b1; +49AC : c9; +49AD : a9; +49AE : 18; +49AF : 85; +49B0 : 51; +49B1 : a5; +49B2 : 50; +49B3 : 29; +49B4 : 01; +49B5 : 0a; +49B6 : aa; +49B7 : bd; +49B8 : 07; +49B9 : 05; +49BA : 85; +49BB : 21; +49BC : bd; +49BD : 08; +49BE : 05; +49BF : 85; +49C0 : 22; +49C1 : a9; +49C2 : 0f; +49C3 : 85; +49C4 : 18; +49C5 : a9; +49C6 : 13; +49C7 : 85; +49C8 : 19; +49C9 : a9; +49CA : 00; +49CB : 85; +49CC : 4e; +49CD : 8d; +49CE : 06; +49CF : 04; +49D0 : 8d; +49D1 : 07; +49D2 : 04; +49D3 : 85; +49D4 : 4f; +49D5 : 8d; +49D6 : 10; +49D7 : 05; +49D8 : 8d; +49D9 : 0b; +49DA : 05; +49DB : 8d; +49DC : 12; +49DD : 05; +49DE : a9; +49DF : 01; +49E0 : 85; +49E1 : 53; +49E2 : 8d; +49E3 : 00; +49E4 : 04; +49E5 : 8d; +49E6 : 01; +49E7 : 04; +49E8 : a9; +49E9 : 00; +49EA : 85; +49EB : 54; +49EC : 8d; +49ED : 02; +49EE : 04; +49EF : 8d; +49F0 : 03; +49F1 : 04; +49F2 : a9; +49F3 : 00; +49F4 : 85; +49F5 : 52; +49F6 : 8d; +49F7 : 08; +49F8 : 04; +49F9 : 8d; +49FA : 09; +49FB : 04; +49FC : 85; +49FD : fc; +49FE : a9; +49FF : 03; +4A00 : a6; +4A01 : 58; +4A02 : f0; +4A03 : 02; +4A04 : a9; +4A05 : 01; +4A06 : 85; +4A07 : 55; +4A08 : 8d; +4A09 : 04; +4A0A : 04; +4A0B : 8d; +4A0C : 05; +4A0D : 04; +4A0E : 8d; +4A0F : 0b; +4A10 : 04; +4A11 : a5; +4A12 : 58; +4A13 : d0; +4A14 : 11; +4A15 : a9; +4A16 : 97; +4A17 : 85; +4A18 : 43; +4A19 : a9; +4A1A : 01; +4A1B : 85; +4A1C : fd; +4A1D : a9; +4A1E : 0f; +4A1F : 8d; +4A20 : 15; +4A21 : 40; +4A22 : 8d; +4A23 : 00; +4A24 : 01; +4A25 : 60; +4A26 : ce; +4A27 : 18; +4A28 : 05; +4A29 : a9; +4A2A : 75; +4A2B : 85; +4A2C : 43; +4A2D : 4c; +4A2E : ae; +4A2F : cb; +4A30 : 20; +4A31 : ac; +4A32 : f4; +4A33 : a5; +4A34 : 58; +4A35 : d0; +4A36 : 13; +4A37 : a5; +4A38 : 43; +4A39 : c9; +4A3A : 75; +4A3B : f0; +4A3C : 1d; +4A3D : c9; +4A3E : 74; +4A3F : f0; +4A40 : 1e; +4A41 : c9; +4A42 : 73; +4A43 : f0; +4A44 : 1f; +4A45 : c9; +4A46 : 5f; +4A47 : f0; +4A48 : 30; +4A49 : 60; +4A4A : 85; +4A4B : 55; +4A4C : a9; +4A4D : 00; +4A4E : 85; +4A4F : 58; +4A50 : 8d; +4A51 : 10; +4A52 : 05; +4A53 : 20; +4A54 : b7; +4A55 : cb; +4A56 : 20; +4A57 : ae; +4A58 : cb; +4A59 : 60; +4A5A : c6; +4A5B : 43; +4A5C : 4c; +4A5D : ae; +4A5E : cb; +4A5F : c6; +4A60 : 43; +4A61 : 4c; +4A62 : ca; +4A63 : cb; +4A64 : c6; +4A65 : 43; +4A66 : a5; +4A67 : 50; +4A68 : 29; +4A69 : 01; +4A6A : 0a; +4A6B : aa; +4A6C : a5; +4A6D : 21; +4A6E : 9d; +4A6F : 07; +4A70 : 05; +4A71 : a5; +4A72 : 22; +4A73 : 9d; +4A74 : 08; +4A75 : 05; +4A76 : 4c; +4A77 : f5; +4A78 : cb; +4A79 : a6; +4A7A : 52; +4A7B : a9; +4A7C : 01; +4A7D : 9d; +4A7E : 06; +4A7F : 04; +4A80 : 85; +4A81 : 4e; +4A82 : a5; +4A83 : 51; +4A84 : c9; +4A85 : 1c; +4A86 : d0; +4A87 : 0c; +4A88 : a5; +4A89 : 52; +4A8A : 49; +4A8B : 01; +4A8C : aa; +4A8D : bd; +4A8E : 06; +4A8F : 04; +4A90 : 85; +4A91 : 4e; +4A92 : f0; +4A93 : 05; +4A94 : 85; +4A95 : 55; +4A96 : 4c; +4A97 : 53; +4A98 : ca; +4A99 : a9; +4A9A : 85; +4A9B : 85; +4A9C : 43; +4A9D : 8d; +4A9E : 0b; +4A9F : 04; +4AA0 : a0; +4AA1 : 00; +4AA2 : 84; +4AA3 : 4f; +4AA4 : 86; +4AA5 : 52; +4AA6 : 4c; +4AA7 : a9; +4AA8 : ca; +4AA9 : a0; +4AAA : 00; +4AAB : bd; +4AAC : 00; +4AAD : 04; +4AAE : 99; +4AAF : 53; +4AB0 : 00; +4AB1 : e8; +4AB2 : e8; +4AB3 : c8; +4AB4 : c0; +4AB5 : 03; +4AB6 : d0; +4AB7 : f3; +4AB8 : 60; +4AB9 : a0; +4ABA : 00; +4ABB : b9; +4ABC : 53; +4ABD : 00; +4ABE : 9d; +4ABF : 00; +4AC0 : 04; +4AC1 : e8; +4AC2 : e8; +4AC3 : c8; +4AC4 : c0; +4AC5 : 03; +4AC6 : d0; +4AC7 : f3; +4AC8 : 60; +4AC9 : 20; +4ACA : ac; +4ACB : f4; +4ACC : a5; +4ACD : 53; +4ACE : c9; +4ACF : 01; +4AD0 : f0; +4AD1 : 06; +4AD2 : a5; +4AD3 : 43; +4AD4 : c9; +4AD5 : 84; +4AD6 : f0; +4AD7 : 2a; +4AD8 : a5; +4AD9 : 43; +4ADA : c9; +4ADB : 72; +4ADC : b0; +4ADD : 3a; +4ADE : c9; +4ADF : 6d; +4AE0 : f0; +4AE1 : 05; +4AE2 : c9; +4AE3 : 62; +4AE4 : f0; +4AE5 : 14; +4AE6 : 60; +4AE7 : ad; +4AE8 : 0b; +4AE9 : 04; +4AEA : f0; +4AEB : 0a; +4AEC : a9; +4AED : 00; +4AEE : 8d; +4AEF : 0b; +4AF0 : 04; +4AF1 : c6; +4AF2 : 55; +4AF3 : 20; +4AF4 : bd; +4AF5 : cb; +4AF6 : 20; +4AF7 : 34; +4AF8 : cc; +4AF9 : 60; +4AFA : a9; +4AFB : 01; +4AFC : 85; +4AFD : 4f; +4AFE : 20; +4AFF : 47; +4B00 : cc; +4B01 : 60; +4B02 : a6; +4B03 : 52; +4B04 : a5; +4B05 : 53; +4B06 : dd; +4B07 : 00; +4B08 : 04; +4B09 : f0; +4B0A : 0a; +4B0B : c9; +4B0C : 01; +4B0D : f0; +4B0E : 06; +4B0F : 20; +4B10 : 24; +4B11 : cc; +4B12 : 20; +4B13 : 04; +4B14 : cc; +4B15 : c6; +4B16 : 43; +4B17 : 60; +4B18 : 4c; +4B19 : 1b; +4B1A : cb; +4B1B : c9; +4B1C : 7a; +4B1D : f0; +4B1E : 11; +4B1F : c9; +4B20 : 75; +4B21 : f0; +4B22 : 16; +4B23 : c9; +4B24 : 74; +4B25 : f0; +4B26 : 0f; +4B27 : c9; +4B28 : 73; +4B29 : f0; +4B2A : 2d; +4B2B : c9; +4B2C : 72; +4B2D : f0; +4B2E : 18; +4B2F : 60; +4B30 : 20; +4B31 : 53; +4B32 : ca; +4B33 : 20; +4B34 : ca; +4B35 : cb; +4B36 : c6; +4B37 : 43; +4B38 : 60; +4B39 : 20; +4B3A : b7; +4B3B : cb; +4B3C : c6; +4B3D : 43; +4B3E : a5; +4B3F : 58; +4B40 : d0; +4B41 : 04; +4B42 : a9; +4B43 : 08; +4B44 : 85; +4B45 : fd; +4B46 : 60; +4B47 : c6; +4B48 : 43; +4B49 : a6; +4B4A : 53; +4B4B : ca; +4B4C : bd; +4B4D : 08; +4B4E : c6; +4B4F : 85; +4B50 : 00; +4B51 : a9; +4B52 : 20; +4B53 : 85; +4B54 : 01; +4B55 : 4c; +4B56 : a6; +4B57 : eb; +4B58 : 20; +4B59 : 9a; +4B5A : d1; +4B5B : a6; +4B5C : 53; +4B5D : ca; +4B5E : 8a; +4B5F : 0a; +4B60 : 20; +4B61 : 07; +4B62 : c8; +4B63 : a9; +4B64 : 0a; +4B65 : 20; +4B66 : 07; +4B67 : c8; +4B68 : a5; +4B69 : 51; +4B6A : c9; +4B6B : 1c; +4B6C : f0; +4B6D : 0d; +4B6E : a9; +4B6F : 76; +4B70 : 85; +4B71 : 00; +4B72 : a9; +4B73 : 20; +4B74 : 85; +4B75 : 01; +4B76 : a9; +4B77 : 04; +4B78 : 20; +4B79 : 15; +4B7A : c8; +4B7B : a9; +4B7C : 01; +4B7D : 8d; +4B7E : 05; +4B7F : 05; +4B80 : 20; +4B81 : 32; +4B82 : d0; +4B83 : 20; +4B84 : bd; +4B85 : cb; +4B86 : a9; +4B87 : bc; +4B88 : 85; +4B89 : 00; +4B8A : a4; +4B8B : 54; +4B8C : c8; +4B8D : 20; +4B8E : c2; +4B8F : f4; +4B90 : a9; +4B91 : 00; +4B92 : 85; +4B93 : 2c; +4B94 : a9; +4B95 : 80; +4B96 : 88; +4B97 : c0; +4B98 : 04; +4B99 : 10; +4B9A : 03; +4B9B : b9; +4B9C : 07; +4B9D : c2; +4B9E : 85; +4B9F : 2e; +4BA0 : a9; +4BA1 : 0d; +4BA2 : 85; +4BA3 : 45; +4BA4 : a9; +4BA5 : 02; +4BA6 : 85; +4BA7 : 00; +4BA8 : 20; +4BA9 : 3c; +4BAA : f2; +4BAB : c6; +4BAC : 43; +4BAD : 60; +4BAE : a9; +4BAF : 00; +4BB0 : 85; +4BB1 : 04; +4BB2 : a9; +4BB3 : ff; +4BB4 : 4c; +4BB5 : 92; +4BB6 : f0; +4BB7 : 20; +4BB8 : 9a; +4BB9 : d1; +4BBA : 4c; +4BBB : b4; +4BBC : f1; +4BBD : a9; +4BBE : b5; +4BBF : 85; +4BC0 : 00; +4BC1 : a9; +4BC2 : 20; +4BC3 : 85; +4BC4 : 01; +4BC5 : a4; +4BC6 : 55; +4BC7 : 4c; +4BC8 : c2; +4BC9 : f4; +4BCA : a5; +4BCB : 58; +4BCC : d0; +4BCD : 26; +4BCE : a5; +4BCF : 51; +4BD0 : c9; +4BD1 : 1c; +4BD2 : d0; +4BD3 : 20; +4BD4 : a6; +4BD5 : 52; +4BD6 : a5; +4BD7 : 53; +4BD8 : dd; +4BD9 : 00; +4BDA : 04; +4BDB : d0; +4BDC : 17; +4BDD : a0; +4BDE : 00; +4BDF : b9; +4BE0 : aa; +4BE1 : c6; +4BE2 : 99; +4BE3 : 31; +4BE4 : 03; +4BE5 : f0; +4BE6 : 04; +4BE7 : c8; +4BE8 : 4c; +4BE9 : df; +4BEA : cb; +4BEB : a5; +4BEC : 52; +4BED : f0; +4BEE : 05; +4BEF : a9; +4BF0 : 67; +4BF1 : 8d; +4BF2 : 45; +4BF3 : 03; +4BF4 : 60; +4BF5 : a0; +4BF6 : 00; +4BF7 : b9; +4BF8 : c2; +4BF9 : c6; +4BFA : 99; +4BFB : 31; +4BFC : 03; +4BFD : f0; +4BFE : 04; +4BFF : c8; +4C00 : 4c; +4C01 : f7; +4C02 : cb; +4C03 : 60; +4C04 : a5; +4C05 : 58; +4C06 : d0; +4C07 : 1b; +4C08 : a6; +4C09 : 52; +4C0A : bd; +4C0B : 08; +4C0C : 04; +4C0D : d0; +4C0E : 14; +4C0F : 8a; +4C10 : a8; +4C11 : 18; +4C12 : 0a; +4C13 : 0a; +4C14 : aa; +4C15 : b5; +4C16 : 25; +4C17 : c9; +4C18 : 02; +4C19 : 90; +4C1A : 08; +4C1B : 99; +4C1C : 08; +4C1D : 04; +4C1E : e6; +4C1F : 55; +4C20 : 20; +4C21 : bd; +4C22 : cb; +4C23 : 60; +4C24 : a5; +4C25 : 2e; +4C26 : 85; +4C27 : 00; +4C28 : a5; +4C29 : 52; +4C2A : 09; +4C2B : 08; +4C2C : 85; +4C2D : 01; +4C2E : 20; +4C2F : 42; +4C30 : f3; +4C31 : 4c; +4C32 : 32; +4C33 : d0; +4C34 : a9; +4C35 : 01; +4C36 : 8d; +4C37 : 05; +4C38 : 05; +4C39 : 20; +4C3A : 32; +4C3B : d0; +4C3C : a9; +4C3D : 00; +4C3E : 8d; +4C3F : 0b; +4C40 : 05; +4C41 : 20; +4C42 : c1; +4C43 : cc; +4C44 : 4c; +4C45 : f2; +4C46 : d7; +4C47 : a9; +4C48 : 00; +4C49 : aa; +4C4A : 95; +4C4B : 59; +4C4C : 9d; +4C4D : 0d; +4C4E : 04; +4C4F : e8; +4C50 : e0; +4C51 : 89; +4C52 : d0; +4C53 : f6; +4C54 : a9; +4C55 : 01; +4C56 : 85; +4C57 : 59; +4C58 : 85; +4C59 : 96; +4C5A : 8d; +4C5B : 3e; +4C5C : 04; +4C5D : 8d; +4C5E : 51; +4C5F : 04; +4C60 : 8d; +4C61 : 52; +4C62 : 04; +4C63 : 85; +4C64 : 9f; +4C65 : 8d; +4C66 : 03; +4C67 : 05; +4C68 : a9; +4C69 : 04; +4C6A : 85; +4C6B : 97; +4C6C : a9; +4C6D : 58; +4C6E : 8d; +4C6F : 3d; +4C70 : 04; +4C71 : a9; +4C72 : 20; +4C73 : 85; +4C74 : a2; +4C75 : a9; +4C76 : 80; +4C77 : 85; +4C78 : 18; +4C79 : a9; +4C7A : 0a; +4C7B : 85; +4C7C : 34; +4C7D : a6; +4C7E : 52; +4C7F : 20; +4C80 : b9; +4C81 : ca; +4C82 : a9; +4C83 : bb; +4C84 : 85; +4C85 : 39; +4C86 : a9; +4C87 : 27; +4C88 : 85; +4C89 : 44; +4C8A : a5; +4C8B : 53; +4C8C : c9; +4C8D : 01; +4C8E : f0; +4C8F : 09; +4C90 : c9; +4C91 : 03; +4C92 : f0; +4C93 : 12; +4C94 : a9; +4C95 : 10; +4C96 : 85; +4C97 : fc; +4C98 : 60; +4C99 : a9; +4C9A : 38; +4C9B : 85; +4C9C : 36; +4C9D : a9; +4C9E : 40; +4C9F : 85; +4CA0 : 43; +4CA1 : a9; +4CA2 : 02; +4CA3 : 85; +4CA4 : fc; +4CA5 : 60; +4CA6 : a9; +4CA7 : 20; +4CA8 : 85; +4CA9 : 36; +4CAA : a9; +4CAB : 50; +4CAC : 8d; +4CAD : 3f; +4CAE : 04; +4CAF : 8d; +4CB0 : 41; +4CB1 : 04; +4CB2 : 8d; +4CB3 : 43; +4CB4 : 04; +4CB5 : a9; +4CB6 : 03; +4CB7 : 8d; +4CB8 : 40; +4CB9 : 04; +4CBA : 8d; +4CBB : 42; +4CBC : 04; +4CBD : 8d; +4CBE : 44; +4CBF : 04; +4CC0 : 60; +4CC1 : a5; +4CC2 : 53; +4CC3 : 38; +4CC4 : e9; +4CC5 : 01; +4CC6 : 0a; +4CC7 : aa; +4CC8 : bd; +4CC9 : a6; +4CCA : c5; +4CCB : 85; +4CCC : 09; +4CCD : bd; +4CCE : a7; +4CCF : c5; +4CD0 : 85; +4CD1 : 0a; +4CD2 : a2; +4CD3 : 00; +4CD4 : a0; +4CD5 : 00; +4CD6 : b1; +4CD7 : 09; +4CD8 : c9; +4CD9 : fe; +4CDA : f0; +4CDB : 17; +4CDC : 95; +4CDD : 00; +4CDE : c8; +4CDF : e8; +4CE0 : e0; +4CE1 : 05; +4CE2 : d0; +4CE3 : f2; +4CE4 : 84; +4CE5 : 86; +4CE6 : b1; +4CE7 : 09; +4CE8 : 20; +4CE9 : 96; +4CEA : f0; +4CEB : a4; +4CEC : 86; +4CED : c8; +4CEE : a2; +4CEF : 00; +4CF0 : 4c; +4CF1 : d6; +4CF2 : cc; +4CF3 : 60; +4CF4 : ad; +4CF5 : 50; +4CF6 : 04; +4CF7 : d0; +4CF8 : 0e; +4CF9 : a9; +4CFA : 01; +4CFB : 8d; +4CFC : 50; +4CFD : 04; +4CFE : a9; +4CFF : 0a; +4D00 : 85; +4D01 : 34; +4D02 : a9; +4D03 : 10; +4D04 : 85; +4D05 : fd; +4D06 : 60; +4D07 : a5; +4D08 : 43; +4D09 : c9; +4D0A : 58; +4D0B : 90; +4D0C : 06; +4D0D : 20; +4D0E : ac; +4D0F : f4; +4D10 : 4c; +4D11 : 22; +4D12 : cd; +4D13 : 20; +4D14 : 24; +4D15 : cc; +4D16 : 20; +4D17 : 04; +4D18 : cc; +4D19 : a9; +4D1A : 00; +4D1B : 85; +4D1C : 43; +4D1D : 85; +4D1E : 9a; +4D1F : 4c; +4D20 : 53; +4D21 : ca; +4D22 : a5; +4D23 : 43; +4D24 : c9; +4D25 : 9f; +4D26 : f0; +4D27 : 1d; +4D28 : c9; +4D29 : 9e; +4D2A : f0; +4D2B : 1e; +4D2C : c9; +4D2D : 9d; +4D2E : f0; +4D2F : 1f; +4D30 : c9; +4D31 : 9c; +4D32 : f0; +4D33 : 24; +4D34 : c9; +4D35 : 9b; +4D36 : f0; +4D37 : 29; +4D38 : c9; +4D39 : 90; +4D3A : b0; +4D3B : 2a; +4D3C : c9; +4D3D : 86; +4D3E : b0; +4D3F : 29; +4D40 : c9; +4D41 : 70; +4D42 : b0; +4D43 : 28; +4D44 : 60; +4D45 : c6; +4D46 : 43; +4D47 : 4c; +4D48 : 6f; +4D49 : cd; +4D4A : c6; +4D4B : 43; +4D4C : 4c; +4D4D : 7f; +4D4E : cd; +4D4F : a0; +4D50 : 1c; +4D51 : c6; +4D52 : 43; +4D53 : a9; +4D54 : 06; +4D55 : 4c; +4D56 : 23; +4D57 : c8; +4D58 : a0; +4D59 : 1c; +4D5A : c6; +4D5B : 43; +4D5C : a9; +4D5D : 08; +4D5E : 4c; +4D5F : 23; +4D60 : c8; +4D61 : c6; +4D62 : 43; +4D63 : 4c; +4D64 : 89; +4D65 : cd; +4D66 : 4c; +4D67 : 9d; +4D68 : cd; +4D69 : 4c; +4D6A : b1; +4D6B : cd; +4D6C : 4c; +4D6D : 24; +4D6E : ce; +4D6F : a0; +4D70 : 0c; +4D71 : a9; +4D72 : 0a; +4D73 : 4c; +4D74 : 23; +4D75 : c8; +4D76 : b1; +4D77 : 00; +4D78 : 99; +4D79 : 31; +4D7A : 03; +4D7B : 88; +4D7C : 10; +4D7D : f8; +4D7E : 60; +4D7F : 20; +4D80 : ae; +4D81 : cb; +4D82 : a0; +4D83 : 16; +4D84 : a9; +4D85 : 0c; +4D86 : 4c; +4D87 : 23; +4D88 : c8; +4D89 : a0; +4D8A : 0c; +4D8B : a9; +4D8C : 0e; +4D8D : 20; +4D8E : 23; +4D8F : c8; +4D90 : a9; +4D91 : 03; +4D92 : 85; +4D93 : 02; +4D94 : a9; +4D95 : 18; +4D96 : 85; +4D97 : 03; +4D98 : a9; +4D99 : 50; +4D9A : 4c; +4D9B : 8c; +4D9C : f0; +4D9D : a9; +4D9E : 8d; +4D9F : 85; +4DA0 : 00; +4DA1 : a9; +4DA2 : 20; +4DA3 : 85; +4DA4 : 01; +4DA5 : a5; +4DA6 : 43; +4DA7 : 29; +4DA8 : 01; +4DA9 : f0; +4DAA : 03; +4DAB : 4c; +4DAC : 89; +4DAD : eb; +4DAE : 4c; +4DAF : 92; +4DB0 : eb; +4DB1 : c9; +4DB2 : 8f; +4DB3 : d0; +4DB4 : 22; +4DB5 : c6; +4DB6 : 43; +4DB7 : a0; +4DB8 : 10; +4DB9 : a9; +4DBA : 10; +4DBB : 20; +4DBC : 23; +4DBD : c8; +4DBE : a9; +4DBF : 01; +4DC0 : 85; +4DC1 : fe; +4DC2 : a9; +4DC3 : 68; +4DC4 : 85; +4DC5 : 00; +4DC6 : a9; +4DC7 : 3e; +4DC8 : 85; +4DC9 : 01; +4DCA : a9; +4DCB : 40; +4DCC : 85; +4DCD : 02; +4DCE : a9; +4DCF : 46; +4DD0 : 85; +4DD1 : 03; +4DD2 : a9; +4DD3 : 50; +4DD4 : 4c; +4DD5 : 80; +4DD6 : f0; +4DD7 : ad; +4DD8 : 50; +4DD9 : 02; +4DDA : c9; +4DDB : a0; +4DDC : f0; +4DDD : 11; +4DDE : c9; +4DDF : ff; +4DE0 : f0; +4DE1 : 11; +4DE2 : 18; +4DE3 : 69; +4DE4 : 02; +4DE5 : 85; +4DE6 : 01; +4DE7 : ad; +4DE8 : 53; +4DE9 : 02; +4DEA : 85; +4DEB : 00; +4DEC : 4c; +4DED : ca; +4DEE : cd; +4DEF : a9; +4DF0 : 80; +4DF1 : 85; +4DF2 : fe; +4DF3 : a9; +4DF4 : 18; +4DF5 : 85; +4DF6 : 03; +4DF7 : a9; +4DF8 : 50; +4DF9 : 20; +4DFA : 8c; +4DFB : f0; +4DFC : a9; +4DFD : eb; +4DFE : 85; +4DFF : 00; +4E00 : a9; +4E01 : 23; +4E02 : 85; +4E03 : 01; +4E04 : a9; +4E05 : 12; +4E06 : 20; +4E07 : 15; +4E08 : c8; +4E09 : a9; +4E0A : 01; +4E0B : 4c; +4E0C : 0e; +4E0D : ce; +4E0E : 08; +4E0F : a9; +4E10 : 8d; +4E11 : 85; +4E12 : 00; +4E13 : a9; +4E14 : 22; +4E15 : 85; +4E16 : 01; +4E17 : 28; +4E18 : d0; +4E19 : 05; +4E1A : a9; +4E1B : 16; +4E1C : 4c; +4E1D : 15; +4E1E : c8; +4E1F : a9; +4E20 : 14; +4E21 : 4c; +4E22 : 15; +4E23 : c8; +4E24 : c9; +4E25 : 85; +4E26 : f0; +4E27 : 07; +4E28 : a5; +4E29 : 43; +4E2A : 29; +4E2B : 01; +4E2C : 4c; +4E2D : 0e; +4E2E : ce; +4E2F : a9; +4E30 : 04; +4E31 : 85; +4E32 : fd; +4E33 : a0; +4E34 : 04; +4E35 : a9; +4E36 : 18; +4E37 : 20; +4E38 : 23; +4E39 : c8; +4E3A : a9; +4E3B : 78; +4E3C : 85; +4E3D : 00; +4E3E : a9; +4E3F : 20; +4E40 : 85; +4E41 : 01; +4E42 : a9; +4E43 : c8; +4E44 : 85; +4E45 : 02; +4E46 : a9; +4E47 : 22; +4E48 : 85; +4E49 : 03; +4E4A : a9; +4E4B : b0; +4E4C : 20; +4E4D : 80; +4E4E : f0; +4E4F : c6; +4E50 : 43; +4E51 : a9; +4E52 : a0; +4E53 : 85; +4E54 : 00; +4E55 : a9; +4E56 : 30; +4E57 : 85; +4E58 : 01; +4E59 : a9; +4E5A : 04; +4E5B : 20; +4E5C : d4; +4E5D : ea; +4E5E : a9; +4E5F : 00; +4E60 : 20; +4E61 : 86; +4E62 : f0; +4E63 : a9; +4E64 : 28; +4E65 : 8d; +4E66 : e8; +4E67 : 02; +4E68 : 8d; +4E69 : ec; +4E6A : 02; +4E6B : a9; +4E6C : 30; +4E6D : 8d; +4E6E : f0; +4E6F : 02; +4E70 : 8d; +4E71 : f8; +4E72 : 02; +4E73 : a9; +4E74 : 38; +4E75 : 8d; +4E76 : f4; +4E77 : 02; +4E78 : 8d; +4E79 : fc; +4E7A : 02; +4E7B : 60; +4E7C : a5; +4E7D : 58; +4E7E : f0; +4E7F : 14; +4E80 : ad; +4E81 : 02; +4E82 : 01; +4E83 : d0; +4E84 : 06; +4E85 : 8d; +4E86 : 15; +4E87 : 40; +4E88 : 8d; +4E89 : 00; +4E8A : 01; +4E8B : a5; +4E8C : 15; +4E8D : 29; +4E8E : 20; +4E8F : f0; +4E90 : 03; +4E91 : 4c; +4E92 : 2b; +4E93 : cf; +4E94 : ad; +4E95 : 16; +4E96 : 05; +4E97 : d0; +4E98 : 15; +4E99 : ad; +4E9A : 17; +4E9B : 05; +4E9C : f0; +4E9D : 04; +4E9E : ce; +4E9F : 17; +4EA0 : 05; +4EA1 : 60; +4EA2 : 20; +4EA3 : 04; +4EA4 : cc; +4EA5 : 20; +4EA6 : a8; +4EA7 : cf; +4EA8 : a5; +4EA9 : 9a; +4EAA : c9; +4EAB : 01; +4EAC : d0; +4EAD : 03; +4EAE : 4c; +4EAF : 1c; +4EB0 : cf; +4EB1 : a5; +4EB2 : bf; +4EB3 : f0; +4EB4 : 03; +4EB5 : 4c; +4EB6 : 13; +4EB7 : cf; +4EB8 : a5; +4EB9 : 96; +4EBA : c9; +4EBB : ff; +4EBC : d0; +4EBD : 03; +4EBE : 4c; +4EBF : 19; +4EC0 : cf; +4EC1 : c9; +4EC2 : 08; +4EC3 : f0; +4EC4 : 11; +4EC5 : c9; +4EC6 : 04; +4EC7 : f0; +4EC8 : 0d; +4EC9 : a5; +4ECA : 58; +4ECB : f0; +4ECC : 06; +4ECD : 20; +4ECE : da; +4ECF : eb; +4ED0 : 4c; +4ED1 : d6; +4ED2 : ce; +4ED3 : 20; +4ED4 : 75; +4ED5 : d1; +4ED6 : 20; +4ED7 : 06; +4ED8 : eb; +4ED9 : 20; +4EDA : b6; +4EDB : eb; +4EDC : 20; +4EDD : 41; +4EDE : d0; +4EDF : 20; +4EE0 : a4; +4EE1 : d1; +4EE2 : 20; +4EE3 : 5f; +4EE4 : ea; +4EE5 : 20; +4EE6 : e5; +4EE7 : e1; +4EE8 : 20; +4EE9 : 79; +4EEA : ee; +4EEB : a5; +4EEC : 53; +4EED : c9; +4EEE : 03; +4EEF : f0; +4EF0 : 10; +4EF1 : c9; +4EF2 : 04; +4EF3 : f0; +4EF4 : 18; +4EF5 : 20; +4EF6 : 16; +4EF7 : da; +4EF8 : 20; +4EF9 : 9a; +4EFA : e1; +4EFB : 20; +4EFC : 29; +4EFD : ec; +4EFE : 4c; +4EFF : 1c; +4F00 : cf; +4F01 : 20; +4F02 : 34; +4F03 : e8; +4F04 : 20; +4F05 : 81; +4F06 : e9; +4F07 : 20; +4F08 : 29; +4F09 : ec; +4F0A : 4c; +4F0B : 1c; +4F0C : cf; +4F0D : 20; +4F0E : 29; +4F0F : ec; +4F10 : 4c; +4F11 : 1c; +4F12 : cf; +4F13 : 20; +4F14 : 0c; +4F15 : ee; +4F16 : 4c; +4F17 : 1c; +4F18 : cf; +4F19 : 20; +4F1A : c0; +4F1B : d0; +4F1C : 20; +4F1D : 42; +4F1E : cf; +4F1F : ad; +4F20 : 16; +4F21 : 05; +4F22 : d0; +4F23 : 06; +4F24 : 20; +4F25 : 4c; +4F26 : d0; +4F27 : 20; +4F28 : ac; +4F29 : f4; +4F2A : 60; +4F2B : a9; +4F2C : 01; +4F2D : 85; +4F2E : 4e; +4F2F : 8d; +4F30 : 12; +4F31 : 05; +4F32 : 85; +4F33 : 55; +4F34 : a9; +4F35 : 20; +4F36 : 85; +4F37 : 44; +4F38 : a9; +4F39 : 00; +4F3A : 85; +4F3B : 58; +4F3C : 8d; +4F3D : 10; +4F3E : 05; +4F3F : 4c; +4F40 : 53; +4F41 : ca; +4F42 : a5; +4F43 : 15; +4F44 : 29; +4F45 : 10; +4F46 : f0; +4F47 : 47; +4F48 : a5; +4F49 : 58; +4F4A : f0; +4F4B : 09; +4F4C : a9; +4F4D : 00; +4F4E : 85; +4F4F : 58; +4F50 : a5; +4F51 : 15; +4F52 : 4c; +4F53 : 8a; +4F54 : c9; +4F55 : a5; +4F56 : 15; +4F57 : cd; +4F58 : 14; +4F59 : 05; +4F5A : f0; +4F5B : 36; +4F5C : 8d; +4F5D : 14; +4F5E : 05; +4F5F : ad; +4F60 : 16; +4F61 : 05; +4F62 : f0; +4F63 : 16; +4F64 : ad; +4F65 : 17; +4F66 : 05; +4F67 : d0; +4F68 : 10; +4F69 : 8d; +4F6A : 16; +4F6B : 05; +4F6C : a5; +4F6D : 0f; +4F6E : 85; +4F6F : fc; +4F70 : a5; +4F71 : 11; +4F72 : 29; +4F73 : ef; +4F74 : 85; +4F75 : 11; +4F76 : 4c; +4F77 : 87; +4F78 : cf; +4F79 : 60; +4F7A : a9; +4F7B : 01; +4F7C : 8d; +4F7D : 16; +4F7E : 05; +4F7F : a5; +4F80 : fc; +4F81 : 85; +4F82 : 0f; +4F83 : a9; +4F84 : 00; +4F85 : 85; +4F86 : fc; +4F87 : a9; +4F88 : 40; +4F89 : 8d; +4F8A : 17; +4F8B : 05; +4F8C : 85; +4F8D : fd; +4F8E : 60; +4F8F : 8d; +4F90 : 14; +4F91 : 05; +4F92 : ad; +4F93 : 17; +4F94 : 05; +4F95 : f0; +4F96 : 04; +4F97 : ce; +4F98 : 17; +4F99 : 05; +4F9A : 60; +4F9B : ad; +4F9C : 16; +4F9D : 05; +4F9E : d0; +4F9F : 01; +4FA0 : 60; +4FA1 : a5; +4FA2 : 11; +4FA3 : 09; +4FA4 : 10; +4FA5 : 85; +4FA6 : 11; +4FA7 : 60; +4FA8 : a2; +4FA9 : 00; +4FAA : a0; +4FAB : 00; +4FAC : b5; +4FAD : 41; +4FAE : d0; +4FAF : 08; +4FB0 : a9; +4FB1 : ff; +4FB2 : 99; +4FB3 : c0; +4FB4 : 02; +4FB5 : 99; +4FB6 : c4; +4FB7 : 02; +4FB8 : e8; +4FB9 : c8; +4FBA : c8; +4FBB : c8; +4FBC : c8; +4FBD : c8; +4FBE : c8; +4FBF : c8; +4FC0 : c8; +4FC1 : e0; +4FC2 : 02; +4FC3 : 30; +4FC4 : e7; +4FC5 : 60; +4FC6 : a0; +4FC7 : 00; +4FC8 : 84; +4FC9 : 0f; +4FCA : 20; +4FCB : 08; +4FCC : d0; +4FCD : b9; +4FCE : c0; +4FCF : 02; +4FD0 : c9; +4FD1 : ff; +4FD2 : d0; +4FD3 : 25; +4FD4 : a5; +4FD5 : 05; +4FD6 : 99; +4FD7 : c3; +4FD8 : 02; +4FD9 : 18; +4FDA : 69; +4FDB : 08; +4FDC : 99; +4FDD : c7; +4FDE : 02; +4FDF : a5; +4FE0 : 06; +4FE1 : 99; +4FE2 : c0; +4FE3 : 02; +4FE4 : 99; +4FE5 : c4; +4FE6 : 02; +4FE7 : bd; +4FE8 : 04; +4FE9 : c6; +4FEA : 99; +4FEB : c1; +4FEC : 02; +4FED : a9; +4FEE : d4; +4FEF : 99; +4FF0 : c5; +4FF1 : 02; +4FF2 : a6; +4FF3 : 0f; +4FF4 : a9; +4FF5 : 03; +4FF6 : 95; +4FF7 : 41; +4FF8 : 60; +4FF9 : c8; +4FFA : c8; +4FFB : c8; +4FFC : c8; +4FFD : c8; +4FFE : c8; +4FFF : c8; +5000 : c8; +5001 : e6; +5002 : 0f; +5003 : c0; +5004 : 10; +5005 : 30; +5006 : c6; +5007 : 60; +5008 : 8a; +5009 : 48; +500A : 98; +500B : 48; +500C : a5; +500D : 58; +500E : d0; +500F : 1a; +5010 : a5; +5011 : 52; +5012 : 09; +5013 : 18; +5014 : 85; +5015 : 01; +5016 : bd; +5017 : 00; +5018 : c6; +5019 : 85; +501A : 00; +501B : a5; +501C : 05; +501D : 48; +501E : a5; +501F : 06; +5020 : 48; +5021 : 20; +5022 : 42; +5023 : f3; +5024 : 68; +5025 : 85; +5026 : 06; +5027 : 68; +5028 : 85; +5029 : 05; +502A : 20; +502B : 32; +502C : d0; +502D : 68; +502E : a8; +502F : 68; +5030 : aa; +5031 : 60; +5032 : ad; +5033 : 05; +5034 : 05; +5035 : 09; +5036 : 01; +5037 : 8d; +5038 : 05; +5039 : 05; +503A : a9; +503B : f9; +503C : 85; +503D : 00; +503E : 4c; +503F : 35; +5040 : f4; +5041 : a5; +5042 : 2e; +5043 : c9; +5044 : 10; +5045 : 10; +5046 : 04; +5047 : a9; +5048 : 20; +5049 : 85; +504A : fc; +504B : 60; +504C : a5; +504D : 9a; +504E : d0; +504F : 42; +5050 : a6; +5051 : 53; +5052 : e0; +5053 : 04; +5054 : f0; +5055 : 0d; +5056 : a5; +5057 : 5a; +5058 : f0; +5059 : 65; +505A : ca; +505B : bd; +505C : fa; +505D : c1; +505E : c5; +505F : 59; +5060 : f0; +5061 : 12; +5062 : 60; +5063 : a2; +5064 : 00; +5065 : b5; +5066 : c1; +5067 : f0; +5068 : 56; +5069 : e8; +506A : 8e; +506B : 4f; +506C : 04; +506D : e0; +506E : 08; +506F : d0; +5070 : f4; +5071 : 4c; +5072 : 86; +5073 : d0; +5074 : 20; +5075 : e1; +5076 : ea; +5077 : a9; +5078 : 04; +5079 : 20; +507A : d4; +507B : ea; +507C : 20; +507D : cd; +507E : ea; +507F : 20; +5080 : 88; +5081 : f0; +5082 : a9; +5083 : 02; +5084 : 85; +5085 : fd; +5086 : a9; +5087 : 00; +5088 : 85; +5089 : fc; +508A : a9; +508B : 01; +508C : 85; +508D : 9a; +508E : a9; +508F : 00; +5090 : 85; +5091 : 3a; +5092 : a5; +5093 : 3a; +5094 : d0; +5095 : 29; +5096 : e6; +5097 : 53; +5098 : a5; +5099 : 53; +509A : c9; +509B : 02; +509C : f0; +509D : 07; +509E : c9; +509F : 05; +50A0 : b0; +50A1 : 08; +50A2 : 4c; +50A3 : b5; +50A4 : d0; +50A5 : e6; +50A6 : 53; +50A7 : 4c; +50A8 : b5; +50A9 : d0; +50AA : a9; +50AB : 01; +50AC : 85; +50AD : 53; +50AE : e6; +50AF : 54; +50B0 : a9; +50B1 : a0; +50B2 : 85; +50B3 : 43; +50B4 : 60; +50B5 : a9; +50B6 : 8d; +50B7 : 85; +50B8 : 43; +50B9 : a9; +50BA : 00; +50BB : 85; +50BC : 4f; +50BD : 85; +50BE : 9a; +50BF : 60; +50C0 : a9; +50C1 : 00; +50C2 : 85; +50C3 : fc; +50C4 : a9; +50C5 : 10; +50C6 : 20; +50C7 : e6; +50C8 : d9; +50C9 : f0; +50CA : 6d; +50CB : a5; +50CC : 98; +50CD : c9; +50CE : ff; +50CF : f0; +50D0 : 5f; +50D1 : a5; +50D2 : 98; +50D3 : d0; +50D4 : 0f; +50D5 : a5; +50D6 : 58; +50D7 : d0; +50D8 : 04; +50D9 : a9; +50DA : 80; +50DB : 85; +50DC : fe; +50DD : a9; +50DE : 40; +50DF : 85; +50E0 : 3a; +50E1 : e6; +50E2 : 98; +50E3 : 60; +50E4 : a5; +50E5 : 3a; +50E6 : f0; +50E7 : 10; +50E8 : c9; +50E9 : 0e; +50EA : 90; +50EB : 4c; +50EC : a5; +50ED : 58; +50EE : d0; +50EF : 04; +50F0 : a9; +50F1 : 01; +50F2 : 85; +50F3 : ff; +50F4 : a9; +50F5 : 00; +50F6 : 85; +50F7 : 3a; +50F8 : ad; +50F9 : 01; +50FA : 02; +50FB : c9; +50FC : 6c; +50FD : b0; +50FE : 02; +50FF : a9; +5100 : 6c; +5101 : 18; +5102 : 69; +5103 : 04; +5104 : c9; +5105 : 7c; +5106 : 90; +5107 : 17; +5108 : e6; +5109 : 98; +510A : a5; +510B : 98; +510C : c9; +510D : 05; +510E : f0; +510F : 05; +5110 : a9; +5111 : 6c; +5112 : 4c; +5113 : 1f; +5114 : d1; +5115 : a5; +5116 : 58; +5117 : f0; +5118 : 04; +5119 : a9; +511A : 7d; +511B : 85; +511C : 3a; +511D : a9; +511E : 7c; +511F : 85; +5120 : 02; +5121 : 20; +5122 : e1; +5123 : ea; +5124 : 20; +5125 : cd; +5126 : ea; +5127 : 20; +5128 : 82; +5129 : f0; +512A : a5; +512B : 98; +512C : c9; +512D : 05; +512E : d0; +512F : 08; +5130 : a9; +5131 : ff; +5132 : 85; +5133 : 98; +5134 : a5; +5135 : 3a; +5136 : f0; +5137 : 01; +5138 : 60; +5139 : a6; +513A : 52; +513B : 20; +513C : b9; +513D : ca; +513E : a5; +513F : 55; +5140 : d0; +5141 : 09; +5142 : a9; +5143 : 01; +5144 : 85; +5145 : 4e; +5146 : a9; +5147 : 87; +5148 : 85; +5149 : 43; +514A : 60; +514B : a5; +514C : 51; +514D : c9; +514E : 1c; +514F : d0; +5150 : 18; +5151 : a5; +5152 : 52; +5153 : 49; +5154 : 01; +5155 : aa; +5156 : 86; +5157 : 52; +5158 : bd; +5159 : 06; +515A : 04; +515B : f0; +515C : 09; +515D : 8a; +515E : 49; +515F : 01; +5160 : aa; +5161 : 86; +5162 : 52; +5163 : 4c; +5164 : 69; +5165 : d1; +5166 : 20; +5167 : a9; +5168 : ca; +5169 : a9; +516A : 87; +516B : 85; +516C : 43; +516D : 8d; +516E : 0b; +516F : 04; +5170 : a9; +5171 : 00; +5172 : 85; +5173 : 4f; +5174 : 60; +5175 : a5; +5176 : 52; +5177 : 0a; +5178 : aa; +5179 : b5; +517A : 15; +517B : 29; +517C : 0f; +517D : 85; +517E : 56; +517F : f0; +5180 : 08; +5181 : 4a; +5182 : 4a; +5183 : d0; +5184 : 04; +5185 : a5; +5186 : 56; +5187 : 85; +5188 : 57; +5189 : a5; +518A : 96; +518B : c9; +518C : 01; +518D : d0; +518E : 0a; +518F : b5; +5190 : 15; +5191 : 29; +5192 : 80; +5193 : f0; +5194 : 04; +5195 : a9; +5196 : 04; +5197 : 85; +5198 : 96; +5199 : 60; +519A : a5; +519B : 11; +519C : 29; +519D : e7; +519E : 8d; +519F : 01; +51A0 : 20; +51A1 : 85; +51A2 : 11; +51A3 : 60; +51A4 : a5; +51A5 : 96; +51A6 : c9; +51A7 : 01; +51A8 : f0; +51A9 : 11; +51AA : c9; +51AB : 02; +51AC : f0; +51AD : 15; +51AE : c9; +51AF : 04; +51B0 : f0; +51B1 : 14; +51B2 : c9; +51B3 : 08; +51B4 : f0; +51B5 : 13; +51B6 : c9; +51B7 : 0a; +51B8 : f0; +51B9 : 12; +51BA : 60; +51BB : 20; +51BC : cf; +51BD : d1; +51BE : a5; +51BF : 96; +51C0 : 4c; +51C1 : aa; +51C2 : d1; +51C3 : 4c; +51C4 : 7e; +51C5 : d3; +51C6 : 4c; +51C7 : 47; +51C8 : d5; +51C9 : 4c; +51CA : 97; +51CB : d6; +51CC : 4c; +51CD : c6; +51CE : d6; +51CF : a5; +51D0 : 56; +51D1 : c9; +51D2 : 01; +51D3 : f0; +51D4 : 10; +51D5 : c9; +51D6 : 02; +51D7 : f0; +51D8 : 0c; +51D9 : c9; +51DA : 04; +51DB : f0; +51DC : 05; +51DD : c9; +51DE : 08; +51DF : f0; +51E0 : 01; +51E1 : 60; +51E2 : 4c; +51E3 : 8b; +51E4 : d2; +51E5 : a9; +51E6 : db; +51E7 : 85; +51E8 : 0a; +51E9 : a9; +51EA : 36; +51EB : 20; +51EC : e8; +51ED : d9; +51EE : d0; +51EF : 03; +51F0 : 4c; +51F1 : 75; +51F2 : d2; +51F3 : 20; +51F4 : 90; +51F5 : d9; +51F6 : f0; +51F7 : 01; +51F8 : 60; +51F9 : a5; +51FA : 56; +51FB : c9; +51FC : 02; +51FD : f0; +51FE : 06; +51FF : ee; +5200 : 03; +5201 : 02; +5202 : 4c; +5203 : 08; +5204 : d2; +5205 : ce; +5206 : 03; +5207 : 02; +5208 : 20; +5209 : cb; +520A : d2; +520B : 85; +520C : 5a; +520D : ad; +520E : 00; +520F : 02; +5210 : 20; +5211 : 16; +5212 : e0; +5213 : 85; +5214 : 59; +5215 : 20; +5216 : eb; +5217 : d8; +5218 : f0; +5219 : 19; +521A : a6; +521B : 53; +521C : e0; +521D : 01; +521E : d0; +521F : 07; +5220 : 18; +5221 : 6d; +5222 : 00; +5223 : 02; +5224 : 8d; +5225 : 00; +5226 : 02; +5227 : 20; +5228 : 6a; +5229 : d3; +522A : c9; +522B : 00; +522C : f0; +522D : 05; +522E : a9; +522F : 08; +5230 : 85; +5231 : 96; +5232 : 60; +5233 : a5; +5234 : 9b; +5235 : d0; +5236 : 07; +5237 : a9; +5238 : 01; +5239 : 85; +523A : 9b; +523B : 4c; +523C : 75; +523D : d2; +523E : a9; +523F : 08; +5240 : 85; +5241 : ff; +5242 : a9; +5243 : 00; +5244 : 85; +5245 : 9b; +5246 : a5; +5247 : 97; +5248 : f0; +5249 : 18; +524A : c9; +524B : 08; +524C : f0; +524D : 1f; +524E : a9; +524F : 04; +5250 : 85; +5251 : 97; +5252 : a5; +5253 : 85; +5254 : f0; +5255 : 05; +5256 : a9; +5257 : 00; +5258 : 4c; +5259 : 5d; +525A : d2; +525B : a9; +525C : 08; +525D : 85; +525E : 97; +525F : 4c; +5260 : 75; +5261 : d2; +5262 : a9; +5263 : 04; +5264 : 85; +5265 : 97; +5266 : a9; +5267 : 00; +5268 : 85; +5269 : 85; +526A : 4c; +526B : 75; +526C : d2; +526D : a9; +526E : 04; +526F : 85; +5270 : 97; +5271 : a9; +5272 : 01; +5273 : 85; +5274 : 85; +5275 : 20; +5276 : e1; +5277 : ea; +5278 : a5; +5279 : 97; +527A : 85; +527B : 02; +527C : 20; +527D : cd; +527E : ea; +527F : a5; +5280 : 56; +5281 : c9; +5282 : 02; +5283 : f0; +5284 : 03; +5285 : 4c; +5286 : 82; +5287 : f0; +5288 : 4c; +5289 : 88; +528A : f0; +528B : 20; +528C : e1; +528D : ea; +528E : a9; +528F : 86; +5290 : 85; +5291 : 02; +5292 : a9; +5293 : c1; +5294 : 85; +5295 : 03; +5296 : 20; +5297 : eb; +5298 : ef; +5299 : a5; +529A : 53; +529B : 38; +529C : e9; +529D : 01; +529E : 0a; +529F : aa; +52A0 : bd; +52A1 : 7b; +52A2 : c4; +52A3 : 85; +52A4 : 04; +52A5 : bd; +52A6 : 7c; +52A7 : c4; +52A8 : 85; +52A9 : 05; +52AA : bd; +52AB : 83; +52AC : c4; +52AD : 85; +52AE : 06; +52AF : bd; +52B0 : 84; +52B1 : c4; +52B2 : 85; +52B3 : 07; +52B4 : 20; +52B5 : ad; +52B6 : d8; +52B7 : f0; +52B8 : 11; +52B9 : a5; +52BA : 00; +52BB : 38; +52BC : e9; +52BD : 04; +52BE : 85; +52BF : a1; +52C0 : a9; +52C1 : 02; +52C2 : 85; +52C3 : 96; +52C4 : a9; +52C5 : 00; +52C6 : 85; +52C7 : 5b; +52C8 : 85; +52C9 : 5c; +52CA : 60; +52CB : 20; +52CC : e1; +52CD : ea; +52CE : a5; +52CF : 96; +52D0 : c9; +52D1 : 04; +52D2 : f0; +52D3 : 09; +52D4 : c9; +52D5 : 08; +52D6 : f0; +52D7 : 05; +52D8 : a9; +52D9 : 2c; +52DA : 4c; +52DB : df; +52DC : d2; +52DD : a9; +52DE : 4a; +52DF : 20; +52E0 : e8; +52E1 : ef; +52E2 : a5; +52E3 : 53; +52E4 : c9; +52E5 : 01; +52E6 : f0; +52E7 : 08; +52E8 : 38; +52E9 : e9; +52EA : 01; +52EB : 0a; +52EC : aa; +52ED : 4c; +52EE : fd; +52EF : d2; +52F0 : a9; +52F1 : 1a; +52F2 : 20; +52F3 : 31; +52F4 : c8; +52F5 : 20; +52F6 : 1a; +52F7 : d9; +52F8 : 85; +52F9 : 0c; +52FA : 4c; +52FB : 23; +52FC : d3; +52FD : bd; +52FE : 6b; +52FF : c4; +5300 : 85; +5301 : 04; +5302 : bd; +5303 : 6c; +5304 : c4; +5305 : 85; +5306 : 05; +5307 : bd; +5308 : 73; +5309 : c4; +530A : 85; +530B : 06; +530C : bd; +530D : 74; +530E : c4; +530F : 85; +5310 : 07; +5311 : 20; +5312 : ad; +5313 : d8; +5314 : 85; +5315 : 0c; +5316 : d0; +5317 : 0b; +5318 : a5; +5319 : 53; +531A : c9; +531B : 03; +531C : d0; +531D : 05; +531E : 20; +531F : 26; +5320 : d3; +5321 : 85; +5322 : 0c; +5323 : a5; +5324 : 0c; +5325 : 60; +5326 : a9; +5327 : 2a; +5328 : 20; +5329 : 47; +532A : c8; +532B : a9; +532C : 00; +532D : 85; +532E : d2; +532F : a5; +5330 : d2; +5331 : c9; +5332 : 06; +5333 : f0; +5334 : 30; +5335 : aa; +5336 : bc; +5337 : cc; +5338 : c2; +5339 : b9; +533A : 00; +533B : 02; +533C : c9; +533D : ff; +533E : f0; +533F : 0e; +5340 : 85; +5341 : 01; +5342 : b9; +5343 : 03; +5344 : 02; +5345 : 85; +5346 : 00; +5347 : 20; +5348 : ef; +5349 : ef; +534A : c9; +534B : 01; +534C : f0; +534D : 05; +534E : e6; +534F : d2; +5350 : 4c; +5351 : 2f; +5352 : d3; +5353 : a5; +5354 : d2; +5355 : c9; +5356 : 03; +5357 : b0; +5358 : 05; +5359 : a9; +535A : 01; +535B : 4c; +535C : 60; +535D : d3; +535E : a9; +535F : 02; +5360 : 85; +5361 : da; +5362 : a9; +5363 : 01; +5364 : 60; +5365 : a9; +5366 : 00; +5367 : 85; +5368 : da; +5369 : 60; +536A : a5; +536B : 53; +536C : c9; +536D : 01; +536E : f0; +536F : 03; +5370 : 4c; +5371 : 7b; +5372 : d3; +5373 : a9; +5374 : 1c; +5375 : 20; +5376 : 31; +5377 : c8; +5378 : 4c; +5379 : ad; +537A : d8; +537B : a9; +537C : 01; +537D : 60; +537E : a5; +537F : 56; +5380 : c9; +5381 : 08; +5382 : f0; +5383 : 0a; +5384 : c9; +5385 : 04; +5386 : f0; +5387 : 03; +5388 : 4c; +5389 : cf; +538A : d4; +538B : 4c; +538C : 32; +538D : d4; +538E : a5; +538F : 5a; +5390 : f0; +5391 : 0a; +5392 : 20; +5393 : e1; +5394 : ea; +5395 : c6; +5396 : 01; +5397 : 20; +5398 : 0a; +5399 : d5; +539A : d0; +539B : 31; +539C : a9; +539D : 24; +539E : 85; +539F : 0a; +53A0 : a9; +53A1 : 49; +53A2 : 20; +53A3 : e8; +53A4 : d9; +53A5 : d0; +53A6 : 08; +53A7 : ad; +53A8 : 00; +53A9 : 02; +53AA : 85; +53AB : 01; +53AC : 4c; +53AD : cf; +53AE : d4; +53AF : 20; +53B0 : 0a; +53B1 : d5; +53B2 : f0; +53B3 : 33; +53B4 : c9; +53B5 : 02; +53B6 : d0; +53B7 : 03; +53B8 : 4c; +53B9 : cf; +53BA : d4; +53BB : a5; +53BC : 5b; +53BD : f0; +53BE : 11; +53BF : 18; +53C0 : 69; +53C1 : 01; +53C2 : c9; +53C3 : 10; +53C4 : f0; +53C5 : 0c; +53C6 : 90; +53C7 : 0a; +53C8 : a9; +53C9 : 10; +53CA : 4c; +53CB : d2; +53CC : d3; +53CD : 4c; +53CE : cf; +53CF : d4; +53D0 : a9; +53D1 : 01; +53D2 : 85; +53D3 : 5b; +53D4 : aa; +53D5 : ca; +53D6 : bd; +53D7 : 47; +53D8 : c1; +53D9 : 85; +53DA : 02; +53DB : a9; +53DC : 00; +53DD : 85; +53DE : 5a; +53DF : 85; +53E0 : 5c; +53E1 : 20; +53E2 : ee; +53E3 : d4; +53E4 : 4c; +53E5 : 0d; +53E6 : d4; +53E7 : a5; +53E8 : 5c; +53E9 : f0; +53EA : 0e; +53EB : 18; +53EC : 69; +53ED : 01; +53EE : c9; +53EF : 06; +53F0 : f0; +53F1 : 09; +53F2 : 90; +53F3 : 07; +53F4 : a9; +53F5 : 01; +53F6 : 4c; +53F7 : fb; +53F8 : d3; +53F9 : a9; +53FA : 02; +53FB : 85; +53FC : 5c; +53FD : aa; +53FE : ca; +53FF : bd; +5400 : 59; +5401 : c1; +5402 : 85; +5403 : 02; +5404 : a9; +5405 : 00; +5406 : 85; +5407 : 5a; +5408 : 85; +5409 : 5b; +540A : 20; +540B : ee; +540C : d4; +540D : a5; +540E : a1; +540F : 85; +5410 : 00; +5411 : 8d; +5412 : 03; +5413 : 02; +5414 : 20; +5415 : d1; +5416 : ea; +5417 : a9; +5418 : 00; +5419 : 85; +541A : 04; +541B : a5; +541C : 02; +541D : c9; +541E : 54; +541F : f0; +5420 : 05; +5421 : a9; +5422 : 00; +5423 : 4c; +5424 : 2c; +5425 : d4; +5426 : a9; +5427 : 24; +5428 : 85; +5429 : 02; +542A : a9; +542B : 01; +542C : 20; +542D : 96; +542E : f0; +542F : 4c; +5430 : cf; +5431 : d4; +5432 : a5; +5433 : 5a; +5434 : f0; +5435 : 0f; +5436 : 20; +5437 : e1; +5438 : ea; +5439 : e6; +543A : 01; +543B : 20; +543C : 0a; +543D : d5; +543E : c9; +543F : 01; +5440 : f0; +5441 : 03; +5442 : 4c; +5443 : cf; +5444 : d4; +5445 : a9; +5446 : 24; +5447 : 85; +5448 : 0a; +5449 : a9; +544A : 49; +544B : 85; +544C : 0b; +544D : 20; +544E : e6; +544F : d9; +5450 : d0; +5451 : 08; +5452 : ad; +5453 : 00; +5454 : 02; +5455 : 85; +5456 : 01; +5457 : 4c; +5458 : cf; +5459 : d4; +545A : 20; +545B : 0a; +545C : d5; +545D : f0; +545E : 2c; +545F : c9; +5460 : 02; +5461 : f0; +5462 : 28; +5463 : a5; +5464 : 5b; +5465 : f0; +5466 : 0a; +5467 : 38; +5468 : e9; +5469 : 01; +546A : c9; +546B : 01; +546C : 90; +546D : 08; +546E : 4c; +546F : 78; +5470 : d4; +5471 : a9; +5472 : 0d; +5473 : 4c; +5474 : 78; +5475 : d4; +5476 : a9; +5477 : 01; +5478 : 85; +5479 : 5b; +547A : aa; +547B : ca; +547C : bd; +547D : 47; +547E : c1; +547F : 85; +5480 : 02; +5481 : a9; +5482 : 03; +5483 : 85; +5484 : 5c; +5485 : 20; +5486 : f9; +5487 : d4; +5488 : 4c; +5489 : b1; +548A : d4; +548B : a5; +548C : 5c; +548D : f0; +548E : 0e; +548F : 18; +5490 : 69; +5491 : 01; +5492 : c9; +5493 : 06; +5494 : f0; +5495 : 09; +5496 : 90; +5497 : 07; +5498 : a9; +5499 : 01; +549A : 4c; +549B : 9f; +549C : d4; +549D : a9; +549E : 01; +549F : 85; +54A0 : 5c; +54A1 : 38; +54A2 : e9; +54A3 : 01; +54A4 : aa; +54A5 : bd; +54A6 : 59; +54A7 : c1; +54A8 : 85; +54A9 : 02; +54AA : a9; +54AB : 00; +54AC : 85; +54AD : 5b; +54AE : 20; +54AF : f9; +54B0 : d4; +54B1 : a5; +54B2 : a1; +54B3 : 8d; +54B4 : 03; +54B5 : 02; +54B6 : 85; +54B7 : 00; +54B8 : 20; +54B9 : cd; +54BA : ea; +54BB : a5; +54BC : 02; +54BD : c9; +54BE : 54; +54BF : f0; +54C0 : 05; +54C1 : a9; +54C2 : 00; +54C3 : 4c; +54C4 : cc; +54C5 : d4; +54C6 : a9; +54C7 : 24; +54C8 : 85; +54C9 : 02; +54CA : a9; +54CB : 01; +54CC : 20; +54CD : 96; +54CE : f0; +54CF : 20; +54D0 : cb; +54D1 : d2; +54D2 : 85; +54D3 : 5a; +54D4 : f0; +54D5 : 17; +54D6 : ad; +54D7 : 00; +54D8 : 02; +54D9 : 18; +54DA : 69; +54DB : 08; +54DC : 20; +54DD : 16; +54DE : e0; +54DF : 85; +54E0 : 59; +54E1 : a9; +54E2 : 01; +54E3 : 85; +54E4 : 96; +54E5 : a9; +54E6 : 00; +54E7 : 85; +54E8 : 5c; +54E9 : 85; +54EA : 5b; +54EB : 85; +54EC : 85; +54ED : 60; +54EE : ad; +54EF : 00; +54F0 : 02; +54F1 : 38; +54F2 : e9; +54F3 : 01; +54F4 : 85; +54F5 : 01; +54F6 : 4c; +54F7 : 01; +54F8 : d5; +54F9 : ad; +54FA : 00; +54FB : 02; +54FC : 18; +54FD : 69; +54FE : 01; +54FF : 85; +5500 : 01; +5501 : 29; +5502 : 06; +5503 : d0; +5504 : 04; +5505 : a9; +5506 : 08; +5507 : 85; +5508 : ff; +5509 : 60; +550A : 20; +550B : e1; +550C : ea; +550D : a9; +550E : 2c; +550F : 20; +5510 : e8; +5511 : ef; +5512 : a5; +5513 : 53; +5514 : 38; +5515 : e9; +5516 : 01; +5517 : 0a; +5518 : aa; +5519 : bd; +551A : 8b; +551B : c4; +551C : 85; +551D : 04; +551E : bd; +551F : 8c; +5520 : c4; +5521 : 85; +5522 : 05; +5523 : a9; +5524 : 43; +5525 : 85; +5526 : 06; +5527 : a9; +5528 : c1; +5529 : 85; +552A : 07; +552B : 20; +552C : ad; +552D : d8; +552E : 85; +552F : 08; +5530 : a5; +5531 : 53; +5532 : c9; +5533 : 01; +5534 : d0; +5535 : 0e; +5536 : a9; +5537 : 1e; +5538 : 20; +5539 : 31; +553A : c8; +553B : 20; +553C : ad; +553D : d8; +553E : f0; +553F : 04; +5540 : a9; +5541 : 02; +5542 : 85; +5543 : 08; +5544 : a5; +5545 : 08; +5546 : 60; +5547 : a9; +5548 : ff; +5549 : 20; +554A : e6; +554B : d9; +554C : c9; +554D : 00; +554E : d0; +554F : 01; +5550 : 60; +5551 : a5; +5552 : 94; +5553 : c9; +5554 : f0; +5555 : 90; +5556 : 03; +5557 : 4c; +5558 : 0d; +5559 : d6; +555A : 20; +555B : 90; +555C : d9; +555D : f0; +555E : 11; +555F : a5; +5560 : 56; +5561 : c9; +5562 : 01; +5563 : d0; +5564 : 05; +5565 : a9; +5566 : 02; +5567 : 4c; +5568 : 6c; +5569 : d5; +556A : a9; +556B : 01; +556C : 85; +556D : 56; +556E : 85; +556F : 57; +5570 : ad; +5571 : 00; +5572 : 02; +5573 : 85; +5574 : 01; +5575 : a9; +5576 : 00; +5577 : 20; +5578 : 72; +5579 : ef; +557A : a5; +557B : 01; +557C : 8d; +557D : 00; +557E : 02; +557F : a5; +5580 : 56; +5581 : c9; +5582 : 01; +5583 : f0; +5584 : 07; +5585 : c9; +5586 : 02; +5587 : f0; +5588 : 18; +5589 : 4c; +558A : b3; +558B : d5; +558C : a5; +558D : 9e; +558E : f0; +558F : 0a; +5590 : ee; +5591 : 03; +5592 : 02; +5593 : a9; +5594 : 00; +5595 : 85; +5596 : 9e; +5597 : 4c; +5598 : b3; +5599 : d5; +559A : a9; +559B : 01; +559C : 85; +559D : 9e; +559E : 4c; +559F : b3; +55A0 : d5; +55A1 : a5; +55A2 : 9e; +55A3 : f0; +55A4 : 0a; +55A5 : ce; +55A6 : 03; +55A7 : 02; +55A8 : a9; +55A9 : 00; +55AA : 85; +55AB : 9e; +55AC : 4c; +55AD : b3; +55AE : d5; +55AF : a9; +55B0 : 01; +55B1 : 85; +55B2 : 9e; +55B3 : ad; +55B4 : 03; +55B5 : 02; +55B6 : 85; +55B7 : 00; +55B8 : 20; +55B9 : 00; +55BA : d8; +55BB : a5; +55BC : 94; +55BD : f0; +55BE : 23; +55BF : a5; +55C0 : 01; +55C1 : 38; +55C2 : e9; +55C3 : 10; +55C4 : c5; +55C5 : 95; +55C6 : 90; +55C7 : 04; +55C8 : a9; +55C9 : ff; +55CA : 85; +55CB : 95; +55CC : 20; +55CD : cb; +55CE : d2; +55CF : 85; +55D0 : 5a; +55D1 : f0; +55D2 : 1e; +55D3 : a5; +55D4 : 4b; +55D5 : 38; +55D6 : e9; +55D7 : 11; +55D8 : 8d; +55D9 : 00; +55DA : 02; +55DB : a9; +55DC : 01; +55DD : 85; +55DE : 5a; +55DF : 4c; +55E0 : f6; +55E1 : d5; +55E2 : a9; +55E3 : 04; +55E4 : 85; +55E5 : ff; +55E6 : a9; +55E7 : 01; +55E8 : 85; +55E9 : 94; +55EA : a5; +55EB : 01; +55EC : 85; +55ED : 95; +55EE : 4c; +55EF : f1; +55F0 : d5; +55F1 : a9; +55F2 : 28; +55F3 : 4c; +55F4 : 70; +55F5 : f0; +55F6 : 20; +55F7 : e1; +55F8 : ea; +55F9 : a9; +55FA : 2c; +55FB : 85; +55FC : 02; +55FD : 20; +55FE : cd; +55FF : ea; +5600 : a5; +5601 : 57; +5602 : 29; +5603 : 03; +5604 : 4a; +5605 : 20; +5606 : 96; +5607 : f0; +5608 : a9; +5609 : f0; +560A : 85; +560B : 94; +560C : 60; +560D : e6; +560E : 94; +560F : a5; +5610 : 94; +5611 : c9; +5612 : f4; +5613 : d0; +5614 : 3a; +5615 : a5; +5616 : 95; +5617 : c9; +5618 : ff; +5619 : f0; +561A : 27; +561B : a9; +561C : 04; +561D : 20; +561E : 70; +561F : f0; +5620 : a9; +5621 : 00; +5622 : 8d; +5623 : 2c; +5624 : 04; +5625 : 85; +5626 : 94; +5627 : 85; +5628 : 95; +5629 : a9; +562A : 01; +562B : 85; +562C : 96; +562D : a5; +562E : a0; +562F : f0; +5630 : 1e; +5631 : a9; +5632 : 01; +5633 : 85; +5634 : 9f; +5635 : a9; +5636 : 4b; +5637 : 85; +5638 : 3f; +5639 : a9; +563A : 0a; +563B : 85; +563C : 96; +563D : a9; +563E : 40; +563F : 85; +5640 : fc; +5641 : 60; +5642 : a9; +5643 : 00; +5644 : 8d; +5645 : 2c; +5646 : 04; +5647 : 85; +5648 : 94; +5649 : 85; +564A : 95; +564B : a9; +564C : ff; +564D : 85; +564E : 96; +564F : 60; +5650 : a9; +5651 : fe; +5652 : 8d; +5653 : 72; +5654 : 04; +5655 : 8d; +5656 : 73; +5657 : 04; +5658 : a2; +5659 : 00; +565A : a0; +565B : 60; +565C : b9; +565D : 00; +565E : 02; +565F : c9; +5660 : ff; +5661 : f0; +5662 : 0f; +5663 : 9d; +5664 : 61; +5665 : 04; +5666 : b9; +5667 : 03; +5668 : 02; +5669 : 38; +566A : e9; +566B : 08; +566C : 9d; +566D : 60; +566E : 04; +566F : 4c; +5670 : 7a; +5671 : d6; +5672 : a9; +5673 : 00; +5674 : 9d; +5675 : 61; +5676 : 04; +5677 : 9d; +5678 : 60; +5679 : 04; +567A : 98; +567B : 18; +567C : 69; +567D : 08; +567E : a8; +567F : e8; +5680 : e8; +5681 : e8; +5682 : c0; +5683 : 90; +5684 : d0; +5685 : d6; +5686 : a9; +5687 : 20; +5688 : 20; +5689 : 31; +568A : c8; +568B : 20; +568C : ad; +568D : d8; +568E : f0; +568F : 06; +5690 : a9; +5691 : 08; +5692 : 85; +5693 : 96; +5694 : a9; +5695 : 01; +5696 : 60; +5697 : a9; +5698 : ff; +5699 : 20; +569A : e6; +569B : d9; +569C : f0; +569D : 27; +569E : 20; +569F : e1; +56A0 : ea; +56A1 : e6; +56A2 : 01; +56A3 : e6; +56A4 : 01; +56A5 : a5; +56A6 : 57; +56A7 : c9; +56A8 : 02; +56A9 : f0; +56AA : 06; +56AB : ad; +56AC : 01; +56AD : 02; +56AE : 4c; +56AF : b7; +56B0 : d6; +56B1 : ad; +56B2 : 01; +56B3 : 02; +56B4 : 38; +56B5 : e9; +56B6 : 02; +56B7 : 85; +56B8 : 02; +56B9 : 20; +56BA : 75; +56BB : f0; +56BC : 20; +56BD : cb; +56BE : d2; +56BF : f0; +56C0 : 04; +56C1 : a9; +56C2 : ff; +56C3 : 85; +56C4 : 96; +56C5 : 60; +56C6 : a5; +56C7 : 3f; +56C8 : d0; +56C9 : 03; +56CA : 4c; +56CB : bf; +56CC : d7; +56CD : a9; +56CE : db; +56CF : 85; +56D0 : 0a; +56D1 : a9; +56D2 : 36; +56D3 : 20; +56D4 : e8; +56D5 : d9; +56D6 : d0; +56D7 : 01; +56D8 : 60; +56D9 : 20; +56DA : 90; +56DB : d9; +56DC : d0; +56DD : 0a; +56DE : a5; +56DF : 56; +56E0 : c9; +56E1 : 01; +56E2 : f0; +56E3 : 26; +56E4 : c9; +56E5 : 02; +56E6 : f0; +56E7 : 28; +56E8 : a5; +56E9 : a2; +56EA : 0a; +56EB : 85; +56EC : a2; +56ED : f0; +56EE : 03; +56EF : 4c; +56F0 : 53; +56F1 : d7; +56F2 : a9; +56F3 : 20; +56F4 : 85; +56F5 : a2; +56F6 : a5; +56F7 : 9f; +56F8 : f0; +56F9 : 04; +56FA : c9; +56FB : 04; +56FC : 90; +56FD : 05; +56FE : a9; +56FF : 02; +5700 : 4c; +5701 : 05; +5702 : d7; +5703 : a9; +5704 : 05; +5705 : 85; +5706 : 9f; +5707 : 4c; +5708 : 53; +5709 : d7; +570A : ee; +570B : 03; +570C : 02; +570D : 4c; +570E : 13; +570F : d7; +5710 : ce; +5711 : 03; +5712 : 02; +5713 : 20; +5714 : cb; +5715 : d2; +5716 : 85; +5717 : 5a; +5718 : ad; +5719 : 00; +571A : 02; +571B : 20; +571C : 16; +571D : e0; +571E : 85; +571F : 59; +5720 : 20; +5721 : eb; +5722 : d8; +5723 : f0; +5724 : 19; +5725 : a6; +5726 : 53; +5727 : e0; +5728 : 01; +5729 : d0; +572A : 07; +572B : 18; +572C : 6d; +572D : 00; +572E : 02; +572F : 8d; +5730 : 00; +5731 : 02; +5732 : 20; +5733 : 6a; +5734 : d3; +5735 : f0; +5736 : 07; +5737 : a9; +5738 : 08; +5739 : 85; +573A : 96; +573B : 4c; +573C : bf; +573D : d7; +573E : a9; +573F : 08; +5740 : 85; +5741 : ff; +5742 : a5; +5743 : 9f; +5744 : f0; +5745 : 09; +5746 : c9; +5747 : 06; +5748 : b0; +5749 : 05; +574A : e6; +574B : 9f; +574C : 4c; +574D : 53; +574E : d7; +574F : a9; +5750 : 01; +5751 : 85; +5752 : 9f; +5753 : a6; +5754 : 9f; +5755 : ca; +5756 : bd; +5757 : a2; +5758 : c1; +5759 : 20; +575A : 70; +575B : f0; +575C : a5; +575D : 9f; +575E : 4a; +575F : 4a; +5760 : f0; +5761 : 05; +5762 : a9; +5763 : 00; +5764 : 4c; +5765 : 69; +5766 : d7; +5767 : a9; +5768 : 01; +5769 : f0; +576A : 1b; +576B : a9; +576C : 04; +576D : 18; +576E : 6d; +576F : 03; +5770 : 02; +5771 : 85; +5772 : 00; +5773 : ad; +5774 : 00; +5775 : 02; +5776 : 38; +5777 : e9; +5778 : 0e; +5779 : 85; +577A : 01; +577B : a9; +577C : 21; +577D : 85; +577E : 03; +577F : a9; +5780 : f6; +5781 : 85; +5782 : 02; +5783 : 4c; +5784 : ad; +5785 : d7; +5786 : a5; +5787 : 57; +5788 : c9; +5789 : 01; +578A : d0; +578B : 09; +578C : a9; +578D : 0e; +578E : 18; +578F : 6d; +5790 : 03; +5791 : 02; +5792 : 4c; +5793 : 9b; +5794 : d7; +5795 : ad; +5796 : 03; +5797 : 02; +5798 : 38; +5799 : e9; +579A : 0e; +579B : 85; +579C : 00; +579D : a9; +579E : 06; +579F : 18; +57A0 : 6d; +57A1 : 00; +57A2 : 02; +57A3 : 85; +57A4 : 01; +57A5 : a9; +57A6 : 12; +57A7 : 85; +57A8 : 03; +57A9 : a9; +57AA : fa; +57AB : 85; +57AC : 02; +57AD : a5; +57AE : a0; +57AF : c9; +57B0 : 01; +57B1 : f0; +57B2 : 05; +57B3 : a9; +57B4 : d8; +57B5 : 4c; +57B6 : ba; +57B7 : d7; +57B8 : a9; +57B9 : d0; +57BA : 85; +57BB : 04; +57BC : 4c; +57BD : 78; +57BE : f0; +57BF : a9; +57C0 : 12; +57C1 : 85; +57C2 : 03; +57C3 : a5; +57C4 : a0; +57C5 : c9; +57C6 : 01; +57C7 : f0; +57C8 : 0a; +57C9 : a9; +57CA : 00; +57CB : 8d; +57CC : 52; +57CD : 04; +57CE : a9; +57CF : d8; +57D0 : 4c; +57D1 : da; +57D2 : d7; +57D3 : a9; +57D4 : 00; +57D5 : 8d; +57D6 : 51; +57D7 : 04; +57D8 : a9; +57D9 : d0; +57DA : 85; +57DB : 04; +57DC : 20; +57DD : 94; +57DE : f0; +57DF : 20; +57E0 : f2; +57E1 : d7; +57E2 : a9; +57E3 : 01; +57E4 : 85; +57E5 : 96; +57E6 : a9; +57E7 : 00; +57E8 : 85; +57E9 : a0; +57EA : 85; +57EB : 9f; +57EC : ad; +57ED : 19; +57EE : 05; +57EF : 85; +57F0 : fc; +57F1 : 60; +57F2 : a9; +57F3 : 19; +57F4 : 85; +57F5 : 00; +57F6 : a9; +57F7 : 3f; +57F8 : 85; +57F9 : 01; +57FA : a9; +57FB : 4e; +57FC : 20; +57FD : 15; +57FE : c8; +57FF : 60; +5800 : a5; +5801 : a0; +5802 : f0; +5803 : 01; +5804 : 60; +5805 : a4; +5806 : 53; +5807 : c0; +5808 : 03; +5809 : d0; +580A : 03; +580B : 4c; +580C : a8; +580D : d8; +580E : ad; +580F : 03; +5810 : 02; +5811 : c0; +5812 : 01; +5813 : f0; +5814 : 09; +5815 : c9; +5816 : 88; +5817 : f0; +5818 : 0e; +5819 : 90; +581A : 0c; +581B : 4c; +581C : a8; +581D : d8; +581E : c9; +581F : 28; +5820 : f0; +5821 : 05; +5822 : 90; +5823 : 03; +5824 : 4c; +5825 : a8; +5826 : d8; +5827 : ad; +5828 : 00; +5829 : 02; +582A : 18; +582B : 69; +582C : 08; +582D : 20; +582E : 16; +582F : e0; +5830 : 85; +5831 : 59; +5832 : a5; +5833 : 53; +5834 : 38; +5835 : e9; +5836 : 01; +5837 : 0a; +5838 : aa; +5839 : a5; +583A : 59; +583B : dd; +583C : a8; +583D : c1; +583E : f0; +583F : 09; +5840 : e8; +5841 : dd; +5842 : a8; +5843 : c1; +5844 : f0; +5845 : 03; +5846 : 4c; +5847 : a8; +5848 : d8; +5849 : 8a; +584A : 29; +584B : 01; +584C : f0; +584D : 19; +584E : ad; +584F : 52; +5850 : 04; +5851 : d0; +5852 : 03; +5853 : 4c; +5854 : a8; +5855 : d8; +5856 : a9; +5857 : 02; +5858 : 85; +5859 : a0; +585A : ad; +585B : d8; +585C : 02; +585D : 85; +585E : 01; +585F : ad; +5860 : db; +5861 : 02; +5862 : 85; +5863 : 00; +5864 : 4c; +5865 : 7d; +5866 : d8; +5867 : ad; +5868 : 51; +5869 : 04; +586A : d0; +586B : 03; +586C : 4c; +586D : a8; +586E : d8; +586F : a9; +5870 : 01; +5871 : 85; +5872 : a0; +5873 : ad; +5874 : d0; +5875 : 02; +5876 : 85; +5877 : 01; +5878 : ad; +5879 : d3; +587A : 02; +587B : 85; +587C : 00; +587D : a9; +587E : 2e; +587F : 20; +5880 : e8; +5881 : ef; +5882 : 20; +5883 : e1; +5884 : ea; +5885 : a9; +5886 : 30; +5887 : 20; +5888 : 47; +5889 : c8; +588A : 20; +588B : ef; +588C : ef; +588D : f0; +588E : 19; +588F : a5; +5890 : fc; +5891 : 8d; +5892 : 19; +5893 : 05; +5894 : a5; +5895 : 53; +5896 : c9; +5897 : 04; +5898 : d0; +5899 : 0d; +589A : a9; +589B : 19; +589C : 85; +589D : 00; +589E : a9; +589F : 3f; +58A0 : 85; +58A1 : 01; +58A2 : a9; +58A3 : 46; +58A4 : 20; +58A5 : 15; +58A6 : c8; +58A7 : 60; +58A8 : a9; +58A9 : 00; +58AA : 85; +58AB : a0; +58AC : 60; +58AD : a9; +58AE : f3; +58AF : 85; +58B0 : 0b; +58B1 : a9; +58B2 : 00; +58B3 : 85; +58B4 : 86; +58B5 : a0; +58B6 : 00; +58B7 : b1; +58B8 : 04; +58B9 : 85; +58BA : 00; +58BB : c8; +58BC : b1; +58BD : 04; +58BE : 85; +58BF : 01; +58C0 : c8; +58C1 : b1; +58C2 : 04; +58C3 : 18; +58C4 : 65; +58C5 : 06; +58C6 : 85; +58C7 : 02; +58C8 : a5; +58C9 : 07; +58CA : 69; +58CB : 00; +58CC : 85; +58CD : 03; +58CE : 84; +58CF : 86; +58D0 : 20; +58D1 : f3; +58D2 : ef; +58D3 : d0; +58D4 : 0c; +58D5 : a4; +58D6 : 86; +58D7 : c8; +58D8 : b1; +58D9 : 04; +58DA : c9; +58DB : fe; +58DC : f0; +58DD : 08; +58DE : 4c; +58DF : b9; +58E0 : d8; +58E1 : a9; +58E2 : 01; +58E3 : 4c; +58E4 : e8; +58E5 : d8; +58E6 : a9; +58E7 : 00; +58E8 : 85; +58E9 : 0c; +58EA : 60; +58EB : a5; +58EC : 5a; +58ED : d0; +58EE : 28; +58EF : a5; +58F0 : 59; +58F1 : f0; +58F2 : 24; +58F3 : 29; +58F4 : 01; +58F5 : d0; +58F6 : 0d; +58F7 : a5; +58F8 : 56; +58F9 : c9; +58FA : 01; +58FB : f0; +58FC : 17; +58FD : c9; +58FE : 02; +58FF : f0; +5900 : 10; +5901 : 4c; +5902 : 17; +5903 : d9; +5904 : a5; +5905 : 56; +5906 : c9; +5907 : 01; +5908 : f0; +5909 : 07; +590A : c9; +590B : 02; +590C : f0; +590D : 06; +590E : 4c; +590F : 17; +5910 : d9; +5911 : a9; +5912 : ff; +5913 : 60; +5914 : a9; +5915 : 01; +5916 : 60; +5917 : a9; +5918 : 00; +5919 : 60; +591A : ad; +591B : 00; +591C : 02; +591D : 18; +591E : 69; +591F : 08; +5920 : 20; +5921 : 16; +5922 : e0; +5923 : 85; +5924 : 59; +5925 : c9; +5926 : 01; +5927 : f0; +5928 : 0f; +5929 : a2; +592A : 02; +592B : a9; +592C : 0c; +592D : e4; +592E : 59; +592F : f0; +5930 : 0a; +5931 : 18; +5932 : 69; +5933 : 06; +5934 : e8; +5935 : 4c; +5936 : 2d; +5937 : d9; +5938 : 38; +5939 : e9; +593A : 01; +593B : aa; +593C : a9; +593D : 00; +593E : 85; +593F : 86; +5940 : bd; +5941 : 8c; +5942 : c0; +5943 : 85; +5944 : 00; +5945 : e8; +5946 : bd; +5947 : 8c; +5948 : c0; +5949 : 85; +594A : 01; +594B : e8; +594C : bd; +594D : 8c; +594E : c0; +594F : 18; +5950 : 65; +5951 : 06; +5952 : 85; +5953 : 02; +5954 : a5; +5955 : 07; +5956 : 85; +5957 : 03; +5958 : e8; +5959 : bd; +595A : 8c; +595B : c0; +595C : 85; +595D : 08; +595E : e8; +595F : bd; +5960 : 8c; +5961 : c0; +5962 : 85; +5963 : 09; +5964 : 20; +5965 : ef; +5966 : ef; +5967 : d0; +5968 : 22; +5969 : a5; +596A : 00; +596B : 18; +596C : 65; +596D : 08; +596E : 85; +596F : 00; +5970 : c6; +5971 : 01; +5972 : e6; +5973 : 86; +5974 : a5; +5975 : 09; +5976 : c5; +5977 : 86; +5978 : d0; +5979 : ea; +597A : e8; +597B : bd; +597C : 8c; +597D : c0; +597E : c9; +597F : fe; +5980 : f0; +5981 : 04; +5982 : e8; +5983 : 4c; +5984 : 3c; +5985 : d9; +5986 : a9; +5987 : 00; +5988 : 4c; +5989 : 8d; +598A : d9; +598B : a9; +598C : 01; +598D : 85; +598E : 5a; +598F : 60; +5990 : a5; +5991 : 56; +5992 : c9; +5993 : 01; +5994 : f0; +5995 : 07; +5996 : c9; +5997 : 02; +5998 : f0; +5999 : 15; +599A : 4c; +599B : e3; +599C : d9; +599D : a5; +599E : 53; +599F : 0a; +59A0 : aa; +59A1 : ca; +59A2 : bd; +59A3 : b4; +59A4 : c1; +59A5 : cd; +59A6 : 03; +59A7 : 02; +59A8 : f0; +59A9 : 36; +59AA : 90; +59AB : 34; +59AC : 4c; +59AD : e3; +59AE : d9; +59AF : a5; +59B0 : 53; +59B1 : 0a; +59B2 : aa; +59B3 : ca; +59B4 : ca; +59B5 : bd; +59B6 : b4; +59B7 : c1; +59B8 : cd; +59B9 : 03; +59BA : 02; +59BB : b0; +59BC : 23; +59BD : a5; +59BE : 53; +59BF : c9; +59C0 : 04; +59C1 : f0; +59C2 : 20; +59C3 : a6; +59C4 : 59; +59C5 : c9; +59C6 : 03; +59C7 : f0; +59C8 : 07; +59C9 : e0; +59CA : 06; +59CB : d0; +59CC : 16; +59CD : 4c; +59CE : d4; +59CF : d9; +59D0 : e0; +59D1 : 05; +59D2 : d0; +59D3 : 0f; +59D4 : ad; +59D5 : 03; +59D6 : 02; +59D7 : c9; +59D8 : 68; +59D9 : f0; +59DA : 05; +59DB : 90; +59DC : 03; +59DD : 4c; +59DE : e3; +59DF : d9; +59E0 : a9; +59E1 : 01; +59E2 : 60; +59E3 : a9; +59E4 : 00; +59E5 : 60; +59E6 : 85; +59E7 : 0a; +59E8 : 85; +59E9 : 0b; +59EA : e6; +59EB : 88; +59EC : a5; +59ED : 88; +59EE : c9; +59EF : 0f; +59F0 : b0; +59F1 : 03; +59F2 : 4c; +59F3 : f9; +59F4 : d9; +59F5 : a9; +59F6 : 00; +59F7 : 85; +59F8 : 88; +59F9 : c9; +59FA : 08; +59FB : b0; +59FC : 09; +59FD : aa; +59FE : bd; +59FF : bc; +5A00 : c1; +5A01 : 25; +5A02 : 0a; +5A03 : 4c; +5A04 : 0f; +5A05 : da; +5A06 : 38; +5A07 : e9; +5A08 : 08; +5A09 : aa; +5A0A : bd; +5A0B : bc; +5A0C : c1; +5A0D : 25; +5A0E : 0b; +5A0F : f0; +5A10 : 02; +5A11 : a9; +5A12 : 01; +5A13 : 85; +5A14 : be; +5A15 : 60; +5A16 : 20; +5A17 : 66; +5A18 : e1; +5A19 : a9; +5A1A : 00; +5A1B : 85; +5A1C : 5d; +5A1D : 20; +5A1E : d5; +5A1F : ef; +5A20 : bd; +5A21 : 00; +5A22 : 02; +5A23 : c9; +5A24 : ff; +5A25 : d0; +5A26 : 16; +5A27 : a5; +5A28 : 36; +5A29 : d0; +5A2A : 15; +5A2B : a9; +5A2C : 80; +5A2D : a6; +5A2E : 5d; +5A2F : 95; +5A30 : 5e; +5A31 : a9; +5A32 : 10; +5A33 : 85; +5A34 : 37; +5A35 : 20; +5A36 : f7; +5A37 : ea; +5A38 : bd; +5A39 : 43; +5A3A : c4; +5A3B : 85; +5A3C : 36; +5A3D : 20; +5A3E : 4c; +5A3F : da; +5A40 : a5; +5A41 : 5d; +5A42 : 18; +5A43 : 69; +5A44 : 01; +5A45 : 85; +5A46 : 5d; +5A47 : c9; +5A48 : 09; +5A49 : d0; +5A4A : d2; +5A4B : 60; +5A4C : a6; +5A4D : 5d; +5A4E : b5; +5A4F : 5e; +5A50 : c9; +5A51 : 80; +5A52 : f0; +5A53 : 29; +5A54 : c9; +5A55 : 81; +5A56 : f0; +5A57 : 28; +5A58 : c9; +5A59 : 01; +5A5A : f0; +5A5B : 27; +5A5C : c9; +5A5D : 02; +5A5E : f0; +5A5F : 26; +5A60 : c9; +5A61 : c0; +5A62 : f0; +5A63 : 25; +5A64 : c9; +5A65 : c1; +5A66 : f0; +5A67 : 21; +5A68 : c9; +5A69 : c2; +5A6A : f0; +5A6B : 1d; +5A6C : c9; +5A6D : 08; +5A6E : f0; +5A6F : 1f; +5A70 : c9; +5A71 : 10; +5A72 : f0; +5A73 : 1e; +5A74 : c9; +5A75 : 20; +5A76 : f0; +5A77 : 1d; +5A78 : c9; +5A79 : 40; +5A7A : f0; +5A7B : 1c; +5A7C : 60; +5A7D : 4c; +5A7E : 9c; +5A7F : da; +5A80 : 4c; +5A81 : 00; +5A82 : db; +5A83 : 4c; +5A84 : 2c; +5A85 : db; +5A86 : 4c; +5A87 : 30; +5A88 : dc; +5A89 : bd; +5A8A : 21; +5A8B : 04; +5A8C : 4c; +5A8D : 8b; +5A8E : dd; +5A8F : 4c; +5A90 : 69; +5A91 : dc; +5A92 : 4c; +5A93 : d0; +5A94 : dc; +5A95 : 4c; +5A96 : 32; +5A97 : dd; +5A98 : 20; +5A99 : 07; +5A9A : df; +5A9B : 60; +5A9C : 20; +5A9D : d5; +5A9E : ef; +5A9F : a9; +5AA0 : 30; +5AA1 : 85; +5AA2 : 00; +5AA3 : 85; +5AA4 : 01; +5AA5 : a9; +5AA6 : 90; +5AA7 : 85; +5AA8 : 02; +5AA9 : 86; +5AAA : 04; +5AAB : 20; +5AAC : db; +5AAD : ea; +5AAE : a5; +5AAF : 37; +5AB0 : d0; +5AB1 : 4d; +5AB2 : a9; +5AB3 : 81; +5AB4 : a6; +5AB5 : 5d; +5AB6 : 95; +5AB7 : 5e; +5AB8 : a9; +5AB9 : 00; +5ABA : 95; +5ABB : 8a; +5ABC : a5; +5ABD : ad; +5ABE : f0; +5ABF : 03; +5AC0 : 4c; +5AC1 : d5; +5AC2 : da; +5AC3 : a5; +5AC4 : 5d; +5AC5 : d0; +5AC6 : 38; +5AC7 : a9; +5AC8 : c0; +5AC9 : a6; +5ACA : 5d; +5ACB : 95; +5ACC : 5e; +5ACD : a9; +5ACE : 01; +5ACF : 9d; +5AD0 : 21; +5AD1 : 04; +5AD2 : 4c; +5AD3 : f7; +5AD4 : da; +5AD5 : a5; +5AD6 : 43; +5AD7 : d0; +5AD8 : 26; +5AD9 : a5; +5ADA : 5d; +5ADB : d0; +5ADC : 22; +5ADD : a9; +5ADE : c0; +5ADF : a6; +5AE0 : 5d; +5AE1 : 95; +5AE2 : 5e; +5AE3 : bd; +5AE4 : 21; +5AE5 : 04; +5AE6 : c9; +5AE7 : 01; +5AE8 : d0; +5AE9 : 08; +5AEA : a9; +5AEB : 03; +5AEC : 9d; +5AED : 21; +5AEE : 04; +5AEF : 4c; +5AF0 : f7; +5AF1 : da; +5AF2 : a9; +5AF3 : 01; +5AF4 : 9d; +5AF5 : 21; +5AF6 : 04; +5AF7 : 20; +5AF8 : f7; +5AF9 : ea; +5AFA : bd; +5AFB : 4d; +5AFC : c4; +5AFD : 85; +5AFE : 43; +5AFF : 60; +5B00 : a9; +5B01 : 55; +5B02 : 20; +5B03 : e4; +5B04 : df; +5B05 : d0; +5B06 : 1a; +5B07 : 20; +5B08 : d5; +5B09 : ef; +5B0A : a9; +5B0B : 4d; +5B0C : 85; +5B0D : 00; +5B0E : a9; +5B0F : 32; +5B10 : 85; +5B11 : 01; +5B12 : a9; +5B13 : 84; +5B14 : 85; +5B15 : 02; +5B16 : 86; +5B17 : 04; +5B18 : 20; +5B19 : db; +5B1A : ea; +5B1B : ee; +5B1C : 15; +5B1D : 05; +5B1E : 4c; +5B1F : 2b; +5B20 : db; +5B21 : a6; +5B22 : 5d; +5B23 : a9; +5B24 : 01; +5B25 : 95; +5B26 : 5e; +5B27 : a9; +5B28 : 84; +5B29 : 95; +5B2A : 72; +5B2B : 60; +5B2C : a9; +5B2D : ff; +5B2E : 20; +5B2F : e4; +5B30 : df; +5B31 : d0; +5B32 : 01; +5B33 : 60; +5B34 : 20; +5B35 : d5; +5B36 : ef; +5B37 : 48; +5B38 : 20; +5B39 : ec; +5B3A : ea; +5B3B : a5; +5B3C : 01; +5B3D : 20; +5B3E : 16; +5B3F : e0; +5B40 : a4; +5B41 : 5d; +5B42 : 99; +5B43 : 68; +5B44 : 00; +5B45 : 29; +5B46 : 01; +5B47 : d0; +5B48 : 05; +5B49 : e6; +5B4A : 00; +5B4B : 4c; +5B4C : 50; +5B4D : db; +5B4E : c6; +5B4F : 00; +5B50 : a5; +5B51 : 00; +5B52 : 20; +5B53 : 5a; +5B54 : e0; +5B55 : 85; +5B56 : 7d; +5B57 : 20; +5B58 : 48; +5B59 : e0; +5B5A : 18; +5B5B : 65; +5B5C : 01; +5B5D : 85; +5B5E : 01; +5B5F : 20; +5B60 : ee; +5B61 : db; +5B62 : a6; +5B63 : 5d; +5B64 : b5; +5B65 : 72; +5B66 : 20; +5B67 : d4; +5B68 : ea; +5B69 : 68; +5B6A : aa; +5B6B : 20; +5B6C : 80; +5B6D : f0; +5B6E : a5; +5B6F : 00; +5B70 : 20; +5B71 : ae; +5B72 : e0; +5B73 : f0; +5B74 : 37; +5B75 : 20; +5B76 : f7; +5B77 : ea; +5B78 : bd; +5B79 : 48; +5B7A : c4; +5B7B : 25; +5B7C : 19; +5B7D : d0; +5B7E : 2d; +5B7F : a6; +5B80 : 5d; +5B81 : b5; +5B82 : 68; +5B83 : aa; +5B84 : ca; +5B85 : b5; +5B86 : 7e; +5B87 : c9; +5B88 : 04; +5B89 : b0; +5B8A : 21; +5B8B : a5; +5B8C : 96; +5B8D : c9; +5B8E : 02; +5B8F : d0; +5B90 : 12; +5B91 : a6; +5B92 : 04; +5B93 : bd; +5B94 : 00; +5B95 : 02; +5B96 : cd; +5B97 : 00; +5B98 : 02; +5B99 : b0; +5B9A : 08; +5B9B : 18; +5B9C : 69; +5B9D : 0f; +5B9E : cd; +5B9F : 00; +5BA0 : 02; +5BA1 : b0; +5BA2 : 09; +5BA3 : a9; +5BA4 : 02; +5BA5 : a6; +5BA6 : 5d; +5BA7 : 95; +5BA8 : 5e; +5BA9 : d6; +5BAA : 68; +5BAB : 60; +5BAC : a5; +5BAD : 00; +5BAE : 20; +5BAF : 90; +5BB0 : e0; +5BB1 : f0; +5BB2 : 03; +5BB3 : 4c; +5BB4 : e7; +5BB5 : db; +5BB6 : 20; +5BB7 : 40; +5BB8 : df; +5BB9 : a6; +5BBA : 5d; +5BBB : b5; +5BBC : 68; +5BBD : c9; +5BBE : 01; +5BBF : d0; +5BC0 : 2c; +5BC1 : 20; +5BC2 : c3; +5BC3 : df; +5BC4 : a5; +5BC5 : 00; +5BC6 : c9; +5BC7 : 20; +5BC8 : f0; +5BC9 : 03; +5BCA : 90; +5BCB : 01; +5BCC : 60; +5BCD : a9; +5BCE : 03; +5BCF : 85; +5BD0 : 02; +5BD1 : a9; +5BD2 : 04; +5BD3 : 85; +5BD4 : 03; +5BD5 : 20; +5BD6 : 8e; +5BD7 : f0; +5BD8 : a9; +5BD9 : 01; +5BDA : 85; +5BDB : ad; +5BDC : a9; +5BDD : 00; +5BDE : a6; +5BDF : 5d; +5BE0 : 95; +5BE1 : 68; +5BE2 : a9; +5BE3 : 80; +5BE4 : 85; +5BE5 : fe; +5BE6 : 60; +5BE7 : a6; +5BE8 : 5d; +5BE9 : a9; +5BEA : 08; +5BEB : 95; +5BEC : 5e; +5BED : 60; +5BEE : a6; +5BEF : 5d; +5BF0 : fe; +5BF1 : 0d; +5BF2 : 04; +5BF3 : bd; +5BF4 : 0d; +5BF5 : 04; +5BF6 : c9; +5BF7 : 06; +5BF8 : b0; +5BF9 : 01; +5BFA : 60; +5BFB : a9; +5BFC : 00; +5BFD : 9d; +5BFE : 0d; +5BFF : 04; +5C00 : b5; +5C01 : 68; +5C02 : 29; +5C03 : 01; +5C04 : f0; +5C05 : 15; +5C06 : b5; +5C07 : 72; +5C08 : 18; +5C09 : 69; +5C0A : 04; +5C0B : c9; +5C0C : 80; +5C0D : 90; +5C0E : 07; +5C0F : c9; +5C10 : 90; +5C11 : b0; +5C12 : 03; +5C13 : 4c; +5C14 : 2d; +5C15 : dc; +5C16 : a9; +5C17 : 80; +5C18 : 4c; +5C19 : 2d; +5C1A : dc; +5C1B : b5; +5C1C : 72; +5C1D : 38; +5C1E : e9; +5C1F : 04; +5C20 : c9; +5C21 : 80; +5C22 : 90; +5C23 : 07; +5C24 : c9; +5C25 : 90; +5C26 : b0; +5C27 : 03; +5C28 : 4c; +5C29 : 2d; +5C2A : dc; +5C2B : a9; +5C2C : 8c; +5C2D : 95; +5C2E : 72; +5C2F : 60; +5C30 : a9; +5C31 : 55; +5C32 : 20; +5C33 : e4; +5C34 : df; +5C35 : f0; +5C36 : 31; +5C37 : 20; +5C38 : d5; +5C39 : ef; +5C3A : 86; +5C3B : 04; +5C3C : 20; +5C3D : ec; +5C3E : ea; +5C3F : e6; +5C40 : 01; +5C41 : a4; +5C42 : 5d; +5C43 : b9; +5C44 : 72; +5C45 : 00; +5C46 : c9; +5C47 : 90; +5C48 : d0; +5C49 : 05; +5C4A : a9; +5C4B : 94; +5C4C : 4c; +5C4D : 51; +5C4E : dc; +5C4F : a9; +5C50 : 90; +5C51 : 85; +5C52 : 02; +5C53 : a6; +5C54 : 5d; +5C55 : 95; +5C56 : 72; +5C57 : 20; +5C58 : db; +5C59 : ea; +5C5A : a5; +5C5B : 01; +5C5C : a6; +5C5D : 5d; +5C5E : d5; +5C5F : a3; +5C60 : d0; +5C61 : 06; +5C62 : a6; +5C63 : 5d; +5C64 : a9; +5C65 : 01; +5C66 : 95; +5C67 : 5e; +5C68 : 60; +5C69 : a9; +5C6A : ff; +5C6B : 20; +5C6C : e4; +5C6D : df; +5C6E : d0; +5C6F : 01; +5C70 : 60; +5C71 : 20; +5C72 : d5; +5C73 : ef; +5C74 : 86; +5C75 : 04; +5C76 : 20; +5C77 : ec; +5C78 : ea; +5C79 : e6; +5C7A : 01; +5C7B : a5; +5C7C : 01; +5C7D : 29; +5C7E : 01; +5C7F : f0; +5C80 : 0f; +5C81 : a6; +5C82 : 5d; +5C83 : b5; +5C84 : 68; +5C85 : 29; +5C86 : 01; +5C87 : f0; +5C88 : 05; +5C89 : c6; +5C8A : 00; +5C8B : 4c; +5C8C : 90; +5C8D : dc; +5C8E : e6; +5C8F : 00; +5C90 : 20; +5C91 : ee; +5C92 : db; +5C93 : a6; +5C94 : 5d; +5C95 : b5; +5C96 : 72; +5C97 : 85; +5C98 : 02; +5C99 : 20; +5C9A : db; +5C9B : ea; +5C9C : a9; +5C9D : 32; +5C9E : 20; +5C9F : 53; +5CA0 : c8; +5CA1 : a5; +5CA2 : 01; +5CA3 : 20; +5CA4 : 12; +5CA5 : e1; +5CA6 : f0; +5CA7 : 27; +5CA8 : a6; +5CA9 : 5d; +5CAA : a9; +5CAB : 10; +5CAC : 95; +5CAD : 5e; +5CAE : 20; +5CAF : 30; +5CB0 : e1; +5CB1 : f0; +5CB2 : 09; +5CB3 : a5; +5CB4 : 19; +5CB5 : 29; +5CB6 : 01; +5CB7 : f0; +5CB8 : 03; +5CB9 : 4c; +5CBA : c9; +5CBB : dc; +5CBC : a6; +5CBD : 5d; +5CBE : b5; +5CBF : 68; +5CC0 : aa; +5CC1 : ca; +5CC2 : b5; +5CC3 : 7e; +5CC4 : c9; +5CC5 : 04; +5CC6 : b0; +5CC7 : 01; +5CC8 : 60; +5CC9 : a6; +5CCA : 5d; +5CCB : a9; +5CCC : 20; +5CCD : 95; +5CCE : 5e; +5CCF : 60; +5CD0 : a9; +5CD1 : 77; +5CD2 : 20; +5CD3 : e4; +5CD4 : df; +5CD5 : d0; +5CD6 : 01; +5CD7 : 60; +5CD8 : 20; +5CD9 : d5; +5CDA : ef; +5CDB : 86; +5CDC : 04; +5CDD : 20; +5CDE : ec; +5CDF : ea; +5CE0 : a5; +5CE1 : 01; +5CE2 : 20; +5CE3 : 16; +5CE4 : e0; +5CE5 : a6; +5CE6 : 5d; +5CE7 : 95; +5CE8 : 68; +5CE9 : 29; +5CEA : 01; +5CEB : d0; +5CEC : 13; +5CED : e6; +5CEE : 00; +5CEF : a5; +5CF0 : 00; +5CF1 : a2; +5CF2 : 00; +5CF3 : dd; +5CF4 : fc; +5CF5 : c3; +5CF6 : f0; +5CF7 : 1b; +5CF8 : e8; +5CF9 : e0; +5CFA : 0b; +5CFB : f0; +5CFC : 28; +5CFD : 4c; +5CFE : f3; +5CFF : dc; +5D00 : c6; +5D01 : 00; +5D02 : a5; +5D03 : 00; +5D04 : a2; +5D05 : 00; +5D06 : dd; +5D07 : 12; +5D08 : c4; +5D09 : f0; +5D0A : 08; +5D0B : e8; +5D0C : e0; +5D0D : 0b; +5D0E : f0; +5D0F : 15; +5D10 : 4c; +5D11 : 06; +5D12 : dd; +5D13 : a5; +5D14 : 01; +5D15 : 18; +5D16 : 7d; +5D17 : 07; +5D18 : c4; +5D19 : 85; +5D1A : 01; +5D1B : e0; +5D1C : 0a; +5D1D : d0; +5D1E : 06; +5D1F : a6; +5D20 : 5d; +5D21 : a9; +5D22 : 01; +5D23 : 95; +5D24 : 5e; +5D25 : 20; +5D26 : ee; +5D27 : db; +5D28 : a6; +5D29 : 5d; +5D2A : b5; +5D2B : 72; +5D2C : 85; +5D2D : 02; +5D2E : 20; +5D2F : db; +5D30 : ea; +5D31 : 60; +5D32 : a9; +5D33 : 55; +5D34 : 20; +5D35 : e4; +5D36 : df; +5D37 : d0; +5D38 : 01; +5D39 : 60; +5D3A : 20; +5D3B : d5; +5D3C : ef; +5D3D : 86; +5D3E : 04; +5D3F : 20; +5D40 : ec; +5D41 : ea; +5D42 : a5; +5D43 : 01; +5D44 : 20; +5D45 : 16; +5D46 : e0; +5D47 : a6; +5D48 : 5d; +5D49 : 95; +5D4A : 68; +5D4B : 29; +5D4C : 01; +5D4D : d0; +5D4E : 11; +5D4F : c6; +5D50 : 00; +5D51 : a5; +5D52 : 01; +5D53 : c9; +5D54 : 14; +5D55 : d0; +5D56 : 02; +5D57 : c6; +5D58 : 01; +5D59 : a5; +5D5A : 00; +5D5B : d0; +5D5C : 16; +5D5D : 4c; +5D5E : 7f; +5D5F : dd; +5D60 : e6; +5D61 : 00; +5D62 : a5; +5D63 : 01; +5D64 : c9; +5D65 : ec; +5D66 : d0; +5D67 : 02; +5D68 : c6; +5D69 : 01; +5D6A : a5; +5D6B : 00; +5D6C : c9; +5D6D : f4; +5D6E : d0; +5D6F : 03; +5D70 : 4c; +5D71 : 7f; +5D72 : dd; +5D73 : 20; +5D74 : ee; +5D75 : db; +5D76 : a6; +5D77 : 5d; +5D78 : b5; +5D79 : 72; +5D7A : 85; +5D7B : 02; +5D7C : 4c; +5D7D : db; +5D7E : ea; +5D7F : a9; +5D80 : 22; +5D81 : 20; +5D82 : 92; +5D83 : f0; +5D84 : a9; +5D85 : 00; +5D86 : a6; +5D87 : 5d; +5D88 : 95; +5D89 : 68; +5D8A : 60; +5D8B : 85; +5D8C : 07; +5D8D : a6; +5D8E : 5d; +5D8F : b5; +5D90 : 5e; +5D91 : c9; +5D92 : c2; +5D93 : d0; +5D94 : 03; +5D95 : 4c; +5D96 : 82; +5D97 : de; +5D98 : c9; +5D99 : c1; +5D9A : f0; +5D9B : 3b; +5D9C : a5; +5D9D : 07; +5D9E : c9; +5D9F : 02; +5DA0 : f0; +5DA1 : 09; +5DA2 : c9; +5DA3 : 03; +5DA4 : f0; +5DA5 : 0a; +5DA6 : a9; +5DA7 : 34; +5DA8 : 4c; +5DA9 : b2; +5DAA : dd; +5DAB : a9; +5DAC : 36; +5DAD : 4c; +5DAE : b2; +5DAF : dd; +5DB0 : a9; +5DB1 : 38; +5DB2 : 20; +5DB3 : 53; +5DB4 : c8; +5DB5 : 20; +5DB6 : d5; +5DB7 : ef; +5DB8 : 86; +5DB9 : 04; +5DBA : bd; +5DBB : 00; +5DBC : 02; +5DBD : 20; +5DBE : 12; +5DBF : e1; +5DC0 : a4; +5DC1 : 0a; +5DC2 : c0; +5DC3 : 04; +5DC4 : d0; +5DC5 : 03; +5DC6 : 4c; +5DC7 : 73; +5DC8 : de; +5DC9 : c9; +5DCA : 00; +5DCB : f0; +5DCC : 0a; +5DCD : a6; +5DCE : 5d; +5DCF : a9; +5DD0 : 01; +5DD1 : 95; +5DD2 : 8a; +5DD3 : a9; +5DD4 : c1; +5DD5 : 95; +5DD6 : 5e; +5DD7 : 20; +5DD8 : d5; +5DD9 : ef; +5DDA : 86; +5DDB : 04; +5DDC : a6; +5DDD : 5d; +5DDE : b5; +5DDF : 5e; +5DE0 : c9; +5DE1 : c1; +5DE2 : d0; +5DE3 : 2f; +5DE4 : a9; +5DE5 : 20; +5DE6 : 20; +5DE7 : e4; +5DE8 : df; +5DE9 : d0; +5DEA : 0a; +5DEB : a6; +5DEC : 04; +5DED : bd; +5DEE : 00; +5DEF : 02; +5DF0 : 85; +5DF1 : 01; +5DF2 : 4c; +5DF3 : 27; +5DF4 : de; +5DF5 : a6; +5DF6 : 5d; +5DF7 : a9; +5DF8 : c0; +5DF9 : 95; +5DFA : 5e; +5DFB : a5; +5DFC : 07; +5DFD : c9; +5DFE : 03; +5DFF : d0; +5E00 : 0f; +5E01 : bd; +5E02 : 17; +5E03 : 04; +5E04 : f0; +5E05 : 05; +5E06 : a9; +5E07 : 00; +5E08 : 4c; +5E09 : 0d; +5E0A : de; +5E0B : a9; +5E0C : 01; +5E0D : 9d; +5E0E : 17; +5E0F : 04; +5E10 : 4c; +5E11 : 1a; +5E12 : de; +5E13 : a9; +5E14 : ff; +5E15 : 20; +5E16 : e4; +5E17 : df; +5E18 : f0; +5E19 : 6b; +5E1A : a6; +5E1B : 04; +5E1C : a9; +5E1D : 01; +5E1E : 18; +5E1F : 7d; +5E20 : 00; +5E21 : 02; +5E22 : 85; +5E23 : 01; +5E24 : 20; +5E25 : 86; +5E26 : de; +5E27 : e8; +5E28 : e8; +5E29 : e8; +5E2A : a5; +5E2B : 07; +5E2C : c9; +5E2D : 02; +5E2E : d0; +5E2F : 06; +5E30 : fe; +5E31 : 00; +5E32 : 02; +5E33 : 4c; +5E34 : 56; +5E35 : de; +5E36 : c9; +5E37 : 03; +5E38 : d0; +5E39 : 1c; +5E3A : a5; +5E3B : 01; +5E3C : 29; +5E3D : 01; +5E3E : f0; +5E3F : 16; +5E40 : a4; +5E41 : 5d; +5E42 : b9; +5E43 : 17; +5E44 : 04; +5E45 : d0; +5E46 : 09; +5E47 : fe; +5E48 : 00; +5E49 : 02; +5E4A : fe; +5E4B : 00; +5E4C : 02; +5E4D : 4c; +5E4E : 56; +5E4F : de; +5E50 : de; +5E51 : 00; +5E52 : 02; +5E53 : de; +5E54 : 00; +5E55 : 02; +5E56 : bd; +5E57 : 00; +5E58 : 02; +5E59 : 85; +5E5A : 00; +5E5B : a6; +5E5C : 5d; +5E5D : b5; +5E5E : 72; +5E5F : c9; +5E60 : 90; +5E61 : d0; +5E62 : 05; +5E63 : a9; +5E64 : 94; +5E65 : 4c; +5E66 : 6a; +5E67 : de; +5E68 : a9; +5E69 : 90; +5E6A : 85; +5E6B : 02; +5E6C : a6; +5E6D : 5d; +5E6E : 95; +5E6F : 72; +5E70 : 4c; +5E71 : db; +5E72 : ea; +5E73 : a9; +5E74 : c2; +5E75 : a6; +5E76 : 5d; +5E77 : 95; +5E78 : 5e; +5E79 : a6; +5E7A : 04; +5E7B : bd; +5E7C : 03; +5E7D : 02; +5E7E : 8d; +5E7F : 2b; +5E80 : 04; +5E81 : 60; +5E82 : 20; +5E83 : a5; +5E84 : de; +5E85 : 60; +5E86 : a5; +5E87 : 07; +5E88 : c9; +5E89 : 01; +5E8A : d0; +5E8B : 18; +5E8C : a0; +5E8D : 00; +5E8E : a5; +5E8F : 01; +5E90 : d9; +5E91 : 1d; +5E92 : c4; +5E93 : 90; +5E94 : 0a; +5E95 : d9; +5E96 : 20; +5E97 : c4; +5E98 : b0; +5E99 : 05; +5E9A : e6; +5E9B : 01; +5E9C : 4c; +5E9D : a4; +5E9E : de; +5E9F : c8; +5EA0 : c0; +5EA1 : 03; +5EA2 : d0; +5EA3 : ec; +5EA4 : 60; +5EA5 : 20; +5EA6 : d5; +5EA7 : ef; +5EA8 : 86; +5EA9 : 04; +5EAA : 20; +5EAB : ec; +5EAC : ea; +5EAD : c6; +5EAE : 00; +5EAF : ad; +5EB0 : 2b; +5EB1 : 04; +5EB2 : 38; +5EB3 : e9; +5EB4 : 01; +5EB5 : c5; +5EB6 : 00; +5EB7 : f0; +5EB8 : 2f; +5EB9 : 38; +5EBA : e9; +5EBB : 01; +5EBC : c5; +5EBD : 00; +5EBE : f0; +5EBF : 28; +5EC0 : 38; +5EC1 : e9; +5EC2 : 01; +5EC3 : c5; +5EC4 : 00; +5EC5 : f0; +5EC6 : 2b; +5EC7 : 38; +5EC8 : e9; +5EC9 : 08; +5ECA : c5; +5ECB : 00; +5ECC : f0; +5ECD : 1f; +5ECE : 38; +5ECF : e9; +5ED0 : 01; +5ED1 : c5; +5ED2 : 00; +5ED3 : f0; +5ED4 : 18; +5ED5 : 38; +5ED6 : e9; +5ED7 : 01; +5ED8 : c5; +5ED9 : 00; +5EDA : d0; +5EDB : 1f; +5EDC : a9; +5EDD : 01; +5EDE : a6; +5EDF : 5d; +5EE0 : 95; +5EE1 : 5e; +5EE2 : a9; +5EE3 : 00; +5EE4 : 9d; +5EE5 : 17; +5EE6 : 04; +5EE7 : 60; +5EE8 : c6; +5EE9 : 01; +5EEA : 4c; +5EEB : fb; +5EEC : de; +5EED : e6; +5EEE : 01; +5EEF : 4c; +5EF0 : fb; +5EF1 : de; +5EF2 : a6; +5EF3 : 5d; +5EF4 : bd; +5EF5 : 21; +5EF6 : 04; +5EF7 : c9; +5EF8 : 01; +5EF9 : f0; +5EFA : e1; +5EFB : a9; +5EFC : 84; +5EFD : a6; +5EFE : 5d; +5EFF : 95; +5F00 : 72; +5F01 : 85; +5F02 : 02; +5F03 : 20; +5F04 : db; +5F05 : ea; +5F06 : 60; +5F07 : a9; +5F08 : 55; +5F09 : 20; +5F0A : e4; +5F0B : df; +5F0C : d0; +5F0D : 01; +5F0E : 60; +5F0F : 20; +5F10 : d5; +5F11 : ef; +5F12 : 86; +5F13 : 04; +5F14 : 20; +5F15 : ec; +5F16 : ea; +5F17 : e6; +5F18 : 01; +5F19 : bd; +5F1A : 01; +5F1B : 02; +5F1C : c9; +5F1D : 90; +5F1E : f0; +5F1F : 05; +5F20 : a9; +5F21 : 90; +5F22 : 4c; +5F23 : 27; +5F24 : df; +5F25 : a9; +5F26 : 94; +5F27 : 85; +5F28 : 02; +5F29 : 20; +5F2A : db; +5F2B : ea; +5F2C : a5; +5F2D : c0; +5F2E : c5; +5F2F : 01; +5F30 : f0; +5F31 : 03; +5F32 : 90; +5F33 : 01; +5F34 : 60; +5F35 : a6; +5F36 : 5d; +5F37 : a9; +5F38 : 01; +5F39 : 95; +5F3A : 5e; +5F3B : a9; +5F3C : 00; +5F3D : 85; +5F3E : c0; +5F3F : 60; +5F40 : a5; +5F41 : c0; +5F42 : f0; +5F43 : 01; +5F44 : 60; +5F45 : a5; +5F46 : 96; +5F47 : c9; +5F48 : 0a; +5F49 : f0; +5F4A : 01; +5F4B : 60; +5F4C : a5; +5F4D : 59; +5F4E : c9; +5F4F : 03; +5F50 : f0; +5F51 : 03; +5F52 : 4c; +5F53 : 72; +5F54 : df; +5F55 : a2; +5F56 : 03; +5F57 : b5; +5F58 : 7e; +5F59 : c9; +5F5A : 05; +5F5B : b0; +5F5C : 01; +5F5D : 60; +5F5E : a2; +5F5F : 00; +5F60 : b5; +5F61 : 5e; +5F62 : c9; +5F63 : 01; +5F64 : d0; +5F65 : 06; +5F66 : b5; +5F67 : 68; +5F68 : c9; +5F69 : 03; +5F6A : f0; +5F6B : 23; +5F6C : e8; +5F6D : e0; +5F6E : 0a; +5F6F : d0; +5F70 : ef; +5F71 : 60; +5F72 : a2; +5F73 : 05; +5F74 : b5; +5F75 : 7e; +5F76 : c9; +5F77 : 05; +5F78 : b0; +5F79 : 01; +5F7A : 60; +5F7B : a2; +5F7C : 00; +5F7D : b5; +5F7E : 5e; +5F7F : c9; +5F80 : 01; +5F81 : d0; +5F82 : 06; +5F83 : b5; +5F84 : 68; +5F85 : c9; +5F86 : 05; +5F87 : f0; +5F88 : 06; +5F89 : e8; +5F8A : c9; +5F8B : 0a; +5F8C : d0; +5F8D : ef; +5F8E : 60; +5F8F : a9; +5F90 : 40; +5F91 : 95; +5F92 : 5e; +5F93 : d6; +5F94 : 68; +5F95 : 8a; +5F96 : 18; +5F97 : 69; +5F98 : 03; +5F99 : 0a; +5F9A : 0a; +5F9B : 0a; +5F9C : 0a; +5F9D : a8; +5F9E : b9; +5F9F : 00; +5FA0 : 02; +5FA1 : 85; +5FA2 : 01; +5FA3 : b9; +5FA4 : 03; +5FA5 : 02; +5FA6 : 85; +5FA7 : 00; +5FA8 : ad; +5FA9 : eb; +5FAA : c1; +5FAB : a0; +5FAC : 00; +5FAD : c5; +5FAE : 00; +5FAF : b0; +5FB0 : 07; +5FB1 : 18; +5FB2 : 69; +5FB3 : 18; +5FB4 : c8; +5FB5 : 4c; +5FB6 : ad; +5FB7 : df; +5FB8 : 98; +5FB9 : 0a; +5FBA : 18; +5FBB : 69; +5FBC : 15; +5FBD : 18; +5FBE : 65; +5FBF : 01; +5FC0 : 85; +5FC1 : c0; +5FC2 : 60; +5FC3 : a6; +5FC4 : 5d; +5FC5 : b5; +5FC6 : 68; +5FC7 : c9; +5FC8 : 01; +5FC9 : d0; +5FCA : 18; +5FCB : 20; +5FCC : d5; +5FCD : ef; +5FCE : bd; +5FCF : 03; +5FD0 : 02; +5FD1 : c9; +5FD2 : 30; +5FD3 : b0; +5FD4 : 0e; +5FD5 : a9; +5FD6 : 23; +5FD7 : 9d; +5FD8 : 02; +5FD9 : 02; +5FDA : 9d; +5FDB : 06; +5FDC : 02; +5FDD : 9d; +5FDE : 0a; +5FDF : 02; +5FE0 : 9d; +5FE1 : 0e; +5FE2 : 02; +5FE3 : 60; +5FE4 : 85; +5FE5 : 0a; +5FE6 : 85; +5FE7 : 0b; +5FE8 : a6; +5FE9 : 5d; +5FEA : f6; +5FEB : 8a; +5FEC : b5; +5FED : 8a; +5FEE : 30; +5FEF : 07; +5FF0 : c9; +5FF1 : 10; +5FF2 : b0; +5FF3 : 03; +5FF4 : 4c; +5FF5 : fb; +5FF6 : df; +5FF7 : a9; +5FF8 : 00; +5FF9 : 95; +5FFA : 8a; +5FFB : c9; +5FFC : 08; +5FFD : b0; +5FFE : 09; +5FFF : aa; +6000 : bd; +6001 : bc; +6002 : c1; +6003 : 25; +6004 : 0a; +6005 : 4c; +6006 : 11; +6007 : e0; +6008 : 38; +6009 : e9; +600A : 08; +600B : aa; +600C : bd; +600D : bc; +600E : c1; +600F : 25; +6010 : 0b; +6011 : f0; +6012 : 02; +6013 : a9; +6014 : 01; +6015 : 60; +6016 : 85; +6017 : 0a; +6018 : a5; +6019 : 53; +601A : 38; +601B : e9; +601C : 01; +601D : 0a; +601E : aa; +601F : bd; +6020 : 93; +6021 : c4; +6022 : 85; +6023 : 08; +6024 : bd; +6025 : 94; +6026 : c4; +6027 : 85; +6028 : 09; +6029 : a0; +602A : 00; +602B : a9; +602C : 01; +602D : 85; +602E : 0b; +602F : b1; +6030 : 08; +6031 : c9; +6032 : ff; +6033 : f0; +6034 : 0c; +6035 : c5; +6036 : 0a; +6037 : f0; +6038 : 0c; +6039 : 90; +603A : 0a; +603B : e6; +603C : 0b; +603D : c8; +603E : 4c; +603F : 2f; +6040 : e0; +6041 : a9; +6042 : 07; +6043 : 85; +6044 : 0b; +6045 : a5; +6046 : 0b; +6047 : 60; +6048 : a6; +6049 : 5d; +604A : b5; +604B : 5e; +604C : c9; +604D : 01; +604E : d0; +604F : 07; +6050 : a5; +6051 : 7d; +6052 : d0; +6053 : 03; +6054 : a9; +6055 : 01; +6056 : 60; +6057 : a9; +6058 : 00; +6059 : 60; +605A : 85; +605B : 0c; +605C : a6; +605D : 5d; +605E : b5; +605F : 68; +6060 : c9; +6061 : 01; +6062 : f0; +6063 : 15; +6064 : c9; +6065 : 06; +6066 : f0; +6067 : 11; +6068 : a2; +6069 : 00; +606A : bd; +606B : c4; +606C : c1; +606D : c5; +606E : 0c; +606F : f0; +6070 : 19; +6071 : e8; +6072 : e0; +6073 : 09; +6074 : f0; +6075 : 17; +6076 : 4c; +6077 : 6a; +6078 : e0; +6079 : a2; +607A : 04; +607B : bd; +607C : c4; +607D : c1; +607E : c5; +607F : 0c; +6080 : f0; +6081 : 08; +6082 : e8; +6083 : e0; +6084 : 09; +6085 : f0; +6086 : 06; +6087 : 4c; +6088 : 7b; +6089 : e0; +608A : a9; +608B : 00; +608C : 60; +608D : a9; +608E : 01; +608F : 60; +6090 : 85; +6091 : 0c; +6092 : a6; +6093 : 5d; +6094 : b5; +6095 : 68; +6096 : 29; +6097 : 01; +6098 : f0; +6099 : 05; +609A : a2; +609B : 00; +609C : 4c; +609D : a1; +609E : e0; +609F : a2; +60A0 : 01; +60A1 : bd; +60A2 : cd; +60A3 : c1; +60A4 : c5; +60A5 : 0c; +60A6 : f0; +60A7 : 03; +60A8 : a9; +60A9 : 00; +60AA : 60; +60AB : a9; +60AC : 01; +60AD : 60; +60AE : 85; +60AF : 0c; +60B0 : a6; +60B1 : 5d; +60B2 : b5; +60B3 : 68; +60B4 : c9; +60B5 : 02; +60B6 : f0; +60B7 : 13; +60B8 : c9; +60B9 : 03; +60BA : f0; +60BB : 0f; +60BC : c9; +60BD : 04; +60BE : f0; +60BF : 11; +60C0 : c9; +60C1 : 05; +60C2 : f0; +60C3 : 19; +60C4 : c9; +60C5 : 06; +60C6 : f0; +60C7 : 21; +60C8 : 4c; +60C9 : ec; +60CA : e0; +60CB : 20; +60CC : f1; +60CD : e0; +60CE : 4c; +60CF : ec; +60D0 : e0; +60D1 : 20; +60D2 : f1; +60D3 : e0; +60D4 : a0; +60D5 : 89; +60D6 : c9; +60D7 : c4; +60D8 : f0; +60D9 : 2f; +60DA : 4c; +60DB : ec; +60DC : e0; +60DD : 20; +60DE : f1; +60DF : e0; +60E0 : a0; +60E1 : 71; +60E2 : c9; +60E3 : b4; +60E4 : f0; +60E5 : 23; +60E6 : 4c; +60E7 : ec; +60E8 : e0; +60E9 : 20; +60EA : f1; +60EB : e0; +60EC : a9; +60ED : 00; +60EE : 4c; +60EF : 0f; +60F0 : e1; +60F1 : aa; +60F2 : ca; +60F3 : ca; +60F4 : a5; +60F5 : 0c; +60F6 : bc; +60F7 : 72; +60F8 : c1; +60F9 : dd; +60FA : 77; +60FB : c1; +60FC : f0; +60FD : 09; +60FE : bc; +60FF : 7c; +6100 : c1; +6101 : dd; +6102 : 81; +6103 : c1; +6104 : f0; +6105 : 01; +6106 : 60; +6107 : 68; +6108 : 68; +6109 : a6; +610A : 5d; +610B : 94; +610C : a3; +610D : a9; +610E : 01; +610F : 85; +6110 : 0c; +6111 : 60; +6112 : 85; +6113 : 0b; +6114 : a0; +6115 : 00; +6116 : b1; +6117 : 08; +6118 : c9; +6119 : fe; +611A : f0; +611B : 0d; +611C : c5; +611D : 0b; +611E : f0; +611F : 04; +6120 : c8; +6121 : 4c; +6122 : 16; +6123 : e1; +6124 : a9; +6125 : 01; +6126 : 4c; +6127 : 2b; +6128 : e1; +6129 : a9; +612A : 00; +612B : 85; +612C : 0c; +612D : 84; +612E : 0a; +612F : 60; +6130 : a6; +6131 : 5d; +6132 : b5; +6133 : 68; +6134 : 38; +6135 : e5; +6136 : 59; +6137 : f0; +6138 : 05; +6139 : 30; +613A : 03; +613B : 4c; +613C : 41; +613D : e1; +613E : a9; +613F : 01; +6140 : 60; +6141 : a9; +6142 : 00; +6143 : 60; +6144 : a2; +6145 : 00; +6146 : a0; +6147 : 20; +6148 : b9; +6149 : 00; +614A : 02; +614B : c9; +614C : ff; +614D : f0; +614E : 08; +614F : 20; +6150 : 16; +6151 : e0; +6152 : 95; +6153 : 68; +6154 : 4c; +6155 : 5b; +6156 : e1; +6157 : a9; +6158 : 00; +6159 : 95; +615A : 68; +615B : 98; +615C : 18; +615D : 69; +615E : 10; +615F : a8; +6160 : e8; +6161 : e0; +6162 : 0a; +6163 : d0; +6164 : e3; +6165 : 60; +6166 : a9; +6167 : 00; +6168 : a0; +6169 : 06; +616A : 99; +616B : 7e; +616C : 00; +616D : 88; +616E : 10; +616F : fa; +6170 : a0; +6171 : 00; +6172 : b9; +6173 : 68; +6174 : 00; +6175 : f0; +6176 : 08; +6177 : aa; +6178 : b5; +6179 : 7e; +617A : 18; +617B : 69; +617C : 01; +617D : 95; +617E : 7e; +617F : c0; +6180 : 09; +6181 : f0; +6182 : 04; +6183 : c8; +6184 : 4c; +6185 : 72; +6186 : e1; +6187 : a6; +6188 : 59; +6189 : e0; +618A : 07; +618B : f0; +618C : 0c; +618D : f6; +618E : 7e; +618F : a5; +6190 : 96; +6191 : c9; +6192 : 0a; +6193 : d0; +6194 : 04; +6195 : a6; +6196 : 59; +6197 : f6; +6198 : 7e; +6199 : 60; +619A : a5; +619B : ad; +619C : d0; +619D : 01; +619E : 60; +619F : c9; +61A0 : 01; +61A1 : d0; +61A2 : 1c; +61A3 : a9; +61A4 : 20; +61A5 : 85; +61A6 : 00; +61A7 : a9; +61A8 : c0; +61A9 : 85; +61AA : 01; +61AB : a9; +61AC : fc; +61AD : 85; +61AE : 02; +61AF : a9; +61B0 : 12; +61B1 : 85; +61B2 : 03; +61B3 : a9; +61B4 : e0; +61B5 : 20; +61B6 : 80; +61B7 : f0; +61B8 : a9; +61B9 : 02; +61BA : 85; +61BB : ad; +61BC : 4c; +61BD : e0; +61BE : e1; +61BF : a5; +61C0 : 38; +61C1 : d0; +61C2 : 21; +61C3 : a9; +61C4 : 03; +61C5 : 85; +61C6 : ad; +61C7 : a2; +61C8 : e1; +61C9 : bd; +61CA : 00; +61CB : 02; +61CC : c9; +61CD : fc; +61CE : f0; +61CF : 05; +61D0 : a9; +61D1 : fc; +61D2 : 4c; +61D3 : d7; +61D4 : e1; +61D5 : a9; +61D6 : fe; +61D7 : 9d; +61D8 : 00; +61D9 : 02; +61DA : 18; +61DB : 69; +61DC : 01; +61DD : 9d; +61DE : 04; +61DF : 02; +61E0 : a9; +61E1 : 10; +61E2 : 85; +61E3 : 38; +61E4 : 60; +61E5 : a9; +61E6 : 00; +61E7 : 85; +61E8 : ae; +61E9 : 20; +61EA : dd; +61EB : ef; +61EC : bd; +61ED : 00; +61EE : 02; +61EF : c9; +61F0 : ff; +61F1 : d0; +61F2 : 32; +61F3 : a5; +61F4 : 53; +61F5 : c9; +61F6 : 01; +61F7 : f0; +61F8 : 07; +61F9 : c9; +61FA : 04; +61FB : f0; +61FC : 16; +61FD : 4c; +61FE : 25; +61FF : e2; +6200 : a5; +6201 : 40; +6202 : d0; +6203 : 24; +6204 : a5; +6205 : ad; +6206 : f0; +6207 : 20; +6208 : c9; +6209 : 02; +620A : d0; +620B : 1c; +620C : a9; +620D : 19; +620E : 85; +620F : 40; +6210 : 4c; +6211 : 1f; +6212 : e2; +6213 : a5; +6214 : 40; +6215 : d0; +6216 : 11; +6217 : 20; +6218 : f7; +6219 : ea; +621A : bd; +621B : 66; +621C : c4; +621D : 85; +621E : 40; +621F : a9; +6220 : 06; +6221 : a6; +6222 : ae; +6223 : 95; +6224 : af; +6225 : 20; +6226 : 50; +6227 : e2; +6228 : a6; +6229 : 53; +622A : ca; +622B : e6; +622C : ae; +622D : a5; +622E : ae; +622F : dd; +6230 : f6; +6231 : c1; +6232 : f0; +6233 : 03; +6234 : 4c; +6235 : e9; +6236 : e1; +6237 : a5; +6238 : 53; +6239 : c9; +623A : 03; +623B : f0; +623C : 12; +623D : a5; +623E : 3b; +623F : d0; +6240 : 0e; +6241 : a9; +6242 : 00; +6243 : 85; +6244 : d2; +6245 : 85; +6246 : d3; +6247 : 85; +6248 : d4; +6249 : 85; +624A : d5; +624B : a9; +624C : bc; +624D : 85; +624E : 3b; +624F : 60; +6250 : a6; +6251 : ae; +6252 : b5; +6253 : af; +6254 : 29; +6255 : 0f; +6256 : f0; +6257 : 3a; +6258 : c9; +6259 : 06; +625A : f0; +625B : 33; +625C : c9; +625D : 08; +625E : f0; +625F : 2f; +6260 : c9; +6261 : 01; +6262 : f0; +6263 : 31; +6264 : c9; +6265 : 02; +6266 : f0; +6267 : 32; +6268 : c9; +6269 : 03; +626A : f0; +626B : 35; +626C : a5; +626D : 53; +626E : c9; +626F : 03; +6270 : f0; +6271 : 06; +6272 : 20; +6273 : b6; +6274 : e2; +6275 : 4c; +6276 : 80; +6277 : e2; +6278 : b5; +6279 : 19; +627A : 29; +627B : 03; +627C : a6; +627D : ae; +627E : 95; +627F : af; +6280 : b5; +6281 : af; +6282 : c9; +6283 : 01; +6284 : f0; +6285 : 04; +6286 : c9; +6287 : 02; +6288 : d0; +6289 : 02; +628A : 95; +628B : b3; +628C : 4c; +628D : 54; +628E : e2; +628F : 4c; +6290 : 38; +6291 : e5; +6292 : 4c; +6293 : f9; +6294 : e2; +6295 : a9; +6296 : 00; +6297 : 4c; +6298 : 9c; +6299 : e2; +629A : a9; +629B : 01; +629C : 85; +629D : 99; +629E : 4c; +629F : 68; +62A0 : e3; +62A1 : a5; +62A2 : 53; +62A3 : c9; +62A4 : 01; +62A5 : d0; +62A6 : 0c; +62A7 : 20; +62A8 : 26; +62A9 : e6; +62AA : a6; +62AB : ae; +62AC : b5; +62AD : af; +62AE : d0; +62AF : 03; +62B0 : 4c; +62B1 : 92; +62B2 : e2; +62B3 : 4c; +62B4 : 1b; +62B5 : e4; +62B6 : a6; +62B7 : ae; +62B8 : b5; +62B9 : d2; +62BA : d0; +62BB : 21; +62BC : a9; +62BD : 01; +62BE : 95; +62BF : d2; +62C0 : a5; +62C1 : ae; +62C2 : 18; +62C3 : 69; +62C4 : 01; +62C5 : 0a; +62C6 : 0a; +62C7 : 0a; +62C8 : 0a; +62C9 : a8; +62CA : b9; +62CB : 03; +62CC : 02; +62CD : cd; +62CE : 03; +62CF : 02; +62D0 : b0; +62D1 : 07; +62D2 : a9; +62D3 : 01; +62D4 : 95; +62D5 : ec; +62D6 : 4c; +62D7 : dd; +62D8 : e2; +62D9 : a9; +62DA : 02; +62DB : 95; +62DC : ec; +62DD : b5; +62DE : 19; +62DF : 29; +62E0 : 07; +62E1 : 95; +62E2 : af; +62E3 : a8; +62E4 : c9; +62E5 : 04; +62E6 : b0; +62E7 : 03; +62E8 : 4c; +62E9 : f6; +62EA : e2; +62EB : b4; +62EC : ec; +62ED : c9; +62EE : 07; +62EF : b0; +62F0 : 03; +62F1 : 4c; +62F2 : f6; +62F3 : e2; +62F4 : a0; +62F5 : 03; +62F6 : 94; +62F7 : af; +62F8 : 60; +62F9 : a9; +62FA : 55; +62FB : 85; +62FC : 0a; +62FD : 85; +62FE : 0b; +62FF : 20; +6300 : 06; +6301 : e8; +6302 : d0; +6303 : 01; +6304 : 60; +6305 : 20; +6306 : dd; +6307 : ef; +6308 : 86; +6309 : 04; +630A : 20; +630B : ec; +630C : ea; +630D : a6; +630E : ae; +630F : b5; +6310 : af; +6311 : c9; +6312 : 20; +6313 : d0; +6314 : 05; +6315 : a9; +6316 : ff; +6317 : 95; +6318 : af; +6319 : 60; +631A : c9; +631B : 10; +631C : f0; +631D : 05; +631E : c6; +631F : 01; +6320 : 4c; +6321 : 25; +6322 : e3; +6323 : e6; +6324 : 01; +6325 : a5; +6326 : 04; +6327 : a8; +6328 : c8; +6329 : b9; +632A : 00; +632B : 02; +632C : a6; +632D : 53; +632E : e0; +632F : 04; +6330 : f0; +6331 : 0e; +6332 : c9; +6333 : 9c; +6334 : f0; +6335 : 05; +6336 : a9; +6337 : 9c; +6338 : 4c; +6339 : 4b; +633A : e3; +633B : a9; +633C : 98; +633D : 4c; +633E : 4b; +633F : e3; +6340 : c9; +6341 : ac; +6342 : f0; +6343 : 05; +6344 : a9; +6345 : ac; +6346 : 4c; +6347 : 4b; +6348 : e3; +6349 : a9; +634A : a8; +634B : 20; +634C : d4; +634D : ea; +634E : a6; +634F : ae; +6350 : b5; +6351 : b3; +6352 : 4a; +6353 : 20; +6354 : 96; +6355 : f0; +6356 : a6; +6357 : ae; +6358 : b5; +6359 : af; +635A : c9; +635B : 10; +635C : f0; +635D : 05; +635E : a9; +635F : 10; +6360 : 4c; +6361 : 65; +6362 : e3; +6363 : a9; +6364 : 20; +6365 : 95; +6366 : af; +6367 : 60; +6368 : a9; +6369 : 55; +636A : 85; +636B : 0a; +636C : 85; +636D : 0b; +636E : 20; +636F : 06; +6370 : e8; +6371 : d0; +6372 : 01; +6373 : 60; +6374 : 20; +6375 : dd; +6376 : ef; +6377 : 86; +6378 : 04; +6379 : 20; +637A : ec; +637B : ea; +637C : a5; +637D : 99; +637E : d0; +637F : 05; +6380 : e6; +6381 : 00; +6382 : 4c; +6383 : 87; +6384 : e3; +6385 : c6; +6386 : 00; +6387 : a5; +6388 : 00; +6389 : 29; +638A : 0f; +638B : c9; +638C : 04; +638D : f0; +638E : 07; +638F : c9; +6390 : 0c; +6391 : f0; +6392 : 03; +6393 : 4c; +6394 : 9b; +6395 : e3; +6396 : e6; +6397 : 01; +6398 : 4c; +6399 : af; +639A : e3; +639B : a6; +639C : 99; +639D : dd; +639E : e2; +639F : c3; +63A0 : f0; +63A1 : 08; +63A2 : dd; +63A3 : e4; +63A4 : c3; +63A5 : f0; +63A6 : 03; +63A7 : 4c; +63A8 : af; +63A9 : e3; +63AA : c6; +63AB : 01; +63AC : 4c; +63AD : c0; +63AE : e3; +63AF : c9; +63B0 : 04; +63B1 : f0; +63B2 : 07; +63B3 : c9; +63B4 : 0c; +63B5 : f0; +63B6 : 03; +63B7 : 4c; +63B8 : c0; +63B9 : e3; +63BA : a6; +63BB : ae; +63BC : a9; +63BD : ff; +63BE : 95; +63BF : af; +63C0 : a4; +63C1 : 99; +63C2 : 20; +63C3 : a5; +63C4 : e6; +63C5 : d0; +63C6 : 07; +63C7 : a9; +63C8 : 00; +63C9 : a6; +63CA : ae; +63CB : 95; +63CC : af; +63CD : 60; +63CE : a5; +63CF : 99; +63D0 : f0; +63D1 : 1b; +63D2 : a5; +63D3 : 00; +63D4 : c9; +63D5 : 0c; +63D6 : f0; +63D7 : 05; +63D8 : 90; +63D9 : 0c; +63DA : 4c; +63DB : ed; +63DC : e3; +63DD : a9; +63DE : 00; +63DF : a6; +63E0 : ae; +63E1 : 95; +63E2 : af; +63E3 : 4c; +63E4 : ed; +63E5 : e3; +63E6 : a9; +63E7 : 00; +63E8 : a6; +63E9 : ae; +63EA : 95; +63EB : af; +63EC : 60; +63ED : a5; +63EE : 04; +63EF : a8; +63F0 : c8; +63F1 : b9; +63F2 : 00; +63F3 : 02; +63F4 : a6; +63F5 : 53; +63F6 : e0; +63F7 : 04; +63F8 : f0; +63F9 : 0e; +63FA : c9; +63FB : 9c; +63FC : b0; +63FD : 05; +63FE : a9; +63FF : 9c; +6400 : 4c; +6401 : 13; +6402 : e4; +6403 : a9; +6404 : 98; +6405 : 4c; +6406 : 13; +6407 : e4; +6408 : c9; +6409 : ac; +640A : b0; +640B : 05; +640C : a9; +640D : ac; +640E : 4c; +640F : 13; +6410 : e4; +6411 : a9; +6412 : a8; +6413 : 20; +6414 : d4; +6415 : ea; +6416 : a5; +6417 : 99; +6418 : 4c; +6419 : 96; +641A : f0; +641B : a6; +641C : ae; +641D : b5; +641E : af; +641F : 4a; +6420 : 4a; +6421 : 4a; +6422 : aa; +6423 : a5; +6424 : 53; +6425 : c9; +6426 : 04; +6427 : f0; +6428 : 0d; +6429 : bd; +642A : f4; +642B : c3; +642C : 85; +642D : 0a; +642E : bd; +642F : f5; +6430 : c3; +6431 : 85; +6432 : 0b; +6433 : 4c; +6434 : 4b; +6435 : e4; +6436 : a5; +6437 : 50; +6438 : 29; +6439 : 01; +643A : 18; +643B : 65; +643C : 54; +643D : c9; +643E : 03; +643F : 90; +6440 : e8; +6441 : bd; +6442 : f8; +6443 : c3; +6444 : 85; +6445 : 0a; +6446 : bd; +6447 : f9; +6448 : c3; +6449 : 85; +644A : 0b; +644B : 20; +644C : 06; +644D : e8; +644E : d0; +644F : 01; +6450 : 60; +6451 : 20; +6452 : dd; +6453 : ef; +6454 : 86; +6455 : 04; +6456 : 20; +6457 : ec; +6458 : ea; +6459 : a6; +645A : ae; +645B : b5; +645C : e8; +645D : f0; +645E : 0e; +645F : c9; +6460 : 03; +6461 : f0; +6462 : 03; +6463 : 4c; +6464 : 6d; +6465 : e4; +6466 : a9; +6467 : 00; +6468 : 95; +6469 : e8; +646A : 4c; +646B : 7a; +646C : e4; +646D : a5; +646E : 01; +646F : 29; +6470 : 03; +6471 : d0; +6472 : 07; +6473 : a9; +6474 : 01; +6475 : f6; +6476 : e8; +6477 : 4c; +6478 : 0c; +6479 : e5; +647A : a5; +647B : 53; +647C : c9; +647D : 01; +647E : f0; +647F : 35; +6480 : 20; +6481 : a3; +6482 : e7; +6483 : c9; +6484 : 03; +6485 : f0; +6486 : 07; +6487 : c9; +6488 : 13; +6489 : f0; +648A : 10; +648B : 4c; +648C : 0c; +648D : e5; +648E : c6; +648F : 01; +6490 : a5; +6491 : 01; +6492 : a6; +6493 : ae; +6494 : d5; +6495 : db; +6496 : f0; +6497 : 10; +6498 : 4c; +6499 : 0c; +649A : e5; +649B : e6; +649C : 01; +649D : a5; +649E : 01; +649F : a6; +64A0 : ae; +64A1 : d5; +64A2 : db; +64A3 : f0; +64A4 : 03; +64A5 : 4c; +64A6 : 0c; +64A7 : e5; +64A8 : a9; +64A9 : 01; +64AA : a6; +64AB : ae; +64AC : 95; +64AD : af; +64AE : a9; +64AF : 00; +64B0 : 95; +64B1 : db; +64B2 : 4c; +64B3 : 0c; +64B4 : e5; +64B5 : a6; +64B6 : ae; +64B7 : b5; +64B8 : af; +64B9 : c9; +64BA : 13; +64BB : f0; +64BC : 03; +64BD : 4c; +64BE : d6; +64BF : e4; +64C0 : e6; +64C1 : 01; +64C2 : a5; +64C3 : ae; +64C4 : 0a; +64C5 : aa; +64C6 : e8; +64C7 : b5; +64C8 : b9; +64C9 : c5; +64CA : 01; +64CB : d0; +64CC : 06; +64CD : a9; +64CE : 01; +64CF : a6; +64D0 : ae; +64D1 : 95; +64D2 : af; +64D3 : 4c; +64D4 : 0c; +64D5 : e5; +64D6 : c6; +64D7 : 01; +64D8 : a6; +64D9 : ae; +64DA : e0; +64DB : 00; +64DC : d0; +64DD : 1b; +64DE : a6; +64DF : ae; +64E0 : b5; +64E1 : e0; +64E2 : c9; +64E3 : 02; +64E4 : f0; +64E5 : 13; +64E6 : a5; +64E7 : ae; +64E8 : 0a; +64E9 : aa; +64EA : b5; +64EB : b9; +64EC : c5; +64ED : 01; +64EE : d0; +64EF : 1c; +64F0 : a9; +64F1 : 02; +64F2 : a6; +64F3 : ae; +64F4 : 95; +64F5 : af; +64F6 : 4c; +64F7 : 0c; +64F8 : e5; +64F9 : a5; +64FA : ae; +64FB : 0a; +64FC : aa; +64FD : b5; +64FE : b9; +64FF : 18; +6500 : 69; +6501 : 0d; +6502 : c5; +6503 : 01; +6504 : d0; +6505 : 06; +6506 : a9; +6507 : 13; +6508 : a6; +6509 : ae; +650A : 95; +650B : af; +650C : a5; +650D : 04; +650E : a8; +650F : c8; +6510 : b9; +6511 : 00; +6512 : 02; +6513 : a6; +6514 : 53; +6515 : e0; +6516 : 04; +6517 : f0; +6518 : 0e; +6519 : c9; +651A : 9c; +651B : b0; +651C : 05; +651D : a9; +651E : 9c; +651F : 4c; +6520 : 32; +6521 : e5; +6522 : a9; +6523 : 98; +6524 : 4c; +6525 : 32; +6526 : e5; +6527 : c9; +6528 : ac; +6529 : b0; +652A : 05; +652B : a9; +652C : ac; +652D : 4c; +652E : 32; +652F : e5; +6530 : a9; +6531 : a8; +6532 : 20; +6533 : d4; +6534 : ea; +6535 : 4c; +6536 : 88; +6537 : f0; +6538 : a6; +6539 : ae; +653A : b5; +653B : af; +653C : c9; +653D : 06; +653E : f0; +653F : 08; +6540 : c9; +6541 : 08; +6542 : f0; +6543 : 01; +6544 : 60; +6545 : 4c; +6546 : 9f; +6547 : e5; +6548 : a5; +6549 : 53; +654A : c9; +654B : 01; +654C : f0; +654D : 05; +654E : c9; +654F : 04; +6550 : f0; +6551 : 12; +6552 : 60; +6553 : a9; +6554 : 20; +6555 : 85; +6556 : 00; +6557 : a9; +6558 : b8; +6559 : 85; +655A : 01; +655B : a6; +655C : ae; +655D : a9; +655E : 08; +655F : 95; +6560 : af; +6561 : 4c; +6562 : 92; +6563 : e5; +6564 : ad; +6565 : 03; +6566 : 02; +6567 : c9; +6568 : 78; +6569 : 90; +656A : 05; +656B : a0; +656C : 00; +656D : 4c; +656E : 72; +656F : e5; +6570 : a0; +6571 : 08; +6572 : 84; +6573 : 0c; +6574 : a5; +6575 : 19; +6576 : 29; +6577 : 03; +6578 : 0a; +6579 : 18; +657A : 65; +657B : 0c; +657C : aa; +657D : bd; +657E : ce; +657F : c3; +6580 : 85; +6581 : 00; +6582 : bd; +6583 : cf; +6584 : c3; +6585 : 85; +6586 : 01; +6587 : a6; +6588 : ae; +6589 : a9; +658A : 00; +658B : 95; +658C : af; +658D : a9; +658E : a8; +658F : 4c; +6590 : 94; +6591 : e5; +6592 : a9; +6593 : 98; +6594 : 20; +6595 : d4; +6596 : ea; +6597 : 20; +6598 : dd; +6599 : ef; +659A : 85; +659B : 04; +659C : 4c; +659D : 82; +659E : f0; +659F : 20; +65A0 : dd; +65A1 : ef; +65A2 : 86; +65A3 : 04; +65A4 : 20; +65A5 : ec; +65A6 : ea; +65A7 : bd; +65A8 : 01; +65A9 : 02; +65AA : 20; +65AB : d4; +65AC : ea; +65AD : a5; +65AE : 53; +65AF : c9; +65B0 : 01; +65B1 : f0; +65B2 : 01; +65B3 : 60; +65B4 : e6; +65B5 : 00; +65B6 : a5; +65B7 : 00; +65B8 : c9; +65B9 : 2c; +65BA : f0; +65BB : 02; +65BC : 90; +65BD : 27; +65BE : e6; +65BF : 01; +65C0 : a5; +65C1 : 01; +65C2 : c9; +65C3 : c5; +65C4 : d0; +65C5 : 1f; +65C6 : a9; +65C7 : 00; +65C8 : a6; +65C9 : ae; +65CA : 95; +65CB : af; +65CC : c6; +65CD : 00; +65CE : a5; +65CF : 00; +65D0 : c9; +65D1 : 68; +65D2 : b0; +65D3 : 05; +65D4 : e6; +65D5 : 01; +65D6 : 4c; +65D7 : db; +65D8 : e5; +65D9 : c6; +65DA : 01; +65DB : c9; +65DC : 60; +65DD : d0; +65DE : 06; +65DF : a6; +65E0 : ae; +65E1 : a9; +65E2 : 00; +65E3 : 95; +65E4 : af; +65E5 : 4c; +65E6 : 82; +65E7 : f0; +65E8 : 85; +65E9 : 0c; +65EA : a6; +65EB : ae; +65EC : b5; +65ED : e0; +65EE : c9; +65EF : 01; +65F0 : f0; +65F1 : 1d; +65F2 : c9; +65F3 : 06; +65F4 : f0; +65F5 : 19; +65F6 : a2; +65F7 : 00; +65F8 : a9; +65F9 : 18; +65FA : c5; +65FB : 0c; +65FC : f0; +65FD : 0b; +65FE : e8; +65FF : e0; +6600 : 09; +6601 : f0; +6602 : 09; +6603 : bd; +6604 : c4; +6605 : c1; +6606 : 4c; +6607 : fa; +6608 : e5; +6609 : a9; +660A : 00; +660B : 60; +660C : a9; +660D : 01; +660E : 60; +660F : a2; +6610 : 04; +6611 : bd; +6612 : c4; +6613 : c1; +6614 : c5; +6615 : 0c; +6616 : f0; +6617 : 08; +6618 : e8; +6619 : e0; +661A : 09; +661B : f0; +661C : 06; +661D : 4c; +661E : 11; +661F : e6; +6620 : a9; +6621 : 00; +6622 : 60; +6623 : a9; +6624 : 01; +6625 : 60; +6626 : a6; +6627 : ae; +6628 : b5; +6629 : af; +662A : c9; +662B : 13; +662C : d0; +662D : 01; +662E : 60; +662F : 20; +6630 : dd; +6631 : ef; +6632 : 20; +6633 : ec; +6634 : ea; +6635 : a6; +6636 : ae; +6637 : b5; +6638 : e0; +6639 : c9; +663A : 01; +663B : f0; +663C : 03; +663D : 4c; +663E : 6d; +663F : e6; +6640 : a5; +6641 : 00; +6642 : c9; +6643 : 5c; +6644 : f0; +6645 : 07; +6646 : c9; +6647 : c4; +6648 : f0; +6649 : 13; +664A : 4c; +664B : 9e; +664C : e6; +664D : a5; +664E : ae; +664F : 0a; +6650 : aa; +6651 : a9; +6652 : a6; +6653 : 95; +6654 : b9; +6655 : e8; +6656 : a9; +6657 : c7; +6658 : 95; +6659 : b9; +665A : 4c; +665B : 97; +665C : e6; +665D : a5; +665E : ae; +665F : 0a; +6660 : aa; +6661 : a9; +6662 : ab; +6663 : 95; +6664 : b9; +6665 : e8; +6666 : a9; +6667 : c3; +6668 : 95; +6669 : b9; +666A : 4c; +666B : 97; +666C : e6; +666D : a5; +666E : 00; +666F : c9; +6670 : 2c; +6671 : f0; +6672 : 07; +6673 : c9; +6674 : 6c; +6675 : f0; +6676 : 13; +6677 : 4c; +6678 : 9e; +6679 : e6; +667A : a5; +667B : ae; +667C : 0a; +667D : aa; +667E : a9; +667F : 8d; +6680 : 95; +6681 : b9; +6682 : e8; +6683 : a9; +6684 : a4; +6685 : 95; +6686 : b9; +6687 : 4c; +6688 : 97; +6689 : e6; +668A : a5; +668B : ae; +668C : 0a; +668D : aa; +668E : a9; +668F : 8a; +6690 : 95; +6691 : b9; +6692 : e8; +6693 : a9; +6694 : a7; +6695 : 95; +6696 : b9; +6697 : a9; +6698 : 03; +6699 : a6; +669A : ae; +669B : 95; +669C : af; +669D : 60; +669E : a9; +669F : 00; +66A0 : a6; +66A1 : ae; +66A2 : 95; +66A3 : af; +66A4 : 60; +66A5 : a5; +66A6 : 01; +66A7 : 18; +66A8 : 69; +66A9 : 0b; +66AA : 20; +66AB : 16; +66AC : e0; +66AD : a4; +66AE : 99; +66AF : a6; +66B0 : ae; +66B1 : 95; +66B2 : e0; +66B3 : a5; +66B4 : 53; +66B5 : c9; +66B6 : 01; +66B7 : d0; +66B8 : 03; +66B9 : 4c; +66BA : c6; +66BB : e6; +66BC : c9; +66BD : 03; +66BE : d0; +66BF : 03; +66C0 : 4c; +66C1 : 02; +66C2 : e7; +66C3 : 4c; +66C4 : 3c; +66C5 : e7; +66C6 : a5; +66C7 : 00; +66C8 : 20; +66C9 : e8; +66CA : e5; +66CB : d0; +66CC : 16; +66CD : a6; +66CE : ae; +66CF : b5; +66D0 : e0; +66D1 : 29; +66D2 : 01; +66D3 : f0; +66D4 : 06; +66D5 : b9; +66D6 : 9a; +66D7 : c7; +66D8 : 4c; +66D9 : de; +66DA : e6; +66DB : b9; +66DC : 9c; +66DD : c7; +66DE : 18; +66DF : 65; +66E0 : 01; +66E1 : 85; +66E2 : 01; +66E3 : a6; +66E4 : ae; +66E5 : b5; +66E6 : e0; +66E7 : c9; +66E8 : 01; +66E9 : f0; +66EA : 08; +66EB : a5; +66EC : 00; +66ED : d9; +66EE : e6; +66EF : c3; +66F0 : f0; +66F1 : 09; +66F2 : 60; +66F3 : a5; +66F4 : 00; +66F5 : d9; +66F6 : e8; +66F7 : c3; +66F8 : f0; +66F9 : 01; +66FA : 60; +66FB : a9; +66FC : 00; +66FD : a6; +66FE : ae; +66FF : 95; +6700 : af; +6701 : 60; +6702 : a6; +6703 : ae; +6704 : b5; +6705 : e0; +6706 : c9; +6707 : 02; +6708 : d0; +6709 : 0f; +670A : a5; +670B : 00; +670C : d9; +670D : ea; +670E : c3; +670F : f0; +6710 : 24; +6711 : d9; +6712 : ec; +6713 : c3; +6714 : f0; +6715 : 1f; +6716 : 4c; +6717 : 2d; +6718 : e7; +6719 : c0; +671A : 01; +671B : d0; +671C : 04; +671D : c9; +671E : 04; +671F : f0; +6720 : 0d; +6721 : a5; +6722 : 00; +6723 : d9; +6724 : ee; +6725 : c3; +6726 : f0; +6727 : 0d; +6728 : d9; +6729 : f0; +672A : c3; +672B : f0; +672C : 08; +672D : 60; +672E : a5; +672F : 00; +6730 : c9; +6731 : db; +6732 : f0; +6733 : 01; +6734 : 60; +6735 : a6; +6736 : ae; +6737 : a9; +6738 : 00; +6739 : 95; +673A : af; +673B : 60; +673C : a6; +673D : ae; +673E : b5; +673F : e0; +6740 : a8; +6741 : 88; +6742 : a6; +6743 : 99; +6744 : bd; +6745 : f2; +6746 : c3; +6747 : c0; +6748 : 00; +6749 : f0; +674A : 11; +674B : e0; +674C : 00; +674D : f0; +674E : 06; +674F : 18; +6750 : 69; +6751 : 08; +6752 : 4c; +6753 : 58; +6754 : e7; +6755 : 38; +6756 : e9; +6757 : 08; +6758 : 88; +6759 : 4c; +675A : 47; +675B : e7; +675C : c5; +675D : 00; +675E : f0; +675F : 09; +6760 : a5; +6761 : 99; +6762 : 0a; +6763 : 20; +6764 : 70; +6765 : e7; +6766 : f0; +6767 : 01; +6768 : 60; +6769 : a6; +676A : ae; +676B : a9; +676C : 00; +676D : 95; +676E : af; +676F : 60; +6770 : 85; +6771 : 09; +6772 : 20; +6773 : dd; +6774 : ef; +6775 : bd; +6776 : 03; +6777 : 02; +6778 : 85; +6779 : 0a; +677A : a6; +677B : ae; +677C : b5; +677D : e0; +677E : 38; +677F : e9; +6780 : 02; +6781 : 0a; +6782 : aa; +6783 : b5; +6784 : c1; +6785 : f0; +6786 : 09; +6787 : a4; +6788 : 09; +6789 : b9; +678A : de; +678B : c3; +678C : c5; +678D : 0a; +678E : f0; +678F : 0d; +6790 : b5; +6791 : c2; +6792 : f0; +6793 : 0c; +6794 : a4; +6795 : 09; +6796 : b9; +6797 : df; +6798 : c3; +6799 : c5; +679A : 0a; +679B : d0; +679C : 03; +679D : a9; +679E : 00; +679F : 60; +67A0 : a9; +67A1 : 01; +67A2 : 60; +67A3 : a6; +67A4 : ae; +67A5 : b5; +67A6 : db; +67A7 : f0; +67A8 : 05; +67A9 : a6; +67AA : ae; +67AB : b5; +67AC : af; +67AD : 60; +67AE : a5; +67AF : 53; +67B0 : 38; +67B1 : e9; +67B2 : 02; +67B3 : 0a; +67B4 : a8; +67B5 : b9; +67B6 : 9b; +67B7 : c4; +67B8 : 85; +67B9 : 07; +67BA : b9; +67BB : 9c; +67BC : c4; +67BD : 85; +67BE : 08; +67BF : a6; +67C0 : ae; +67C1 : b4; +67C2 : e0; +67C3 : f0; +67C4 : 2d; +67C5 : 88; +67C6 : b1; +67C7 : 07; +67C8 : 85; +67C9 : 09; +67CA : c8; +67CB : b1; +67CC : 07; +67CD : 85; +67CE : 0a; +67CF : a5; +67D0 : 53; +67D1 : 38; +67D2 : e9; +67D3 : 02; +67D4 : 0a; +67D5 : a8; +67D6 : b9; +67D7 : a1; +67D8 : c4; +67D9 : 85; +67DA : 07; +67DB : b9; +67DC : a2; +67DD : c4; +67DE : 85; +67DF : 08; +67E0 : a4; +67E1 : 09; +67E2 : c4; +67E3 : 0a; +67E4 : f0; +67E5 : 0c; +67E6 : b1; +67E7 : 07; +67E8 : c5; +67E9 : 00; +67EA : f0; +67EB : 0d; +67EC : c8; +67ED : c8; +67EE : c8; +67EF : 4c; +67F0 : e2; +67F1 : e7; +67F2 : a9; +67F3 : 00; +67F4 : a6; +67F5 : ae; +67F6 : 95; +67F7 : af; +67F8 : 60; +67F9 : c8; +67FA : b1; +67FB : 07; +67FC : a6; +67FD : ae; +67FE : 95; +67FF : db; +6800 : c8; +6801 : b1; +6802 : 07; +6803 : 95; +6804 : af; +6805 : 60; +6806 : a6; +6807 : ae; +6808 : f6; +6809 : e4; +680A : b5; +680B : e4; +680C : 30; +680D : 07; +680E : c9; +680F : 10; +6810 : b0; +6811 : 03; +6812 : 4c; +6813 : 19; +6814 : e8; +6815 : a9; +6816 : 00; +6817 : 95; +6818 : e4; +6819 : c9; +681A : 08; +681B : b0; +681C : 09; +681D : aa; +681E : bd; +681F : bc; +6820 : c1; +6821 : 25; +6822 : 0a; +6823 : 4c; +6824 : 2f; +6825 : e8; +6826 : 38; +6827 : e9; +6828 : 08; +6829 : aa; +682A : bd; +682B : bc; +682C : c1; +682D : 25; +682E : 0b; +682F : f0; +6830 : 02; +6831 : a9; +6832 : 01; +6833 : 60; +6834 : 20; +6835 : f7; +6836 : ea; +6837 : bd; +6838 : 5c; +6839 : c4; +683A : 85; +683B : 0a; +683C : bd; +683D : 61; +683E : c4; +683F : 85; +6840 : 0b; +6841 : a9; +6842 : 00; +6843 : 85; +6844 : 5d; +6845 : 20; +6846 : e8; +6847 : df; +6848 : d0; +6849 : 01; +684A : 60; +684B : a9; +684C : 00; +684D : 85; +684E : d2; +684F : a5; +6850 : d2; +6851 : c9; +6852 : 03; +6853 : b0; +6854 : 54; +6855 : aa; +6856 : d0; +6857 : 12; +6858 : a5; +6859 : da; +685A : c9; +685B : 01; +685C : d0; +685D : 0c; +685E : ce; +685F : 00; +6860 : 02; +6861 : ce; +6862 : 04; +6863 : 02; +6864 : ce; +6865 : 08; +6866 : 02; +6867 : ce; +6868 : 0c; +6869 : 02; +686A : bc; +686B : cc; +686C : c2; +686D : b9; +686E : 00; +686F : 02; +6870 : c9; +6871 : ff; +6872 : f0; +6873 : 30; +6874 : 98; +6875 : aa; +6876 : de; +6877 : 00; +6878 : 02; +6879 : de; +687A : 04; +687B : 02; +687C : bd; +687D : 00; +687E : 02; +687F : c9; +6880 : 50; +6881 : d0; +6882 : 06; +6883 : 20; +6884 : 68; +6885 : e9; +6886 : 4c; +6887 : 90; +6888 : e8; +6889 : c9; +688A : c8; +688B : d0; +688C : 03; +688D : 20; +688E : 71; +688F : e9; +6890 : b9; +6891 : 00; +6892 : 02; +6893 : c9; +6894 : 70; +6895 : d0; +6896 : 04; +6897 : a9; +6898 : 01; +6899 : 85; +689A : d8; +689B : b9; +689C : 00; +689D : 02; +689E : c9; +689F : 48; +68A0 : f0; +68A1 : 5f; +68A2 : 90; +68A3 : 5d; +68A4 : e6; +68A5 : d2; +68A6 : 4c; +68A7 : 4f; +68A8 : e8; +68A9 : c9; +68AA : 06; +68AB : f0; +68AC : 61; +68AD : aa; +68AE : c9; +68AF : 03; +68B0 : d0; +68B1 : 12; +68B2 : a5; +68B3 : da; +68B4 : c9; +68B5 : 02; +68B6 : d0; +68B7 : 0c; +68B8 : ee; +68B9 : 00; +68BA : 02; +68BB : ee; +68BC : 04; +68BD : 02; +68BE : ee; +68BF : 08; +68C0 : 02; +68C1 : ee; +68C2 : 0c; +68C3 : 02; +68C4 : bc; +68C5 : cc; +68C6 : c2; +68C7 : b9; +68C8 : 00; +68C9 : 02; +68CA : c9; +68CB : ff; +68CC : f0; +68CD : 2e; +68CE : 98; +68CF : aa; +68D0 : fe; +68D1 : 00; +68D2 : 02; +68D3 : fe; +68D4 : 04; +68D5 : 02; +68D6 : bd; +68D7 : 00; +68D8 : 02; +68D9 : c9; +68DA : 50; +68DB : d0; +68DC : 06; +68DD : 20; +68DE : 71; +68DF : e9; +68E0 : 4c; +68E1 : ea; +68E2 : e8; +68E3 : c9; +68E4 : c8; +68E5 : d0; +68E6 : 03; +68E7 : 20; +68E8 : 68; +68E9 : e9; +68EA : b9; +68EB : 00; +68EC : 02; +68ED : c9; +68EE : a8; +68EF : d0; +68F0 : 07; +68F1 : a9; +68F2 : 01; +68F3 : 85; +68F4 : d9; +68F5 : b9; +68F6 : 00; +68F7 : 02; +68F8 : c9; +68F9 : d0; +68FA : b0; +68FB : 05; +68FC : e6; +68FD : d2; +68FE : 4c; +68FF : 4f; +6900 : e8; +6901 : a9; +6902 : ff; +6903 : 99; +6904 : 00; +6905 : 02; +6906 : 99; +6907 : 04; +6908 : 02; +6909 : e6; +690A : d2; +690B : 4c; +690C : 4f; +690D : e8; +690E : a5; +690F : d8; +6910 : c9; +6911 : 01; +6912 : d0; +6913 : 27; +6914 : a9; +6915 : 00; +6916 : 85; +6917 : d2; +6918 : a5; +6919 : d2; +691A : c9; +691B : 03; +691C : f0; +691D : 49; +691E : aa; +691F : bc; +6920 : cc; +6921 : c2; +6922 : b9; +6923 : 00; +6924 : 02; +6925 : c9; +6926 : ff; +6927 : f0; +6928 : 05; +6929 : e6; +692A : d2; +692B : 4c; +692C : 18; +692D : e9; +692E : a9; +692F : d0; +6930 : 20; +6931 : 7a; +6932 : e9; +6933 : 20; +6934 : 68; +6935 : e9; +6936 : a9; +6937 : 00; +6938 : 85; +6939 : d8; +693A : 60; +693B : a5; +693C : d9; +693D : c9; +693E : 01; +693F : d0; +6940 : 26; +6941 : a9; +6942 : 03; +6943 : 85; +6944 : d2; +6945 : a5; +6946 : d2; +6947 : c9; +6948 : 06; +6949 : f0; +694A : 1c; +694B : aa; +694C : bc; +694D : cc; +694E : c2; +694F : b9; +6950 : 00; +6951 : 02; +6952 : c9; +6953 : ff; +6954 : f0; +6955 : 05; +6956 : e6; +6957 : d2; +6958 : 4c; +6959 : 45; +695A : e9; +695B : a9; +695C : 48; +695D : 20; +695E : 7a; +695F : e9; +6960 : 20; +6961 : 68; +6962 : e9; +6963 : a9; +6964 : 00; +6965 : 85; +6966 : d9; +6967 : 60; +6968 : a9; +6969 : 23; +696A : 99; +696B : 02; +696C : 02; +696D : 99; +696E : 06; +696F : 02; +6970 : 60; +6971 : a9; +6972 : 03; +6973 : 99; +6974 : 02; +6975 : 02; +6976 : 99; +6977 : 06; +6978 : 02; +6979 : 60; +697A : 99; +697B : 00; +697C : 02; +697D : 99; +697E : 04; +697F : 02; +6980 : 60; +6981 : a9; +6982 : 00; +6983 : 8d; +6984 : 45; +6985 : 04; +6986 : ad; +6987 : 45; +6988 : 04; +6989 : 20; +698A : d7; +698B : ef; +698C : 8a; +698D : 18; +698E : 69; +698F : 30; +6990 : aa; +6991 : 86; +6992 : 04; +6993 : 20; +6994 : ec; +6995 : ea; +6996 : c9; +6997 : ff; +6998 : f0; +6999 : 56; +699A : ae; +699B : 45; +699C : 04; +699D : bd; +699E : 46; +699F : 04; +69A0 : 18; +69A1 : 69; +69A2 : b0; +69A3 : c5; +69A4 : 00; +69A5 : 90; +69A6 : 0d; +69A7 : a5; +69A8 : 01; +69A9 : c9; +69AA : 26; +69AB : b0; +69AC : 11; +69AD : a9; +69AE : c0; +69AF : 85; +69B0 : 02; +69B1 : 4c; +69B2 : da; +69B3 : e9; +69B4 : 20; +69B5 : 01; +69B6 : ea; +69B7 : c9; +69B8 : ff; +69B9 : f0; +69BA : 38; +69BB : 4c; +69BC : ea; +69BD : e9; +69BE : a9; +69BF : c4; +69C0 : 85; +69C1 : 02; +69C2 : a5; +69C3 : 01; +69C4 : c9; +69C5 : 2e; +69C6 : 90; +69C7 : 12; +69C8 : a9; +69C9 : 02; +69CA : 85; +69CB : fe; +69CC : a9; +69CD : 2e; +69CE : 85; +69CF : 01; +69D0 : ad; +69D1 : 45; +69D2 : 04; +69D3 : 0a; +69D4 : aa; +69D5 : a9; +69D6 : 00; +69D7 : 9d; +69D8 : 2e; +69D9 : 04; +69DA : a5; +69DB : 00; +69DC : 18; +69DD : 69; +69DE : 02; +69DF : 85; +69E0 : 00; +69E1 : ad; +69E2 : 45; +69E3 : 04; +69E4 : 18; +69E5 : 69; +69E6 : 01; +69E7 : 20; +69E8 : 72; +69E9 : ef; +69EA : 20; +69EB : db; +69EC : ea; +69ED : 4c; +69EE : f3; +69EF : e9; +69F0 : 20; +69F1 : 34; +69F2 : ea; +69F3 : ee; +69F4 : 45; +69F5 : 04; +69F6 : ad; +69F7 : 45; +69F8 : 04; +69F9 : c9; +69FA : 03; +69FB : f0; +69FC : 03; +69FD : 4c; +69FE : 86; +69FF : e9; +6A00 : 60; +6A01 : a5; +6A02 : 01; +6A03 : e6; +6A04 : 01; +6A05 : e6; +6A06 : 01; +6A07 : e6; +6A08 : 01; +6A09 : c9; +6A0A : 26; +6A0B : d0; +6A0C : 04; +6A0D : a2; +6A0E : 01; +6A0F : 86; +6A10 : fe; +6A11 : c9; +6A12 : 50; +6A13 : 90; +6A14 : 15; +6A15 : c9; +6A16 : 90; +6A17 : 90; +6A18 : 16; +6A19 : c9; +6A1A : c0; +6A1B : 90; +6A1C : 0d; +6A1D : c9; +6A1E : d8; +6A1F : 90; +6A20 : 0e; +6A21 : 20; +6A22 : d1; +6A23 : ea; +6A24 : 20; +6A25 : 94; +6A26 : f0; +6A27 : a9; +6A28 : ff; +6A29 : 60; +6A2A : a9; +6A2B : c4; +6A2C : 85; +6A2D : 02; +6A2E : 60; +6A2F : a9; +6A30 : c0; +6A31 : 85; +6A32 : 02; +6A33 : 60; +6A34 : a5; +6A35 : 36; +6A36 : d0; +6A37 : 26; +6A38 : a5; +6A39 : 19; +6A3A : 29; +6A3B : 03; +6A3C : aa; +6A3D : bd; +6A3E : ff; +6A3F : c1; +6A40 : 18; +6A41 : 69; +6A42 : 10; +6A43 : ae; +6A44 : 45; +6A45 : 04; +6A46 : 9d; +6A47 : 46; +6A48 : 04; +6A49 : 85; +6A4A : 00; +6A4B : a9; +6A4C : 30; +6A4D : 85; +6A4E : 01; +6A4F : a9; +6A50 : c4; +6A51 : 85; +6A52 : 02; +6A53 : 20; +6A54 : db; +6A55 : ea; +6A56 : 20; +6A57 : f7; +6A58 : ea; +6A59 : bd; +6A5A : 57; +6A5B : c4; +6A5C : 85; +6A5D : 36; +6A5E : 60; +6A5F : a5; +6A60 : 39; +6A61 : f0; +6A62 : 01; +6A63 : 60; +6A64 : a9; +6A65 : 08; +6A66 : 85; +6A67 : 0a; +6A68 : a9; +6A69 : 00; +6A6A : 85; +6A6B : 0b; +6A6C : 20; +6A6D : a1; +6A6E : ea; +6A6F : d0; +6A70 : 01; +6A71 : 60; +6A72 : a9; +6A73 : 50; +6A74 : 85; +6A75 : 00; +6A76 : a9; +6A77 : 20; +6A78 : 85; +6A79 : 01; +6A7A : ad; +6A7B : f1; +6A7C : 02; +6A7D : c9; +6A7E : db; +6A7F : f0; +6A80 : 07; +6A81 : e6; +6A82 : b7; +6A83 : a9; +6A84 : db; +6A85 : 4c; +6A86 : 8a; +6A87 : ea; +6A88 : a9; +6A89 : d7; +6A8A : 20; +6A8B : d4; +6A8C : ea; +6A8D : a9; +6A8E : f0; +6A8F : 20; +6A90 : 80; +6A91 : f0; +6A92 : a5; +6A93 : b7; +6A94 : c9; +6A95 : 04; +6A96 : d0; +6A97 : 08; +6A98 : a9; +6A99 : 00; +6A9A : 85; +6A9B : b7; +6A9C : a9; +6A9D : bb; +6A9E : 85; +6A9F : 39; +6AA0 : 60; +6AA1 : e6; +6AA2 : b8; +6AA3 : a5; +6AA4 : b8; +6AA5 : 30; +6AA6 : 07; +6AA7 : c9; +6AA8 : 10; +6AA9 : b0; +6AAA : 03; +6AAB : 4c; +6AAC : b2; +6AAD : ea; +6AAE : a9; +6AAF : 00; +6AB0 : 85; +6AB1 : b8; +6AB2 : c9; +6AB3 : 08; +6AB4 : b0; +6AB5 : 09; +6AB6 : aa; +6AB7 : bd; +6AB8 : bc; +6AB9 : c1; +6ABA : 25; +6ABB : 0a; +6ABC : 4c; +6ABD : c8; +6ABE : ea; +6ABF : 38; +6AC0 : e9; +6AC1 : 08; +6AC2 : aa; +6AC3 : bd; +6AC4 : bc; +6AC5 : c1; +6AC6 : 25; +6AC7 : 0b; +6AC8 : f0; +6AC9 : 02; +6ACA : a9; +6ACB : 01; +6ACC : 60; +6ACD : a9; +6ACE : 00; +6ACF : 85; +6AD0 : 04; +6AD1 : 4c; +6AD2 : d6; +6AD3 : ea; +6AD4 : 85; +6AD5 : 02; +6AD6 : a9; +6AD7 : 22; +6AD8 : 85; +6AD9 : 03; +6ADA : 60; +6ADB : 20; +6ADC : d1; +6ADD : ea; +6ADE : 4c; +6ADF : 82; +6AE0 : f0; +6AE1 : ad; +6AE2 : 03; +6AE3 : 02; +6AE4 : 85; +6AE5 : 00; +6AE6 : ad; +6AE7 : 00; +6AE8 : 02; +6AE9 : 85; +6AEA : 01; +6AEB : 60; +6AEC : bd; +6AED : 03; +6AEE : 02; +6AEF : 85; +6AF0 : 00; +6AF1 : bd; +6AF2 : 00; +6AF3 : 02; +6AF4 : 85; +6AF5 : 01; +6AF6 : 60; +6AF7 : a5; +6AF8 : 50; +6AF9 : 29; +6AFA : 01; +6AFB : 18; +6AFC : 65; +6AFD : 54; +6AFE : aa; +6AFF : e0; +6B00 : 04; +6B01 : 90; +6B02 : 02; +6B03 : a2; +6B04 : 04; +6B05 : 60; +6B06 : ad; +6B07 : 03; +6B08 : 05; +6B09 : d0; +6B0A : 01; +6B0B : 60; +6B0C : ad; +6B0D : 05; +6B0E : 05; +6B0F : 29; +6B10 : 0f; +6B11 : 8d; +6B12 : 05; +6B13 : 05; +6B14 : a5; +6B15 : 53; +6B16 : aa; +6B17 : a8; +6B18 : ca; +6B19 : bd; +6B1A : 08; +6B1B : c6; +6B1C : 85; +6B1D : 00; +6B1E : a9; +6B1F : 20; +6B20 : 85; +6B21 : 01; +6B22 : 98; +6B23 : c9; +6B24 : 02; +6B25 : 30; +6B26 : 2d; +6B27 : a5; +6B28 : 44; +6B29 : f0; +6B2A : 24; +6B2B : c9; +6B2C : 13; +6B2D : d0; +6B2E : 03; +6B2F : 4c; +6B30 : 85; +6B31 : eb; +6B32 : c9; +6B33 : 0f; +6B34 : d0; +6B35 : 03; +6B36 : 4c; +6B37 : 8e; +6B38 : eb; +6B39 : c9; +6B3A : 0b; +6B3B : d0; +6B3C : 03; +6B3D : 4c; +6B3E : 85; +6B3F : eb; +6B40 : c9; +6B41 : 08; +6B42 : d0; +6B43 : 03; +6B44 : 4c; +6B45 : 8e; +6B46 : eb; +6B47 : c9; +6B48 : 04; +6B49 : d0; +6B4A : 03; +6B4B : 20; +6B4C : a6; +6B4D : eb; +6B4E : 60; +6B4F : a9; +6B50 : 25; +6B51 : 85; +6B52 : 44; +6B53 : 60; +6B54 : a5; +6B55 : 36; +6B56 : c9; +6B57 : 18; +6B58 : f0; +6B59 : 1a; +6B5A : c9; +6B5B : 00; +6B5C : f0; +6B5D : 1d; +6B5E : ad; +6B5F : 15; +6B60 : 05; +6B61 : f0; +6B62 : 0c; +6B63 : 20; +6B64 : a1; +6B65 : eb; +6B66 : a9; +6B67 : 00; +6B68 : 8d; +6B69 : 15; +6B6A : 05; +6B6B : a9; +6B6C : 1a; +6B6D : 85; +6B6E : 44; +6B6F : a5; +6B70 : 44; +6B71 : 4c; +6B72 : 2b; +6B73 : eb; +6B74 : a9; +6B75 : 30; +6B76 : 85; +6B77 : 44; +6B78 : 4c; +6B79 : 9c; +6B7A : eb; +6B7B : a9; +6B7C : 1a; +6B7D : 85; +6B7E : 44; +6B7F : 20; +6B80 : 97; +6B81 : eb; +6B82 : 4c; +6B83 : 2b; +6B84 : eb; +6B85 : a9; +6B86 : 80; +6B87 : 85; +6B88 : fe; +6B89 : a9; +6B8A : 40; +6B8B : 4c; +6B8C : a8; +6B8D : eb; +6B8E : a9; +6B8F : 80; +6B90 : 85; +6B91 : fe; +6B92 : a9; +6B93 : 42; +6B94 : 4c; +6B95 : a8; +6B96 : eb; +6B97 : a9; +6B98 : 44; +6B99 : 4c; +6B9A : a8; +6B9B : eb; +6B9C : a9; +6B9D : 3e; +6B9E : 4c; +6B9F : a8; +6BA0 : eb; +6BA1 : a9; +6BA2 : 00; +6BA3 : 4c; +6BA4 : a8; +6BA5 : eb; +6BA6 : a9; +6BA7 : 02; +6BA8 : 20; +6BA9 : 15; +6BAA : c8; +6BAB : c6; +6BAC : 44; +6BAD : ad; +6BAE : 05; +6BAF : 05; +6BB0 : 09; +6BB1 : 10; +6BB2 : 8d; +6BB3 : 05; +6BB4 : 05; +6BB5 : 60; +6BB6 : a5; +6BB7 : 45; +6BB8 : f0; +6BB9 : 01; +6BBA : 60; +6BBB : a5; +6BBC : 2e; +6BBD : d0; +6BBE : 05; +6BBF : a9; +6BC0 : ff; +6BC1 : 85; +6BC2 : 96; +6BC3 : 60; +6BC4 : a9; +6BC5 : 0b; +6BC6 : 85; +6BC7 : 45; +6BC8 : a9; +6BC9 : 01; +6BCA : 85; +6BCB : 00; +6BCC : a9; +6BCD : 0a; +6BCE : 85; +6BCF : 01; +6BD0 : 20; +6BD1 : 3e; +6BD2 : f3; +6BD3 : a9; +6BD4 : 02; +6BD5 : 85; +6BD6 : 00; +6BD7 : 4c; +6BD8 : 3c; +6BD9 : f2; +6BDA : ad; +6BDB : 0b; +6BDC : 05; +6BDD : d0; +6BDE : 0e; +6BDF : a9; +6BE0 : 01; +6BE1 : 8d; +6BE2 : 0b; +6BE3 : 05; +6BE4 : a9; +6BE5 : 00; +6BE6 : 8d; +6BE7 : 0e; +6BE8 : 05; +6BE9 : 8d; +6BEA : 0c; +6BEB : 05; +6BEC : 60; +6BED : ad; +6BEE : 0c; +6BEF : 05; +6BF0 : f0; +6BF1 : 24; +6BF2 : ad; +6BF3 : 0d; +6BF4 : 05; +6BF5 : c9; +6BF6 : 05; +6BF7 : d0; +6BF8 : 11; +6BF9 : a5; +6BFA : 96; +6BFB : c9; +6BFC : 0a; +6BFD : d0; +6BFE : 04; +6BFF : a9; +6C00 : 00; +6C01 : f0; +6C02 : 07; +6C03 : a9; +6C04 : 04; +6C05 : 85; +6C06 : 96; +6C07 : 4c; +6C08 : 12; +6C09 : ec; +6C0A : 85; +6C0B : 56; +6C0C : 29; +6C0D : 03; +6C0E : f0; +6C0F : 02; +6C10 : 85; +6C11 : 57; +6C12 : ce; +6C13 : 0c; +6C14 : 05; +6C15 : 60; +6C16 : ae; +6C17 : 0e; +6C18 : 05; +6C19 : bd; +6C1A : 28; +6C1B : c0; +6C1C : 8d; +6C1D : 0c; +6C1E : 05; +6C1F : bd; +6C20 : 14; +6C21 : c0; +6C22 : 8d; +6C23 : 0d; +6C24 : 05; +6C25 : ee; +6C26 : 0e; +6C27 : 05; +6C28 : 60; +6C29 : 20; +6C2A : e1; +6C2B : ea; +6C2C : a9; +6C2D : 4c; +6C2E : 20; +6C2F : e8; +6C30 : ef; +6C31 : a5; +6C32 : 53; +6C33 : c9; +6C34 : 03; +6C35 : f0; +6C36 : 04; +6C37 : c9; +6C38 : 01; +6C39 : d0; +6C3A : 03; +6C3B : 20; +6C3C : 44; +6C3D : ec; +6C3E : 20; +6C3F : 8a; +6C40 : ed; +6C41 : 4c; +6C42 : c5; +6C43 : ed; +6C44 : a9; +6C45 : 00; +6C46 : 85; +6C47 : 5d; +6C48 : a9; +6C49 : 3a; +6C4A : 20; +6C4B : 47; +6C4C : c8; +6C4D : 20; +6C4E : d5; +6C4F : ef; +6C50 : a5; +6C51 : 53; +6C52 : c9; +6C53 : 01; +6C54 : f0; +6C55 : 05; +6C56 : 8a; +6C57 : 18; +6C58 : 69; +6C59 : 30; +6C5A : aa; +6C5B : 20; +6C5C : ec; +6C5D : ea; +6C5E : 20; +6C5F : ef; +6C60 : ef; +6C61 : d0; +6C62 : 44; +6C63 : a5; +6C64 : 96; +6C65 : c9; +6C66 : 04; +6C67 : d0; +6C68 : 2e; +6C69 : a5; +6C6A : 56; +6C6B : 29; +6C6C : 03; +6C6D : d0; +6C6E : 07; +6C6F : a5; +6C70 : 9c; +6C71 : f0; +6C72 : 0d; +6C73 : 4c; +6C74 : 97; +6C75 : ec; +6C76 : a5; +6C77 : 9c; +6C78 : c9; +6C79 : 03; +6C7A : b0; +6C7B : 1b; +6C7C : a5; +6C7D : 9e; +6C7E : d0; +6C7F : 17; +6C80 : a5; +6C81 : 9d; +6C82 : c9; +6C83 : 18; +6C84 : b0; +6C85 : 11; +6C86 : a5; +6C87 : 00; +6C88 : 85; +6C89 : 05; +6C8A : a5; +6C8B : 01; +6C8C : 85; +6C8D : 06; +6C8E : a2; +6C8F : 00; +6C90 : 20; +6C91 : c6; +6C92 : cf; +6C93 : a9; +6C94 : 20; +6C95 : 85; +6C96 : fd; +6C97 : e6; +6C98 : 5d; +6C99 : a5; +6C9A : 53; +6C9B : 4a; +6C9C : aa; +6C9D : a5; +6C9E : 5d; +6C9F : dd; +6CA0 : fd; +6CA1 : c1; +6CA2 : f0; +6CA3 : 0b; +6CA4 : 4c; +6CA5 : 48; +6CA6 : ec; +6CA7 : 20; +6CA8 : 51; +6CA9 : ef; +6CAA : a9; +6CAB : ff; +6CAC : 85; +6CAD : 96; +6CAE : 60; +6CAF : a5; +6CB0 : 53; +6CB1 : c9; +6CB2 : 03; +6CB3 : f0; +6CB4 : 09; +6CB5 : a5; +6CB6 : 96; +6CB7 : c9; +6CB8 : 0a; +6CB9 : d0; +6CBA : 03; +6CBB : 4c; +6CBC : bf; +6CBD : ec; +6CBE : 60; +6CBF : a5; +6CC0 : a0; +6CC1 : d0; +6CC2 : 03; +6CC3 : 4c; +6CC4 : 87; +6CC5 : ed; +6CC6 : a5; +6CC7 : 9f; +6CC8 : 4a; +6CC9 : 4a; +6CCA : f0; +6CCB : 05; +6CCC : a9; +6CCD : 00; +6CCE : 4c; +6CCF : d3; +6CD0 : ec; +6CD1 : a9; +6CD2 : 01; +6CD3 : f0; +6CD4 : 13; +6CD5 : a9; +6CD6 : 04; +6CD7 : 18; +6CD8 : 6d; +6CD9 : 03; +6CDA : 02; +6CDB : 85; +6CDC : 00; +6CDD : ad; +6CDE : 00; +6CDF : 02; +6CE0 : 38; +6CE1 : e9; +6CE2 : 10; +6CE3 : 85; +6CE4 : 01; +6CE5 : 4c; +6CE6 : 07; +6CE7 : ed; +6CE8 : a5; +6CE9 : 57; +6CEA : c9; +6CEB : 01; +6CEC : f0; +6CED : 09; +6CEE : ad; +6CEF : 03; +6CF0 : 02; +6CF1 : 38; +6CF2 : e9; +6CF3 : 10; +6CF4 : 4c; +6CF5 : fd; +6CF6 : ec; +6CF7 : ad; +6CF8 : 03; +6CF9 : 02; +6CFA : 18; +6CFB : 69; +6CFC : 10; +6CFD : 85; +6CFE : 00; +6CFF : ad; +6D00 : 00; +6D01 : 02; +6D02 : 18; +6D03 : 69; +6D04 : 06; +6D05 : 85; +6D06 : 01; +6D07 : a9; +6D08 : 3c; +6D09 : 20; +6D0A : e8; +6D0B : ef; +6D0C : a5; +6D0D : 53; +6D0E : c9; +6D0F : 01; +6D10 : d0; +6D11 : 22; +6D12 : a9; +6D13 : 00; +6D14 : 85; +6D15 : 5d; +6D16 : 20; +6D17 : d5; +6D18 : ef; +6D19 : 20; +6D1A : ec; +6D1B : ea; +6D1C : a9; +6D1D : 3a; +6D1E : 20; +6D1F : 47; +6D20 : c8; +6D21 : 20; +6D22 : ef; +6D23 : ef; +6D24 : d0; +6D25 : 31; +6D26 : a5; +6D27 : 5d; +6D28 : 18; +6D29 : 69; +6D2A : 01; +6D2B : 85; +6D2C : 5d; +6D2D : c9; +6D2E : 09; +6D2F : f0; +6D30 : 54; +6D31 : 4c; +6D32 : 16; +6D33 : ed; +6D34 : a9; +6D35 : 00; +6D36 : 85; +6D37 : ae; +6D38 : 20; +6D39 : dd; +6D3A : ef; +6D3B : 20; +6D3C : ec; +6D3D : ea; +6D3E : a9; +6D3F : 3a; +6D40 : 20; +6D41 : 47; +6D42 : c8; +6D43 : 20; +6D44 : ef; +6D45 : ef; +6D46 : d0; +6D47 : 0f; +6D48 : e6; +6D49 : ae; +6D4A : a5; +6D4B : ae; +6D4C : a6; +6D4D : 53; +6D4E : ca; +6D4F : dd; +6D50 : f6; +6D51 : c1; +6D52 : f0; +6D53 : 31; +6D54 : 4c; +6D55 : 38; +6D56 : ed; +6D57 : a9; +6D58 : 02; +6D59 : 85; +6D5A : ff; +6D5B : a5; +6D5C : 00; +6D5D : 85; +6D5E : 05; +6D5F : a5; +6D60 : 01; +6D61 : 85; +6D62 : 06; +6D63 : a5; +6D64 : 53; +6D65 : c9; +6D66 : 01; +6D67 : d0; +6D68 : 0b; +6D69 : a9; +6D6A : 00; +6D6B : a6; +6D6C : 5d; +6D6D : 95; +6D6E : 68; +6D6F : a9; +6D70 : 01; +6D71 : 4c; +6D72 : 87; +6D73 : ed; +6D74 : a9; +6D75 : 10; +6D76 : 85; +6D77 : 40; +6D78 : a9; +6D79 : 00; +6D7A : a6; +6D7B : ae; +6D7C : 95; +6D7D : e0; +6D7E : 95; +6D7F : db; +6D80 : a9; +6D81 : 01; +6D82 : 4c; +6D83 : 87; +6D84 : ed; +6D85 : a9; +6D86 : 00; +6D87 : 85; +6D88 : bf; +6D89 : 60; +6D8A : a9; +6D8B : 00; +6D8C : 85; +6D8D : ae; +6D8E : a9; +6D8F : 3a; +6D90 : 20; +6D91 : 47; +6D92 : c8; +6D93 : 20; +6D94 : dd; +6D95 : ef; +6D96 : 20; +6D97 : ec; +6D98 : ea; +6D99 : 20; +6D9A : ef; +6D9B : ef; +6D9C : d0; +6D9D : 0f; +6D9E : e6; +6D9F : ae; +6DA0 : a5; +6DA1 : ae; +6DA2 : a6; +6DA3 : 53; +6DA4 : ca; +6DA5 : dd; +6DA6 : f6; +6DA7 : c1; +6DA8 : f0; +6DA9 : 0b; +6DAA : 4c; +6DAB : 93; +6DAC : ed; +6DAD : 20; +6DAE : 51; +6DAF : ef; +6DB0 : a9; +6DB1 : ff; +6DB2 : 85; +6DB3 : 96; +6DB4 : 60; +6DB5 : a5; +6DB6 : 96; +6DB7 : c9; +6DB8 : 0a; +6DB9 : d0; +6DBA : 09; +6DBB : a5; +6DBC : 53; +6DBD : c9; +6DBE : 01; +6DBF : f0; +6DC0 : 03; +6DC1 : 20; +6DC2 : bf; +6DC3 : ec; +6DC4 : 60; +6DC5 : a5; +6DC6 : 53; +6DC7 : c9; +6DC8 : 03; +6DC9 : d0; +6DCA : 07; +6DCB : a4; +6DCC : 96; +6DCD : c0; +6DCE : 01; +6DCF : f0; +6DD0 : 01; +6DD1 : 60; +6DD2 : 38; +6DD3 : e9; +6DD4 : 01; +6DD5 : 0a; +6DD6 : aa; +6DD7 : bd; +6DD8 : 2b; +6DD9 : c4; +6DDA : 85; +6DDB : 02; +6DDC : bd; +6DDD : 2c; +6DDE : c4; +6DDF : 85; +6DE0 : 03; +6DE1 : bd; +6DE2 : 23; +6DE3 : c4; +6DE4 : 85; +6DE5 : 00; +6DE6 : bd; +6DE7 : 24; +6DE8 : c4; +6DE9 : 85; +6DEA : 01; +6DEB : 20; +6DEC : ef; +6DED : ef; +6DEE : d0; +6DEF : 17; +6DF0 : a5; +6DF1 : 53; +6DF2 : c9; +6DF3 : 03; +6DF4 : d0; +6DF5 : 15; +6DF6 : a5; +6DF7 : 01; +6DF8 : c9; +6DF9 : c9; +6DFA : f0; +6DFB : 0f; +6DFC : a9; +6DFD : 70; +6DFE : 85; +6DFF : 00; +6E00 : a9; +6E01 : c9; +6E02 : 85; +6E03 : 01; +6E04 : 4c; +6E05 : eb; +6E06 : ed; +6E07 : a9; +6E08 : ff; +6E09 : 85; +6E0A : 96; +6E0B : 60; +6E0C : a9; +6E0D : 80; +6E0E : 85; +6E0F : 0a; +6E10 : a9; +6E11 : 80; +6E12 : 85; +6E13 : 0b; +6E14 : 20; +6E15 : e4; +6E16 : df; +6E17 : d0; +6E18 : 01; +6E19 : 60; +6E1A : a5; +6E1B : 53; +6E1C : c9; +6E1D : 01; +6E1E : d0; +6E1F : 06; +6E20 : 20; +6E21 : d5; +6E22 : ef; +6E23 : 4c; +6E24 : 29; +6E25 : ee; +6E26 : 20; +6E27 : dd; +6E28 : ef; +6E29 : 86; +6E2A : 04; +6E2B : 20; +6E2C : ec; +6E2D : ea; +6E2E : a5; +6E2F : bf; +6E30 : c9; +6E31 : 01; +6E32 : d0; +6E33 : 04; +6E34 : a0; +6E35 : 02; +6E36 : 84; +6E37 : ff; +6E38 : c9; +6E39 : 0b; +6E3A : f0; +6E3B : 15; +6E3C : a6; +6E3D : bf; +6E3E : ca; +6E3F : bd; +6E40 : ec; +6E41 : c1; +6E42 : 85; +6E43 : 02; +6E44 : 20; +6E45 : db; +6E46 : ea; +6E47 : a6; +6E48 : 04; +6E49 : a9; +6E4A : 02; +6E4B : 20; +6E4C : 6c; +6E4D : ee; +6E4E : e6; +6E4F : bf; +6E50 : 60; +6E51 : a5; +6E52 : 53; +6E53 : c9; +6E54 : 01; +6E55 : d0; +6E56 : 05; +6E57 : a9; +6E58 : 03; +6E59 : 20; +6E5A : 6c; +6E5B : ee; +6E5C : 20; +6E5D : d1; +6E5E : ea; +6E5F : 20; +6E60 : 94; +6E61 : f0; +6E62 : a2; +6E63 : 02; +6E64 : 20; +6E65 : c6; +6E66 : cf; +6E67 : a9; +6E68 : 00; +6E69 : 85; +6E6A : bf; +6E6B : 60; +6E6C : 9d; +6E6D : 02; +6E6E : 02; +6E6F : 9d; +6E70 : 06; +6E71 : 02; +6E72 : 9d; +6E73 : 0a; +6E74 : 02; +6E75 : 9d; +6E76 : 0e; +6E77 : 02; +6E78 : 60; +6E79 : a4; +6E7A : 53; +6E7B : c0; +6E7C : 01; +6E7D : d0; +6E7E : 01; +6E7F : 60; +6E80 : a5; +6E81 : be; +6E82 : f0; +6E83 : 54; +6E84 : c0; +6E85 : 04; +6E86 : d0; +6E87 : 68; +6E88 : a0; +6E89 : 00; +6E8A : ae; +6E8B : ff; +6E8C : c5; +6E8D : bd; +6E8E : c2; +6E8F : c5; +6E90 : cd; +6E91 : 03; +6E92 : 02; +6E93 : d0; +6E94 : 52; +6E95 : bd; +6E96 : ae; +6E97 : c5; +6E98 : cd; +6E99 : 00; +6E9A : 02; +6E9B : 90; +6E9C : 4a; +6E9D : 38; +6E9E : e9; +6E9F : 11; +6EA0 : cd; +6EA1 : 00; +6EA2 : 02; +6EA3 : b0; +6EA4 : 42; +6EA5 : b9; +6EA6 : c1; +6EA7 : 00; +6EA8 : c9; +6EA9 : 00; +6EAA : d0; +6EAB : 2d; +6EAC : a5; +6EAD : 96; +6EAE : c9; +6EAF : 08; +6EB0 : f0; +6EB1 : 26; +6EB2 : c9; +6EB3 : ff; +6EB4 : f0; +6EB5 : 22; +6EB6 : a9; +6EB7 : 11; +6EB8 : 85; +6EB9 : cc; +6EBA : a9; +6EBB : 01; +6EBC : 99; +6EBD : c1; +6EBE : 00; +6EBF : 20; +6EC0 : 38; +6EC1 : ef; +6EC2 : ad; +6EC3 : 00; +6EC4 : 02; +6EC5 : 18; +6EC6 : 69; +6EC7 : 10; +6EC8 : 85; +6EC9 : 06; +6ECA : ad; +6ECB : 03; +6ECC : 02; +6ECD : 85; +6ECE : 05; +6ECF : a2; +6ED0 : 00; +6ED1 : 20; +6ED2 : c6; +6ED3 : cf; +6ED4 : a9; +6ED5 : 20; +6ED6 : 85; +6ED7 : fd; +6ED8 : 60; +6ED9 : a5; +6EDA : 96; +6EDB : c9; +6EDC : 04; +6EDD : f0; +6EDE : 07; +6EDF : 20; +6EE0 : 51; +6EE1 : ef; +6EE2 : a9; +6EE3 : 08; +6EE4 : 85; +6EE5 : 96; +6EE6 : 60; +6EE7 : c0; +6EE8 : 07; +6EE9 : f0; +6EEA : 05; +6EEB : e8; +6EEC : c8; +6EED : 4c; +6EEE : 8d; +6EEF : ee; +6EF0 : a4; +6EF1 : 53; +6EF2 : be; +6EF3 : fa; +6EF4 : c5; +6EF5 : a0; +6EF6 : 00; +6EF7 : bd; +6EF8 : ae; +6EF9 : c5; +6EFA : cd; +6EFB : 00; +6EFC : 02; +6EFD : d0; +6EFE : 30; +6EFF : bd; +6F00 : c2; +6F01 : c5; +6F02 : cd; +6F03 : 03; +6F04 : 02; +6F05 : d0; +6F06 : 28; +6F07 : b9; +6F08 : c9; +6F09 : 00; +6F0A : d0; +6F0B : 23; +6F0C : a9; +6F0D : 22; +6F0E : 85; +6F0F : cc; +6F10 : a9; +6F11 : 01; +6F12 : 99; +6F13 : c9; +6F14 : 00; +6F15 : 20; +6F16 : 38; +6F17 : ef; +6F18 : ad; +6F19 : 00; +6F1A : 02; +6F1B : 38; +6F1C : e9; +6F1D : 08; +6F1E : 85; +6F1F : 06; +6F20 : ad; +6F21 : 03; +6F22 : 02; +6F23 : 85; +6F24 : 05; +6F25 : a2; +6F26 : 03; +6F27 : 20; +6F28 : c6; +6F29 : cf; +6F2A : a9; +6F2B : 20; +6F2C : 85; +6F2D : fd; +6F2E : 60; +6F2F : c0; +6F30 : 02; +6F31 : f0; +6F32 : fb; +6F33 : e8; +6F34 : c8; +6F35 : 4c; +6F36 : f7; +6F37 : ee; +6F38 : a9; +6F39 : 24; +6F3A : 85; +6F3B : cd; +6F3C : 85; +6F3D : ce; +6F3E : 85; +6F3F : cf; +6F40 : 85; +6F41 : d0; +6F42 : bd; +6F43 : d6; +6F44 : c5; +6F45 : 85; +6F46 : 01; +6F47 : bd; +6F48 : e9; +6F49 : c5; +6F4A : 85; +6F4B : 00; +6F4C : a9; +6F4D : 48; +6F4E : 4c; +6F4F : 15; +6F50 : c8; +6F51 : a5; +6F52 : 96; +6F53 : c9; +6F54 : 0a; +6F55 : d0; +6F56 : 1a; +6F57 : a5; +6F58 : a0; +6F59 : f0; +6F5A : 16; +6F5B : 38; +6F5C : e9; +6F5D : 01; +6F5E : aa; +6F5F : a9; +6F60 : 00; +6F61 : 9d; +6F62 : 51; +6F63 : 04; +6F64 : 8a; +6F65 : 0a; +6F66 : 0a; +6F67 : 0a; +6F68 : aa; +6F69 : a9; +6F6A : ff; +6F6B : 9d; +6F6C : d0; +6F6D : 02; +6F6E : 9d; +6F6F : d4; +6F70 : 02; +6F71 : 60; +6F72 : 86; +6F73 : 0f; +6F74 : 0a; +6F75 : aa; +6F76 : bd; +6F77 : 2c; +6F78 : 04; +6F79 : d0; +6F7A : 19; +6F7B : 9d; +6F7C : 36; +6F7D : 04; +6F7E : e0; +6F7F : 00; +6F80 : d0; +6F81 : 05; +6F82 : a9; +6F83 : 08; +6F84 : 4c; +6F85 : 89; +6F86 : ef; +6F87 : a9; +6F88 : 80; +6F89 : 9d; +6F8A : 35; +6F8B : 04; +6F8C : a9; +6F8D : f0; +6F8E : 9d; +6F8F : 2d; +6F90 : 04; +6F91 : 4c; +6F92 : ad; +6F93 : ef; +6F94 : bd; +6F95 : 35; +6F96 : 04; +6F97 : e0; +6F98 : 00; +6F99 : d0; +6F9A : 05; +6F9B : 69; +6F9C : 10; +6F9D : 4c; +6F9E : a2; +6F9F : ef; +6FA0 : 69; +6FA1 : 30; +6FA2 : 9d; +6FA3 : 35; +6FA4 : 04; +6FA5 : bd; +6FA6 : 36; +6FA7 : 04; +6FA8 : 69; +6FA9 : 00; +6FAA : 9d; +6FAB : 36; +6FAC : 04; +6FAD : bd; +6FAE : 2d; +6FAF : 04; +6FB0 : 38; +6FB1 : fd; +6FB2 : 3d; +6FB3 : 04; +6FB4 : 9d; +6FB5 : 2d; +6FB6 : 04; +6FB7 : a5; +6FB8 : 01; +6FB9 : fd; +6FBA : 3e; +6FBB : 04; +6FBC : 85; +6FBD : 01; +6FBE : 18; +6FBF : bd; +6FC0 : 2d; +6FC1 : 04; +6FC2 : 7d; +6FC3 : 35; +6FC4 : 04; +6FC5 : 9d; +6FC6 : 2d; +6FC7 : 04; +6FC8 : a5; +6FC9 : 01; +6FCA : 7d; +6FCB : 36; +6FCC : 04; +6FCD : 85; +6FCE : 01; +6FCF : fe; +6FD0 : 2c; +6FD1 : 04; +6FD2 : a6; +6FD3 : 0f; +6FD4 : 60; +6FD5 : a5; +6FD6 : 5d; +6FD7 : 18; +6FD8 : 69; +6FD9 : 03; +6FDA : 4c; +6FDB : e2; +6FDC : ef; +6FDD : a5; +6FDE : ae; +6FDF : 18; +6FE0 : 69; +6FE1 : 01; +6FE2 : 0a; +6FE3 : 0a; +6FE4 : 0a; +6FE5 : 0a; +6FE6 : aa; +6FE7 : 60; +6FE8 : 20; +6FE9 : 47; +6FEA : c8; +6FEB : a9; +6FEC : 00; +6FED : f0; +6FEE : 06; +6FEF : a9; +6FF0 : 01; +6FF1 : d0; +6FF2 : 02; +6FF3 : a9; +6FF4 : 02; +6FF5 : 85; +6FF6 : 0c; +6FF7 : 8a; +6FF8 : 48; +6FF9 : 98; +6FFA : 48; +6FFB : a0; +6FFC : 00; +6FFD : a5; +6FFE : 0c; +6FFF : d0; +7000 : 17; +7001 : 20; +7002 : 63; +7003 : f0; +7004 : 85; +7005 : 46; +7006 : 20; +7007 : 69; +7008 : f0; +7009 : 85; +700A : 47; +700B : 20; +700C : 62; +700D : f0; +700E : 85; +700F : 48; +7010 : 20; +7011 : 69; +7012 : f0; +7013 : 85; +7014 : 49; +7015 : 4c; +7016 : 59; +7017 : f0; +7018 : 20; +7019 : 63; +701A : f0; +701B : 85; +701C : 4a; +701D : 20; +701E : 69; +701F : f0; +7020 : 85; +7021 : 4b; +7022 : 20; +7023 : 62; +7024 : f0; +7025 : 85; +7026 : 4c; +7027 : 20; +7028 : 69; +7029 : f0; +702A : 85; +702B : 4d; +702C : a5; +702D : 4a; +702E : 38; +702F : e5; +7030 : 46; +7031 : 85; +7032 : 9c; +7033 : a5; +7034 : 4b; +7035 : 38; +7036 : e5; +7037 : 47; +7038 : 85; +7039 : 9d; +703A : a5; +703B : 49; +703C : c5; +703D : 4b; +703E : 90; +703F : 17; +7040 : a5; +7041 : 4d; +7042 : c5; +7043 : 47; +7044 : 90; +7045 : 11; +7046 : a5; +7047 : 4c; +7048 : c5; +7049 : 46; +704A : 90; +704B : 0b; +704C : a5; +704D : 48; +704E : c5; +704F : 4a; +7050 : 90; +7051 : 05; +7052 : a9; +7053 : 01; +7054 : 4c; +7055 : 59; +7056 : f0; +7057 : a9; +7058 : 00; +7059 : 85; +705A : 0c; +705B : 68; +705C : a8; +705D : 68; +705E : aa; +705F : a5; +7060 : 0c; +7061 : 60; +7062 : c8; +7063 : b1; +7064 : 02; +7065 : 18; +7066 : 65; +7067 : 00; +7068 : 60; +7069 : c8; +706A : b1; +706B : 02; +706C : 18; +706D : 65; +706E : 01; +706F : 60; +7070 : 85; +7071 : 02; +7072 : 20; +7073 : e1; +7074 : ea; +7075 : 20; +7076 : cd; +7077 : ea; +7078 : a5; +7079 : 57; +707A : 29; +707B : 03; +707C : 4a; +707D : 4c; +707E : 96; +707F : f0; +7080 : 85; +7081 : 04; +7082 : a9; +7083 : 00; +7084 : f0; +7085 : 10; +7086 : 85; +7087 : 04; +7088 : a9; +7089 : 01; +708A : d0; +708B : 0a; +708C : 85; +708D : 04; +708E : a9; +708F : 04; +7090 : d0; +7091 : 04; +7092 : 85; +7093 : 03; +7094 : a9; +7095 : 0f; +7096 : 48; +7097 : 85; +7098 : 0f; +7099 : 8a; +709A : 48; +709B : 98; +709C : 48; +709D : a5; +709E : 00; +709F : 48; +70A0 : a5; +70A1 : 05; +70A2 : 48; +70A3 : a5; +70A4 : 06; +70A5 : 48; +70A6 : a5; +70A7 : 07; +70A8 : 48; +70A9 : a5; +70AA : 08; +70AB : 48; +70AC : a5; +70AD : 09; +70AE : 48; +70AF : a9; +70B0 : 02; +70B1 : 85; +70B2 : 05; +70B3 : a5; +70B4 : 0f; +70B5 : c9; +70B6 : 04; +70B7 : f0; +70B8 : 36; +70B9 : a9; +70BA : 0f; +70BB : 25; +70BC : 03; +70BD : 85; +70BE : 07; +70BF : a5; +70C0 : 03; +70C1 : 4a; +70C2 : 4a; +70C3 : 4a; +70C4 : 4a; +70C5 : 85; +70C6 : 06; +70C7 : aa; +70C8 : a9; +70C9 : 00; +70CA : 18; +70CB : 65; +70CC : 07; +70CD : ca; +70CE : d0; +70CF : fb; +70D0 : 85; +70D1 : 08; +70D2 : a5; +70D3 : 0f; +70D4 : d0; +70D5 : 06; +70D6 : 20; +70D7 : 1e; +70D8 : f1; +70D9 : 4c; +70DA : e9; +70DB : f0; +70DC : c9; +70DD : 01; +70DE : f0; +70DF : 06; +70E0 : 20; +70E1 : 95; +70E2 : f1; +70E3 : 4c; +70E4 : f2; +70E5 : f0; +70E6 : 20; +70E7 : 61; +70E8 : f1; +70E9 : 20; +70EA : 39; +70EB : f1; +70EC : 4c; +70ED : f2; +70EE : f0; +70EF : 20; +70F0 : 0a; +70F1 : f1; +70F2 : 68; +70F3 : 85; +70F4 : 09; +70F5 : 68; +70F6 : 85; +70F7 : 08; +70F8 : 68; +70F9 : 85; +70FA : 07; +70FB : 68; +70FC : 85; +70FD : 06; +70FE : 68; +70FF : 85; +7100 : 05; +7101 : 68; +7102 : 85; +7103 : 00; +7104 : 68; +7105 : a8; +7106 : 68; +7107 : aa; +7108 : 68; +7109 : 60; +710A : a6; +710B : 03; +710C : a0; +710D : 00; +710E : a9; +710F : ff; +7110 : 91; +7111 : 04; +7112 : c8; +7113 : c8; +7114 : a5; +7115 : 02; +7116 : 91; +7117 : 04; +7118 : c8; +7119 : c8; +711A : ca; +711B : d0; +711C : f1; +711D : 60; +711E : a5; +711F : 02; +7120 : a6; +7121 : 08; +7122 : a0; +7123 : 01; +7124 : 91; +7125 : 04; +7126 : 18; +7127 : 69; +7128 : 01; +7129 : c8; +712A : 48; +712B : b1; +712C : 04; +712D : 29; +712E : 3f; +712F : 91; +7130 : 04; +7131 : 68; +7132 : c8; +7133 : c8; +7134 : c8; +7135 : ca; +7136 : d0; +7137 : ec; +7138 : 60; +7139 : a0; +713A : 00; +713B : a6; +713C : 06; +713D : a5; +713E : 01; +713F : 85; +7140 : 09; +7141 : a5; +7142 : 09; +7143 : 91; +7144 : 04; +7145 : 18; +7146 : 69; +7147 : 08; +7148 : 85; +7149 : 09; +714A : c8; +714B : c8; +714C : c8; +714D : a5; +714E : 00; +714F : 91; +7150 : 04; +7151 : c8; +7152 : ca; +7153 : d0; +7154 : ec; +7155 : a5; +7156 : 00; +7157 : 18; +7158 : 69; +7159 : 08; +715A : 85; +715B : 00; +715C : c6; +715D : 07; +715E : d0; +715F : db; +7160 : 60; +7161 : a0; +7162 : 01; +7163 : 84; +7164 : 0a; +7165 : a5; +7166 : 08; +7167 : 38; +7168 : e5; +7169 : 06; +716A : a8; +716B : 85; +716C : 0b; +716D : a6; +716E : 06; +716F : 98; +7170 : 48; +7171 : 18; +7172 : 98; +7173 : 65; +7174 : 02; +7175 : a4; +7176 : 0a; +7177 : 91; +7178 : 04; +7179 : c8; +717A : b1; +717B : 04; +717C : 29; +717D : 3f; +717E : 49; +717F : 40; +7180 : 91; +7181 : 04; +7182 : c8; +7183 : c8; +7184 : c8; +7185 : 84; +7186 : 0a; +7187 : 68; +7188 : a8; +7189 : c8; +718A : ca; +718B : d0; +718C : e2; +718D : a5; +718E : 0b; +718F : 38; +7190 : e5; +7191 : 06; +7192 : 10; +7193 : d6; +7194 : 60; +7195 : a0; +7196 : 00; +7197 : a6; +7198 : 06; +7199 : a5; +719A : 01; +719B : 85; +719C : 09; +719D : a9; +719E : ff; +719F : 91; +71A0 : 04; +71A1 : c8; +71A2 : c8; +71A3 : c8; +71A4 : c8; +71A5 : ca; +71A6 : d0; +71A7 : f7; +71A8 : a5; +71A9 : 00; +71AA : 18; +71AB : 69; +71AC : 08; +71AD : 85; +71AE : 00; +71AF : c6; +71B0 : 07; +71B1 : d0; +71B2 : e4; +71B3 : 60; +71B4 : ad; +71B5 : 02; +71B6 : 20; +71B7 : a5; +71B8 : 10; +71B9 : 29; +71BA : fb; +71BB : 8d; +71BC : 00; +71BD : 20; +71BE : a9; +71BF : 20; +71C0 : 8d; +71C1 : 06; +71C2 : 20; +71C3 : a9; +71C4 : 00; +71C5 : 8d; +71C6 : 06; +71C7 : 20; +71C8 : a2; +71C9 : 04; +71CA : a0; +71CB : 00; +71CC : a9; +71CD : 24; +71CE : 8d; +71CF : 07; +71D0 : 20; +71D1 : 88; +71D2 : d0; +71D3 : fa; +71D4 : ca; +71D5 : d0; +71D6 : f7; +71D7 : a9; +71D8 : 23; +71D9 : 8d; +71DA : 06; +71DB : 20; +71DC : a9; +71DD : c0; +71DE : 8d; +71DF : 06; +71E0 : 20; +71E1 : a0; +71E2 : 40; +71E3 : a9; +71E4 : 00; +71E5 : 8d; +71E6 : 07; +71E7 : 20; +71E8 : 88; +71E9 : d0; +71EA : fa; +71EB : 60; +71EC : 8d; +71ED : 06; +71EE : 20; +71EF : c8; +71F0 : b1; +71F1 : 00; +71F2 : 8d; +71F3 : 06; +71F4 : 20; +71F5 : c8; +71F6 : b1; +71F7 : 00; +71F8 : 0a; +71F9 : 48; +71FA : a5; +71FB : 10; +71FC : 09; +71FD : 04; +71FE : b0; +71FF : 02; +7200 : 29; +7201 : fb; +7202 : 8d; +7203 : 00; +7204 : 20; +7205 : 85; +7206 : 10; +7207 : 68; +7208 : 0a; +7209 : 90; +720A : 03; +720B : 09; +720C : 02; +720D : c8; +720E : 4a; +720F : 4a; +7210 : aa; +7211 : b0; +7212 : 01; +7213 : c8; +7214 : b1; +7215 : 00; +7216 : 8d; +7217 : 07; +7218 : 20; +7219 : ca; +721A : d0; +721B : f5; +721C : 38; +721D : 98; +721E : 65; +721F : 00; +7220 : 85; +7221 : 00; +7222 : a9; +7223 : 00; +7224 : 65; +7225 : 01; +7226 : 85; +7227 : 01; +7228 : ae; +7229 : 02; +722A : 20; +722B : a0; +722C : 00; +722D : b1; +722E : 00; +722F : d0; +7230 : bb; +7231 : a5; +7232 : 12; +7233 : 8d; +7234 : 05; +7235 : 20; +7236 : a5; +7237 : 13; +7238 : 8d; +7239 : 05; +723A : 20; +723B : 60; +723C : d8; +723D : a9; +723E : 04; +723F : 46; +7240 : 00; +7241 : 90; +7242 : 05; +7243 : 48; +7244 : 20; +7245 : 4e; +7246 : f2; +7247 : 68; +7248 : 18; +7249 : e9; +724A : 00; +724B : 10; +724C : f2; +724D : 60; +724E : 0a; +724F : 0a; +7250 : a8; +7251 : 85; +7252 : 01; +7253 : ae; +7254 : 30; +7255 : 03; +7256 : b9; +7257 : 00; +7258 : c0; +7259 : 9d; +725A : 31; +725B : 03; +725C : 20; +725D : 2d; +725E : f3; +725F : c8; +7260 : b9; +7261 : 00; +7262 : c0; +7263 : 9d; +7264 : 31; +7265 : 03; +7266 : 20; +7267 : 2d; +7268 : f3; +7269 : c8; +726A : b9; +726B : 00; +726C : c0; +726D : 29; +726E : 87; +726F : 9d; +7270 : 31; +7271 : 03; +7272 : 29; +7273 : 07; +7274 : 85; +7275 : 02; +7276 : 8a; +7277 : 38; +7278 : 65; +7279 : 02; +727A : 20; +727B : 2f; +727C : f3; +727D : aa; +727E : 8e; +727F : 30; +7280 : 03; +7281 : a9; +7282 : 00; +7283 : 9d; +7284 : 31; +7285 : 03; +7286 : c8; +7287 : b9; +7288 : 00; +7289 : c0; +728A : 85; +728B : 03; +728C : ca; +728D : 18; +728E : b9; +728F : 20; +7290 : 00; +7291 : 29; +7292 : 0f; +7293 : f0; +7294 : 01; +7295 : 18; +7296 : 90; +7297 : 02; +7298 : a9; +7299 : 24; +729A : 9d; +729B : 31; +729C : 03; +729D : ca; +729E : c6; +729F : 02; +72A0 : f0; +72A1 : 22; +72A2 : b9; +72A3 : 20; +72A4 : 00; +72A5 : 29; +72A6 : f0; +72A7 : 08; +72A8 : 4a; +72A9 : 4a; +72AA : 4a; +72AB : 4a; +72AC : 28; +72AD : f0; +72AE : 01; +72AF : 18; +72B0 : 90; +72B1 : 02; +72B2 : a9; +72B3 : 24; +72B4 : 9d; +72B5 : 31; +72B6 : 03; +72B7 : a5; +72B8 : 03; +72B9 : 29; +72BA : 01; +72BB : f0; +72BC : 01; +72BD : 38; +72BE : 88; +72BF : ca; +72C0 : c6; +72C1 : 02; +72C2 : d0; +72C3 : ca; +72C4 : a5; +72C5 : 03; +72C6 : 29; +72C7 : 10; +72C8 : f0; +72C9 : 0c; +72CA : e8; +72CB : a4; +72CC : 01; +72CD : 18; +72CE : b9; +72CF : 20; +72D0 : 00; +72D1 : 69; +72D2 : 37; +72D3 : 9d; +72D4 : 31; +72D5 : 03; +72D6 : 60; +72D7 : a0; +72D8 : 00; +72D9 : b1; +72DA : 02; +72DB : 29; +72DC : 0f; +72DD : 85; +72DE : 05; +72DF : b1; +72E0 : 02; +72E1 : 4a; +72E2 : 4a; +72E3 : 4a; +72E4 : 4a; +72E5 : 85; +72E6 : 04; +72E7 : ae; +72E8 : 30; +72E9 : 03; +72EA : a5; +72EB : 01; +72EC : 9d; +72ED : 31; +72EE : 03; +72EF : 20; +72F0 : 2d; +72F1 : f3; +72F2 : a5; +72F3 : 00; +72F4 : 9d; +72F5 : 31; +72F6 : 03; +72F7 : 20; +72F8 : 2d; +72F9 : f3; +72FA : a5; +72FB : 04; +72FC : 85; +72FD : 06; +72FE : 09; +72FF : 80; +7300 : 9d; +7301 : 31; +7302 : 03; +7303 : 20; +7304 : 2d; +7305 : f3; +7306 : c8; +7307 : b1; +7308 : 02; +7309 : 9d; +730A : 31; +730B : 03; +730C : c6; +730D : 06; +730E : d0; +730F : f3; +7310 : 20; +7311 : 2d; +7312 : f3; +7313 : 18; +7314 : a9; +7315 : 01; +7316 : 65; +7317 : 00; +7318 : 85; +7319 : 00; +731A : a9; +731B : 00; +731C : 65; +731D : 01; +731E : 85; +731F : 01; +7320 : 8e; +7321 : 30; +7322 : 03; +7323 : c6; +7324 : 05; +7325 : d0; +7326 : c3; +7327 : a9; +7328 : 00; +7329 : 9d; +732A : 31; +732B : 03; +732C : 60; +732D : e8; +732E : 8a; +732F : c9; +7330 : 3f; +7331 : 90; +7332 : 0a; +7333 : ae; +7334 : 30; +7335 : 03; +7336 : a9; +7337 : 00; +7338 : 9d; +7339 : 31; +733A : 03; +733B : 68; +733C : 68; +733D : 60; +733E : a2; +733F : ff; +7340 : d0; +7341 : 02; +7342 : a2; +7343 : 00; +7344 : 86; +7345 : 04; +7346 : a2; +7347 : 00; +7348 : 86; +7349 : 05; +734A : 86; +734B : 06; +734C : 86; +734D : 07; +734E : a5; +734F : 01; +7350 : 29; +7351 : 08; +7352 : d0; +7353 : 01; +7354 : e8; +7355 : a5; +7356 : 00; +7357 : 95; +7358 : 06; +7359 : a5; +735A : 01; +735B : 4c; +735C : 5e; +735D : f3; +735E : 29; +735F : 07; +7360 : 0a; +7361 : 0a; +7362 : aa; +7363 : a5; +7364 : 04; +7365 : f0; +7366 : 27; +7367 : b5; +7368 : 24; +7369 : f0; +736A : 27; +736B : 18; +736C : b5; +736D : 27; +736E : 85; +736F : 03; +7370 : a5; +7371 : 07; +7372 : 20; +7373 : e3; +7374 : f3; +7375 : 95; +7376 : 27; +7377 : b5; +7378 : 26; +7379 : 85; +737A : 03; +737B : a5; +737C : 06; +737D : 20; +737E : e3; +737F : f3; +7380 : 95; +7381 : 26; +7382 : b5; +7383 : 25; +7384 : 85; +7385 : 03; +7386 : a5; +7387 : 05; +7388 : 20; +7389 : e3; +738A : f3; +738B : 95; +738C : 25; +738D : 60; +738E : b5; +738F : 24; +7390 : f0; +7391 : d9; +7392 : 38; +7393 : b5; +7394 : 27; +7395 : 85; +7396 : 03; +7397 : a5; +7398 : 07; +7399 : 20; +739A : 04; +739B : f4; +739C : 95; +739D : 27; +739E : b5; +739F : 26; +73A0 : 85; +73A1 : 03; +73A2 : a5; +73A3 : 06; +73A4 : 20; +73A5 : 04; +73A6 : f4; +73A7 : 95; +73A8 : 26; +73A9 : b5; +73AA : 25; +73AB : 85; +73AC : 03; +73AD : a5; +73AE : 05; +73AF : 20; +73B0 : 04; +73B1 : f4; +73B2 : 95; +73B3 : 25; +73B4 : b5; +73B5 : 25; +73B6 : d0; +73B7 : 08; +73B8 : b5; +73B9 : 26; +73BA : d0; +73BB : 04; +73BC : b5; +73BD : 27; +73BE : f0; +73BF : 06; +73C0 : b0; +73C1 : 20; +73C2 : b5; +73C3 : 24; +73C4 : 49; +73C5 : ff; +73C6 : 95; +73C7 : 24; +73C8 : 38; +73C9 : a9; +73CA : 00; +73CB : 85; +73CC : 03; +73CD : b5; +73CE : 27; +73CF : 20; +73D0 : 04; +73D1 : f4; +73D2 : 95; +73D3 : 27; +73D4 : b5; +73D5 : 26; +73D6 : 20; +73D7 : 04; +73D8 : f4; +73D9 : 95; +73DA : 26; +73DB : b5; +73DC : 25; +73DD : 20; +73DE : 04; +73DF : f4; +73E0 : 95; +73E1 : 25; +73E2 : 60; +73E3 : 20; +73E4 : 26; +73E5 : f4; +73E6 : 65; +73E7 : 01; +73E8 : c9; +73E9 : 0a; +73EA : 90; +73EB : 02; +73EC : 69; +73ED : 05; +73EE : 18; +73EF : 65; +73F0 : 02; +73F1 : 85; +73F2 : 02; +73F3 : a5; +73F4 : 03; +73F5 : 29; +73F6 : f0; +73F7 : 65; +73F8 : 02; +73F9 : 90; +73FA : 04; +73FB : 69; +73FC : 5f; +73FD : 38; +73FE : 60; +73FF : c9; +7400 : a0; +7401 : b0; +7402 : f8; +7403 : 60; +7404 : 20; +7405 : 26; +7406 : f4; +7407 : e5; +7408 : 01; +7409 : 85; +740A : 01; +740B : b0; +740C : 0a; +740D : 69; +740E : 0a; +740F : 85; +7410 : 01; +7411 : a5; +7412 : 02; +7413 : 69; +7414 : 0f; +7415 : 85; +7416 : 02; +7417 : a5; +7418 : 03; +7419 : 29; +741A : f0; +741B : 38; +741C : e5; +741D : 02; +741E : b0; +741F : 03; +7420 : 69; +7421 : a0; +7422 : 18; +7423 : 05; +7424 : 01; +7425 : 60; +7426 : 48; +7427 : 29; +7428 : 0f; +7429 : 85; +742A : 01; +742B : 68; +742C : 29; +742D : f0; +742E : 85; +742F : 02; +7430 : a5; +7431 : 03; +7432 : 29; +7433 : 0f; +7434 : 60; +7435 : a9; +7436 : 00; +7437 : 85; +7438 : 04; +7439 : 18; +743A : a5; +743B : 00; +743C : 69; +743D : 10; +743E : 29; +743F : f0; +7440 : 4a; +7441 : 4a; +7442 : a8; +7443 : a5; +7444 : 00; +7445 : 29; +7446 : 07; +7447 : 0a; +7448 : 0a; +7449 : aa; +744A : b9; +744B : 20; +744C : 00; +744D : f0; +744E : 51; +744F : b5; +7450 : 24; +7451 : f0; +7452 : 26; +7453 : 38; +7454 : b9; +7455 : 23; +7456 : 00; +7457 : 85; +7458 : 03; +7459 : b5; +745A : 27; +745B : 20; +745C : 04; +745D : f4; +745E : b9; +745F : 22; +7460 : 00; +7461 : 85; +7462 : 03; +7463 : b5; +7464 : 26; +7465 : 20; +7466 : 04; +7467 : f4; +7468 : b9; +7469 : 21; +746A : 00; +746B : 85; +746C : 03; +746D : b5; +746E : 25; +746F : 20; +7470 : 04; +7471 : f4; +7472 : b0; +7473 : 30; +7474 : b9; +7475 : 20; +7476 : 00; +7477 : d0; +7478 : 30; +7479 : a9; +747A : ff; +747B : 85; +747C : 04; +747D : 38; +747E : 98; +747F : d0; +7480 : 1e; +7481 : 90; +7482 : 10; +7483 : b5; +7484 : 24; +7485 : 85; +7486 : 20; +7487 : b5; +7488 : 25; +7489 : 85; +748A : 21; +748B : b5; +748C : 26; +748D : 85; +748E : 22; +748F : b5; +7490 : 27; +7491 : 85; +7492 : 23; +7493 : a5; +7494 : 00; +7495 : 29; +7496 : 08; +7497 : f0; +7498 : 06; +7499 : ca; +749A : ca; +749B : ca; +749C : ca; +749D : 10; +749E : ab; +749F : 60; +74A0 : b5; +74A1 : 24; +74A2 : f0; +74A3 : af; +74A4 : b9; +74A5 : 20; +74A6 : 00; +74A7 : d0; +74A8 : d0; +74A9 : 18; +74AA : 90; +74AB : d2; +74AC : a2; +74AD : 09; +74AE : c6; +74AF : 34; +74B0 : 10; +74B1 : 06; +74B2 : a9; +74B3 : 0a; +74B4 : 85; +74B5 : 34; +74B6 : a2; +74B7 : 10; +74B8 : b5; +74B9 : 35; +74BA : f0; +74BB : 02; +74BC : d6; +74BD : 35; +74BE : ca; +74BF : 10; +74C0 : f7; +74C1 : 60; +74C2 : ae; +74C3 : 30; +74C4 : 03; +74C5 : a5; +74C6 : 01; +74C7 : 9d; +74C8 : 31; +74C9 : 03; +74CA : 20; +74CB : 2d; +74CC : f3; +74CD : a5; +74CE : 00; +74CF : 9d; +74D0 : 31; +74D1 : 03; +74D2 : 20; +74D3 : 2d; +74D4 : f3; +74D5 : a9; +74D6 : 01; +74D7 : 9d; +74D8 : 31; +74D9 : 03; +74DA : 20; +74DB : 2d; +74DC : f3; +74DD : 98; +74DE : 9d; +74DF : 31; +74E0 : 03; +74E1 : 20; +74E2 : 2d; +74E3 : f3; +74E4 : a9; +74E5 : 00; +74E6 : 9d; +74E7 : 31; +74E8 : 03; +74E9 : 8e; +74EA : 30; +74EB : 03; +74EC : 60; +74ED : a5; +74EE : 18; +74EF : 29; +74F0 : 02; +74F1 : 85; +74F2 : 00; +74F3 : a5; +74F4 : 19; +74F5 : 29; +74F6 : 02; +74F7 : 45; +74F8 : 00; +74F9 : 18; +74FA : f0; +74FB : 01; +74FC : 38; +74FD : 66; +74FE : 18; +74FF : 66; +7500 : 19; +7501 : 66; +7502 : 1a; +7503 : 66; +7504 : 1b; +7505 : 66; +7506 : 1c; +7507 : 66; +7508 : 1d; +7509 : 66; +750A : 1e; +750B : 66; +750C : 1f; +750D : 60; +750E : a9; +750F : 01; +7510 : 8d; +7511 : 16; +7512 : 40; +7513 : a2; +7514 : 00; +7515 : a9; +7516 : 00; +7517 : 8d; +7518 : 16; +7519 : 40; +751A : 20; +751B : 22; +751C : f5; +751D : e8; +751E : 20; +751F : 22; +7520 : f5; +7521 : 60; +7522 : a0; +7523 : 08; +7524 : 48; +7525 : bd; +7526 : 16; +7527 : 40; +7528 : 85; +7529 : 00; +752A : 4a; +752B : 05; +752C : 00; +752D : 4a; +752E : 68; +752F : 2a; +7530 : 88; +7531 : d0; +7532 : f1; +7533 : 86; +7534 : 00; +7535 : 06; +7536 : 00; +7537 : a6; +7538 : 00; +7539 : b4; +753A : 14; +753B : 84; +753C : 00; +753D : 95; +753E : 14; +753F : 29; +7540 : ff; +7541 : 10; +7542 : 06; +7543 : 24; +7544 : 00; +7545 : 10; +7546 : 02; +7547 : 29; +7548 : 7f; +7549 : b4; +754A : 15; +754B : 95; +754C : 15; +754D : 98; +754E : 29; +754F : 0f; +7550 : 35; +7551 : 15; +7552 : f0; +7553 : 06; +7554 : 09; +7555 : f0; +7556 : 35; +7557 : 15; +7558 : 95; +7559 : 15; +755A : 60; +755B : 3f; +755C : 00; +755D : 20; +755E : 0f; +755F : 15; +7560 : 2c; +7561 : 12; +7562 : 0f; +7563 : 27; +7564 : 02; +7565 : 17; +7566 : 0f; +7567 : 30; +7568 : 36; +7569 : 06; +756A : 0f; +756B : 30; +756C : 2c; +756D : 24; +756E : 0f; +756F : 02; +7570 : 36; +7571 : 16; +7572 : 0f; +7573 : 30; +7574 : 27; +7575 : 24; +7576 : 0f; +7577 : 16; +7578 : 30; +7579 : 37; +757A : 0f; +757B : 06; +757C : 27; +757D : 02; +757E : 23; +757F : c0; +7580 : 48; +7581 : ff; +7582 : 23; +7583 : c8; +7584 : 03; +7585 : 55; +7586 : aa; +7587 : 22; +7588 : 23; +7589 : cd; +758A : 43; +758B : 0f; +758C : 20; +758D : 2c; +758E : c7; +758F : 3f; +7590 : 20; +7591 : 81; +7592 : 84; +7593 : 50; +7594 : 51; +7595 : 52; +7596 : 53; +7597 : 20; +7598 : 82; +7599 : 84; +759A : 54; +759B : 55; +759C : 56; +759D : 57; +759E : 20; +759F : 83; +75A0 : 84; +75A1 : 58; +75A2 : 59; +75A3 : 5a; +75A4 : 5b; +75A5 : 20; +75A6 : 2a; +75A7 : c7; +75A8 : 3f; +75A9 : 20; +75AA : ad; +75AB : 46; +75AC : 30; +75AD : 20; +75AE : ca; +75AF : 43; +75B0 : 30; +75B1 : 20; +75B2 : d2; +75B3 : c2; +75B4 : 3f; +75B5 : 21; +75B6 : 02; +75B7 : 4e; +75B8 : 30; +75B9 : 21; +75BA : 10; +75BB : 0c; +75BC : 3e; +75BD : 3e; +75BE : 45; +75BF : 3d; +75C0 : 3d; +75C1 : 3d; +75C2 : 3c; +75C3 : 3c; +75C4 : 3c; +75C5 : 3b; +75C6 : 3b; +75C7 : 3b; +75C8 : 21; +75C9 : 2d; +75CA : 0f; +75CB : 3f; +75CC : 24; +75CD : 24; +75CE : 37; +75CF : 37; +75D0 : 37; +75D1 : 36; +75D2 : 36; +75D3 : 36; +75D4 : 35; +75D5 : 35; +75D6 : 35; +75D7 : 49; +75D8 : 34; +75D9 : 34; +75DA : 21; +75DB : 59; +75DC : 01; +75DD : 3f; +75DE : 21; +75DF : 6d; +75E0 : 11; +75E1 : 40; +75E2 : 38; +75E3 : 38; +75E4 : 39; +75E5 : 39; +75E6 : 39; +75E7 : 3a; +75E8 : 3a; +75E9 : 3a; +75EA : 3b; +75EB : 3b; +75EC : 3b; +75ED : 43; +75EE : 3c; +75EF : 3c; +75F0 : 3d; +75F1 : 3d; +75F2 : 21; +75F3 : 84; +75F4 : 1a; +75F5 : 3d; +75F6 : 3d; +75F7 : 3d; +75F8 : 3e; +75F9 : 3e; +75FA : 3e; +75FB : 30; +75FC : 30; +75FD : 30; +75FE : 31; +75FF : 31; +7600 : 31; +7601 : 32; +7602 : 32; +7603 : 32; +7604 : 33; +7605 : 33; +7606 : 33; +7607 : 34; +7608 : 49; +7609 : 34; +760A : 35; +760B : 35; +760C : 35; +760D : 36; +760E : 36; +760F : 21; +7610 : a4; +7611 : 06; +7612 : 36; +7613 : 36; +7614 : 4b; +7615 : 37; +7616 : 37; +7617 : 37; +7618 : 21; +7619 : c6; +761A : 01; +761B : 3f; +761C : 21; +761D : e2; +761E : 17; +761F : 30; +7620 : 30; +7621 : 3e; +7622 : 3e; +7623 : 45; +7624 : 3d; +7625 : 3d; +7626 : 3d; +7627 : 3c; +7628 : 43; +7629 : 3c; +762A : 3b; +762B : 3b; +762C : 3b; +762D : 3a; +762E : 3a; +762F : 3a; +7630 : 39; +7631 : 39; +7632 : 39; +7633 : 38; +7634 : 40; +7635 : 38; +7636 : 21; +7637 : ab; +7638 : c2; +7639 : 3f; +763A : 22; +763B : 04; +763C : 18; +763D : 37; +763E : 37; +763F : 37; +7640 : 36; +7641 : 36; +7642 : 36; +7643 : 4a; +7644 : 35; +7645 : 35; +7646 : 34; +7647 : 34; +7648 : 34; +7649 : 48; +764A : 33; +764B : 33; +764C : 32; +764D : 32; +764E : 32; +764F : 31; +7650 : 31; +7651 : 31; +7652 : 30; +7653 : 30; +7654 : 30; +7655 : 22; +7656 : 30; +7657 : c2; +7658 : 3f; +7659 : 22; +765A : 39; +765B : 01; +765C : 3f; +765D : 22; +765E : 4a; +765F : 01; +7660 : 3f; +7661 : 22; +7662 : 59; +7663 : 05; +7664 : 40; +7665 : 38; +7666 : 38; +7667 : 39; +7668 : 39; +7669 : 22; +766A : 64; +766B : 1a; +766C : 39; +766D : 39; +766E : 39; +766F : 3a; +7670 : 3a; +7671 : 3a; +7672 : 42; +7673 : 3b; +7674 : 3b; +7675 : 3c; +7676 : 3c; +7677 : 3c; +7678 : 44; +7679 : 3d; +767A : 3d; +767B : 3e; +767C : 3e; +767D : 3e; +767E : 30; +767F : 30; +7680 : 30; +7681 : 31; +7682 : 31; +7683 : 31; +7684 : 32; +7685 : 32; +7686 : 22; +7687 : 84; +7688 : 12; +7689 : 32; +768A : 32; +768B : 47; +768C : 33; +768D : 33; +768E : 33; +768F : 34; +7690 : 34; +7691 : 34; +7692 : 35; +7693 : 4a; +7694 : 35; +7695 : 36; +7696 : 36; +7697 : 36; +7698 : 37; +7699 : 37; +769A : 37; +769B : 22; +769C : a6; +769D : 01; +769E : 3f; +769F : 22; +76A0 : ae; +76A1 : c2; +76A2 : 3f; +76A3 : 22; +76A4 : c2; +76A5 : 0b; +76A6 : 3b; +76A7 : 3b; +76A8 : 3a; +76A9 : 3a; +76AA : 41; +76AB : 39; +76AC : 39; +76AD : 39; +76AE : 38; +76AF : 38; +76B0 : 38; +76B1 : 22; +76B2 : e2; +76B3 : 1a; +76B4 : 34; +76B5 : 34; +76B6 : 33; +76B7 : 33; +76B8 : 33; +76B9 : 32; +76BA : 32; +76BB : 32; +76BC : 31; +76BD : 31; +76BE : 46; +76BF : 30; +76C0 : 30; +76C1 : 30; +76C2 : 3e; +76C3 : 3e; +76C4 : 3e; +76C5 : 3d; +76C6 : 3d; +76C7 : 3d; +76C8 : 3c; +76C9 : 3c; +76CA : 3c; +76CB : 3b; +76CC : 3b; +76CD : 3b; +76CE : 23; +76CF : 0c; +76D0 : 10; +76D1 : 3f; +76D2 : 24; +76D3 : 24; +76D4 : 24; +76D5 : 37; +76D6 : 37; +76D7 : 37; +76D8 : 36; +76D9 : 36; +76DA : 36; +76DB : 35; +76DC : 35; +76DD : 35; +76DE : 49; +76DF : 34; +76E0 : 34; +76E1 : 23; +76E2 : 39; +76E3 : 01; +76E4 : 3f; +76E5 : 23; +76E6 : 4c; +76E7 : 13; +76E8 : 3f; +76E9 : 24; +76EA : 24; +76EB : 24; +76EC : 38; +76ED : 38; +76EE : 38; +76EF : 39; +76F0 : 39; +76F1 : 39; +76F2 : 3a; +76F3 : 3a; +76F4 : 3a; +76F5 : 42; +76F6 : 3b; +76F7 : 3b; +76F8 : 3c; +76F9 : 3c; +76FA : 3c; +76FB : 23; +76FC : 61; +76FD : 4f; +76FE : 30; +76FF : 23; +7700 : 70; +7701 : 0f; +7702 : 31; +7703 : 31; +7704 : 31; +7705 : 32; +7706 : 32; +7707 : 32; +7708 : 33; +7709 : 33; +770A : 33; +770B : 34; +770C : 34; +770D : 34; +770E : 35; +770F : 35; +7710 : 35; +7711 : 23; +7712 : 24; +7713 : 82; +7714 : 4c; +7715 : 4d; +7716 : 23; +7717 : 25; +7718 : 82; +7719 : 4e; +771A : 4f; +771B : 00; +771C : 3f; +771D : 00; +771E : 08; +771F : 0f; +7720 : 2c; +7721 : 27; +7722 : 02; +7723 : 0f; +7724 : 30; +7725 : 12; +7726 : 24; +7727 : 3f; +7728 : 1d; +7729 : 03; +772A : 06; +772B : 30; +772C : 12; +772D : 23; +772E : c0; +772F : 48; +7730 : ff; +7731 : 23; +7732 : c9; +7733 : 07; +7734 : 55; +7735 : 00; +7736 : aa; +7737 : aa; +7738 : 0f; +7739 : 0f; +773A : 0f; +773B : 23; +773C : e2; +773D : 05; +773E : 04; +773F : 00; +7740 : 00; +7741 : 00; +7742 : 01; +7743 : 20; +7744 : c5; +7745 : 02; +7746 : 70; +7747 : 72; +7748 : 20; +7749 : e5; +774A : 02; +774B : 71; +774C : 73; +774D : 20; +774E : ca; +774F : 42; +7750 : 62; +7751 : 21; +7752 : 05; +7753 : 56; +7754 : 62; +7755 : 21; +7756 : a4; +7757 : 58; +7758 : 62; +7759 : 22; +775A : 43; +775B : 5a; +775C : 62; +775D : 22; +775E : e2; +775F : 5c; +7760 : 62; +7761 : 23; +7762 : 61; +7763 : 5e; +7764 : 62; +7765 : 21; +7766 : 08; +7767 : 01; +7768 : 63; +7769 : 21; +776A : 17; +776B : 01; +776C : 63; +776D : 21; +776E : a8; +776F : 01; +7770 : 63; +7771 : 21; +7772 : b7; +7773 : 01; +7774 : 63; +7775 : 22; +7776 : 48; +7777 : 01; +7778 : 63; +7779 : 22; +777A : 57; +777B : 01; +777C : 63; +777D : 22; +777E : e8; +777F : 01; +7780 : 63; +7781 : 22; +7782 : f7; +7783 : 01; +7784 : 63; +7785 : 21; +7786 : 25; +7787 : c4; +7788 : 3f; +7789 : 21; +778A : 29; +778B : c4; +778C : 3f; +778D : 21; +778E : 36; +778F : c4; +7790 : 3f; +7791 : 21; +7792 : 3a; +7793 : c4; +7794 : 3f; +7795 : 21; +7796 : c4; +7797 : c4; +7798 : 3f; +7799 : 21; +779A : d0; +779B : c4; +779C : 3f; +779D : 21; +779E : db; +779F : c4; +77A0 : 3f; +77A1 : 22; +77A2 : 63; +77A3 : c4; +77A4 : 3f; +77A5 : 22; +77A6 : 6c; +77A7 : c4; +77A8 : 3f; +77A9 : 22; +77AA : 73; +77AB : c4; +77AC : 3f; +77AD : 22; +77AE : 7c; +77AF : c4; +77B0 : 3f; +77B1 : 23; +77B2 : 02; +77B3 : c3; +77B4 : 3f; +77B5 : 23; +77B6 : 0f; +77B7 : c3; +77B8 : 3f; +77B9 : 23; +77BA : 1d; +77BB : c3; +77BC : 3f; +77BD : 22; +77BE : 0a; +77BF : 82; +77C0 : 6e; +77C1 : 6f; +77C2 : 22; +77C3 : 18; +77C4 : 82; +77C5 : 70; +77C6 : 71; +77C7 : 22; +77C8 : 19; +77C9 : 82; +77CA : 72; +77CB : 73; +77CC : 00; +77CD : 3f; +77CE : 00; +77CF : 08; +77D0 : 0f; +77D1 : 15; +77D2 : 2c; +77D3 : 06; +77D4 : 0f; +77D5 : 30; +77D6 : 27; +77D7 : 16; +77D8 : 3f; +77D9 : 1d; +77DA : 03; +77DB : 12; +77DC : 37; +77DD : 15; +77DE : 23; +77DF : c0; +77E0 : 48; +77E1 : ff; +77E2 : 23; +77E3 : c9; +77E4 : 02; +77E5 : aa; +77E6 : 22; +77E7 : 23; +77E8 : cd; +77E9 : 43; +77EA : 0f; +77EB : 23; +77EC : d1; +77ED : 82; +77EE : 84; +77EF : 48; +77F0 : 23; +77F1 : d7; +77F2 : 05; +77F3 : 03; +77F4 : 0c; +77F5 : 88; +77F6 : 00; +77F7 : 88; +77F8 : 23; +77F9 : e1; +77FA : 03; +77FB : 88; +77FC : 00; +77FD : 88; +77FE : 23; +77FF : e9; +7800 : 03; +7801 : 88; +7802 : 00; +7803 : 88; +7804 : 23; +7805 : d3; +7806 : 82; +7807 : 84; +7808 : 48; +7809 : 20; +780A : 2c; +780B : c7; +780C : 3f; +780D : 20; +780E : 2a; +780F : c7; +7810 : 3f; +7811 : 20; +7812 : ca; +7813 : 43; +7814 : 30; +7815 : 20; +7816 : ad; +7817 : 46; +7818 : 30; +7819 : 20; +781A : d2; +781B : c2; +781C : 3f; +781D : 21; +781E : 02; +781F : 55; +7820 : 30; +7821 : 21; +7822 : 06; +7823 : 02; +7824 : 5e; +7825 : 5f; +7826 : 21; +7827 : 26; +7828 : 02; +7829 : 5c; +782A : 5d; +782B : 21; +782C : 0e; +782D : 02; +782E : 5e; +782F : 5f; +7830 : 21; +7831 : 2e; +7832 : 02; +7833 : 5c; +7834 : 5d; +7835 : 23; +7836 : 61; +7837 : 5e; +7838 : 30; +7839 : 23; +783A : 46; +783B : 02; +783C : 5c; +783D : 5d; +783E : 23; +783F : 66; +7840 : 02; +7841 : 60; +7842 : 61; +7843 : 23; +7844 : 4e; +7845 : 02; +7846 : 5c; +7847 : 5d; +7848 : 23; +7849 : 6e; +784A : 02; +784B : 60; +784C : 61; +784D : 21; +784E : 46; +784F : d0; +7850 : 74; +7851 : 21; +7852 : 47; +7853 : d0; +7854 : 75; +7855 : 21; +7856 : 5c; +7857 : 42; +7858 : 30; +7859 : 21; +785A : 79; +785B : 42; +785C : 30; +785D : 21; +785E : 96; +785F : 42; +7860 : 30; +7861 : 21; +7862 : b2; +7863 : 43; +7864 : 30; +7865 : 21; +7866 : c2; +7867 : 43; +7868 : 30; +7869 : 21; +786A : c9; +786B : 44; +786C : 30; +786D : 21; +786E : f9; +786F : 45; +7870 : 30; +7871 : 22; +7872 : 33; +7873 : 42; +7874 : 30; +7875 : 22; +7876 : 56; +7877 : 42; +7878 : 30; +7879 : 22; +787A : 79; +787B : 42; +787C : 30; +787D : 22; +787E : 9c; +787F : 42; +7880 : 30; +7881 : 22; +7882 : 82; +7883 : 43; +7884 : 30; +7885 : 22; +7886 : ca; +7887 : 43; +7888 : 30; +7889 : 22; +788A : db; +788B : 43; +788C : 30; +788D : 22; +788E : f8; +788F : 42; +7890 : 30; +7891 : 23; +7892 : 15; +7893 : 42; +7894 : 30; +7895 : 23; +7896 : 22; +7897 : 43; +7898 : 30; +7899 : 23; +789A : 31; +789B : 43; +789C : 30; +789D : 21; +789E : 36; +789F : c3; +78A0 : 3f; +78A1 : 21; +78A2 : 7c; +78A3 : c4; +78A4 : 3f; +78A5 : 21; +78A6 : d3; +78A7 : c3; +78A8 : 3f; +78A9 : 21; +78AA : e4; +78AB : c5; +78AC : 3f; +78AD : 21; +78AE : ea; +78AF : c7; +78B0 : 3f; +78B1 : 21; +78B2 : ec; +78B3 : c7; +78B4 : 3f; +78B5 : 22; +78B6 : 19; +78B7 : c3; +78B8 : 3f; +78B9 : 22; +78BA : a3; +78BB : c4; +78BC : 3f; +78BD : 22; +78BE : bc; +78BF : 01; +78C0 : 3f; +78C1 : 21; +78C2 : 82; +78C3 : 82; +78C4 : 70; +78C5 : 71; +78C6 : 21; +78C7 : 83; +78C8 : 82; +78C9 : 72; +78CA : 73; +78CB : 21; +78CC : 1d; +78CD : 82; +78CE : 6e; +78CF : 6f; +78D0 : 21; +78D1 : 4e; +78D2 : d0; +78D3 : 74; +78D4 : 21; +78D5 : 4f; +78D6 : d0; +78D7 : 75; +78D8 : 00; +78D9 : 3f; +78DA : 00; +78DB : 0d; +78DC : 0f; +78DD : 2c; +78DE : 38; +78DF : 12; +78E0 : 0f; +78E1 : 27; +78E2 : 27; +78E3 : 27; +78E4 : 0f; +78E5 : 30; +78E6 : 30; +78E7 : 30; +78E8 : 0f; +78E9 : 3f; +78EA : 11; +78EB : 01; +78EC : 25; +78ED : 23; +78EE : e0; +78EF : 50; +78F0 : 55; +78F1 : 23; +78F2 : f0; +78F3 : 48; +78F4 : aa; +78F5 : 20; +78F6 : 83; +78F7 : c5; +78F8 : 62; +78F9 : 20; +78FA : 84; +78FB : c5; +78FC : 62; +78FD : 20; +78FE : 85; +78FF : 01; +7900 : 62; +7901 : 21; +7902 : 05; +7903 : 01; +7904 : 62; +7905 : 20; +7906 : a6; +7907 : c3; +7908 : 62; +7909 : 20; +790A : 88; +790B : c5; +790C : 62; +790D : 20; +790E : 89; +790F : 01; +7910 : 62; +7911 : 21; +7912 : 09; +7913 : 01; +7914 : 62; +7915 : 20; +7916 : 8a; +7917 : c5; +7918 : 62; +7919 : 20; +791A : 8c; +791B : c5; +791C : 62; +791D : 20; +791E : ad; +791F : c2; +7920 : 62; +7921 : 20; +7922 : ce; +7923 : c2; +7924 : 62; +7925 : 20; +7926 : 8f; +7927 : c5; +7928 : 62; +7929 : 20; +792A : 91; +792B : c5; +792C : 62; +792D : 20; +792E : b2; +792F : c2; +7930 : 62; +7931 : 20; +7932 : b3; +7933 : 01; +7934 : 62; +7935 : 20; +7936 : 94; +7937 : 01; +7938 : 62; +7939 : 20; +793A : f3; +793B : 01; +793C : 62; +793D : 21; +793E : 14; +793F : 01; +7940 : 62; +7941 : 20; +7942 : 96; +7943 : c5; +7944 : 62; +7945 : 20; +7946 : 97; +7947 : 42; +7948 : 62; +7949 : 20; +794A : d7; +794B : 42; +794C : 62; +794D : 21; +794E : 17; +794F : 42; +7950 : 62; +7951 : 20; +7952 : 9a; +7953 : c3; +7954 : 62; +7955 : 20; +7956 : db; +7957 : c3; +7958 : 62; +7959 : 20; +795A : 9c; +795B : c3; +795C : 62; +795D : 21; +795E : 47; +795F : c5; +7960 : 62; +7961 : 21; +7962 : 68; +7963 : c2; +7964 : 62; +7965 : 21; +7966 : 69; +7967 : 01; +7968 : 62; +7969 : 21; +796A : 4a; +796B : 01; +796C : 62; +796D : 21; +796E : a9; +796F : 01; +7970 : 62; +7971 : 21; +7972 : ca; +7973 : 01; +7974 : 62; +7975 : 21; +7976 : 4c; +7977 : c5; +7978 : 62; +7979 : 21; +797A : 4d; +797B : 01; +797C : 62; +797D : 21; +797E : cd; +797F : 01; +7980 : 62; +7981 : 21; +7982 : 4e; +7983 : c5; +7984 : 62; +7985 : 21; +7986 : 50; +7987 : c5; +7988 : 62; +7989 : 21; +798A : 71; +798B : c2; +798C : 62; +798D : 21; +798E : 92; +798F : c2; +7990 : 62; +7991 : 21; +7992 : 53; +7993 : c5; +7994 : 62; +7995 : 21; +7996 : 55; +7997 : c5; +7998 : 62; +7999 : 21; +799A : 56; +799B : 43; +799C : 62; +799D : 21; +799E : d6; +799F : 43; +79A0 : 62; +79A1 : 21; +79A2 : 98; +79A3 : c2; +79A4 : 62; +79A5 : 21; +79A6 : 97; +79A7 : 01; +79A8 : 62; +79A9 : 22; +79AA : 09; +79AB : 0f; +79AC : 01; +79AD : 24; +79AE : 19; +79AF : 15; +79B0 : 0a; +79B1 : 22; +79B2 : 0e; +79B3 : 1b; +79B4 : 24; +79B5 : 10; +79B6 : 0a; +79B7 : 16; +79B8 : 0e; +79B9 : 24; +79BA : 0a; +79BB : 22; +79BC : 49; +79BD : 0f; +79BE : 01; +79BF : 24; +79C0 : 19; +79C1 : 15; +79C2 : 0a; +79C3 : 22; +79C4 : 0e; +79C5 : 1b; +79C6 : 24; +79C7 : 10; +79C8 : 0a; +79C9 : 16; +79CA : 0e; +79CB : 24; +79CC : 0b; +79CD : 22; +79CE : 89; +79CF : 0f; +79D0 : 02; +79D1 : 24; +79D2 : 19; +79D3 : 15; +79D4 : 0a; +79D5 : 22; +79D6 : 0e; +79D7 : 1b; +79D8 : 24; +79D9 : 10; +79DA : 0a; +79DB : 16; +79DC : 0e; +79DD : 24; +79DE : 0a; +79DF : 22; +79E0 : c9; +79E1 : 0f; +79E2 : 02; +79E3 : 24; +79E4 : 19; +79E5 : 15; +79E6 : 0a; +79E7 : 22; +79E8 : 0e; +79E9 : 1b; +79EA : 24; +79EB : 10; +79EC : 0a; +79ED : 16; +79EE : 0e; +79EF : 24; +79F0 : 0b; +79F1 : 23; +79F2 : 05; +79F3 : 16; +79F4 : d3; +79F5 : 01; +79F6 : 09; +79F7 : 08; +79F8 : 01; +79F9 : 24; +79FA : 17; +79FB : 12; +79FC : 17; +79FD : 1d; +79FE : 0e; +79FF : 17; +7A00 : 0d; +7A01 : 18; +7A02 : 24; +7A03 : 0c; +7A04 : 18; +7A05 : 65; +7A06 : 15; +7A07 : 1d; +7A08 : 0d; +7A09 : 64; +7A0A : 23; +7A0B : 4b; +7A0C : 0d; +7A0D : 16; +7A0E : 0a; +7A0F : 0d; +7A10 : 0e; +7A11 : 24; +7A12 : 12; +7A13 : 17; +7A14 : 24; +7A15 : 13; +7A16 : 0a; +7A17 : 19; +7A18 : 0a; +7A19 : 17; +7A1A : 00; +7A1B : 20; +7A1C : 63; +7A1D : 01; +7A1E : ff; +7A1F : 20; +7A20 : 6d; +7A21 : 03; +7A22 : d0; +7A23 : d1; +7A24 : d2; +7A25 : 20; +7A26 : 76; +7A27 : 02; +7A28 : fe; +7A29 : ff; +7A2A : 20; +7A2B : 94; +7A2C : 0a; +7A2D : 25; +7A2E : 16; +7A2F : 2a; +7A30 : 26; +7A31 : 27; +7A32 : 28; +7A33 : 29; +7A34 : 2a; +7A35 : 15; +7A36 : 2d; +7A37 : 20; +7A38 : b4; +7A39 : 0a; +7A3A : 2b; +7A3B : 24; +7A3C : 2c; +7A3D : 24; +7A3E : 24; +7A3F : 24; +7A40 : 24; +7A41 : 2c; +7A42 : 24; +7A43 : 2f; +7A44 : 00; +7A45 : ff; +7A46 : ff; +7A47 : ff; +7A48 : a9; +7A49 : c0; +7A4A : 8d; +7A4B : 17; +7A4C : 40; +7A4D : 20; +7A4E : f2; +7A4F : fb; +7A50 : a2; +7A51 : 00; +7A52 : 86; +7A53 : ff; +7A54 : 86; +7A55 : fe; +7A56 : 86; +7A57 : fd; +7A58 : ad; +7A59 : f0; +7A5A : 06; +7A5B : c9; +7A5C : 90; +7A5D : b0; +7A5E : 05; +7A5F : a2; +7A60 : 00; +7A61 : 8e; +7A62 : f1; +7A63 : 06; +7A64 : c9; +7A65 : d8; +7A66 : 90; +7A67 : 03; +7A68 : ee; +7A69 : f1; +7A6A : 06; +7A6B : a8; +7A6C : 4a; +7A6D : 4a; +7A6E : 4a; +7A6F : 4a; +7A70 : 4a; +7A71 : 4a; +7A72 : 85; +7A73 : 00; +7A74 : 98; +7A75 : ae; +7A76 : f1; +7A77 : 06; +7A78 : d0; +7A79 : 05; +7A7A : 38; +7A7B : 65; +7A7C : 00; +7A7D : d0; +7A7E : 03; +7A7F : 18; +7A80 : e5; +7A81 : 00; +7A82 : 8d; +7A83 : f0; +7A84 : 06; +7A85 : 60; +7A86 : a0; +7A87 : 07; +7A88 : 0a; +7A89 : b0; +7A8A : 03; +7A8B : 88; +7A8C : d0; +7A8D : fa; +7A8E : 60; +7A8F : 85; +7A90 : f1; +7A91 : 84; +7A92 : f2; +7A93 : a0; +7A94 : 7f; +7A95 : 8e; +7A96 : 00; +7A97 : 40; +7A98 : 8c; +7A99 : 01; +7A9A : 40; +7A9B : 60; +7A9C : 20; +7A9D : 95; +7A9E : fa; +7A9F : a2; +7AA0 : 00; +7AA1 : a8; +7AA2 : b9; +7AA3 : 01; +7AA4 : fb; +7AA5 : f0; +7AA6 : 0b; +7AA7 : 9d; +7AA8 : 02; +7AA9 : 40; +7AAA : b9; +7AAB : 00; +7AAC : fb; +7AAD : 09; +7AAE : 08; +7AAF : 9d; +7AB0 : 03; +7AB1 : 40; +7AB2 : 60; +7AB3 : 8c; +7AB4 : 05; +7AB5 : 40; +7AB6 : a2; +7AB7 : 04; +7AB8 : d0; +7AB9 : e7; +7ABA : 8d; +7ABB : 08; +7ABC : 40; +7ABD : 8a; +7ABE : 29; +7ABF : 3e; +7AC0 : a2; +7AC1 : 08; +7AC2 : d0; +7AC3 : dd; +7AC4 : aa; +7AC5 : 6a; +7AC6 : 8a; +7AC7 : 2a; +7AC8 : 2a; +7AC9 : 2a; +7ACA : 29; +7ACB : 07; +7ACC : 18; +7ACD : 6d; +7ACE : 8d; +7ACF : 06; +7AD0 : a8; +7AD1 : b9; +7AD2 : 4c; +7AD3 : fb; +7AD4 : 60; +7AD5 : 98; +7AD6 : 4a; +7AD7 : 4a; +7AD8 : 4a; +7AD9 : 85; +7ADA : 00; +7ADB : 98; +7ADC : 38; +7ADD : e5; +7ADE : 00; +7ADF : 60; +7AE0 : a9; +7AE1 : 90; +7AE2 : 8d; +7AE3 : 00; +7AE4 : 40; +7AE5 : 60; +7AE6 : 8d; +7AE7 : 8d; +7AE8 : 8c; +7AE9 : 8c; +7AEA : 8b; +7AEB : 8c; +7AEC : 83; +7AED : 83; +7AEE : 8f; +7AEF : 8f; +7AF0 : 8f; +7AF1 : 8f; +7AF2 : 8d; +7AF3 : 85; +7AF4 : 84; +7AF5 : 85; +7AF6 : 7f; +7AF7 : 85; +7AF8 : 85; +7AF9 : 85; +7AFA : 7f; +7AFB : 8d; +7AFC : 8d; +7AFD : 8d; +7AFE : 8d; +7AFF : 8d; +7B00 : 07; +7B01 : f0; +7B02 : 00; +7B03 : 00; +7B04 : 00; +7B05 : 69; +7B06 : 00; +7B07 : 53; +7B08 : 00; +7B09 : 46; +7B0A : 00; +7B0B : d4; +7B0C : 00; +7B0D : bd; +7B0E : 00; +7B0F : a8; +7B10 : 00; +7B11 : 9f; +7B12 : 00; +7B13 : 8d; +7B14 : 00; +7B15 : 7e; +7B16 : 01; +7B17 : ab; +7B18 : 01; +7B19 : 7c; +7B1A : 01; +7B1B : 52; +7B1C : 01; +7B1D : 3f; +7B1E : 01; +7B1F : 1c; +7B20 : 00; +7B21 : fd; +7B22 : 00; +7B23 : ee; +7B24 : 00; +7B25 : e1; +7B26 : 03; +7B27 : 57; +7B28 : 02; +7B29 : f9; +7B2A : 02; +7B2B : cf; +7B2C : 02; +7B2D : a6; +7B2E : 02; +7B2F : 80; +7B30 : 02; +7B31 : 3a; +7B32 : 02; +7B33 : 1a; +7B34 : 01; +7B35 : fc; +7B36 : 01; +7B37 : df; +7B38 : 01; +7B39 : c4; +7B3A : 06; +7B3B : ae; +7B3C : 05; +7B3D : 9e; +7B3E : 05; +7B3F : 4d; +7B40 : 05; +7B41 : 01; +7B42 : 04; +7B43 : 75; +7B44 : 04; +7B45 : 35; +7B46 : 03; +7B47 : f8; +7B48 : 03; +7B49 : bf; +7B4A : 03; +7B4B : 89; +7B4C : 05; +7B4D : 0a; +7B4E : 14; +7B4F : 28; +7B50 : 50; +7B51 : 1e; +7B52 : 3c; +7B53 : 0b; +7B54 : 06; +7B55 : 0c; +7B56 : 18; +7B57 : 30; +7B58 : 60; +7B59 : 24; +7B5A : 48; +7B5B : 07; +7B5C : 0d; +7B5D : 1a; +7B5E : 34; +7B5F : 78; +7B60 : 27; +7B61 : 4e; +7B62 : 0a; +7B63 : 08; +7B64 : 05; +7B65 : 0a; +7B66 : 09; +7B67 : 50; +7B68 : 40; +7B69 : 46; +7B6A : 4a; +7B6B : 50; +7B6C : 56; +7B6D : 5c; +7B6E : 64; +7B6F : 6c; +7B70 : 74; +7B71 : 7c; +7B72 : 88; +7B73 : 90; +7B74 : 9a; +7B75 : 85; +7B76 : f0; +7B77 : 85; +7B78 : fb; +7B79 : a0; +7B7A : 08; +7B7B : 4c; +7B7C : 67; +7B7D : fd; +7B7E : 84; +7B7F : f0; +7B80 : a9; +7B81 : 71; +7B82 : a0; +7B83 : 00; +7B84 : a2; +7B85 : 9f; +7B86 : 20; +7B87 : 8f; +7B88 : fa; +7B89 : a6; +7B8A : f2; +7B8B : bc; +7B8C : 67; +7B8D : fb; +7B8E : c6; +7B8F : f1; +7B90 : a5; +7B91 : f1; +7B92 : f0; +7B93 : e1; +7B94 : 29; +7B95 : 07; +7B96 : d0; +7B97 : 08; +7B98 : 98; +7B99 : 4a; +7B9A : 7d; +7B9B : 67; +7B9C : fb; +7B9D : a8; +7B9E : d0; +7B9F : 07; +7BA0 : 29; +7BA1 : 03; +7BA2 : d0; +7BA3 : 0e; +7BA4 : e6; +7BA5 : f2; +7BA6 : 18; +7BA7 : 8c; +7BA8 : 02; +7BA9 : 40; +7BAA : a0; +7BAB : 28; +7BAC : 90; +7BAD : 01; +7BAE : c8; +7BAF : 8c; +7BB0 : 03; +7BB1 : 40; +7BB2 : a9; +7BB3 : 00; +7BB4 : 4c; +7BB5 : 00; +7BB6 : fe; +7BB7 : 84; +7BB8 : f0; +7BB9 : a9; +7BBA : 54; +7BBB : a0; +7BBC : 6a; +7BBD : a2; +7BBE : 9c; +7BBF : 20; +7BC0 : 8f; +7BC1 : fa; +7BC2 : a4; +7BC3 : f2; +7BC4 : a5; +7BC5 : f1; +7BC6 : 29; +7BC7 : 03; +7BC8 : f0; +7BC9 : 0a; +7BCA : c9; +7BCB : 03; +7BCC : d0; +7BCD : 0b; +7BCE : 20; +7BCF : d5; +7BD0 : fa; +7BD1 : 85; +7BD2 : f2; +7BD3 : a8; +7BD4 : 98; +7BD5 : 4a; +7BD6 : 65; +7BD7 : f2; +7BD8 : a8; +7BD9 : 98; +7BDA : 2a; +7BDB : 2a; +7BDC : 2a; +7BDD : 8d; +7BDE : 02; +7BDF : 40; +7BE0 : 2a; +7BE1 : 8d; +7BE2 : 03; +7BE3 : 40; +7BE4 : a5; +7BE5 : f1; +7BE6 : c9; +7BE7 : 18; +7BE8 : b0; +7BE9 : 5a; +7BEA : 4a; +7BEB : 09; +7BEC : 90; +7BED : 8d; +7BEE : 00; +7BEF : 40; +7BF0 : d0; +7BF1 : 52; +7BF2 : a4; +7BF3 : ff; +7BF4 : a5; +7BF5 : f0; +7BF6 : 4a; +7BF7 : b0; +7BF8 : 90; +7BF9 : 46; +7BFA : ff; +7BFB : b0; +7BFC : 81; +7BFD : a6; +7BFE : fa; +7BFF : d0; +7C00 : 4a; +7C01 : 4a; +7C02 : b0; +7C03 : be; +7C04 : 46; +7C05 : ff; +7C06 : b0; +7C07 : af; +7C08 : 4a; +7C09 : b0; +7C0A : 1d; +7C0B : 46; +7C0C : ff; +7C0D : b0; +7C0E : 0a; +7C0F : 4a; +7C10 : b0; +7C11 : 50; +7C12 : 46; +7C13 : ff; +7C14 : b0; +7C15 : 3b; +7C16 : 4c; +7C17 : 90; +7C18 : fc; +7C19 : 84; +7C1A : f0; +7C1B : a9; +7C1C : 22; +7C1D : 85; +7C1E : f1; +7C1F : a0; +7C20 : 0b; +7C21 : 84; +7C22 : f2; +7C23 : a9; +7C24 : 20; +7C25 : 20; +7C26 : 9f; +7C27 : fa; +7C28 : c6; +7C29 : f2; +7C2A : d0; +7C2B : 04; +7C2C : a9; +7C2D : 07; +7C2E : 85; +7C2F : f2; +7C30 : a6; +7C31 : f2; +7C32 : bc; +7C33 : f5; +7C34 : fa; +7C35 : a2; +7C36 : 5a; +7C37 : a5; +7C38 : f1; +7C39 : c9; +7C3A : 14; +7C3B : b0; +7C3C : 04; +7C3D : 4a; +7C3E : 09; +7C3F : 50; +7C40 : aa; +7C41 : 20; +7C42 : 95; +7C43 : fa; +7C44 : c6; +7C45 : f1; +7C46 : d0; +7C47 : ce; +7C48 : 20; +7C49 : e0; +7C4A : fa; +7C4B : a9; +7C4C : 00; +7C4D : 85; +7C4E : f0; +7C4F : f0; +7C50 : c5; +7C51 : 84; +7C52 : f0; +7C53 : a9; +7C54 : 0a; +7C55 : 85; +7C56 : f1; +7C57 : ac; +7C58 : f0; +7C59 : 06; +7C5A : 8c; +7C5B : 02; +7C5C : 40; +7C5D : a9; +7C5E : 88; +7C5F : 8d; +7C60 : 03; +7C61 : 40; +7C62 : a5; +7C63 : 18; +7C64 : 29; +7C65 : 08; +7C66 : 18; +7C67 : 65; +7C68 : f1; +7C69 : 69; +7C6A : fe; +7C6B : aa; +7C6C : bc; +7C6D : e5; +7C6E : fa; +7C6F : a2; +7C70 : 41; +7C71 : d0; +7C72 : ce; +7C73 : a9; +7C74 : 0e; +7C75 : 8d; +7C76 : a5; +7C77 : 06; +7C78 : a0; +7C79 : 85; +7C7A : a9; +7C7B : 46; +7C7C : 20; +7C7D : b3; +7C7E : fa; +7C7F : ce; +7C80 : a5; +7C81 : 06; +7C82 : f0; +7C83 : 19; +7C84 : ad; +7C85 : a5; +7C86 : 06; +7C87 : 09; +7C88 : 90; +7C89 : a8; +7C8A : 88; +7C8B : 8c; +7C8C : 04; +7C8D : 40; +7C8E : d0; +7C8F : 0d; +7C90 : a5; +7C91 : f3; +7C92 : d0; +7C93 : 09; +7C94 : ad; +7C95 : a5; +7C96 : 06; +7C97 : d0; +7C98 : e6; +7C99 : a4; +7C9A : fe; +7C9B : 30; +7C9C : d6; +7C9D : a5; +7C9E : fc; +7C9F : d0; +7CA0 : 6a; +7CA1 : a5; +7CA2 : f9; +7CA3 : d0; +7CA4 : 66; +7CA5 : a4; +7CA6 : fe; +7CA7 : ad; +7CA8 : a1; +7CA9 : 06; +7CAA : 46; +7CAB : fe; +7CAC : b0; +7CAD : 0c; +7CAE : 4a; +7CAF : b0; +7CB0 : 0d; +7CB1 : 4a; +7CB2 : b0; +7CB3 : 3c; +7CB4 : 46; +7CB5 : fe; +7CB6 : b0; +7CB7 : 23; +7CB8 : 90; +7CB9 : 51; +7CBA : a9; +7CBB : 28; +7CBC : d0; +7CBD : 1f; +7CBE : a5; +7CBF : f5; +7CC0 : d0; +7CC1 : 04; +7CC2 : 46; +7CC3 : fe; +7CC4 : b0; +7CC5 : 15; +7CC6 : a5; +7CC7 : f6; +7CC8 : 4a; +7CC9 : 4a; +7CCA : 4a; +7CCB : 4a; +7CCC : 4a; +7CCD : 65; +7CCE : f6; +7CCF : 90; +7CD0 : 2f; +7CD1 : a9; +7CD2 : 00; +7CD3 : 8d; +7CD4 : a1; +7CD5 : 06; +7CD6 : 8d; +7CD7 : 08; +7CD8 : 40; +7CD9 : f0; +7CDA : 30; +7CDB : a9; +7CDC : fe; +7CDD : 8c; +7CDE : a1; +7CDF : 06; +7CE0 : a2; +7CE1 : 0e; +7CE2 : 86; +7CE3 : f5; +7CE4 : a0; +7CE5 : ff; +7CE6 : 8c; +7CE7 : 08; +7CE8 : 40; +7CE9 : a0; +7CEA : 08; +7CEB : 8c; +7CEC : 0b; +7CED : 40; +7CEE : d0; +7CEF : 10; +7CF0 : a9; +7CF1 : fe; +7CF2 : a4; +7CF3 : f5; +7CF4 : f0; +7CF5 : db; +7CF6 : c0; +7CF7 : 07; +7CF8 : f0; +7CF9 : 06; +7CFA : a5; +7CFB : f6; +7CFC : a8; +7CFD : 20; +7CFE : d7; +7CFF : fa; +7D00 : 85; +7D01 : f6; +7D02 : 8d; +7D03 : 0a; +7D04 : 40; +7D05 : a5; +7D06 : f5; +7D07 : f0; +7D08 : 02; +7D09 : c6; +7D0A : f5; +7D0B : a6; +7D0C : fa; +7D0D : d0; +7D0E : 49; +7D0F : a5; +7D10 : fc; +7D11 : d0; +7D12 : 05; +7D13 : 8d; +7D14 : a3; +7D15 : 06; +7D16 : f0; +7D17 : 40; +7D18 : 4d; +7D19 : a3; +7D1A : 06; +7D1B : f0; +7D1C : 18; +7D1D : a5; +7D1E : fc; +7D1F : 8d; +7D20 : a3; +7D21 : 06; +7D22 : 20; +7D23 : 86; +7D24 : fa; +7D25 : b9; +7D26 : cd; +7D27 : ff; +7D28 : 8d; +7D29 : 80; +7D2A : 06; +7D2B : a9; +7D2C : d4; +7D2D : 85; +7D2E : f5; +7D2F : a9; +7D30 : ff; +7D31 : 85; +7D32 : f6; +7D33 : d0; +7D34 : 05; +7D35 : ce; +7D36 : 98; +7D37 : 06; +7D38 : d0; +7D39 : 1e; +7D3A : ac; +7D3B : 80; +7D3C : 06; +7D3D : ee; +7D3E : 80; +7D3F : 06; +7D40 : b1; +7D41 : f5; +7D42 : f0; +7D43 : d9; +7D44 : aa; +7D45 : 6a; +7D46 : 8a; +7D47 : 2a; +7D48 : 2a; +7D49 : 2a; +7D4A : 29; +7D4B : 07; +7D4C : a8; +7D4D : b9; +7D4E : 62; +7D4F : fb; +7D50 : 8d; +7D51 : 98; +7D52 : 06; +7D53 : a9; +7D54 : 10; +7D55 : 20; +7D56 : ba; +7D57 : fa; +7D58 : a5; +7D59 : fd; +7D5A : d0; +7D5B : 06; +7D5C : ad; +7D5D : 02; +7D5E : 01; +7D5F : d0; +7D60 : 3a; +7D61 : 60; +7D62 : 20; +7D63 : 86; +7D64 : fa; +7D65 : 84; +7D66 : fb; +7D67 : b9; +7D68 : 59; +7D69 : fe; +7D6A : a8; +7D6B : b9; +7D6C : 59; +7D6D : fe; +7D6E : 8d; +7D6F : 8d; +7D70 : 06; +7D71 : b9; +7D72 : 5a; +7D73 : fe; +7D74 : 85; +7D75 : f7; +7D76 : b9; +7D77 : 5b; +7D78 : fe; +7D79 : 85; +7D7A : f8; +7D7B : b9; +7D7C : 5c; +7D7D : fe; +7D7E : 85; +7D7F : f9; +7D80 : b9; +7D81 : 5d; +7D82 : fe; +7D83 : 85; +7D84 : fa; +7D85 : a9; +7D86 : 01; +7D87 : 8d; +7D88 : 95; +7D89 : 06; +7D8A : 8d; +7D8B : 96; +7D8C : 06; +7D8D : 8d; +7D8E : 98; +7D8F : 06; +7D90 : 8d; +7D91 : 02; +7D92 : 01; +7D93 : a0; +7D94 : 00; +7D95 : 84; +7D96 : f3; +7D97 : a5; +7D98 : fb; +7D99 : f0; +7D9A : 09; +7D9B : a4; +7D9C : fa; +7D9D : f0; +7D9E : 39; +7D9F : ce; +7DA0 : 96; +7DA1 : 06; +7DA2 : d0; +7DA3 : 34; +7DA4 : e6; +7DA5 : fa; +7DA6 : b1; +7DA7 : f7; +7DA8 : f0; +7DA9 : 3f; +7DAA : 10; +7DAB : 0c; +7DAC : 20; +7DAD : ca; +7DAE : fa; +7DAF : 8d; +7DB0 : 91; +7DB1 : 06; +7DB2 : a4; +7DB3 : fa; +7DB4 : e6; +7DB5 : fa; +7DB6 : b1; +7DB7 : f7; +7DB8 : 20; +7DB9 : 9f; +7DBA : fa; +7DBB : d0; +7DBC : 04; +7DBD : a0; +7DBE : 10; +7DBF : d0; +7DC0 : 0e; +7DC1 : a2; +7DC2 : 9f; +7DC3 : a5; +7DC4 : fb; +7DC5 : f0; +7DC6 : 08; +7DC7 : a2; +7DC8 : 06; +7DC9 : a5; +7DCA : f9; +7DCB : d0; +7DCC : 02; +7DCD : a2; +7DCE : 86; +7DCF : 20; +7DD0 : 93; +7DD1 : fa; +7DD2 : ad; +7DD3 : 91; +7DD4 : 06; +7DD5 : 8d; +7DD6 : 96; +7DD7 : 06; +7DD8 : a5; +7DD9 : fb; +7DDA : f0; +7DDB : 55; +7DDC : ce; +7DDD : 95; +7DDE : 06; +7DDF : d0; +7DE0 : 50; +7DE1 : a4; +7DE2 : f3; +7DE3 : e6; +7DE4 : f3; +7DE5 : b1; +7DE6 : f7; +7DE7 : d0; +7DE8 : 20; +7DE9 : 20; +7DEA : e0; +7DEB : fa; +7DEC : a9; +7DED : 00; +7DEE : 85; +7DEF : fa; +7DF0 : 85; +7DF1 : f3; +7DF2 : 85; +7DF3 : f9; +7DF4 : 8d; +7DF5 : 02; +7DF6 : 01; +7DF7 : a4; +7DF8 : fb; +7DF9 : f0; +7DFA : 05; +7DFB : ac; +7DFC : a1; +7DFD : 06; +7DFE : d0; +7DFF : 03; +7E00 : 8d; +7E01 : 08; +7E02 : 40; +7E03 : a9; +7E04 : 10; +7E05 : 8d; +7E06 : 04; +7E07 : 40; +7E08 : 60; +7E09 : 20; +7E0A : c4; +7E0B : fa; +7E0C : 8d; +7E0D : 95; +7E0E : 06; +7E0F : 8a; +7E10 : 29; +7E11 : 3e; +7E12 : a0; +7E13 : 7f; +7E14 : 20; +7E15 : b3; +7E16 : fa; +7E17 : d0; +7E18 : 04; +7E19 : a2; +7E1A : 10; +7E1B : d0; +7E1C : 11; +7E1D : a2; +7E1E : 89; +7E1F : ad; +7E20 : 95; +7E21 : 06; +7E22 : c9; +7E23 : 18; +7E24 : b0; +7E25 : 08; +7E26 : a2; +7E27 : 86; +7E28 : c9; +7E29 : 10; +7E2A : b0; +7E2B : 02; +7E2C : a2; +7E2D : 84; +7E2E : 8e; +7E2F : 04; +7E30 : 40; +7E31 : a4; +7E32 : f9; +7E33 : f0; +7E34 : 23; +7E35 : ce; +7E36 : 98; +7E37 : 06; +7E38 : d0; +7E39 : 1e; +7E3A : e6; +7E3B : f9; +7E3C : b1; +7E3D : f7; +7E3E : 20; +7E3F : c4; +7E40 : fa; +7E41 : 8d; +7E42 : 98; +7E43 : 06; +7E44 : 18; +7E45 : 69; +7E46 : fe; +7E47 : 0a; +7E48 : 0a; +7E49 : c9; +7E4A : 38; +7E4B : 90; +7E4C : 02; +7E4D : a9; +7E4E : 38; +7E4F : a4; +7E50 : fb; +7E51 : d0; +7E52 : 02; +7E53 : a9; +7E54 : ff; +7E55 : 20; +7E56 : ba; +7E57 : fa; +7E58 : 60; +7E59 : 09; +7E5A : 0e; +7E5B : 13; +7E5C : 18; +7E5D : 1d; +7E5E : 22; +7E5F : 27; +7E60 : 2c; +7E61 : 31; +7E62 : 00; +7E63 : 8f; +7E64 : fe; +7E65 : 1b; +7E66 : 00; +7E67 : 08; +7E68 : b0; +7E69 : fe; +7E6A : 00; +7E6B : 0c; +7E6C : 00; +7E6D : cf; +7E6E : fe; +7E6F : 00; +7E70 : 1a; +7E71 : 08; +7E72 : 05; +7E73 : ff; +7E74 : 00; +7E75 : 0b; +7E76 : 00; +7E77 : ad; +7E78 : ff; +7E79 : 00; +7E7A : 03; +7E7B : 00; +7E7C : be; +7E7D : ff; +7E7E : 00; +7E7F : 00; +7E80 : 00; +7E81 : c4; +7E82 : ff; +7E83 : 00; +7E84 : 00; +7E85 : 0f; +7E86 : 20; +7E87 : ff; +7E88 : 21; +7E89 : 3e; +7E8A : 00; +7E8B : a1; +7E8C : ff; +7E8D : 08; +7E8E : 00; +7E8F : 86; +7E90 : 46; +7E91 : 82; +7E92 : 4a; +7E93 : 83; +7E94 : 26; +7E95 : 46; +7E96 : 80; +7E97 : 34; +7E98 : 32; +7E99 : 34; +7E9A : 32; +7E9B : 34; +7E9C : 32; +7E9D : 34; +7E9E : 32; +7E9F : 34; +7EA0 : 32; +7EA1 : 34; +7EA2 : 32; +7EA3 : 34; +7EA4 : 32; +7EA5 : 34; +7EA6 : 32; +7EA7 : 84; +7EA8 : 34; +7EA9 : 00; +7EAA : a9; +7EAB : ac; +7EAC : ee; +7EAD : e8; +7EAE : 33; +7EAF : 35; +7EB0 : 16; +7EB1 : 16; +7EB2 : 57; +7EB3 : 1e; +7EB4 : 20; +7EB5 : 64; +7EB6 : 9e; +7EB7 : 1e; +7EB8 : 20; +7EB9 : 64; +7EBA : 9e; +7EBB : 00; +7EBC : 80; +7EBD : 30; +7EBE : 30; +7EBF : 85; +7EC0 : 30; +7EC1 : 80; +7EC2 : 1a; +7EC3 : 1c; +7EC4 : 81; +7EC5 : 1e; +7EC6 : 82; +7EC7 : 1a; +7EC8 : 80; +7EC9 : 1a; +7ECA : 1c; +7ECB : 81; +7ECC : 1e; +7ECD : 82; +7ECE : 1a; +7ECF : 5e; +7ED0 : 5e; +7ED1 : 5c; +7ED2 : 5c; +7ED3 : 5a; +7ED4 : 5a; +7ED5 : 58; +7ED6 : 58; +7ED7 : 57; +7ED8 : 16; +7ED9 : 18; +7EDA : 9a; +7EDB : 96; +7EDC : 59; +7EDD : 18; +7EDE : 1a; +7EDF : 9c; +7EE0 : 98; +7EE1 : 5f; +7EE2 : 5e; +7EE3 : 60; +7EE4 : 5e; +7EE5 : 5c; +7EE6 : 5a; +7EE7 : 1f; +7EE8 : 00; +7EE9 : 81; +7EEA : 1a; +7EEB : 1a; +7EEC : 18; +7EED : 18; +7EEE : 16; +7EEF : 16; +7EF0 : 38; +7EF1 : 38; +7EF2 : 82; +7EF3 : 26; +7EF4 : 42; +7EF5 : 26; +7EF6 : 42; +7EF7 : 28; +7EF8 : 46; +7EF9 : 28; +7EFA : 46; +7EFB : 30; +7EFC : 28; +7EFD : 30; +7EFE : 28; +7EFF : 81; +7F00 : 3a; +7F01 : 85; +7F02 : 3c; +7F03 : 84; +7F04 : 3a; +7F05 : 5e; +7F06 : 02; +7F07 : 20; +7F08 : 42; +7F09 : 4a; +7F0A : 42; +7F0B : 60; +7F0C : 5e; +7F0D : 60; +7F0E : 1d; +7F0F : 00; +7F10 : 82; +7F11 : 26; +7F12 : 42; +7F13 : 26; +7F14 : 42; +7F15 : 81; +7F16 : 40; +7F17 : 80; +7F18 : 42; +7F19 : 44; +7F1A : 48; +7F1B : 26; +7F1C : 28; +7F1D : 2c; +7F1E : 83; +7F1F : 2e; +7F20 : 56; +7F21 : 56; +7F22 : e0; +7F23 : 42; +7F24 : 5a; +7F25 : 5e; +7F26 : 5c; +7F27 : 99; +7F28 : 58; +7F29 : 58; +7F2A : e2; +7F2B : 42; +7F2C : 5e; +7F2D : 60; +7F2E : 5e; +7F2F : 9b; +7F30 : 5a; +7F31 : 5a; +7F32 : ca; +7F33 : 42; +7F34 : 60; +7F35 : 62; +7F36 : 4a; +7F37 : 8d; +7F38 : 5c; +7F39 : 5e; +7F3A : e0; +7F3B : 42; +7F3C : 5a; +7F3D : 5c; +7F3E : 5e; +7F3F : 1d; +7F40 : 00; +7F41 : 82; +7F42 : 6f; +7F43 : 6e; +7F44 : ee; +7F45 : 71; +7F46 : 70; +7F47 : f0; +7F48 : 77; +7F49 : 76; +7F4A : f6; +7F4B : 57; +7F4C : 56; +7F4D : d6; +7F4E : a0; +7F4F : 9a; +7F50 : 96; +7F51 : b4; +7F52 : a2; +7F53 : 9c; +7F54 : 98; +7F55 : b6; +7F56 : 5c; +7F57 : 9c; +7F58 : 96; +7F59 : 57; +7F5A : 5c; +7F5B : 96; +7F5C : 74; +7F5D : 2f; +7F5E : 85; +7F5F : 02; +7F60 : 81; +7F61 : 2e; +7F62 : 34; +7F63 : 2e; +7F64 : 83; +7F65 : 34; +7F66 : 81; +7F67 : 48; +7F68 : 28; +7F69 : 30; +7F6A : 28; +7F6B : 30; +7F6C : 28; +7F6D : 85; +7F6E : 30; +7F6F : 81; +7F70 : 30; +7F71 : 36; +7F72 : 30; +7F73 : 83; +7F74 : 36; +7F75 : 81; +7F76 : 26; +7F77 : 2c; +7F78 : 30; +7F79 : 2c; +7F7A : 30; +7F7B : 2c; +7F7C : 16; +7F7D : 16; +7F7E : 1a; +7F7F : 16; +7F80 : 34; +7F81 : 16; +7F82 : 1a; +7F83 : 16; +7F84 : 34; +7F85 : 16; +7F86 : 1c; +7F87 : 18; +7F88 : 36; +7F89 : 18; +7F8A : 1c; +7F8B : 18; +7F8C : 36; +7F8D : 18; +7F8E : 16; +7F8F : 2e; +7F90 : 80; +7F91 : 16; +7F92 : 36; +7F93 : 34; +7F94 : 36; +7F95 : 83; +7F96 : 16; +7F97 : 81; +7F98 : 02; +7F99 : 2e; +7F9A : 80; +7F9B : 16; +7F9C : 36; +7F9D : 34; +7F9E : 30; +7F9F : 86; +7FA0 : 2e; +7FA1 : 81; +7FA2 : 1a; +7FA3 : 82; +7FA4 : 1e; +7FA5 : 30; +7FA6 : 83; +7FA7 : 16; +7FA8 : 00; +7FA9 : 42; +7FAA : 96; +7FAB : b0; +7FAC : e6; +7FAD : 03; +7FAE : 83; +7FAF : 00; +7FB0 : 87; +7FB1 : 42; +7FB2 : 3e; +7FB3 : 42; +7FB4 : 3e; +7FB5 : 42; +7FB6 : 3e; +7FB7 : 42; +7FB8 : 3e; +7FB9 : 42; +7FBA : 3e; +7FBB : 42; +7FBC : 82; +7FBD : 3e; +7FBE : 0a; +7FBF : 0c; +7FC0 : 0e; +7FC1 : 54; +7FC2 : 90; +7FC3 : 00; +7FC4 : 04; +7FC5 : 12; +7FC6 : 04; +7FC7 : 12; +7FC8 : 04; +7FC9 : 12; +7FCA : 04; +7FCB : 92; +7FCC : 00; +7FCD : 00; +7FCE : 00; +7FCF : 00; +7FD0 : 00; +7FD1 : 09; +7FD2 : 0e; +7FD3 : 12; +7FD4 : 16; +7FD5 : 02; +7FD6 : 02; +7FD7 : 1a; +7FD8 : 02; +7FD9 : 1e; +7FDA : 20; +7FDB : 1e; +7FDC : 00; +7FDD : 5a; +7FDE : 42; +7FDF : 56; +7FE0 : 56; +7FE1 : 00; +7FE2 : 09; +7FE3 : 07; +7FE4 : 05; +7FE5 : 00; +7FE6 : ca; +7FE7 : 8a; +7FE8 : 8a; +7FE9 : ca; +7FEA : ca; +7FEB : ce; +7FEC : ca; +7FED : ce; +7FEE : ca; +7FEF : ce; +7FF0 : 8e; +7FF1 : 8e; +7FF2 : ce; +7FF3 : ce; +7FF4 : d2; +7FF5 : ce; +7FF6 : d2; +7FF7 : ce; +7FF8 : 00; +7FF9 : ff; +7FFA : 5f; +7FFB : c8; +7FFC : 9e; +7FFD : c7; +7FFE : f0; +7FFF : ff; +END; \ No newline at end of file diff --git a/NES.qsf b/NES.qsf index a479141..64f9a00 100644 --- a/NES.qsf +++ b/NES.qsf @@ -51,4 +51,5 @@ set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog) set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation set_global_assignment -name QIP_FILE system_ram.qip -set_global_assignment -name QIP_FILE main_pll.qip \ No newline at end of file +set_global_assignment -name QIP_FILE main_pll.qip +set_global_assignment -name QIP_FILE prg_rom.qip \ No newline at end of file diff --git a/control_adapter.sv b/control_adapter.sv new file mode 100644 index 0000000..e62257c --- /dev/null +++ b/control_adapter.sv @@ -0,0 +1,31 @@ +module KBcontroller ( + input CLK, + input WR, + input ENABLE, + input [7:0] keycode, keycode2, + input [7:0] bus, + output logic [7:0] DATA); + + logic [7:0] active1, active2, composite; + + adapter key1 (keycode, active1); + adapter key2 (keycode, active2); + + assign composite = active1 | active2; + + logic [7:0] internal_data, internal_cmd; + +endmodule // KBcontroller + +module adapter(input [7:0] keycode, + output logic [7:0] active); + // GH (A/B) + TY (SEL/START) + WSAD + active[7] = keycode==8'd10; //G + active[6] = keycode==8'd11; //H + active[5] = keycode==8'd23; //T + active[4] = keycode==8'd28; //Y + active[3] = keycode==8'd26; //W + active[2] = keycode==8'd22; //S + active[1] = keycode==8'd4; //A + active[0] = keycode==8'd7; //D +endmodule diff --git a/databus.sv b/databus.sv index 7bea8c1..1b57d09 100644 --- a/databus.sv +++ b/databus.sv @@ -16,7 +16,7 @@ module databus (input [23:0] ADDR, CONTROL1_EN = 0; CONTROL2_EN = 0; SYSRAM_EN = 0; - if (ADDR<=24'h07FF) begin + if (ADDR<=24'h1FFF) begin SYSRAM_EN = CPU_WR; BUS_OUT = (CPU_WR) ? SYSRAM_Q : CPU_DO; end @@ -25,13 +25,16 @@ module databus (input [23:0] ADDR, BUS_OUT = PRGROM_Q; else if (ADDR==24'h4016) begin - CONTROL1_EN = CPU_WR; + CONTROL1_EN = 1'b1; BUS_OUT = (CPU_WR) ? CONTROL1 : CPU_DO; end else if (ADDR=24'h4017) begin - CONTROL2_EN = CPU_WR; + CONTROL2_EN = 1'b1; BUS_OUT = (CPU_WR) ? CONTROL2 : CPU_DO; end + + else + BUS_OUT = '0; end endmodule // databus diff --git a/greybox_tmp/cbx_args.txt b/greybox_tmp/cbx_args.txt index 99c9a6a..e1f1194 100644 --- a/greybox_tmp/cbx_args.txt +++ b/greybox_tmp/cbx_args.txt @@ -1,13 +1,13 @@ +ADDRESS_ACLR_A=NONE CLOCK_ENABLE_INPUT_A=BYPASS CLOCK_ENABLE_OUTPUT_A=BYPASS +INIT_FILE=./MIF_FILES/DK.mif INTENDED_DEVICE_FAMILY="MAX 10" -NUMWORDS_A=2048 -OPERATION_MODE=SINGLE_PORT +NUMWORDS_A=32768 +OPERATION_MODE=ROM OUTDATA_ACLR_A=NONE OUTDATA_REG_A=UNREGISTERED -POWER_UP_UNINITIALIZED=FALSE -READ_DURING_WRITE_MODE_PORT_A=NEW_DATA_NO_NBE_READ -WIDTHAD_A=11 +WIDTHAD_A=15 WIDTH_A=8 WIDTH_BYTEENA_A=1 DEVICE_FAMILY="MAX 10" diff --git a/nes.sv b/nes.sv index 1756e0e..c2c5d25 100644 --- a/nes.sv +++ b/nes.sv @@ -44,13 +44,29 @@ module NES ( main_pll PLL (.inclk0(MAX10_CLK1_50), .c0(CLK_NESRAM), .c1(CLK_NES), .c2(CLK_PPU), .c3(CLK_VGA)); + // CPU inst logic W_R; logic [23:0] bus_addr; - logic [7:0] CPU_DI, CPU_DO; + logic [7:0] CPU_DO, bus_data; logic [63:0] internal_regs; T65 CPU (.Mode('0), .BCD_en('0'), .Res_n(KEY[0]), .Enable(1'b1), .Clk(CLK_NES), .Rdy(1'b1), .IRQ_n(1'b1), .NMI_n(1'b1), .R_W_n(W_R), - .A(bus_addr), .DI(CPU_DI), .DO(CPU_DO), .Regs(internal_regs)); + .A(bus_addr), .DI(bus_data), .DO(CPU_DO), .Regs(internal_regs)); + // PC to Hex Driver + HexDriver PCA (internal_regs[63 -: 4], HEX3); + HexDriver PCB (internal_regs[59 -: 4], HEX2); + HexDriver PCC (internal_regs[55 -: 4], HEX1); + HexDriver PCD (internal_regs[51 -: 4], HEX0); + + logic sysram_en; + logic [7:0] sysram_out, prgrom_out; + + system_ram SYSRAM (bus_addr[10:0], CLK_NESRAM, bus_data, sysram_en, sysram_out); + prg_rom PRGROM (bus_addr[14:0], CLK_NESRAM, prgrom_out); + + databus BUS (.ADDR(bus_addr), .CPU_WR(W_R), .CPU_DO, + .SYSRAM_Q(sysram_out), .PRGROM_Q(prgrom_out), + .BUS_OUT(bus_data), .SYSRAM_EN(sysram_en)); endmodule // NES diff --git a/prg_rom.qip b/prg_rom.qip new file mode 100644 index 0000000..8abd6d2 --- /dev/null +++ b/prg_rom.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "ROM: 1-PORT" +set_global_assignment -name IP_TOOL_VERSION "18.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{MAX 10}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "prg_rom.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "prg_rom_bb.v"] diff --git a/prg_rom.v b/prg_rom.v new file mode 100644 index 0000000..69933d0 --- /dev/null +++ b/prg_rom.v @@ -0,0 +1,159 @@ +// megafunction wizard: %ROM: 1-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: prg_rom.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module prg_rom ( + address, + clock, + q); + + input [14:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [7:0] sub_wire0; + wire [7:0] q = sub_wire0[7:0]; + + altsyncram altsyncram_component ( + .address_a (address), + .clock0 (clock), + .q_a (sub_wire0), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_a ({8{1'b1}}), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_a (1'b0), + .wren_b (1'b0)); + defparam + altsyncram_component.address_aclr_a = "NONE", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.init_file = "./MIF_FILES/DK.mif", + altsyncram_component.intended_device_family = "MAX 10", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 32768, + altsyncram_component.operation_mode = "ROM", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_reg_a = "UNREGISTERED", + altsyncram_component.widthad_a = 15, + altsyncram_component.width_a = 8, + altsyncram_component.width_byteena_a = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "./MIF_FILES/DK.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32768" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "15" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "./MIF_FILES/DK.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32768" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/prg_rom_bb.v b/prg_rom_bb.v new file mode 100644 index 0000000..7009b9a --- /dev/null +++ b/prg_rom_bb.v @@ -0,0 +1,110 @@ +// megafunction wizard: %ROM: 1-PORT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: prg_rom.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + +module prg_rom ( + address, + clock, + q); + + input [14:0] address; + input clock; + output [7:0] q; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: AclrAddr NUMERIC "0" +// Retrieval info: PRIVATE: AclrByte NUMERIC "0" +// Retrieval info: PRIVATE: AclrOutput NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "./MIF_FILES/DK.mif" +// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32768" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: RegAddr NUMERIC "1" +// Retrieval info: PRIVATE: RegOutput NUMERIC "0" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: SingleClock NUMERIC "1" +// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" +// Retrieval info: PRIVATE: WidthAddr NUMERIC "15" +// Retrieval info: PRIVATE: WidthData NUMERIC "8" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: INIT_FILE STRING "./MIF_FILES/DK.mif" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX 10" +// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32768" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: USED_PORT: address 0 0 15 0 INPUT NODEFVAL "address[14..0]" +// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" +// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address 0 0 15 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 +// Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL prg_rom_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf diff --git a/utils/nes-hex.py b/utils/nes-hex.py new file mode 100644 index 0000000..be58e6d --- /dev/null +++ b/utils/nes-hex.py @@ -0,0 +1,58 @@ +#!/usr/bin/env python3 + +# ____ _ _ +# | _ \| \ | | Pradyun Narkadamilli +# | |_) | \| | https://pradyun.tech +# | __/| |\ | MIT License +# |_| |_| \_| Copyright 2022 Pradyun Narkadamilli +# +# Converts from INES .NES file format to iHEX file format +# Used for ECE385 Final Project (NES Clone) +# +# NOTE: Current iteration of Not an Entertainment System +# only supports dual-bank games (2 16KB games). Do not use with more. +# NaES will clone the banks for you in hex format. + +import sys + +def main(): + if len(sys.argv)!=2: + print("wonky args") + return + + try: + f = open(sys.argv[1], "rb") + except: + print("oh hell no") + return + + for _ in range(4): + f.read(1) + + size = int.from_bytes(f.read(1), byteorder="big") + + if size>2: + print("ROM IS NOT SUPPORTED BY NaES: TOO CHUNGUS") + return + + for _ in range(11): + f.read(1) + + dump = open("dump.mif", "w") + dump.write("DEPTH=32768;\n") + dump.write("WIDTH=8;\n") + dump.write("ADDRESS_RADIX=HEX;\nDATA_RADIX=HEX;\n") + dump.write("CONTENT\nBEGIN\n") + + for i in range(16384*size): + dump.write(f"{i:4X} : {f.read(1).hex()};\n") + + if size==1: + f.seek(16) + for i in range(16384): + dump.write(f"{(16384+i):X} : {f.read(1).hex()};\n") + + dump.write("END;") + f.close() + dump.close() +main()