From 0427e53d9e89ec1ccc7a876e7d6587dd4d21bc89 Mon Sep 17 00:00:00 2001 From: Hmkam Kampf Date: Tue, 14 Feb 2023 16:15:36 +0100 Subject: [PATCH 1/4] first building version key has to be pressed more than ones to display --- .../sim_1/bin2bcd_tb.vhd | 0 .../sim_1/dispdrv_tb.vhd | 0 .../sources_1/bcd2disp.vhd | 0 .../sources_1/bcddec.vhd | 0 .../sources_1/bin2bcd.vhd | 0 .../sources_1/dispdrv.vhd | 0 .../adder-and-display.srcs/sources_1/main.vhd | 0 alu/alu.srcs/sim_1/alu_tb.vhd | 0 alu/alu.srcs/sim_1/twoc_tb.vhd | 0 alu/alu.srcs/sources_1/abs8b.vhd | 0 alu/alu.srcs/sources_1/add1b.vhd | 0 alu/alu.srcs/sources_1/add8b.vhd | 0 alu/alu.srcs/sources_1/add8bs.vhd | 0 alu/alu.srcs/sources_1/alu.vhd | 0 alu/alu.srcs/sources_1/bcd2disp.vhd | 0 alu/alu.srcs/sources_1/bcddec.vhd | 0 alu/alu.srcs/sources_1/bin2bcd.vhd | 0 alu/alu.srcs/sources_1/binary_to_bcd.vhd | 0 .../sources_1/binary_to_bcd_digit.vhd | 0 alu/alu.srcs/sources_1/dispdrv.vhd | 0 alu/alu.srcs/sources_1/eq8b.vhd | 0 alu/alu.srcs/sources_1/half_add.vhd | 0 alu/alu.srcs/sources_1/main.vhd | 0 alu/alu.srcs/sources_1/min8b.vhd | 0 alu/alu.srcs/sources_1/rl8b.vhd | 0 alu/alu.srcs/sources_1/rr8b.vhd | 0 alu/alu.srcs/sources_1/sl8b.vhd | 0 alu/alu.srcs/sources_1/sr8b.vhd | 0 alu/alu.srcs/sources_1/twoc.vhd | 0 .../sources_1/bitmap-ball.coe | 0 .../bouncing-ball.srcs/sources_1/bounce.vhd | 0 .../bouncing-ball.srcs/sources_1/main.vhd | 0 .../sources_1/pixeldata-ball.vhd | 0 .../bouncing-ball.srcs/sources_1/vga.vhd | 0 .../bouncing-square.srcs/sources_1/bounce.vhd | 0 .../bouncing-square.srcs/sources_1/main.vhd | 0 .../sources_1/pixeldata.vhd | 0 .../bouncing-square.srcs/sources_1/vga.vhd | 0 full-adder/full-adder.srcs/sim_1/add1b_tb.vhd | 0 full-adder/full-adder.srcs/sim_1/add4b_tb.vhd | 0 .../full-adder.srcs/sources_1/add1b.vhd | 0 .../full-adder.srcs/sources_1/add4b.vhd | 0 .../full-adder.srcs/sources_1/half_add.vhd | 0 keyboard/vivado.jou | 15 + keyboard/vivado.log | 34 +++ keyboard/vivado_10968.backup.jou | 19 ++ keyboard/vivado_10968.backup.log | 103 +++++++ keyboard/vivado_18828.backup.jou | 17 ++ keyboard/vivado_18828.backup.log | 38 +++ keyboard/vivado_29912.backup.jou | 15 + keyboard/vivado_29912.backup.log | 35 +++ .../constrs_1/imports/keyboard.srcs/main.xdc | 31 ++ .../imports/keyboard.srcs/bcd2disp.vhd | 70 +++++ .../imports/keyboard.srcs/bcddec.vhd | 33 ++ .../imports/keyboard.srcs/dispdrv.vhd | 35 +++ .../imports/keyboard.srcs/dispshift.vhd | 39 +++ .../imports/keyboard.srcs/main-keyboard.vhd | 123 ++++++++ .../imports/keyboard.srcs/ps2sync.vhd | 66 ++++ .../imports/keyboard.srcs/scancodefilter.vhd | 72 +++++ .../new/MetastablilitySyncronizer.vhd | 54 ++++ keyboard1/keyboard1.xpr | 286 ++++++++++++++++++ sound/sound.srcs/AudioOut.vhd | 0 sound/sound.srcs/PlayAudio.vhd | 0 sound/sound.srcs/SampleOut.vhd | 0 .../stopwatch.srcs/sources_1/bcd2disp.vhd | 0 stopwatch/stopwatch.srcs/sources_1/bcddec.vhd | 0 .../stopwatch.srcs/sources_1/bin2bcd.vhd | 0 .../stopwatch.srcs/sources_1/dispdrv.vhd | 0 .../sources_1/fsm-controller.vhd | 0 stopwatch/stopwatch.srcs/sources_1/main.vhd | 0 stopwatch/stopwatch.srcs/sources_1/watch.vhd | 0 .../testbenches.srcs/sim_1/add4b_tb.vhd | 0 .../testbenches.srcs/sources_1/add1b.vhd | 0 .../testbenches.srcs/sources_1/add4b.vhd | 0 .../testbenches.srcs/sources_1/half_add.vhd | 0 75 files changed, 1085 insertions(+) mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd mode change 120000 => 100644 adder-and-display/adder-and-display.srcs/sources_1/main.vhd mode change 120000 => 100644 alu/alu.srcs/sim_1/alu_tb.vhd mode change 120000 => 100644 alu/alu.srcs/sim_1/twoc_tb.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/abs8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/add1b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/add8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/add8bs.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/alu.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/bcd2disp.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/bcddec.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/bin2bcd.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/binary_to_bcd.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/dispdrv.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/eq8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/half_add.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/main.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/min8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/rl8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/rr8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/sl8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/sr8b.vhd mode change 120000 => 100644 alu/alu.srcs/sources_1/twoc.vhd mode change 120000 => 100644 bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe mode change 120000 => 100644 bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd mode change 120000 => 100644 bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd mode change 120000 => 100644 bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd mode change 120000 => 100644 bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd mode change 120000 => 100644 bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd mode change 120000 => 100644 bouncing-square/bouncing-square.srcs/sources_1/main.vhd mode change 120000 => 100644 bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd mode change 120000 => 100644 bouncing-square/bouncing-square.srcs/sources_1/vga.vhd mode change 120000 => 100644 full-adder/full-adder.srcs/sim_1/add1b_tb.vhd mode change 120000 => 100644 full-adder/full-adder.srcs/sim_1/add4b_tb.vhd mode change 120000 => 100644 full-adder/full-adder.srcs/sources_1/add1b.vhd mode change 120000 => 100644 full-adder/full-adder.srcs/sources_1/add4b.vhd mode change 120000 => 100644 full-adder/full-adder.srcs/sources_1/half_add.vhd create mode 100644 keyboard/vivado.jou create mode 100644 keyboard/vivado.log create mode 100644 keyboard/vivado_10968.backup.jou create mode 100644 keyboard/vivado_10968.backup.log create mode 100644 keyboard/vivado_18828.backup.jou create mode 100644 keyboard/vivado_18828.backup.log create mode 100644 keyboard/vivado_29912.backup.jou create mode 100644 keyboard/vivado_29912.backup.log create mode 100644 keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd create mode 100644 keyboard1/keyboard1.srcs/sources_1/new/MetastablilitySyncronizer.vhd create mode 100644 keyboard1/keyboard1.xpr mode change 120000 => 100644 sound/sound.srcs/AudioOut.vhd mode change 120000 => 100644 sound/sound.srcs/PlayAudio.vhd mode change 120000 => 100644 sound/sound.srcs/SampleOut.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/bcddec.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/main.vhd mode change 120000 => 100644 stopwatch/stopwatch.srcs/sources_1/watch.vhd mode change 120000 => 100644 testbenches/testbenches.srcs/sim_1/add4b_tb.vhd mode change 120000 => 100644 testbenches/testbenches.srcs/sources_1/add1b.vhd mode change 120000 => 100644 testbenches/testbenches.srcs/sources_1/add4b.vhd mode change 120000 => 100644 testbenches/testbenches.srcs/sources_1/half_add.vhd diff --git a/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd b/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd deleted file mode 120000 index 91bb6ba..0000000 --- a/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bin2bcd5_tb.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd b/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd new file mode 100644 index 0000000..91bb6ba --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sim_1/bin2bcd_tb.vhd @@ -0,0 +1 @@ +../../../src/bin2bcd5_tb.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd b/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd deleted file mode 120000 index af4506e..0000000 --- a/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/dispdrv_tb.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd b/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd new file mode 100644 index 0000000..af4506e --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sim_1/dispdrv_tb.vhd @@ -0,0 +1 @@ +../../../src/dispdrv_tb.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd deleted file mode 120000 index 3b67369..0000000 --- a/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd new file mode 100644 index 0000000..3b67369 --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sources_1/bcd2disp.vhd @@ -0,0 +1 @@ +../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd deleted file mode 120000 index f6d3258..0000000 --- a/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcddec.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd new file mode 100644 index 0000000..f6d3258 --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sources_1/bcddec.vhd @@ -0,0 +1 @@ +../../../src/bcddec.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd deleted file mode 120000 index 161a61d..0000000 --- a/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd b/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd new file mode 100644 index 0000000..161a61d --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sources_1/bin2bcd.vhd @@ -0,0 +1 @@ +../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd b/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd deleted file mode 120000 index 7c019c3..0000000 --- a/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/dispdrv.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd b/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd new file mode 100644 index 0000000..7c019c3 --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sources_1/dispdrv.vhd @@ -0,0 +1 @@ +../../../src/dispdrv.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/main.vhd b/adder-and-display/adder-and-display.srcs/sources_1/main.vhd deleted file mode 120000 index 1af4b88..0000000 --- a/adder-and-display/adder-and-display.srcs/sources_1/main.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/main-adder-and-display.vhd \ No newline at end of file diff --git a/adder-and-display/adder-and-display.srcs/sources_1/main.vhd b/adder-and-display/adder-and-display.srcs/sources_1/main.vhd new file mode 100644 index 0000000..1af4b88 --- /dev/null +++ b/adder-and-display/adder-and-display.srcs/sources_1/main.vhd @@ -0,0 +1 @@ +../../../src/main-adder-and-display.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sim_1/alu_tb.vhd b/alu/alu.srcs/sim_1/alu_tb.vhd deleted file mode 120000 index 4d4aea3..0000000 --- a/alu/alu.srcs/sim_1/alu_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../copyright/bijlagen/alu_tb.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sim_1/alu_tb.vhd b/alu/alu.srcs/sim_1/alu_tb.vhd new file mode 100644 index 0000000..4d4aea3 --- /dev/null +++ b/alu/alu.srcs/sim_1/alu_tb.vhd @@ -0,0 +1 @@ +../../../copyright/bijlagen/alu_tb.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sim_1/twoc_tb.vhd b/alu/alu.srcs/sim_1/twoc_tb.vhd deleted file mode 120000 index 312c499..0000000 --- a/alu/alu.srcs/sim_1/twoc_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/twoc_tb.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sim_1/twoc_tb.vhd b/alu/alu.srcs/sim_1/twoc_tb.vhd new file mode 100644 index 0000000..312c499 --- /dev/null +++ b/alu/alu.srcs/sim_1/twoc_tb.vhd @@ -0,0 +1 @@ +../../../src/twoc_tb.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/abs8b.vhd b/alu/alu.srcs/sources_1/abs8b.vhd deleted file mode 120000 index b203a1e..0000000 --- a/alu/alu.srcs/sources_1/abs8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/abs8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/abs8b.vhd b/alu/alu.srcs/sources_1/abs8b.vhd new file mode 100644 index 0000000..b203a1e --- /dev/null +++ b/alu/alu.srcs/sources_1/abs8b.vhd @@ -0,0 +1 @@ +../../../src/abs8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add1b.vhd b/alu/alu.srcs/sources_1/add1b.vhd deleted file mode 120000 index 9ad3f1e..0000000 --- a/alu/alu.srcs/sources_1/add1b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add1b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add1b.vhd b/alu/alu.srcs/sources_1/add1b.vhd new file mode 100644 index 0000000..9ad3f1e --- /dev/null +++ b/alu/alu.srcs/sources_1/add1b.vhd @@ -0,0 +1 @@ +../../../src/add1b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add8b.vhd b/alu/alu.srcs/sources_1/add8b.vhd deleted file mode 120000 index 62cf6f0..0000000 --- a/alu/alu.srcs/sources_1/add8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add8b.vhd b/alu/alu.srcs/sources_1/add8b.vhd new file mode 100644 index 0000000..62cf6f0 --- /dev/null +++ b/alu/alu.srcs/sources_1/add8b.vhd @@ -0,0 +1 @@ +../../../src/add8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add8bs.vhd b/alu/alu.srcs/sources_1/add8bs.vhd deleted file mode 120000 index b702395..0000000 --- a/alu/alu.srcs/sources_1/add8bs.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add8bs.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/add8bs.vhd b/alu/alu.srcs/sources_1/add8bs.vhd new file mode 100644 index 0000000..b702395 --- /dev/null +++ b/alu/alu.srcs/sources_1/add8bs.vhd @@ -0,0 +1 @@ +../../../src/add8bs.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/alu.vhd b/alu/alu.srcs/sources_1/alu.vhd deleted file mode 120000 index b29c720..0000000 --- a/alu/alu.srcs/sources_1/alu.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/alu.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/alu.vhd b/alu/alu.srcs/sources_1/alu.vhd new file mode 100644 index 0000000..b29c720 --- /dev/null +++ b/alu/alu.srcs/sources_1/alu.vhd @@ -0,0 +1 @@ +../../../src/alu.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bcd2disp.vhd b/alu/alu.srcs/sources_1/bcd2disp.vhd deleted file mode 120000 index 3b67369..0000000 --- a/alu/alu.srcs/sources_1/bcd2disp.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bcd2disp.vhd b/alu/alu.srcs/sources_1/bcd2disp.vhd new file mode 100644 index 0000000..3b67369 --- /dev/null +++ b/alu/alu.srcs/sources_1/bcd2disp.vhd @@ -0,0 +1 @@ +../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bcddec.vhd b/alu/alu.srcs/sources_1/bcddec.vhd deleted file mode 120000 index f6d3258..0000000 --- a/alu/alu.srcs/sources_1/bcddec.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcddec.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bcddec.vhd b/alu/alu.srcs/sources_1/bcddec.vhd new file mode 100644 index 0000000..f6d3258 --- /dev/null +++ b/alu/alu.srcs/sources_1/bcddec.vhd @@ -0,0 +1 @@ +../../../src/bcddec.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bin2bcd.vhd b/alu/alu.srcs/sources_1/bin2bcd.vhd deleted file mode 120000 index 161a61d..0000000 --- a/alu/alu.srcs/sources_1/bin2bcd.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/bin2bcd.vhd b/alu/alu.srcs/sources_1/bin2bcd.vhd new file mode 100644 index 0000000..161a61d --- /dev/null +++ b/alu/alu.srcs/sources_1/bin2bcd.vhd @@ -0,0 +1 @@ +../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/binary_to_bcd.vhd b/alu/alu.srcs/sources_1/binary_to_bcd.vhd deleted file mode 120000 index e593b74..0000000 --- a/alu/alu.srcs/sources_1/binary_to_bcd.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../copyright/bijlagen/binary_to_bcd.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/binary_to_bcd.vhd b/alu/alu.srcs/sources_1/binary_to_bcd.vhd new file mode 100644 index 0000000..e593b74 --- /dev/null +++ b/alu/alu.srcs/sources_1/binary_to_bcd.vhd @@ -0,0 +1 @@ +../../../copyright/bijlagen/binary_to_bcd.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd b/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd deleted file mode 120000 index 516162a..0000000 --- a/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../copyright/bijlagen/binary_to_bcd_digit.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd b/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd new file mode 100644 index 0000000..516162a --- /dev/null +++ b/alu/alu.srcs/sources_1/binary_to_bcd_digit.vhd @@ -0,0 +1 @@ +../../../copyright/bijlagen/binary_to_bcd_digit.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/dispdrv.vhd b/alu/alu.srcs/sources_1/dispdrv.vhd deleted file mode 120000 index 7c019c3..0000000 --- a/alu/alu.srcs/sources_1/dispdrv.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/dispdrv.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/dispdrv.vhd b/alu/alu.srcs/sources_1/dispdrv.vhd new file mode 100644 index 0000000..7c019c3 --- /dev/null +++ b/alu/alu.srcs/sources_1/dispdrv.vhd @@ -0,0 +1 @@ +../../../src/dispdrv.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/eq8b.vhd b/alu/alu.srcs/sources_1/eq8b.vhd deleted file mode 120000 index 02da63c..0000000 --- a/alu/alu.srcs/sources_1/eq8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/eq8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/eq8b.vhd b/alu/alu.srcs/sources_1/eq8b.vhd new file mode 100644 index 0000000..02da63c --- /dev/null +++ b/alu/alu.srcs/sources_1/eq8b.vhd @@ -0,0 +1 @@ +../../../src/eq8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/half_add.vhd b/alu/alu.srcs/sources_1/half_add.vhd deleted file mode 120000 index 32b41e7..0000000 --- a/alu/alu.srcs/sources_1/half_add.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/half_add.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/half_add.vhd b/alu/alu.srcs/sources_1/half_add.vhd new file mode 100644 index 0000000..32b41e7 --- /dev/null +++ b/alu/alu.srcs/sources_1/half_add.vhd @@ -0,0 +1 @@ +../../../src/half_add.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/main.vhd b/alu/alu.srcs/sources_1/main.vhd deleted file mode 120000 index 332f933..0000000 --- a/alu/alu.srcs/sources_1/main.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/main-alu.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/main.vhd b/alu/alu.srcs/sources_1/main.vhd new file mode 100644 index 0000000..332f933 --- /dev/null +++ b/alu/alu.srcs/sources_1/main.vhd @@ -0,0 +1 @@ +../../../src/main-alu.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/min8b.vhd b/alu/alu.srcs/sources_1/min8b.vhd deleted file mode 120000 index 12ed552..0000000 --- a/alu/alu.srcs/sources_1/min8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/min8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/min8b.vhd b/alu/alu.srcs/sources_1/min8b.vhd new file mode 100644 index 0000000..12ed552 --- /dev/null +++ b/alu/alu.srcs/sources_1/min8b.vhd @@ -0,0 +1 @@ +../../../src/min8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/rl8b.vhd b/alu/alu.srcs/sources_1/rl8b.vhd deleted file mode 120000 index b3d5ef7..0000000 --- a/alu/alu.srcs/sources_1/rl8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/rl8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/rl8b.vhd b/alu/alu.srcs/sources_1/rl8b.vhd new file mode 100644 index 0000000..b3d5ef7 --- /dev/null +++ b/alu/alu.srcs/sources_1/rl8b.vhd @@ -0,0 +1 @@ +../../../src/rl8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/rr8b.vhd b/alu/alu.srcs/sources_1/rr8b.vhd deleted file mode 120000 index 783e156..0000000 --- a/alu/alu.srcs/sources_1/rr8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/rr8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/rr8b.vhd b/alu/alu.srcs/sources_1/rr8b.vhd new file mode 100644 index 0000000..783e156 --- /dev/null +++ b/alu/alu.srcs/sources_1/rr8b.vhd @@ -0,0 +1 @@ +../../../src/rr8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/sl8b.vhd b/alu/alu.srcs/sources_1/sl8b.vhd deleted file mode 120000 index c238e44..0000000 --- a/alu/alu.srcs/sources_1/sl8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/sl8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/sl8b.vhd b/alu/alu.srcs/sources_1/sl8b.vhd new file mode 100644 index 0000000..c238e44 --- /dev/null +++ b/alu/alu.srcs/sources_1/sl8b.vhd @@ -0,0 +1 @@ +../../../src/sl8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/sr8b.vhd b/alu/alu.srcs/sources_1/sr8b.vhd deleted file mode 120000 index 70c5219..0000000 --- a/alu/alu.srcs/sources_1/sr8b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/sr8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/sr8b.vhd b/alu/alu.srcs/sources_1/sr8b.vhd new file mode 100644 index 0000000..70c5219 --- /dev/null +++ b/alu/alu.srcs/sources_1/sr8b.vhd @@ -0,0 +1 @@ +../../../src/sr8b.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/twoc.vhd b/alu/alu.srcs/sources_1/twoc.vhd deleted file mode 120000 index 595f0d9..0000000 --- a/alu/alu.srcs/sources_1/twoc.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/twoc.vhd \ No newline at end of file diff --git a/alu/alu.srcs/sources_1/twoc.vhd b/alu/alu.srcs/sources_1/twoc.vhd new file mode 100644 index 0000000..595f0d9 --- /dev/null +++ b/alu/alu.srcs/sources_1/twoc.vhd @@ -0,0 +1 @@ +../../../src/twoc.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe deleted file mode 120000 index fb3b618..0000000 --- a/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe +++ /dev/null @@ -1 +0,0 @@ -../../../src/bitmap-ball.coe \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe new file mode 100644 index 0000000..fb3b618 --- /dev/null +++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bitmap-ball.coe @@ -0,0 +1 @@ +../../../src/bitmap-ball.coe \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd deleted file mode 120000 index 4419d8d..0000000 --- a/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bounce.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd new file mode 100644 index 0000000..4419d8d --- /dev/null +++ b/bouncing-ball/bouncing-ball.srcs/sources_1/bounce.vhd @@ -0,0 +1 @@ +../../../src/bounce.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd deleted file mode 120000 index 9270930..0000000 --- a/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/main-bouncing-ball.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd new file mode 100644 index 0000000..9270930 --- /dev/null +++ b/bouncing-ball/bouncing-ball.srcs/sources_1/main.vhd @@ -0,0 +1 @@ +../../../src/main-bouncing-ball.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd deleted file mode 120000 index 1dde575..0000000 --- a/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/pixeldata-ball.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd new file mode 100644 index 0000000..1dde575 --- /dev/null +++ b/bouncing-ball/bouncing-ball.srcs/sources_1/pixeldata-ball.vhd @@ -0,0 +1 @@ +../../../src/pixeldata-ball.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd deleted file mode 120000 index 19e49f9..0000000 --- a/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/vga.vhd \ No newline at end of file diff --git a/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd new file mode 100644 index 0000000..19e49f9 --- /dev/null +++ b/bouncing-ball/bouncing-ball.srcs/sources_1/vga.vhd @@ -0,0 +1 @@ +../../../src/vga.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd b/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd deleted file mode 120000 index 4419d8d..0000000 --- a/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bounce.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd b/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd new file mode 100644 index 0000000..4419d8d --- /dev/null +++ b/bouncing-square/bouncing-square.srcs/sources_1/bounce.vhd @@ -0,0 +1 @@ +../../../src/bounce.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/main.vhd b/bouncing-square/bouncing-square.srcs/sources_1/main.vhd deleted file mode 120000 index 6047048..0000000 --- a/bouncing-square/bouncing-square.srcs/sources_1/main.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/main-bouncing-square.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/main.vhd b/bouncing-square/bouncing-square.srcs/sources_1/main.vhd new file mode 100644 index 0000000..6047048 --- /dev/null +++ b/bouncing-square/bouncing-square.srcs/sources_1/main.vhd @@ -0,0 +1 @@ +../../../src/main-bouncing-square.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd b/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd deleted file mode 120000 index 7e4ba4e..0000000 --- a/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/pixeldata-square.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd b/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd new file mode 100644 index 0000000..7e4ba4e --- /dev/null +++ b/bouncing-square/bouncing-square.srcs/sources_1/pixeldata.vhd @@ -0,0 +1 @@ +../../../src/pixeldata-square.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd b/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd deleted file mode 120000 index 19e49f9..0000000 --- a/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/vga.vhd \ No newline at end of file diff --git a/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd b/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd new file mode 100644 index 0000000..19e49f9 --- /dev/null +++ b/bouncing-square/bouncing-square.srcs/sources_1/vga.vhd @@ -0,0 +1 @@ +../../../src/vga.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd b/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd deleted file mode 120000 index b512ac5..0000000 --- a/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add1b_tb.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd b/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd new file mode 100644 index 0000000..b512ac5 --- /dev/null +++ b/full-adder/full-adder.srcs/sim_1/add1b_tb.vhd @@ -0,0 +1 @@ +../../../src/add1b_tb.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd b/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd deleted file mode 120000 index 8b698f7..0000000 --- a/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add4b_tb.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd b/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd new file mode 100644 index 0000000..8b698f7 --- /dev/null +++ b/full-adder/full-adder.srcs/sim_1/add4b_tb.vhd @@ -0,0 +1 @@ +../../../src/add4b_tb.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/add1b.vhd b/full-adder/full-adder.srcs/sources_1/add1b.vhd deleted file mode 120000 index 9ad3f1e..0000000 --- a/full-adder/full-adder.srcs/sources_1/add1b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add1b.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/add1b.vhd b/full-adder/full-adder.srcs/sources_1/add1b.vhd new file mode 100644 index 0000000..9ad3f1e --- /dev/null +++ b/full-adder/full-adder.srcs/sources_1/add1b.vhd @@ -0,0 +1 @@ +../../../src/add1b.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/add4b.vhd b/full-adder/full-adder.srcs/sources_1/add4b.vhd deleted file mode 120000 index 5245cd0..0000000 --- a/full-adder/full-adder.srcs/sources_1/add4b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add4b.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/add4b.vhd b/full-adder/full-adder.srcs/sources_1/add4b.vhd new file mode 100644 index 0000000..5245cd0 --- /dev/null +++ b/full-adder/full-adder.srcs/sources_1/add4b.vhd @@ -0,0 +1 @@ +../../../src/add4b.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/half_add.vhd b/full-adder/full-adder.srcs/sources_1/half_add.vhd deleted file mode 120000 index 32b41e7..0000000 --- a/full-adder/full-adder.srcs/sources_1/half_add.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/half_add.vhd \ No newline at end of file diff --git a/full-adder/full-adder.srcs/sources_1/half_add.vhd b/full-adder/full-adder.srcs/sources_1/half_add.vhd new file mode 100644 index 0000000..32b41e7 --- /dev/null +++ b/full-adder/full-adder.srcs/sources_1/half_add.vhd @@ -0,0 +1 @@ +../../../src/half_add.vhd \ No newline at end of file diff --git a/keyboard/vivado.jou b/keyboard/vivado.jou new file mode 100644 index 0000000..ac469d3 --- /dev/null +++ b/keyboard/vivado.jou @@ -0,0 +1,15 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 22:36:53 2023 +# Process ID: 19256 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21932 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +update_compile_order -fileset sources_1 diff --git a/keyboard/vivado.log b/keyboard/vivado.log new file mode 100644 index 0000000..826cab3 --- /dev/null +++ b/keyboard/vivado.log @@ -0,0 +1,34 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 22:36:53 2023 +# Process ID: 19256 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21932 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +INFO: [Project 1-313] Project file moved from '/home/loek/docs/repos/progh-huiswerk/keyboard' since last save. +WARNING: [filemgmt 56-2] Board Part Repository Path: Could not find the directory 'D:/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store', nor could it be found using path 'D:/home/loek/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store'. +INFO: [filemgmt 56-2] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.gen/sources_1', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.gen/sources_1'. +CRITICAL WARNING: [Project 1-505] Unrecognized Option Name SimCompileState +WARNING: [filemgmt 56-2] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.ip_user_files', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.ip_user_files'. +Scanning sources... +Finished scanning sources +WARNING: [Project 1-231] Project 'keyboard.xpr' was created with a future version and may or may not perform reliably with this version of Vivado. It has been opened in read-only mode for the protection of unrecognized data. Use 'File | Save Project As...' if you wish to alter a copy of the project. +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +update_compile_order -fileset sources_1 +exit +INFO: [Common 17-206] Exiting Vivado at Mon Feb 13 22:37:51 2023... diff --git a/keyboard/vivado_10968.backup.jou b/keyboard/vivado_10968.backup.jou new file mode 100644 index 0000000..6eaf5fb --- /dev/null +++ b/keyboard/vivado_10968.backup.jou @@ -0,0 +1,19 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 09:55:31 2023 +# Process ID: 10968 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18344 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +update_compile_order -fileset sources_1 +save_project_as keyboard1 D:/GitHub/ProgHLoek/avans-progh/keyboard1 -force +import_files +synth_design -rtl -rtl_skip_mlo -name rtl_1 +close_design diff --git a/keyboard/vivado_10968.backup.log b/keyboard/vivado_10968.backup.log new file mode 100644 index 0000000..071c9ba --- /dev/null +++ b/keyboard/vivado_10968.backup.log @@ -0,0 +1,103 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 09:55:31 2023 +# Process ID: 10968 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18344 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +INFO: [Project 1-313] Project file moved from '/home/loek/docs/repos/progh-huiswerk/keyboard' since last save. +WARNING: [filemgmt 56-2] Board Part Repository Path: Could not find the directory 'D:/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store', nor could it be found using path 'D:/home/loek/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store'. +INFO: [filemgmt 56-2] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.gen/sources_1', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.gen/sources_1'. +CRITICAL WARNING: [Project 1-505] Unrecognized Option Name SimCompileState +WARNING: [filemgmt 56-2] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.ip_user_files', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.ip_user_files'. +Scanning sources... +Finished scanning sources +WARNING: [Project 1-231] Project 'keyboard.xpr' was created with a future version and may or may not perform reliably with this version of Vivado. It has been opened in read-only mode for the protection of unrecognized data. Use 'File | Save Project As...' if you wish to alter a copy of the project. +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +open_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:07 . Memory (MB): peak = 1651.098 ; gain = 0.000 +update_compile_order -fileset sources_1 +save_project_as keyboard1 D:/GitHub/ProgHLoek/avans-progh/keyboard1 -force +save_project_as: Time (s): cpu = 00:00:15 ; elapsed = 00:00:07 . Memory (MB): peak = 1651.098 ; gain = 0.000 +import_files +INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'constrs_1' +INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sources_1' +synth_design -rtl -rtl_skip_mlo -name rtl_1 +Command: synth_design -rtl -rtl_skip_mlo -name rtl_1 +Starting synth_design +Using part: xc7a35tcpg236-1 +Top: main +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 2205.402 ; gain = 380.094 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'main' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:15] +INFO: [Synth 8-3491] module 'ps2sync' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd:5' bound to instance 'ps2' of component 'ps2sync' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:55] +INFO: [Synth 8-638] synthesizing module 'ps2sync' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd:13] +INFO: [Synth 8-256] done synthesizing module 'ps2sync' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd:13] +INFO: [Synth 8-3491] module 'scancodefilter' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd:5' bound to instance 'filter' of component 'scancodefilter' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:64] +INFO: [Synth 8-638] synthesizing module 'scancodefilter' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd:13] +INFO: [Synth 8-256] done synthesizing module 'scancodefilter' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd:13] +INFO: [Synth 8-3491] module 'dispshift' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd:5' bound to instance 'shift_register' of component 'dispshift' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:73] +INFO: [Synth 8-638] synthesizing module 'dispshift' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd:12] +INFO: [Synth 8-256] done synthesizing module 'dispshift' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd:12] +INFO: [Synth 8-3491] module 'bcd2disp' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:4' bound to instance 'disp' of component 'bcd2disp' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:92] +INFO: [Synth 8-638] synthesizing module 'bcd2disp' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:13] +INFO: [Synth 8-3491] module 'bcddec' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:4' bound to instance 'bcddec0' of component 'bcddec' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:36] +INFO: [Synth 8-638] synthesizing module 'bcddec' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:9] +INFO: [Synth 8-256] done synthesizing module 'bcddec' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:9] +INFO: [Synth 8-3491] module 'bcddec' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:4' bound to instance 'bcddec1' of component 'bcddec' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:40] +INFO: [Synth 8-3491] module 'bcddec' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:4' bound to instance 'bcddec2' of component 'bcddec' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:44] +INFO: [Synth 8-3491] module 'bcddec' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd:4' bound to instance 'bcddec3' of component 'bcddec' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:48] +INFO: [Synth 8-3491] module 'dispdrv' declared at 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd:6' bound to instance 'drv' of component 'dispdrv' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:53] +INFO: [Synth 8-638] synthesizing module 'dispdrv' [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd:16] +INFO: [Synth 8-226] default block is never used [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd:27] +INFO: [Synth 8-256] done synthesizing module 'dispdrv' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd:16] +INFO: [Synth 8-256] done synthesizing module 'bcd2disp' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd:13] +INFO: [Synth 8-256] done synthesizing module 'main' (0#1) [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd:15] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2315.676 ; gain = 490.367 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2315.676 ; gain = 490.367 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:10 . Memory (MB): peak = 2315.676 ; gain = 490.367 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 2315.676 ; gain = 0.000 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc] +Finished Parsing XDC File [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2365.891 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +RTL Elaboration Complete: : Time (s): cpu = 00:00:20 ; elapsed = 00:00:15 . Memory (MB): peak = 2439.457 ; gain = 614.148 +27 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:25 ; elapsed = 00:00:27 . Memory (MB): peak = 2439.457 ; gain = 788.359 +close_design +exit +INFO: [Common 17-206] Exiting Vivado at Mon Feb 13 10:24:28 2023... diff --git a/keyboard/vivado_18828.backup.jou b/keyboard/vivado_18828.backup.jou new file mode 100644 index 0000000..82ab9b5 --- /dev/null +++ b/keyboard/vivado_18828.backup.jou @@ -0,0 +1,17 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 09:35:24 2023 +# Process ID: 18828 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent23128 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +update_compile_order -fileset sources_1 +save_project_as keyboard1 D:/GitHub/ProgHLoek/avans-progh/keyboard1 -force +import_files diff --git a/keyboard/vivado_18828.backup.log b/keyboard/vivado_18828.backup.log new file mode 100644 index 0000000..bd5e1c0 --- /dev/null +++ b/keyboard/vivado_18828.backup.log @@ -0,0 +1,38 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 09:35:24 2023 +# Process ID: 18828 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent23128 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +INFO: [Project 1-313] Project file moved from '/home/loek/docs/repos/progh-huiswerk/keyboard' since last save. +WARNING: [filemgmt 56-2] Board Part Repository Path: Could not find the directory 'D:/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store', nor could it be found using path 'D:/home/loek/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store'. +INFO: [filemgmt 56-2] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.gen/sources_1', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.gen/sources_1'. +CRITICAL WARNING: [Project 1-505] Unrecognized Option Name SimCompileState +WARNING: [filemgmt 56-2] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.ip_user_files', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.ip_user_files'. +Scanning sources... +Finished scanning sources +WARNING: [Project 1-231] Project 'keyboard.xpr' was created with a future version and may or may not perform reliably with this version of Vivado. It has been opened in read-only mode for the protection of unrecognized data. Use 'File | Save Project As...' if you wish to alter a copy of the project. +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +update_compile_order -fileset sources_1 +save_project_as keyboard1 D:/GitHub/ProgHLoek/avans-progh/keyboard1 -force +import_files +INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'constrs_1' +INFO: [filemgmt 20-348] Importing the appropriate files for fileset: 'sources_1' +exit +INFO: [Common 17-206] Exiting Vivado at Mon Feb 13 09:55:16 2023... diff --git a/keyboard/vivado_29912.backup.jou b/keyboard/vivado_29912.backup.jou new file mode 100644 index 0000000..7416262 --- /dev/null +++ b/keyboard/vivado_29912.backup.jou @@ -0,0 +1,15 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 20:36:41 2023 +# Process ID: 29912 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17076 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +update_compile_order -fileset sources_1 diff --git a/keyboard/vivado_29912.backup.log b/keyboard/vivado_29912.backup.log new file mode 100644 index 0000000..3dd7e6a --- /dev/null +++ b/keyboard/vivado_29912.backup.log @@ -0,0 +1,35 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Mon Feb 13 20:36:41 2023 +# Process ID: 29912 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17076 D:\GitHub\ProgHLoek\avans-progh\keyboard\keyboard.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.xpr +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +INFO: [Project 1-313] Project file moved from '/home/loek/docs/repos/progh-huiswerk/keyboard' since last save. +WARNING: [filemgmt 56-2] Board Part Repository Path: Could not find the directory 'D:/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store', nor could it be found using path 'D:/home/loek/.Xilinx/Vivado/2022.2/xhub/board_store/xilinx_board_store'. +INFO: [filemgmt 56-2] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.gen/sources_1', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.gen/sources_1'. +CRITICAL WARNING: [Project 1-505] Unrecognized Option Name SimCompileState +WARNING: [filemgmt 56-2] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard/keyboard.ip_user_files', nor could it be found using path 'D:/home/loek/docs/repos/progh-huiswerk/keyboard/keyboard.ip_user_files'. +Scanning sources... +Finished scanning sources +WARNING: [Project 1-231] Project 'keyboard.xpr' was created with a future version and may or may not perform reliably with this version of Vivado. It has been opened in read-only mode for the protection of unrecognized data. Use 'File | Save Project As...' if you wish to alter a copy of the project. +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +open_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:07 . Memory (MB): peak = 1643.996 ; gain = 0.000 +update_compile_order -fileset sources_1 +exit +INFO: [Common 17-206] Exiting Vivado at Mon Feb 13 20:46:50 2023... diff --git a/keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc b/keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc new file mode 100644 index 0000000..3b00359 --- /dev/null +++ b/keyboard1/keyboard1.srcs/constrs_1/imports/keyboard.srcs/main.xdc @@ -0,0 +1,31 @@ +set_property IOSTANDARD LVCMOS33 [get_ports CLK100] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DD[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {DS[0]}] +set_property PACKAGE_PIN W5 [get_ports CLK100] +set_property PACKAGE_PIN V7 [get_ports {DD[7]}] +set_property PACKAGE_PIN U7 [get_ports {DD[6]}] +set_property PACKAGE_PIN V5 [get_ports {DD[5]}] +set_property PACKAGE_PIN U5 [get_ports {DD[4]}] +set_property PACKAGE_PIN V8 [get_ports {DD[3]}] +set_property PACKAGE_PIN U8 [get_ports {DD[2]}] +set_property PACKAGE_PIN W6 [get_ports {DD[1]}] +set_property PACKAGE_PIN W7 [get_ports {DD[0]}] +set_property PACKAGE_PIN U2 [get_ports {DS[3]}] +set_property PACKAGE_PIN U4 [get_ports {DS[2]}] +set_property PACKAGE_PIN V4 [get_ports {DS[1]}] +set_property PACKAGE_PIN W4 [get_ports {DS[0]}] + +set_property IOSTANDARD LVCMOS33 [get_ports PS2_CLK] +set_property IOSTANDARD LVCMOS33 [get_ports PS2_DAT] +set_property PACKAGE_PIN C17 [get_ports PS2_CLK] +set_property PACKAGE_PIN B17 [get_ports PS2_DAT] diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd new file mode 100644 index 0000000..c69d118 --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcd2disp.vhd @@ -0,0 +1,70 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity bcd2disp is + port( + CLK: in std_logic; -- mux clock (switch to next display on rising edge) + N0, N1, N2, N3: in std_logic_vector(3 downto 0); -- input bcd digits + DD: out std_logic_vector(7 downto 0); -- display segment data + DS: out std_logic_vector(3 downto 0)); -- display select + -- display 4 bcd digits on display +end bcd2disp; + +architecture Behavioral of bcd2disp is + component bcddec + port( + A: in std_logic_vector(3 downto 0); + X: out std_logic_vector(7 downto 0)); + end component; + component dispdrv + port ( + CLK: in std_logic; + D0: in std_logic_vector(7 downto 0); + D1: in std_logic_vector(7 downto 0); + D2: in std_logic_vector(7 downto 0); + D3: in std_logic_vector(7 downto 0); + D: out std_logic_vector(7 downto 0); + S: out std_logic_vector(1 downto 0)); + end component; + signal D0: std_logic_vector(7 downto 0); -- display 0 segment bits + signal D1: std_logic_vector(7 downto 0); -- display 1 segment bits + signal D2: std_logic_vector(7 downto 0); -- display 2 segment bits + signal D3: std_logic_vector(7 downto 0); -- display 3 segment bits + signal SX: std_logic_vector(1 downto 0); -- output display mux select + signal DX: std_logic_vector(7 downto 0); -- output display segment data +begin + bcddec0: component bcddec + port map ( + A => N0, + X => D0); + bcddec1: component bcddec + port map ( + A => N1, + X => D1); + bcddec2: component bcddec + port map ( + A => N2, + X => D2); + bcddec3: component bcddec + port map ( + A => N3, + X => D3); + + drv: component dispdrv + port map ( + CLK => CLK, + D0 => D0, + D1 => D1, + D2 => D2, + D3 => D3, + D => DX, + S => SX); + + DD <= not DX; + DS <= "1110" when SX = "00" else + "1101" when SX = "01" else + "1011" when SX = "10" else + "0111" when SX = "11" else + "0000"; +end Behavioral; + diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd new file mode 100644 index 0000000..bfbd535 --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/bcddec.vhd @@ -0,0 +1,33 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity bcddec is port( + A: in std_logic_vector(3 downto 0); + X: out std_logic_vector(7 downto 0)); +end bcddec; + +architecture Behavioral of bcddec is +begin + -- convert bcd to segment data + -- 0-9 = numbers + -- 10 = empty (no segments on) + -- 11 = dash or minus sign + -- others = empty + -- + -- segment order number + -- "pgfedcba" x"num" + X <= "00111111" when A = x"0" else + "00000110" when A = x"1" else + "01011011" when A = x"2" else + "01001111" when A = x"3" else + "01100110" when A = x"4" else + "01101101" when A = x"5" else + "01111101" when A = x"6" else + "00100111" when A = x"7" else + "01111111" when A = x"8" else + "01101111" when A = x"9" else + "00000000" when A = x"a" else + "01000000" when A = x"b" else + "00000000"; +end Behavioral; + diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd new file mode 100644 index 0000000..f3e4c52 --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispdrv.vhd @@ -0,0 +1,35 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity dispdrv is + port ( + CLK: in std_logic; -- mux clock (switch to next display on rising edge) + D0, D1, D2, D3: in std_logic_vector(7 downto 0); -- display segment inputs + -- left -> right = D0 -> D3 + D: out std_logic_vector(7 downto 0); + S: out std_logic_vector(1 downto 0)); + -- display multiplexer +end dispdrv; + +architecture Behavioral of dispdrv is +signal disp_idx: std_logic_vector(1 downto 0); +begin + process(CLK) + begin + if rising_edge(CLK) then + disp_idx <= (disp_idx + 1); + end if; + end process; + + S <= disp_idx; + with disp_idx select + D <= + D0 when "00", + D1 when "01", + D2 when "10", + D3 when "11", + (others => '0') when others; +end Behavioral; + diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd new file mode 100644 index 0000000..4c4093b --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/dispshift.vhd @@ -0,0 +1,39 @@ +library ieee; +use ieee.std_logic_1164.all; +--use ieee.numeric_std.all; + +entity dispshift is port( + CLK: in std_logic; -- system clock + S: in std_logic; -- shift + D: in std_logic_vector(3 downto 0); -- shift input (data) + N0, N1, N2, N3: out std_logic_vector(3 downto 0)); -- shift outputs +end dispshift; + +architecture Behavioral of dispshift is + +-- init as empty display +signal sD: std_logic_vector(11 downto 0) := x"aaa"; +signal SLastValue: std_logic := '0'; + +begin + process(CLK) + begin + if (rising_edge (clk)) then + -- set default values + SLastValue <= S; + sD <= sD; + + -- when S does go high update output + if (SLastValue = '0' and S = '1') then + -- set data on output + N3 <= sD(11 downto 8); + N2 <= sD(7 downto 4); + N1 <= sD(3 downto 0); + N0 <= D; + + -- store new data + sD <= sD(7 downto 0) & D; + end if; + end if; + end process; +end Behavioral; diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd new file mode 100644 index 0000000..efb1561 --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd @@ -0,0 +1,123 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity main is + port( + CLK100: in std_logic; -- system clock + PS2_CLK: in std_logic; -- async ps/2 clock input + PS2_DAT: in std_logic; -- async ps/2 data input + DD: out std_logic_vector(7 downto 0); -- display segment data + DS: out std_logic_vector(3 downto 0)); -- display select +end main; + +architecture Behavioral of main is + component ps2sync + port( + CLK: in std_logic; -- system clock + PS2_CLK: in std_logic; -- async ps/2 clock input + PS2_DAT: in std_logic; -- async ps/2 data input + DAT: out std_logic_vector(7 downto 0); -- scancode data + NEW_DAT: out std_logic); -- if scancode was just completed (1 for once clock cycle) + end component; + component scancodefilter + port( + CLK: in std_logic; -- system clock + DAT: in std_logic_vector(7 downto 0); -- scancode input + NEW_DAT: in std_logic; -- new scancode input + BCD: out std_logic_vector(3 downto 0); -- bcd digit 0-9 or dash (0xB) for keypress + SHIFT: out std_logic); -- shift display (1 for one clock cycle per key down press) + end component; + component dispshift + port( + CLK: in std_logic; -- system clock + S: in std_logic; -- shift + D: in std_logic_vector(3 downto 0); -- shift input (data) + N0, N1, N2, N3: out std_logic_vector(3 downto 0)); -- shift outputs + end component; + component bcd2disp + port ( + CLK: in std_logic; -- system clock + N0, N1, N2, N3: in std_logic_vector(3 downto 0); -- shift inputs + DD: out std_logic_vector(7 downto 0); -- display data + DS: out std_logic_vector(3 downto 0)); -- display select + end component; + component MetastablilitySyncronizer is port( + clk: in std_logic; -- system clock + input: in std_logic; -- Metastable data + output: out std_logic); -- stable data + end component; + + signal PS2_CLK_STABLE, PS2_DAT_STABLE: std_logic; -- Stabalize clk, data + signal SYNC_DAT: std_logic_vector(7 downto 0); -- ps2sync <-> scancodefilter + signal SYNC_DAT_NEW: std_logic; -- ps2sync <-> scancodefilter + signal BCD_NEW: std_logic_vector(3 downto 0); -- scancodefilter <-> dispshift + signal BCD_SHIFT: std_logic; -- scancodefilter <-> dispshift + signal N0, N1, N2, N3: std_logic_vector(3 downto 0); -- inputs for display + signal DISP_CLK: std_logic_vector(16 downto 0); -- clock counter for display clock + -- clock period = (2 << 16) / 100_000_000 = 1.31 ms per display / 5.24 ms full refresh +begin + -- Stabalize clk + msClk: component MetastablilitySyncronizer + port map ( + clk => CLK100, + input => PS2_CLK, + output => PS2_CLK_STABLE); + -- Stabalize data + msData: component MetastablilitySyncronizer + port map ( + clk => CLK100, + input => PS2_DAT, + output => PS2_DAT_STABLE); + + -- convert async ps2 signals into synchronous lines + ps2: component ps2sync + port map ( + CLK => CLK100, + PS2_CLK => PS2_CLK_STABLE, + PS2_DAT => PS2_DAT_STABLE, + DAT => SYNC_DAT, + NEW_DAT => SYNC_DAT_NEW); + + -- filter key up scancodes, and convert non-numeric keys into "-" (0xB) + filter: component scancodefilter + port map( + CLK => CLK100, + DAT => SYNC_DAT, + NEW_DAT => SYNC_DAT_NEW, + BCD => BCD_NEW, + SHIFT => BCD_SHIFT); + + -- display 'shift register' + shift_register: component dispshift + port map( + CLK => CLK100, + S => BCD_SHIFT, + D => BCD_NEW, + N0 => N0, + N1 => N1, + N2 => N2, + N3 => N3); + + -- display driver clock divider + process(CLK100) + begin + if rising_edge(CLK100) then + DISP_CLK <= (DISP_CLK + 1); + end if; + end process; + + -- numbers N0-N3 to displays 0-3 + disp: component bcd2disp + port map ( + CLK => DISP_CLK(16), + N0 => N0, + N1 => N1, + N2 => N2, + N3 => N3, + DD => DD, + DS => DS); + +end Behavioral; + diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd new file mode 100644 index 0000000..7dd427e --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd @@ -0,0 +1,66 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; + +entity ps2sync is port( + CLK: in std_logic; -- system clock + PS2_CLK: in std_logic; -- async ps/2 clock input + PS2_DAT: in std_logic; -- async ps/2 data input + DAT: out std_logic_vector(7 downto 0); -- scancode data + NEW_DAT: out std_logic); -- if scancode was just completed (1 for once clock cycle) +end ps2sync; + +architecture Behavioral of ps2sync is + signal DAT_TMP: std_logic_vector(7 downto 0) := x"00"; + signal PS2_CLK_OLD : std_logic := '0'; + signal readCount: natural range 0 to 7; + type estates is (START_BIT, READING, PARITY_BIT, STOP_BIT); + signal state: estates := START_BIT; + begin + + process(CLK) + begin + if rising_edge(CLK) then + -- default values + PS2_CLK_OLD <= PS2_CLK; + DAT_TMP <= DAT_TMP; + state <= state; + DAT <= DAT_TMP; + NEW_DAT <= '0'; + readCount <= readCount; + + if (PS2_CLK_OLD = '1' and PS2_CLK = '0') then + case state is + when START_BIT => + state <= READING; + + when READING => + -- always add 1 overwrite later + readCount <= readCount + 1; + + -- get data + DAT_TMP(readCount) <= PS2_DAT; + + -- 0 -> 5 get other one + if (readCount < 6) then + state <= READING; + else + -- get last + state <= PARITY_BIT; + readCount <= 0; + end if; + + when PARITY_BIT => + -- todo: add later + state <= STOP_BIT; + when STOP_BIT => + NEW_DAT <= '1'; + state <= START_BIT; + when others => + state <= START_BIT; + end case; + end if; + end if; + end process; +end Behavioral; diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd new file mode 100644 index 0000000..de3e920 --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd @@ -0,0 +1,72 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity scancodefilter is port( + CLK: in std_logic; -- system clock + DAT: in std_logic_vector(7 downto 0); -- scancode input + NEW_DAT: in std_logic; -- new scancode input + BCD: out std_logic_vector(3 downto 0); -- bcd digit 0-9 or dash (0xB) for keypress + SHIFT: out std_logic); -- shift display (1 for one clock cycle per key down press) +end scancodefilter; + +architecture Behavioral of scancodefilter is + +-- init as empty key +--signal lastKey: std_logic_vector(3 downto 0) := x"a"; +signal lastNEW_DAT: std_logic := '0'; + +begin + process(CLK) + begin + if (rising_edge (clk)) then + -- always set data on output + BCD <= x"a"; + SHIFT <= '0'; + lastNEW_DAT <= NEW_DAT; + + -- when NEW_DAT does go high + if ((lastNEW_DAT = '0') and (NEW_DAT = '1')) then + case DAT is + when x"45" => + BCD <= std_logic_vector(to_unsigned(0, BCD'length)); + SHIFT <= '1'; + when x"16" => + BCD <= std_logic_vector(to_unsigned(1, BCD'length)); + SHIFT <= '1'; + when x"1E" => + BCD <= std_logic_vector(to_unsigned(2, BCD'length)); + SHIFT <= '1'; + when x"26" => + BCD <= std_logic_vector(to_unsigned(3, BCD'length)); + SHIFT <= '1'; + when x"25" => + BCD <= std_logic_vector(to_unsigned(4, BCD'length)); + SHIFT <= '1'; + when x"2E" => + BCD <= std_logic_vector(to_unsigned(5, BCD'length)); + SHIFT <= '1'; + when x"36" => + BCD <= std_logic_vector(to_unsigned(6, BCD'length)); + SHIFT <= '1'; + when x"3D" => + BCD <= std_logic_vector(to_unsigned(7, BCD'length)); + SHIFT <= '1'; + when x"3E" => + BCD <= std_logic_vector(to_unsigned(8, BCD'length)); + SHIFT <= '1'; + when x"46" => + BCD <= std_logic_vector(to_unsigned(9, BCD'length)); + SHIFT <= '1'; +-- -- repeat key +-- when +-- BCD <= lastKey; +-- SHIFT <= '1'; + when others => + BCD <= x"b"; + SHIFT <= '0'; + end case; + end if; + end if; + end process; +end Behavioral; diff --git a/keyboard1/keyboard1.srcs/sources_1/new/MetastablilitySyncronizer.vhd b/keyboard1/keyboard1.srcs/sources_1/new/MetastablilitySyncronizer.vhd new file mode 100644 index 0000000..e6ddafb --- /dev/null +++ b/keyboard1/keyboard1.srcs/sources_1/new/MetastablilitySyncronizer.vhd @@ -0,0 +1,54 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 14.02.2023 15:07:08 +-- Design Name: +-- Module Name: MetastablilitySyncronizer - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity MetastablilitySyncronizer is port( + clk: in std_logic; -- system clock + input: in std_logic; -- Metastable data + output: out std_logic); -- stable data +end MetastablilitySyncronizer; + +architecture Behavioral of MetastablilitySyncronizer is + signal inputD, inputDD: std_logic := '0'; +begin + process (clk) + begin + if rising_edge(clk) then + -- 1 + inputD <= input; + -- 2 + inputDD <= inputD; + -- 3 + output <= inputDD; + end if; + end process; +end Behavioral; diff --git a/keyboard1/keyboard1.xpr b/keyboard1/keyboard1.xpr new file mode 100644 index 0000000..20c82fa --- /dev/null +++ b/keyboard1/keyboard1.xpr @@ -0,0 +1,286 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + default_dashboard + + + diff --git a/sound/sound.srcs/AudioOut.vhd b/sound/sound.srcs/AudioOut.vhd deleted file mode 120000 index b45f809..0000000 --- a/sound/sound.srcs/AudioOut.vhd +++ /dev/null @@ -1 +0,0 @@ -../../src/AudioOut.vhd \ No newline at end of file diff --git a/sound/sound.srcs/AudioOut.vhd b/sound/sound.srcs/AudioOut.vhd new file mode 100644 index 0000000..b45f809 --- /dev/null +++ b/sound/sound.srcs/AudioOut.vhd @@ -0,0 +1 @@ +../../src/AudioOut.vhd \ No newline at end of file diff --git a/sound/sound.srcs/PlayAudio.vhd b/sound/sound.srcs/PlayAudio.vhd deleted file mode 120000 index a9d1583..0000000 --- a/sound/sound.srcs/PlayAudio.vhd +++ /dev/null @@ -1 +0,0 @@ -../../src/PlayAudio.vhd \ No newline at end of file diff --git a/sound/sound.srcs/PlayAudio.vhd b/sound/sound.srcs/PlayAudio.vhd new file mode 100644 index 0000000..a9d1583 --- /dev/null +++ b/sound/sound.srcs/PlayAudio.vhd @@ -0,0 +1 @@ +../../src/PlayAudio.vhd \ No newline at end of file diff --git a/sound/sound.srcs/SampleOut.vhd b/sound/sound.srcs/SampleOut.vhd deleted file mode 120000 index 4ef35b5..0000000 --- a/sound/sound.srcs/SampleOut.vhd +++ /dev/null @@ -1 +0,0 @@ -../../src/SampleOut.vhd \ No newline at end of file diff --git a/sound/sound.srcs/SampleOut.vhd b/sound/sound.srcs/SampleOut.vhd new file mode 100644 index 0000000..4ef35b5 --- /dev/null +++ b/sound/sound.srcs/SampleOut.vhd @@ -0,0 +1 @@ +../../src/SampleOut.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd b/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd deleted file mode 120000 index 3b67369..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd b/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd new file mode 100644 index 0000000..3b67369 --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/bcd2disp.vhd @@ -0,0 +1 @@ +../../../src/bcd2disp.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd b/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd deleted file mode 120000 index f6d3258..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bcddec.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd b/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd new file mode 100644 index 0000000..f6d3258 --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/bcddec.vhd @@ -0,0 +1 @@ +../../../src/bcddec.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd b/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd deleted file mode 120000 index 161a61d..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd b/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd new file mode 100644 index 0000000..161a61d --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/bin2bcd.vhd @@ -0,0 +1 @@ +../../../src/bin2bcd.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd b/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd deleted file mode 120000 index 7c019c3..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/dispdrv.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd b/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd new file mode 100644 index 0000000..7c019c3 --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/dispdrv.vhd @@ -0,0 +1 @@ +../../../src/dispdrv.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd b/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd deleted file mode 120000 index e74f389..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/fsm_stopwatch.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd b/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd new file mode 100644 index 0000000..e74f389 --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/fsm-controller.vhd @@ -0,0 +1 @@ +../../../src/fsm_stopwatch.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/main.vhd b/stopwatch/stopwatch.srcs/sources_1/main.vhd deleted file mode 120000 index abde8ab..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/main.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/main-stopwatch.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/main.vhd b/stopwatch/stopwatch.srcs/sources_1/main.vhd new file mode 100644 index 0000000..abde8ab --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/main.vhd @@ -0,0 +1 @@ +../../../src/main-stopwatch.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/watch.vhd b/stopwatch/stopwatch.srcs/sources_1/watch.vhd deleted file mode 120000 index 8da86f6..0000000 --- a/stopwatch/stopwatch.srcs/sources_1/watch.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/stopwatch.vhd \ No newline at end of file diff --git a/stopwatch/stopwatch.srcs/sources_1/watch.vhd b/stopwatch/stopwatch.srcs/sources_1/watch.vhd new file mode 100644 index 0000000..8da86f6 --- /dev/null +++ b/stopwatch/stopwatch.srcs/sources_1/watch.vhd @@ -0,0 +1 @@ +../../../src/stopwatch.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd b/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd deleted file mode 120000 index 8b698f7..0000000 --- a/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add4b_tb.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd b/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd new file mode 100644 index 0000000..8b698f7 --- /dev/null +++ b/testbenches/testbenches.srcs/sim_1/add4b_tb.vhd @@ -0,0 +1 @@ +../../../src/add4b_tb.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/add1b.vhd b/testbenches/testbenches.srcs/sources_1/add1b.vhd deleted file mode 120000 index 9ad3f1e..0000000 --- a/testbenches/testbenches.srcs/sources_1/add1b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add1b.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/add1b.vhd b/testbenches/testbenches.srcs/sources_1/add1b.vhd new file mode 100644 index 0000000..9ad3f1e --- /dev/null +++ b/testbenches/testbenches.srcs/sources_1/add1b.vhd @@ -0,0 +1 @@ +../../../src/add1b.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/add4b.vhd b/testbenches/testbenches.srcs/sources_1/add4b.vhd deleted file mode 120000 index 5245cd0..0000000 --- a/testbenches/testbenches.srcs/sources_1/add4b.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/add4b.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/add4b.vhd b/testbenches/testbenches.srcs/sources_1/add4b.vhd new file mode 100644 index 0000000..5245cd0 --- /dev/null +++ b/testbenches/testbenches.srcs/sources_1/add4b.vhd @@ -0,0 +1 @@ +../../../src/add4b.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/half_add.vhd b/testbenches/testbenches.srcs/sources_1/half_add.vhd deleted file mode 120000 index 32b41e7..0000000 --- a/testbenches/testbenches.srcs/sources_1/half_add.vhd +++ /dev/null @@ -1 +0,0 @@ -../../../src/half_add.vhd \ No newline at end of file diff --git a/testbenches/testbenches.srcs/sources_1/half_add.vhd b/testbenches/testbenches.srcs/sources_1/half_add.vhd new file mode 100644 index 0000000..32b41e7 --- /dev/null +++ b/testbenches/testbenches.srcs/sources_1/half_add.vhd @@ -0,0 +1 @@ +../../../src/half_add.vhd \ No newline at end of file From 16f4de1eb9bc2a3f88f8251f785613a6721218d7 Mon Sep 17 00:00:00 2001 From: Hmkam Kampf Date: Tue, 14 Feb 2023 17:10:43 +0100 Subject: [PATCH 2/4] assesment version for keyboard1 - cijfers van rechts naar likks ingevoerd - geen scan code weergave - - waneer andere toests dan 0 tm 9 word ingedrukt - tested functionality --- .../imports/keyboard.srcs/main-keyboard.vhd | 8 +- .../imports/keyboard.srcs/ps2sync.vhd | 6 +- .../imports/keyboard.srcs/scancodefilter.vhd | 84 ++++++++++--------- 3 files changed, 51 insertions(+), 47 deletions(-) diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd index efb1561..e51e533 100644 --- a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/main-keyboard.vhd @@ -95,10 +95,10 @@ begin CLK => CLK100, S => BCD_SHIFT, D => BCD_NEW, - N0 => N0, - N1 => N1, - N2 => N2, - N3 => N3); + N0 => N3, + N1 => N2, + N2 => N1, + N3 => N0); -- display driver clock divider process(CLK100) diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd index 7dd427e..a551cbd 100644 --- a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd @@ -42,11 +42,11 @@ architecture Behavioral of ps2sync is -- get data DAT_TMP(readCount) <= PS2_DAT; - -- 0 -> 5 get other one - if (readCount < 6) then + -- 0 -> 6 get other one + if (readCount < 7) then state <= READING; else - -- get last + -- was last state <= PARITY_BIT; readCount <= 0; end if; diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd index de3e920..dbdf7b7 100644 --- a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/scancodefilter.vhd @@ -15,6 +15,7 @@ architecture Behavioral of scancodefilter is -- init as empty key --signal lastKey: std_logic_vector(3 downto 0) := x"a"; signal lastNEW_DAT: std_logic := '0'; +signal DAT_OLD: std_logic_vector(7 downto 0); -- scancode preveouse input begin process(CLK) @@ -24,48 +25,51 @@ begin BCD <= x"a"; SHIFT <= '0'; lastNEW_DAT <= NEW_DAT; + DAT_OLD <= DAT_OLD; -- when NEW_DAT does go high - if ((lastNEW_DAT = '0') and (NEW_DAT = '1')) then - case DAT is - when x"45" => - BCD <= std_logic_vector(to_unsigned(0, BCD'length)); - SHIFT <= '1'; - when x"16" => - BCD <= std_logic_vector(to_unsigned(1, BCD'length)); - SHIFT <= '1'; - when x"1E" => - BCD <= std_logic_vector(to_unsigned(2, BCD'length)); - SHIFT <= '1'; - when x"26" => - BCD <= std_logic_vector(to_unsigned(3, BCD'length)); - SHIFT <= '1'; - when x"25" => - BCD <= std_logic_vector(to_unsigned(4, BCD'length)); - SHIFT <= '1'; - when x"2E" => - BCD <= std_logic_vector(to_unsigned(5, BCD'length)); - SHIFT <= '1'; - when x"36" => - BCD <= std_logic_vector(to_unsigned(6, BCD'length)); - SHIFT <= '1'; - when x"3D" => - BCD <= std_logic_vector(to_unsigned(7, BCD'length)); - SHIFT <= '1'; - when x"3E" => - BCD <= std_logic_vector(to_unsigned(8, BCD'length)); - SHIFT <= '1'; - when x"46" => - BCD <= std_logic_vector(to_unsigned(9, BCD'length)); - SHIFT <= '1'; --- -- repeat key --- when --- BCD <= lastKey; --- SHIFT <= '1'; - when others => - BCD <= x"b"; - SHIFT <= '0'; - end case; + if ((lastNEW_DAT = '0') and (NEW_DAT = '1')) then + -- set DAT_OLD + DAT_OLD <= DAT; + + -- only is pervioause data is not release of key scancode and currend data + if (DAT_OLD /= x"F0" and DAT /= x"F0") then + case DAT is + when x"45" => + BCD <= std_logic_vector(to_unsigned(0, BCD'length)); + SHIFT <= '1'; + when x"16" => + BCD <= std_logic_vector(to_unsigned(1, BCD'length)); + SHIFT <= '1'; + when x"1E" => + BCD <= std_logic_vector(to_unsigned(2, BCD'length)); + SHIFT <= '1'; + when x"26" => + BCD <= std_logic_vector(to_unsigned(3, BCD'length)); + SHIFT <= '1'; + when x"25" => + BCD <= std_logic_vector(to_unsigned(4, BCD'length)); + SHIFT <= '1'; + when x"2E" => + BCD <= std_logic_vector(to_unsigned(5, BCD'length)); + SHIFT <= '1'; + when x"36" => + BCD <= std_logic_vector(to_unsigned(6, BCD'length)); + SHIFT <= '1'; + when x"3D" => + BCD <= std_logic_vector(to_unsigned(7, BCD'length)); + SHIFT <= '1'; + when x"3E" => + BCD <= std_logic_vector(to_unsigned(8, BCD'length)); + SHIFT <= '1'; + when x"46" => + BCD <= std_logic_vector(to_unsigned(9, BCD'length)); + SHIFT <= '1'; + when others => + BCD <= x"b"; + SHIFT <= '1'; + end case; + end if; end if; end if; end process; From 37b1d8219beb6a5b017950888681851cb5734a80 Mon Sep 17 00:00:00 2001 From: Hmkam Kampf Date: Tue, 14 Feb 2023 17:20:17 +0100 Subject: [PATCH 3/4] opened vivado - nothing --- keyboard1/vivado.jou | 15 +++++ keyboard1/vivado.log | 29 ++++++++ keyboard1/vivado_pid22956.str | 123 ++++++++++++++++++++++++++++++++++ 3 files changed, 167 insertions(+) create mode 100644 keyboard1/vivado.jou create mode 100644 keyboard1/vivado.log create mode 100644 keyboard1/vivado_pid22956.str diff --git a/keyboard1/vivado.jou b/keyboard1/vivado.jou new file mode 100644 index 0000000..2a8f078 --- /dev/null +++ b/keyboard1/vivado.jou @@ -0,0 +1,15 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Tue Feb 14 17:17:19 2023 +# Process ID: 22956 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard1 +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent28372 D:\GitHub\ProgHLoek\avans-progh\keyboard1\keyboard1.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard1/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard1\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.xpr +update_compile_order -fileset sources_1 diff --git a/keyboard1/vivado.log b/keyboard1/vivado.log new file mode 100644 index 0000000..01faa3b --- /dev/null +++ b/keyboard1/vivado.log @@ -0,0 +1,29 @@ +#----------------------------------------------------------- +# Vivado v2022.1 (64-bit) +# SW Build 3526262 on Mon Apr 18 15:48:16 MDT 2022 +# IP Build 3524634 on Mon Apr 18 20:55:01 MDT 2022 +# Start of session at: Tue Feb 14 17:17:19 2023 +# Process ID: 22956 +# Current directory: D:/GitHub/ProgHLoek/avans-progh/keyboard1 +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent28372 D:\GitHub\ProgHLoek\avans-progh\keyboard1\keyboard1.xpr +# Log file: D:/GitHub/ProgHLoek/avans-progh/keyboard1/vivado.log +# Journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard1\vivado.jou +# Running On: Big-Chinese-Export-Toaster, OS: Windows, CPU Frequency: 2592 MHz, CPU Physical cores: 6, Host memory: 17016 MB +#----------------------------------------------------------- +start_gui +open_project D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.xpr +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es:part0:1.2 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120/es/1.2/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vpk120_es_revb:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vpk120_revb/es/1.0/board.xml as part xcvp1202-vsva2785-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670:part0:2.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670/2.0/board.xml as part xczu67dr-fsve1156-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at D:/VIVADO/Vivado/2022.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.gen/sources_1'. +WARNING: [filemgmt 56-3] IPUserFilesDir: Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.ip_user_files'. +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:09 . Memory (MB): peak = 1664.055 ; gain = 0.000 +update_compile_order -fileset sources_1 diff --git a/keyboard1/vivado_pid22956.str b/keyboard1/vivado_pid22956.str new file mode 100644 index 0000000..ed3c087 --- /dev/null +++ b/keyboard1/vivado_pid22956.str @@ -0,0 +1,123 @@ +/* + +Xilinx Vivado v2022.1 (64-bit) [Major: 2022, Minor: 1] +SW Build: 3526262 on Mon Apr 18 15:48:16 MDT 2022 +IP Build: 3524634 on Mon Apr 18 20:55:01 MDT 2022 + +Process ID (PID): 22956 +License: Customer +Mode: GUI Mode + +Current time: Tue Feb 14 17:17:35 CET 2023 +Time zone: Central European Standard Time (Europe/Berlin) + +OS: Windows 10 +OS Version: 10.0 +OS Architecture: amd64 +Available processors (cores): 12 + +Screen size: 1920x1080 +Screen resolution (DPI): 100 +Available screens: 1 +Default font: family=Dialog,name=Dialog,style=plain,size=12 +Scale size: 12 + +Java version: 11.0.11 64-bit +Java home: D:/VIVADO/Vivado/2022.1/tps/win64/jre11.0.11_9 +Java executable: D:/VIVADO/Vivado/2022.1/tps/win64/jre11.0.11_9/bin/java.exe +Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Xverify:none, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, --add-opens=java.desktop/com.sun.awt=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, -XX:NewSize=60m, -XX:MaxNewSize=60m, -Xms256m, -Xmx3072m, -Xss5m] +Java initial memory (-Xms): 256 MB +Java maximum memory (-Xmx): 3 GB + + +User name: hmkam +User home directory: C:/Users/hmkam +User working directory: D:/GitHub/ProgHLoek/avans-progh/keyboard1 +User country: NL +User language: nl +User locale: nl_NL + +RDI_BASEROOT: D:/VIVADO/Vivado +HDI_APPROOT: D:/VIVADO/Vivado/2022.1 +RDI_DATADIR: D:/VIVADO/Vivado/2022.1/data +RDI_BINDIR: D:/VIVADO/Vivado/2022.1/bin + +Vivado preferences file: C:/Users/hmkam/AppData/Roaming/Xilinx/Vivado/2022.1/vivado.xml +Vivado preferences directory: C:/Users/hmkam/AppData/Roaming/Xilinx/Vivado/2022.1/ +Vivado layouts directory: C:/Users/hmkam/AppData/Roaming/Xilinx/Vivado/2022.1/data/layouts +PlanAhead jar file: D:/VIVADO/Vivado/2022.1/lib/classes/planAhead.jar +Vivado log file: D:/GitHub/ProgHLoek/avans-progh/keyboard1/vivado.log +Vivado journal file: D:/GitHub/ProgHLoek/avans-progh/keyboard1/vivado.jou +Engine tmp dir: D:/GitHub/ProgHLoek/avans-progh/keyboard1/.Xil/Vivado-22956-Big-Chinese-Export-Toaster + +Xilinx Environment Variables +---------------------------- +PICO_SDK_PATH: ..\..\pico-sdk +XILINX: D:/VIVADO/Vivado/2022.1/ids_lite/ISE +XILINX_DSP: D:/VIVADO/Vivado/2022.1/ids_lite/ISE +XILINX_HLS: D:/VIVADO/Vitis_HLS/2022.1 +XILINX_PLANAHEAD: D:/VIVADO/Vivado/2022.1 +XILINX_SDK: D:/VIVADO/Vitis/2022.1 +XILINX_VITIS: D:/VIVADO/Vitis/2022.1 +XILINX_VIVADO: D:/VIVADO/Vivado/2022.1 +XILINX_VIVADO_HLS: D:/VIVADO/Vivado/2022.1 + + +GUI allocated memory: 256 MB +GUI max memory: 3,072 MB +Engine allocated memory: 1,478 MB + +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +// TclEventType: PROJECT_OPEN_DIALOG +// [GUI Memory]: 85 MB (+86717kb) [00:00:10] +// [Engine Memory]: 1,478 MB (+1398802kb) [00:00:10] +// Opening Vivado Project: D:\GitHub\ProgHLoek\avans-progh\keyboard1\keyboard1.xpr. Version: Vivado v2022.1 +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: FLOW_ADDED +// Tcl Message: open_project D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.xpr +// HMemoryUtils.trashcanNow. Engine heap size: 1,478 MB. GUI used memory: 59 MB. Current time: 2/14/23, 5:17:36 PM CET +// TclEventType: MSGMGR_MOVEMSG +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILESET_TARGET_UCF_CHANGE +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: FILESET_TARGET_UCF_CHANGE +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: FILESET_TARGET_UCF_CHANGE +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_DASHBOARD_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_NEW +// [GUI Memory]: 107 MB (+18805kb) [00:00:17] +// WARNING: HEventQueue.dispatchEvent() is taking 3474 ms. +// Tcl Message: open_project D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.xpr +// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory 'D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.gen/sources_1'. +// Tcl Message: Scanning sources... Finished scanning sources +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/VIVADO/Vivado/2022.1/data/ip'. +// [GUI Memory]: 116 MB (+3572kb) [00:00:19] +// Tcl Message: open_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:09 . Memory (MB): peak = 1664.055 ; gain = 0.000 +// Project name: keyboard1; location: D:/GitHub/ProgHLoek/avans-progh/keyboard1; part: xc7a35tcpg236-1 +dismissDialog("Open Project"); // bA +closeMainWindow("keyboard1 - [D:/GitHub/ProgHLoek/avans-progh/keyboard1/keyboard1.xpr] - Vivado 2022.1"); // bb +// HOptionPane Warning: 'A background task is running. Please wait until it completes to exit Vivado. If you choose to abort background task and exit immediately, you will lose all unsaved changes to project. (Background Task)' +selectButton("OptionPane.button", "Wait"); // JButton +// Tcl Message: update_compile_order -fileset sources_1 From b22d98d0fbdaac20a6285815e32bc330b390816c Mon Sep 17 00:00:00 2001 From: Kampf Date: Thu, 16 Mar 2023 10:24:28 +0100 Subject: [PATCH 4/4] added parety and start bit check --- .../imports/keyboard.srcs/ps2sync.vhd | 28 ++++++++++++++----- 1 file changed, 21 insertions(+), 7 deletions(-) diff --git a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd index a551cbd..24199e2 100644 --- a/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd +++ b/keyboard1/keyboard1.srcs/sources_1/imports/keyboard.srcs/ps2sync.vhd @@ -1,6 +1,5 @@ library ieee; use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity ps2sync is port( @@ -33,7 +32,12 @@ architecture Behavioral of ps2sync is if (PS2_CLK_OLD = '1' and PS2_CLK = '0') then case state is when START_BIT => - state <= READING; + -- if correct signal + if(PS2_DAT = '0') then + state <= READING; + else + state <= START_BIT; + end if; when READING => -- always add 1 overwrite later @@ -52,11 +56,21 @@ architecture Behavioral of ps2sync is end if; when PARITY_BIT => - -- todo: add later - state <= STOP_BIT; - when STOP_BIT => - NEW_DAT <= '1'; - state <= START_BIT; + -- if correct paraty (even) + if(PS2_DAT /= std_logic (DAT_TMP(0) xor DAT_TMP(1) xor DAT_TMP(2) xor DAT_TMP(3) xor DAT_TMP(4) xor DAT_TMP(5) xor DAT_TMP(6) xor DAT_TMP(7)) ) then + state <= STOP_BIT; + else + state <= START_BIT; + end if; + when STOP_BIT => + -- if correct signal + if(PS2_DAT = '1') then + NEW_DAT <= '1'; + state <= START_BIT; + else + NEW_DAT <= '0'; + state <= START_BIT; + end if; when others => state <= START_BIT; end case;