-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathRealGCD.scala
41 lines (34 loc) · 847 Bytes
/
RealGCD.scala
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
import chisel3._
import chisel3.util.{Valid, DeqIO}
// Problem:
// Implement a GCD circuit (the greatest common divisor of two numbers).
// Input numbers are bundled as 'RealGCDInput' and communicated using the Decoupled handshake protocol
//
class RealGCDInput extends Bundle {
val a = UInt(16.W)
val b = UInt(16.W)
}
class RealGCD extends Module {
val io = IO(new Bundle {
val in = DeqIO(new RealGCDInput())
val out = Output(Valid(UInt(16.W)))
})
val x = Reg(UInt())
val y = Reg(UInt())
val p = RegInit(false.B)
io.in.ready := !p
when (io.in.valid && !p) {
x := io.in.bits.a
y := io.in.bits.b
p := true.B
}
when (p) {
when (x > y) { x := y; y := x }
.otherwise { y := y - x }
}
io.out.bits := x
io.out.valid := y === 0.U && p
when (io.out.valid) {
p := false.B
}
}