Skip to content

Commit

Permalink
Revert "mute pool balances was deleted"
Browse files Browse the repository at this point in the history
This reverts commit 7ff4d6b.
  • Loading branch information
mantasfam committed Jul 27, 2023
1 parent 2e25ef3 commit 7a9e63b
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions src/factory/providers/zksync-era/mute/index.ts
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,7 @@ async function tvl(params: ITvlParams): Promise<Partial<ITvlReturn>> {
return { balances: {} };
}

const { balances } = await uniswapV2.getTvl(
const { balances, poolBalances } = await uniswapV2.getTvl(
FACTORY_ADDRESS,
block,
chain,
Expand All @@ -33,7 +33,7 @@ async function tvl(params: ITvlParams): Promise<Partial<ITvlReturn>> {
formatter.sumMultiBalanceOf(balances, stakingBalance, chain, provider);
formatter.convertBalancesToFixed(balances);

return { balances };
return { balances, poolBalances };
}

export { tvl };

0 comments on commit 7a9e63b

Please sign in to comment.