Skip to content

Commit a26d68a

Browse files
committed
added all non-binary files to repository
1 parent 1135824 commit a26d68a

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

72 files changed

+24417
-0
lines changed

.run_manager.ini

+9
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
[Runmanager]
2+
Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1e\0\0\0\xdd\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0)
3+
windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)
4+
headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0)
5+
6+
[impl1%3CStrategy1%3E]
7+
isChecked=false
8+
isHidden=false
9+
isExpanded=false

.setting.ini

+4
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,4 @@
1+
[General]
2+
Export.auto_tasks=Bitgen, Jedecgen
3+
Map.auto_tasks=MapTrace
4+
PAR.auto_tasks=PARTrace, IOTiming

.spread_sheet.ini

+3
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,3 @@
1+
[General]
2+
COLUMN_POS_INFO_NAME_-1_0=Prioritize
3+
COLUMN_POS_INFO_NAME_-1_1=PIO Register

.spreadsheet_view.ini

+76
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,76 @@
1+
[General]
2+
pin_sort_type=0
3+
pin_sort_ascending=true
4+
sig_sort_type=0
5+
sig_sort_ascending=true
6+
active_Sheet=Port Assignments
7+
8+
[Port%20Assignments]
9+
Name="148,0"
10+
Group%20By="100,1"
11+
Pin="67,2"
12+
BANK="77,3"
13+
BANK_VCC="108,4"
14+
VREF="71,5"
15+
IO_TYPE="149,6"
16+
PULLMODE="114,7"
17+
DRIVE="79,8"
18+
SLEWRATE="106,9"
19+
CLAMP="85,10"
20+
OPENDRAIN="120,11"
21+
DIFFRESISTOR="131,12"
22+
DIFFDRIVE="108,13"
23+
HYSTERESIS="114,14"
24+
Outload%20%28pF%29="121,15"
25+
MaxSkew="103,16"
26+
Clock%20Load%20Only="144,17"
27+
SwitchingID="119,18"
28+
Ground%20plane%20PCB%20noise%20%28mV%29="231,19"
29+
Power%20plane%20PCB%20noise%20%28mV%29="222,20"
30+
SSO%20Allowance%28%25%29="157,21"
31+
sort_columns="Name,Ascending"
32+
33+
[Pin%20Assignments]
34+
Pin="100,0"
35+
Pad%20Name="106,1"
36+
Dual%20Function="264,2"
37+
Polarity="91,3"
38+
BANK="0,4"
39+
BANK_VCC="108,5"
40+
IO_TYPE="149,6"
41+
Signal%20Name="127,7"
42+
Signal%20Type="115,8"
43+
sort_columns="Pin,Ascending"
44+
45+
[Clock%20Resource]
46+
Clock%20Type="100,ELLIPSIS"
47+
Clock%20Name="100,ELLIPSIS"
48+
Selection="100,ELLIPSIS"
49+
50+
[Global%20Preferences]
51+
Preference%20Name="233,ELLIPSIS"
52+
Preference%20Value="236,ELLIPSIS"
53+
54+
[Cell%20Mapping]
55+
Type="100,ELLIPSIS"
56+
Name="100,ELLIPSIS"
57+
Din\Dout="100,ELLIPSIS"
58+
PIO%20Register="100,ELLIPSIS"
59+
60+
[Route%20Priority]
61+
Type="100,ELLIPSIS"
62+
Name="100,ELLIPSIS"
63+
Prioritize="100,ELLIPSIS"
64+
65+
[Timing%20Preferences]
66+
Preference%20Name="139,ELLIPSIS"
67+
Preference%20Value="122,ELLIPSIS"
68+
Preference%20Unit="113,ELLIPSIS"
69+
70+
[Group]
71+
Group%20Type\Name="135,ELLIPSIS"
72+
Value="46,ELLIPSIS"
73+
74+
[Misc%20Preferences]
75+
Preference%20Name="124,ELLIPSIS"
76+
Preference%20Value="122,ELLIPSIS"

Makefile

+7
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
GHLD=$(shell command -v ghdl)
2+
FLAGS="--std=08"
3+
4+
all:
5+
ghdl -a $(FLAGS) bldc_drv.vhd bldc_drvTb.vhd
6+
ghdl -e $(FLAGS) bldc_drvTb
7+
ghdl -r $(FLAGS) bldc_drvTb --wave=wave.ghw --stop-time=800ms

bldc_drvtb

1.22 MB
Binary file not shown.

bldc_fpga.ccl

+1
Original file line numberDiff line numberDiff line change
@@ -0,0 +1 @@
1+
VERSION=20110520

bldc_fpga.ldf

+20
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,20 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<BaliProject version="3.2" title="bldc_fpga" device="LCMXO3L-6900C-6BG256C" default_implementation="impl1">
3+
<Options/>
4+
<Implementation title="impl1" dir="impl1" description="impl1" synthesis="lse" default_strategy="Strategy1">
5+
<Options def_top="top"/>
6+
<Source name="bldc_drv.vhd" type="VHDL" type_short="VHDL">
7+
<Options/>
8+
</Source>
9+
<Source name="top.vhd" type="VHDL" type_short="VHDL">
10+
<Options top_module="top"/>
11+
</Source>
12+
<Source name="bldc_fpga.lpf" type="Logic Preference" type_short="LPF">
13+
<Options/>
14+
</Source>
15+
<Source name="impl1/impl1.xcf" type="Programming Project File" type_short="Programming">
16+
<Options/>
17+
</Source>
18+
</Implementation>
19+
<Strategy name="Strategy1" file="bldc_fpga1.sty"/>
20+
</BaliProject>

bldc_fpga.lpf

+15
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
BLOCK RESETPATHS ;
2+
BLOCK ASYNCPATHS ;
3+
BANK 1 VCCIO 3.3 V;
4+
LOCATE COMP "o_hbIN[0]" SITE "G14" ;
5+
IOBUF PORT "o_hbIN[0]" IO_TYPE=LVCMOS33 ;
6+
IOBUF PORT "o_nSD[0]" DRIVE=8 IO_TYPE=LVCMOS33 ;
7+
LOCATE COMP "o_hbIN[1]" SITE "D14" ;
8+
LOCATE COMP "o_hbIN[2]" SITE "D16" ;
9+
LOCATE COMP "o_nSD[0]" SITE "B16" ;
10+
LOCATE COMP "o_nSD[1]" SITE "F14" ;
11+
LOCATE COMP "o_nSD[2]" SITE "C15" ;
12+
IOBUF PORT "o_hbIN[1]" IO_TYPE=LVCMOS33 ;
13+
IOBUF PORT "o_hbIN[2]" IO_TYPE=LVCMOS33 ;
14+
IOBUF PORT "o_nSD[1]" IO_TYPE=LVCMOS33 ;
15+
IOBUF PORT "o_nSD[2]" IO_TYPE=LVCMOS33 ;

bldc_fpga1.sty

+205
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,205 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<!DOCTYPE strategy>
3+
<Strategy version="1.0" predefined="0" description="" label="Strategy1">
4+
<Property name="PROP_BD_CmdLineArgs" value="" time="0"/>
5+
<Property name="PROP_BD_EdfHardtimer" value="Enable" time="0"/>
6+
<Property name="PROP_BD_EdfInBusNameConv" value="None" time="0"/>
7+
<Property name="PROP_BD_EdfInLibPath" value="" time="0"/>
8+
<Property name="PROP_BD_EdfInRemLoc" value="Off" time="0"/>
9+
<Property name="PROP_BD_EdfMemPath" value="" time="0"/>
10+
<Property name="PROP_BD_ParSearchPath" value="" time="0"/>
11+
<Property name="PROP_BIT_AddressBitGen" value="Increment" time="0"/>
12+
<Property name="PROP_BIT_AllowReadBitGen" value="Disable" time="0"/>
13+
<Property name="PROP_BIT_ByteWideBitMirror" value="Disable" time="0"/>
14+
<Property name="PROP_BIT_CapReadBitGen" value="Disable" time="0"/>
15+
<Property name="PROP_BIT_ConModBitGen" value="Disable" time="0"/>
16+
<Property name="PROP_BIT_CreateBitFile" value="True" time="0"/>
17+
<Property name="PROP_BIT_DisRAMResBitGen" value="True" time="0"/>
18+
<Property name="PROP_BIT_DisableUESBitgen" value="False" time="0"/>
19+
<Property name="PROP_BIT_DonePinBitGen" value="Pullup" time="0"/>
20+
<Property name="PROP_BIT_DoneSigBitGen" value="4" time="0"/>
21+
<Property name="PROP_BIT_EnIOBitGen" value="TriStateDuringReConfig" time="0"/>
22+
<Property name="PROP_BIT_EnIntOscBitGen" value="Disable" time="0"/>
23+
<Property name="PROP_BIT_ExtClockBitGen" value="False" time="0"/>
24+
<Property name="PROP_BIT_GSREnableBitGen" value="True" time="0"/>
25+
<Property name="PROP_BIT_GSRRelOnBitGen" value="DoneIn" time="0"/>
26+
<Property name="PROP_BIT_GranTimBitGen" value="0" time="0"/>
27+
<Property name="PROP_BIT_IOTriRelBitGen" value="Cycle 2" time="0"/>
28+
<Property name="PROP_BIT_JTAGEnableBitGen" value="False" time="0"/>
29+
<Property name="PROP_BIT_LenBitsBitGen" value="24" time="0"/>
30+
<Property name="PROP_BIT_MIFFileBitGen" value="" time="0"/>
31+
<Property name="PROP_BIT_NoHeader" value="False" time="0"/>
32+
<Property name="PROP_BIT_OutFormatBitGen" value="Bit File (Binary)" time="0"/>
33+
<Property name="PROP_BIT_OutFormatBitGen_REF" value="" time="0"/>
34+
<Property name="PROP_BIT_OutFormatPromGen" value="Intel Hex 32-bit" time="0"/>
35+
<Property name="PROP_BIT_ParityCheckBitGen" value="True" time="0"/>
36+
<Property name="PROP_BIT_ReadBackBitGen" value="Flash" time="0"/>
37+
<Property name="PROP_BIT_ReadCaptureBitGen" value="Disable" time="0"/>
38+
<Property name="PROP_BIT_RemZeroFramesBitGen" value="False" time="0"/>
39+
<Property name="PROP_BIT_RunDRCBitGen" value="True" time="0"/>
40+
<Property name="PROP_BIT_SearchPthBitGen" value="" time="0"/>
41+
<Property name="PROP_BIT_StartUpClkBitGen" value="Cclk" time="0"/>
42+
<Property name="PROP_BIT_SynchIOBitGen" value="True" time="0"/>
43+
<Property name="PROP_BIT_SysClockConBitGen" value="Reset" time="0"/>
44+
<Property name="PROP_BIT_SysConBitGen" value="Reset" time="0"/>
45+
<Property name="PROP_BIT_WaitStTimBitGen" value="5" time="0"/>
46+
<Property name="PROP_IOTIMING_AllSpeed" value="False" time="0"/>
47+
<Property name="PROP_LST_AllowDUPMod" value="False" time="0"/>
48+
<Property name="PROP_LST_CarryChain" value="True" time="0"/>
49+
<Property name="PROP_LST_CarryChainLength" value="0" time="0"/>
50+
<Property name="PROP_LST_CmdLineArgs" value="" time="0"/>
51+
<Property name="PROP_LST_DSPStyle" value="DSP" time="0"/>
52+
<Property name="PROP_LST_DSPUtil" value="100" time="0"/>
53+
<Property name="PROP_LST_DecodeUnreachableStates" value="False" time="0"/>
54+
<Property name="PROP_LST_DisableDistRam" value="False" time="0"/>
55+
<Property name="PROP_LST_EBRUtil" value="100" time="0"/>
56+
<Property name="PROP_LST_EdfFrequency" value="200" time="0"/>
57+
<Property name="PROP_LST_EdfHardtimer" value="Enable" time="0"/>
58+
<Property name="PROP_LST_EdfInLibPath" value="" time="0"/>
59+
<Property name="PROP_LST_EdfInRemLoc" value="Off" time="0"/>
60+
<Property name="PROP_LST_EdfMemPath" value="" time="0"/>
61+
<Property name="PROP_LST_FIXGATEDCLKS" value="True" time="0"/>
62+
<Property name="PROP_LST_FSMEncodeStyle" value="Auto" time="0"/>
63+
<Property name="PROP_LST_ForceGSRInfer" value="Auto" time="0"/>
64+
<Property name="PROP_LST_IOInsertion" value="True" time="0"/>
65+
<Property name="PROP_LST_InterFileDump" value="False" time="0"/>
66+
<Property name="PROP_LST_LoopLimit" value="1950" time="0"/>
67+
<Property name="PROP_LST_MaxFanout" value="1000" time="0"/>
68+
<Property name="PROP_LST_MuxStyle" value="Auto" time="0"/>
69+
<Property name="PROP_LST_NumCriticalPaths" value="3" time="0"/>
70+
<Property name="PROP_LST_OptimizeGoal" value="Balanced" time="0"/>
71+
<Property name="PROP_LST_PropagatConst" value="True" time="0"/>
72+
<Property name="PROP_LST_RAMStyle" value="Auto" time="0"/>
73+
<Property name="PROP_LST_ROMStyle" value="Auto" time="0"/>
74+
<Property name="PROP_LST_RemoveDupRegs" value="True" time="0"/>
75+
<Property name="PROP_LST_ResolvedMixedDrivers" value="False" time="0"/>
76+
<Property name="PROP_LST_ResourceShare" value="True" time="0"/>
77+
<Property name="PROP_LST_UseIOReg" value="Auto" time="0"/>
78+
<Property name="PROP_LST_UseLPF" value="True" time="0"/>
79+
<Property name="PROP_LST_VHDL2008" value="False" time="0"/>
80+
<Property name="PROP_MAPSTA_AnalysisOption" value="Standard Setup and Hold Analysis" time="0"/>
81+
<Property name="PROP_MAPSTA_AutoTiming" value="True" time="0"/>
82+
<Property name="PROP_MAPSTA_CheckUnconstrainedConns" value="False" time="0"/>
83+
<Property name="PROP_MAPSTA_CheckUnconstrainedPaths" value="False" time="0"/>
84+
<Property name="PROP_MAPSTA_FullName" value="False" time="0"/>
85+
<Property name="PROP_MAPSTA_NumUnconstrainedPaths" value="0" time="0"/>
86+
<Property name="PROP_MAPSTA_ReportStyle" value="Verbose Timing Report" time="0"/>
87+
<Property name="PROP_MAPSTA_RouteEstAlogtithm" value="0" time="0"/>
88+
<Property name="PROP_MAPSTA_RptAsynTimLoop" value="False" time="0"/>
89+
<Property name="PROP_MAPSTA_WordCasePaths" value="1" time="0"/>
90+
<Property name="PROP_MAP_IgnorePreErr" value="True" time="0"/>
91+
<Property name="PROP_MAP_MAPIORegister" value="Auto" time="0"/>
92+
<Property name="PROP_MAP_MAPInferGSR" value="True" time="0"/>
93+
<Property name="PROP_MAP_MapModArgs" value="" time="0"/>
94+
<Property name="PROP_MAP_OvermapDevice" value="False" time="0"/>
95+
<Property name="PROP_MAP_PackLogMapDes" value="0" time="0"/>
96+
<Property name="PROP_MAP_RegRetiming" value="False" time="0"/>
97+
<Property name="PROP_MAP_SigCrossRef" value="False" time="0"/>
98+
<Property name="PROP_MAP_SymCrossRef" value="False" time="0"/>
99+
<Property name="PROP_MAP_TimingDriven" value="False" time="0"/>
100+
<Property name="PROP_MAP_TimingDrivenNodeRep" value="False" time="0"/>
101+
<Property name="PROP_MAP_TimingDrivenPack" value="False" time="0"/>
102+
<Property name="PROP_PARSTA_AnalysisOption" value="Standard Setup and Hold Analysis" time="0"/>
103+
<Property name="PROP_PARSTA_AutoTiming" value="True" time="0"/>
104+
<Property name="PROP_PARSTA_CheckUnconstrainedConns" value="False" time="0"/>
105+
<Property name="PROP_PARSTA_CheckUnconstrainedPaths" value="False" time="0"/>
106+
<Property name="PROP_PARSTA_FullName" value="False" time="0"/>
107+
<Property name="PROP_PARSTA_NumUnconstrainedPaths" value="0" time="0"/>
108+
<Property name="PROP_PARSTA_ReportStyle" value="Verbose Timing Report" time="0"/>
109+
<Property name="PROP_PARSTA_RptAsynTimLoop" value="False" time="0"/>
110+
<Property name="PROP_PARSTA_SpeedForHoldAnalysis" value="m" time="0"/>
111+
<Property name="PROP_PARSTA_SpeedForSetupAnalysis" value="default" time="0"/>
112+
<Property name="PROP_PARSTA_WordCasePaths" value="10" time="0"/>
113+
<Property name="PROP_PAR_CrDlyStFileParDes" value="False" time="0"/>
114+
<Property name="PROP_PAR_DisableTDParDes" value="False" time="0"/>
115+
<Property name="PROP_PAR_EffortParDes" value="5" time="0"/>
116+
<Property name="PROP_PAR_MultiSeedSortMode" value="Worst Slack" time="0"/>
117+
<Property name="PROP_PAR_NewRouteParDes" value="NBR" time="0"/>
118+
<Property name="PROP_PAR_PARClockSkew" value="Off" time="0"/>
119+
<Property name="PROP_PAR_PARModArgs" value="" time="0"/>
120+
<Property name="PROP_PAR_ParMultiNodeList" value="" time="0"/>
121+
<Property name="PROP_PAR_ParRunPlaceOnly" value="False" time="0"/>
122+
<Property name="PROP_PAR_PlcIterParDes" value="1" time="0"/>
123+
<Property name="PROP_PAR_PlcStCostTblParDes" value="1" time="0"/>
124+
<Property name="PROP_PAR_PrefErrorOut" value="True" time="0"/>
125+
<Property name="PROP_PAR_RemoveDir" value="True" time="0"/>
126+
<Property name="PROP_PAR_RouteDlyRedParDes" value="0" time="0"/>
127+
<Property name="PROP_PAR_RoutePassParDes" value="6" time="0"/>
128+
<Property name="PROP_PAR_RouteResOptParDes" value="0" time="0"/>
129+
<Property name="PROP_PAR_RoutingCDP" value="0" time="0"/>
130+
<Property name="PROP_PAR_RoutingCDR" value="0" time="0"/>
131+
<Property name="PROP_PAR_RunParWithTrce" value="False" time="0"/>
132+
<Property name="PROP_PAR_RunTimeReduction" value="True" time="0"/>
133+
<Property name="PROP_PAR_SaveBestRsltParDes" value="1" time="0"/>
134+
<Property name="PROP_PAR_StopZero" value="False" time="0"/>
135+
<Property name="PROP_PAR_parHold" value="On" time="0"/>
136+
<Property name="PROP_PAR_parPathBased" value="Off" time="0"/>
137+
<Property name="PROP_PRE_CmdLineArgs" value="" time="0"/>
138+
<Property name="PROP_PRE_EdfArrayBoundsCase" value="False" time="0"/>
139+
<Property name="PROP_PRE_EdfAutoResOfRam" value="False" time="0"/>
140+
<Property name="PROP_PRE_EdfClockDomainCross" value="False" time="0"/>
141+
<Property name="PROP_PRE_EdfDSPAcrossHie" value="False" time="0"/>
142+
<Property name="PROP_PRE_EdfFullCase" value="False" time="0"/>
143+
<Property name="PROP_PRE_EdfIgnoreRamRWCol" value="False" time="0"/>
144+
<Property name="PROP_PRE_EdfMissConstraint" value="False" time="0"/>
145+
<Property name="PROP_PRE_EdfNetFanout" value="True" time="0"/>
146+
<Property name="PROP_PRE_EdfParaCase" value="False" time="0"/>
147+
<Property name="PROP_PRE_EdfReencodeFSM" value="True" time="0"/>
148+
<Property name="PROP_PRE_EdfResSharing" value="True" time="0"/>
149+
<Property name="PROP_PRE_EdfTimingViolation" value="True" time="0"/>
150+
<Property name="PROP_PRE_EdfUseSafeFSM" value="False" time="0"/>
151+
<Property name="PROP_PRE_EdfVlog2001" value="True" time="0"/>
152+
<Property name="PROP_PRE_VSynComArea" value="True" time="0"/>
153+
<Property name="PROP_PRE_VSynCritcal" value="3" time="0"/>
154+
<Property name="PROP_PRE_VSynFSM" value="Auto" time="0"/>
155+
<Property name="PROP_PRE_VSynFreq" value="200" time="0"/>
156+
<Property name="PROP_PRE_VSynGSR" value="False" time="0"/>
157+
<Property name="PROP_PRE_VSynGatedClk" value="False" time="0"/>
158+
<Property name="PROP_PRE_VSynIOPad" value="False" time="0"/>
159+
<Property name="PROP_PRE_VSynOutNetForm" value="None" time="0"/>
160+
<Property name="PROP_PRE_VSynOutPref" value="True" time="0"/>
161+
<Property name="PROP_PRE_VSynRepClkFreq" value="True" time="0"/>
162+
<Property name="PROP_PRE_VSynRetime" value="True" time="0"/>
163+
<Property name="PROP_PRE_VSynTimSum" value="10" time="0"/>
164+
<Property name="PROP_PRE_VSynTransform" value="True" time="0"/>
165+
<Property name="PROP_PRE_VSyninpd" value="0" time="0"/>
166+
<Property name="PROP_PRE_VSynoutd" value="0" time="0"/>
167+
<Property name="PROP_SYN_ClockConversion" value="True" time="0"/>
168+
<Property name="PROP_SYN_CmdLineArgs" value="" time="0"/>
169+
<Property name="PROP_SYN_DisableRegisterRep" value="False" time="0"/>
170+
<Property name="PROP_SYN_EdfAllowDUPMod" value="False" time="0"/>
171+
<Property name="PROP_SYN_EdfArea" value="False" time="0"/>
172+
<Property name="PROP_SYN_EdfArrangeVHDLFiles" value="True" time="0"/>
173+
<Property name="PROP_SYN_EdfDefEnumEncode" value="Default" time="0"/>
174+
<Property name="PROP_SYN_EdfFanout" value="1000" time="0"/>
175+
<Property name="PROP_SYN_EdfFrequency" value="100" time="0"/>
176+
<Property name="PROP_SYN_EdfGSR" value="False" time="0"/>
177+
<Property name="PROP_SYN_EdfInsertIO" value="False" time="0"/>
178+
<Property name="PROP_SYN_EdfNumCritPath" value="" time="0"/>
179+
<Property name="PROP_SYN_EdfNumStartEnd" value="" time="0"/>
180+
<Property name="PROP_SYN_EdfOutNetForm" value="None" time="0"/>
181+
<Property name="PROP_SYN_EdfPushTirstates" value="True" time="0"/>
182+
<Property name="PROP_SYN_EdfResSharing" value="True" time="0"/>
183+
<Property name="PROP_SYN_EdfRunRetiming" value="Pipelining Only" time="0"/>
184+
<Property name="PROP_SYN_EdfSymFSM" value="True" time="0"/>
185+
<Property name="PROP_SYN_EdfUnconsClk" value="False" time="0"/>
186+
<Property name="PROP_SYN_EdfVerilogInput" value="Verilog 2001" time="0"/>
187+
<Property name="PROP_SYN_ExportSetting" value="No" time="0"/>
188+
<Property name="PROP_SYN_LibPath" value="" time="0"/>
189+
<Property name="PROP_SYN_ResolvedMixedDrivers" value="False" time="0"/>
190+
<Property name="PROP_SYN_UpdateCompilePtTimData" value="False" time="0"/>
191+
<Property name="PROP_SYN_UseLPF" value="True" time="0"/>
192+
<Property name="PROP_SYN_VHDL2008" value="False" time="0"/>
193+
<Property name="PROP_THERMAL_DefaultFreq" value="0" time="0"/>
194+
<Property name="PROP_TIM_MaxDelSimDes" value="" time="0"/>
195+
<Property name="PROP_TIM_MinSpeedGrade" value="False" time="0"/>
196+
<Property name="PROP_TIM_ModPreSimDes" value="" time="0"/>
197+
<Property name="PROP_TIM_NegStupHldTim" value="True" time="0"/>
198+
<Property name="PROP_TIM_TimSimGenPUR" value="True" time="0"/>
199+
<Property name="PROP_TIM_TimSimGenX" value="False" time="0"/>
200+
<Property name="PROP_TIM_TimSimHierSep" value="" time="0"/>
201+
<Property name="PROP_TIM_TransportModeOfPathDelay" value="False" time="0"/>
202+
<Property name="PROP_TIM_TrgtSpeedGrade" value="" time="0"/>
203+
<Property name="PROP_TIM_WriteVerboseNetlist" value="False" time="0"/>
204+
<Property name="PROP_TMCHK_EnableCheck" value="True" time="0"/>
205+
</Strategy>

0 commit comments

Comments
 (0)