Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

VHDL-2008: Generic packages on entity should test all cases #32

Open
bpadalino opened this issue Mar 7, 2023 · 0 comments
Open

VHDL-2008: Generic packages on entity should test all cases #32

bpadalino opened this issue Mar 7, 2023 · 0 comments
Labels
Help Wanted Extra attention is needed VHDL-2008

Comments

@bpadalino
Copy link
Contributor

The VHDL-2008 test tb_generic_packages_on_entity exposed that most simulators do not handle the cases for passing a generic package into an entity. It's defined as:

interface_package_declaration ::= package identifier is new uninstantiated_package_name interface_package_generic_map_aspect

interface_package_generic_map_aspect ::= generic_map_aspect | generic map (<>) | generic map ( default )

So the test really should be 3 tests:

  • Test with a generic_map_aspect (i.e. a normal generic map)
  • Test with generic map (<>) (i.e. any mapping)
  • Test with default (i.e. only the default package mapping)
@umarcor umarcor added Help Wanted Extra attention is needed VHDL-2008 labels Mar 7, 2023
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Help Wanted Extra attention is needed VHDL-2008
Projects
None yet
Development

No branches or pull requests

2 participants